aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorjaseg <git-bigdata-wsl-arch@jaseg.de>2022-04-18 16:19:03 +0200
committerjaseg <git-bigdata-wsl-arch@jaseg.de>2022-05-08 16:47:48 +0200
commit3994a2576b073a1c3dc5dd85e95e63add8eb71a4 (patch)
treeb0cf1f1f33f9057f4f39872f53d146a218b459d8
parent2e7724b9607223d84f2314c9d21cbd1111e017ec (diff)
download8seg-3994a2576b073a1c3dc5dd85e95e63add8eb71a4.tar.gz
8seg-3994a2576b073a1c3dc5dd85e95e63add8eb71a4.tar.bz2
8seg-3994a2576b073a1c3dc5dd85e95e63add8eb71a4.zip
Update PCBs
-rw-r--r--center/8seg-bom.odsbin28489 -> 26132 bytes
-rw-r--r--center/center-cache.lib782
-rw-r--r--center/center-rescue.dcm3
-rw-r--r--center/center-rescue.lib407
-rw-r--r--center/center.kicad_pcb7222
-rw-r--r--center/center.kicad_pcb-bak7221
-rw-r--r--center/center.pretty/led_tape_3528_2835.kicad_mod31
-rw-r--r--center/center.pro274
-rw-r--r--center/center.sch2707
-rw-r--r--center/center.xml946
-rw-r--r--center/circle.svg1189
-rw-r--r--center/circle_template.dxf3762
-rw-r--r--center/circle_template.svg50
-rw-r--r--center/components.dcm3
-rw-r--r--center/components.lib25
-rw-r--r--center/fp-info-cache72044
-rw-r--r--center/fp-lib-table5
-rw-r--r--center/gerber.out/center-NPTH.drl10
-rw-r--r--center/gerber.out/center-PTH.drl156
-rw-r--r--center/gerber/center-NPTH.drl10
-rw-r--r--center/gerber/center-PTH.drl156
-rw-r--r--center/sym-lib-table4
-rw-r--r--driver/bom_driver_v2.odsbin0 -> 12748 bytes
-rw-r--r--driver/driver-cache.lib1401
-rw-r--r--driver/driver-rescue.dcm3
-rw-r--r--driver/driver-rescue.lib863
-rw-r--r--driver/driver.kicad_pcb18890
-rw-r--r--driver/driver.pro324
-rw-r--r--driver/driver.sch6223
-rw-r--r--driver/driver.xml2837
-rw-r--r--driver/fp-info-cache793
-rw-r--r--driver/gerber/driver-B_Cu.gbr15453
-rw-r--r--driver/gerber/driver-B_Mask.gbr5223
-rw-r--r--driver/gerber/driver-B_Paste.gbr36
-rw-r--r--driver/gerber/driver-B_SilkS.gbr544
-rw-r--r--driver/gerber/driver-Edge_Cuts.gbr46
-rw-r--r--driver/gerber/driver-F_Cu.gbr50235
-rw-r--r--driver/gerber/driver-F_Mask.gbr16992
-rw-r--r--driver/gerber/driver-F_Paste.gbr14350
-rw-r--r--driver/gerber/driver-F_SilkS.gbr13967
-rw-r--r--driver/gerber/driver-NPTH.drl58
-rw-r--r--driver/gerber/driver-PTH.drl790
-rw-r--r--driver/sym-lib-table9
-rw-r--r--driver/tx4138.dcm3
-rw-r--r--driver/tx4138.lib25
45 files changed, 163620 insertions, 82452 deletions
diff --git a/center/8seg-bom.ods b/center/8seg-bom.ods
index 13bb9d9..5b981b8 100644
--- a/center/8seg-bom.ods
+++ b/center/8seg-bom.ods
Binary files differ
diff --git a/center/center-cache.lib b/center/center-cache.lib
index 736226d..ef3b521 100644
--- a/center/center-cache.lib
+++ b/center/center-cache.lib
@@ -1,354 +1,428 @@
-EESchema-LIBRARY Version 2.4
-#encoding utf-8
-#
-# Connector_Generic_Conn_01x02
-#
-DEF Connector_Generic_Conn_01x02 J 0 40 Y N 1 F N
-F0 "J" 0 100 50 H V C CNN
-F1 "Connector_Generic_Conn_01x02" 0 -200 50 H V C CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-$FPLIST
- Connector*:*_1x??_*
-$ENDFPLIST
-DRAW
-S -50 -95 0 -105 1 1 6 N
-S -50 5 0 -5 1 1 6 N
-S -50 50 50 -150 1 1 10 f
-X Pin_1 1 -200 0 150 R 50 50 1 1 P
-X Pin_2 2 -200 -100 150 R 50 50 1 1 P
-ENDDRAW
-ENDDEF
-#
-# Connector_Generic_Conn_01x04
-#
-DEF Connector_Generic_Conn_01x04 J 0 40 Y N 1 F N
-F0 "J" 0 200 50 H V C CNN
-F1 "Connector_Generic_Conn_01x04" 0 -300 50 H V C CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-$FPLIST
- Connector*:*_1x??_*
-$ENDFPLIST
-DRAW
-S -50 -195 0 -205 1 1 6 N
-S -50 -95 0 -105 1 1 6 N
-S -50 5 0 -5 1 1 6 N
-S -50 105 0 95 1 1 6 N
-S -50 150 50 -250 1 1 10 f
-X Pin_1 1 -200 100 150 R 50 50 1 1 P
-X Pin_2 2 -200 0 150 R 50 50 1 1 P
-X Pin_3 3 -200 -100 150 R 50 50 1 1 P
-X Pin_4 4 -200 -200 150 R 50 50 1 1 P
-ENDDRAW
-ENDDEF
-#
-# Connector_TestPoint
-#
-DEF Connector_TestPoint TP 0 30 N N 1 F N
-F0 "TP" 0 270 50 H V C CNN
-F1 "Connector_TestPoint" 0 200 50 H V C CNN
-F2 "" 200 0 50 H I C CNN
-F3 "" 200 0 50 H I C CNN
-$FPLIST
- Pin*
- Test*
-$ENDFPLIST
-DRAW
-C 0 130 30 0 1 0 N
-X 1 1 0 0 100 U 50 50 1 1 P
-ENDDRAW
-ENDDEF
-#
-# Device_CP_Small
-#
-DEF Device_CP_Small C 0 10 N N 1 F N
-F0 "C" 10 70 50 H V L CNN
-F1 "Device_CP_Small" 10 -80 50 H V L CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-$FPLIST
- CP_*
-$ENDFPLIST
-DRAW
-S -60 -12 60 -27 0 1 0 F
-S -60 27 60 12 0 1 0 N
-P 2 0 1 0 -50 60 -30 60 N
-P 2 0 1 0 -40 50 -40 70 N
-X ~ 1 0 100 73 D 50 50 1 1 P
-X ~ 2 0 -100 73 U 50 50 1 1 P
-ENDDRAW
-ENDDEF
-#
-# Device_C_Small
-#
-DEF Device_C_Small C 0 10 N N 1 F N
-F0 "C" 10 70 50 H V L CNN
-F1 "Device_C_Small" 10 -80 50 H V L CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-$FPLIST
- C_*
-$ENDFPLIST
-DRAW
-P 2 0 1 13 -60 -20 60 -20 N
-P 2 0 1 12 -60 20 60 20 N
-X ~ 1 0 100 80 D 50 50 1 1 P
-X ~ 2 0 -100 80 U 50 50 1 1 P
-ENDDRAW
-ENDDEF
-#
-# Device_Crystal_GND24_Small
-#
-DEF Device_Crystal_GND24_Small Y 0 40 Y N 1 F N
-F0 "Y" 50 175 50 H V L CNN
-F1 "Device_Crystal_GND24_Small" 50 100 50 H V L CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-$FPLIST
- Crystal*
-$ENDFPLIST
-DRAW
-S -30 -60 30 60 0 1 0 N
-P 2 0 1 15 -50 -30 -50 30 N
-P 2 0 1 15 50 -30 50 30 N
-P 4 0 1 0 -50 -50 -50 -75 50 -75 50 -50 N
-P 4 0 1 0 -50 50 -50 75 50 75 50 50 N
-X 1 1 -100 0 50 R 50 50 1 1 P
-X 2 2 0 -125 50 U 50 50 1 1 P
-X 3 3 100 0 50 L 50 50 1 1 P
-X 4 4 0 125 50 D 50 50 1 1 P
-ENDDRAW
-ENDDEF
-#
-# Device_D_Schottky
-#
-DEF Device_D_Schottky D 0 40 N N 1 F N
-F0 "D" 0 100 50 H V C CNN
-F1 "Device_D_Schottky" 0 -100 50 H V C CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-$FPLIST
- TO-???*
- *_Diode_*
- *SingleDiode*
- D_*
-$ENDFPLIST
-DRAW
-P 2 0 1 0 50 0 -50 0 N
-P 4 0 1 8 50 50 50 -50 -50 0 50 50 N
-P 6 0 1 8 -75 25 -75 50 -50 50 -50 -50 -25 -50 -25 -25 N
-X K 1 -150 0 100 R 50 50 1 1 P
-X A 2 150 0 100 L 50 50 1 1 P
-ENDDRAW
-ENDDEF
-#
-# Device_D_Zener_Small_ALT
-#
-DEF Device_D_Zener_Small_ALT D 0 10 N N 1 F N
-F0 "D" 0 90 50 H V C CNN
-F1 "Device_D_Zener_Small_ALT" 0 -90 50 H V C CNN
-F2 "" 0 0 50 V I C CNN
-F3 "" 0 0 50 V I C CNN
-$FPLIST
- TO-???*
- *_Diode_*
- *SingleDiode*
- D_*
-$ENDFPLIST
-DRAW
-P 2 0 1 0 30 0 -30 0 N
-P 3 0 1 0 -10 40 -30 40 -30 -40 N
-P 4 0 1 0 30 40 -30 0 30 -40 30 40 F
-X K 1 -100 0 70 R 50 50 1 1 P
-X A 2 100 0 70 L 50 50 1 1 P
-ENDDRAW
-ENDDEF
-#
-# Device_R_Small
-#
-DEF Device_R_Small R 0 10 N N 1 F N
-F0 "R" 30 20 50 H V L CNN
-F1 "Device_R_Small" 30 -40 50 H V L CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-$FPLIST
- R_*
-$ENDFPLIST
-DRAW
-S -30 70 30 -70 0 1 8 N
-X ~ 1 0 100 30 D 50 50 1 1 P
-X ~ 2 0 -100 30 U 50 50 1 1 P
-ENDDRAW
-ENDDEF
-#
-# MCU_ST_STM32F0_STM32F030F4Px
-#
-DEF MCU_ST_STM32F0_STM32F030F4Px U 0 20 Y Y 1 F N
-F0 "U" -400 650 50 H V L CNN
-F1 "MCU_ST_STM32F0_STM32F030F4Px" 200 650 50 H V L CNN
-F2 "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm" -400 -700 50 H I R CNN
-F3 "" 0 0 50 H I C CNN
-$FPLIST
- TSSOP*4.4x6.5mm*P0.65mm*
-$ENDFPLIST
-DRAW
-S -400 -700 400 600 0 1 10 f
-X BOOT0 1 -500 300 100 R 50 50 1 1 I
-X PA4 10 500 100 100 L 50 50 1 1 B
-X PA5 11 500 0 100 L 50 50 1 1 B
-X PA6 12 500 -100 100 L 50 50 1 1 B
-X PA7 13 500 -200 100 L 50 50 1 1 B
-X PB1 14 -500 -600 100 R 50 50 1 1 B
-X VSS 15 0 -800 100 U 50 50 1 1 W
-X VDD 16 0 700 100 D 50 50 1 1 W
-X PA9 17 500 -300 100 L 50 50 1 1 B
-X PA10 18 500 -400 100 L 50 50 1 1 B
-X PA13 19 500 -500 100 L 50 50 1 1 B
-X PF0 2 -500 -300 100 R 50 50 1 1 I
-X PA14 20 500 -600 100 L 50 50 1 1 B
-X PF1 3 -500 -400 100 R 50 50 1 1 I
-X NRST 4 -500 500 100 R 50 50 1 1 I
-X VDDA 5 100 700 100 D 50 50 1 1 W
-X PA0 6 500 500 100 L 50 50 1 1 B
-X PA1 7 500 400 100 L 50 50 1 1 B
-X PA2 8 500 300 100 L 50 50 1 1 B
-X PA3 9 500 200 100 L 50 50 1 1 B
-ENDDRAW
-ENDDEF
-#
-# Regulator_Linear_AP1117-33
-#
-DEF Regulator_Linear_AP1117-33 U 0 10 Y Y 1 F N
-F0 "U" -150 125 50 H V C CNN
-F1 "Regulator_Linear_AP1117-33" 0 125 50 H V L CNN
-F2 "Package_TO_SOT_SMD:SOT-223-3_TabPin2" 0 200 50 H I C CNN
-F3 "" 100 -250 50 H I C CNN
-ALIAS AP1117-18 AP1117-25 AP1117-33 AP1117-50 LD1117S33TR_SOT223 LD1117S12TR_SOT223 LD1117S18TR_SOT223 LD1117S25TR_SOT223 LD1117S50TR_SOT223 NCP1117-12_SOT223 NCP1117-1.5_SOT223 NCP1117-1.8_SOT223 NCP1117-2.0_SOT223 NCP1117-2.5_SOT223 NCP1117-2.85_SOT223 NCP1117-3.3_SOT223 NCP1117-5.0_SOT223 AMS1117-1.5 AMS1117-1.8 AMS1117-2.5 AMS1117-2.85 AMS1117-3.3 AMS1117-5.0
-$FPLIST
- SOT?223*TabPin2*
-$ENDFPLIST
-DRAW
-S -200 -200 200 75 0 1 10 f
-X GND 1 0 -300 100 U 50 50 1 1 W
-X VO 2 300 0 100 L 50 50 1 1 w
-X VI 3 -300 0 100 R 50 50 1 1 W
-ENDDRAW
-ENDDEF
-#
-# Transistor_FET_BSS83P
-#
-DEF Transistor_FET_BSS83P Q 0 0 Y N 1 F N
-F0 "Q" 200 75 50 H V L CNN
-F1 "Transistor_FET_BSS83P" 200 0 50 H V L CNN
-F2 "Package_TO_SOT_SMD:SOT-23" 200 -75 50 H I L CIN
-F3 "" 0 0 50 H I L CNN
-ALIAS VP0610T BSS84 NTR2101P BSS83P Si2319CDS IRLML6402
-$FPLIST
- SOT?23*
-$ENDFPLIST
-DRAW
-C 65 0 111 0 1 10 N
-C 100 -70 11 0 1 0 F
-C 100 70 11 0 1 0 F
-P 2 0 1 0 -100 0 10 0 N
-P 2 0 1 0 30 -70 100 -70 N
-P 2 0 1 10 30 -50 30 -90 N
-P 2 0 1 0 30 0 100 0 N
-P 2 0 1 10 30 20 30 -20 N
-P 2 0 1 0 30 70 100 70 N
-P 2 0 1 10 30 90 30 50 N
-P 2 0 1 0 100 -70 100 -100 N
-P 2 0 1 0 100 -70 100 0 N
-P 2 0 1 0 100 100 100 70 N
-P 3 0 1 10 10 75 10 -75 10 -75 N
-P 4 0 1 0 90 0 50 -15 50 15 90 0 F
-P 4 0 1 0 100 -70 130 -70 130 70 100 70 N
-P 4 0 1 0 110 -20 115 -15 145 -15 150 -10 N
-P 4 0 1 0 130 -15 115 10 145 10 130 -15 N
-X G 1 -200 0 100 R 50 50 1 1 I
-X S 2 100 -200 100 U 50 50 1 1 P
-X D 3 100 200 100 D 50 50 1 1 P
-ENDDRAW
-ENDDEF
-#
-# Transistor_FET_IRLML6402
-#
-DEF Transistor_FET_IRLML6402 Q 0 0 Y N 1 F N
-F0 "Q" 200 75 50 H V L CNN
-F1 "Transistor_FET_IRLML6402" 200 0 50 H V L CNN
-F2 "Package_TO_SOT_SMD:SOT-23" 200 -75 50 H I L CIN
-F3 "" 0 0 50 H I L CNN
-$FPLIST
- SOT?23*
-$ENDFPLIST
-DRAW
-C 65 0 111 0 1 10 N
-C 100 -70 11 0 1 0 F
-C 100 70 11 0 1 0 F
-P 2 0 1 0 -100 0 10 0 N
-P 2 0 1 0 30 -70 100 -70 N
-P 2 0 1 10 30 -50 30 -90 N
-P 2 0 1 0 30 0 100 0 N
-P 2 0 1 10 30 20 30 -20 N
-P 2 0 1 0 30 70 100 70 N
-P 2 0 1 10 30 90 30 50 N
-P 2 0 1 0 100 -70 100 -100 N
-P 2 0 1 0 100 -70 100 0 N
-P 2 0 1 0 100 100 100 70 N
-P 3 0 1 10 10 75 10 -75 10 -75 N
-P 4 0 1 0 90 0 50 -15 50 15 90 0 F
-P 4 0 1 0 100 -70 130 -70 130 70 100 70 N
-P 4 0 1 0 110 -20 115 -15 145 -15 150 -10 N
-P 4 0 1 0 130 -15 115 10 145 10 130 -15 N
-X G 1 -200 0 100 R 50 50 1 1 I
-X S 2 100 -200 100 U 50 50 1 1 P
-X D 3 100 200 100 D 50 50 1 1 P
-ENDDRAW
-ENDDEF
-#
-# power_+12V
-#
-DEF power_+12V #PWR 0 0 Y Y 1 F P
-F0 "#PWR" 0 -150 50 H I C CNN
-F1 "power_+12V" 0 140 50 H V C CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-DRAW
-P 2 0 1 0 -30 50 0 100 N
-P 2 0 1 0 0 0 0 100 N
-P 2 0 1 0 0 100 30 50 N
-X +12V 1 0 0 0 U 50 50 1 1 W N
-ENDDRAW
-ENDDEF
-#
-# power_+3.3V
-#
-DEF power_+3.3V #PWR 0 0 Y Y 1 F P
-F0 "#PWR" 0 -150 50 H I C CNN
-F1 "power_+3.3V" 0 140 50 H V C CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-ALIAS +3.3V
-DRAW
-P 2 0 1 0 -30 50 0 100 N
-P 2 0 1 0 0 0 0 100 N
-P 2 0 1 0 0 100 30 50 N
-X +3V3 1 0 0 0 U 50 50 1 1 W N
-ENDDRAW
-ENDDEF
-#
-# power_GND
-#
-DEF power_GND #PWR 0 0 Y Y 1 F P
-F0 "#PWR" 0 -250 50 H I C CNN
-F1 "power_GND" 0 -150 50 H V C CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-DRAW
-P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
-X GND 1 0 0 0 D 50 50 1 1 W N
-ENDDRAW
-ENDDEF
-#
-#End Library
+EESchema-LIBRARY Version 2.4
+#encoding utf-8
+#
+# center-rescue_+12V-power
+#
+DEF center-rescue_+12V-power #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -150 50 H I C CNN
+F1 "center-rescue_+12V-power" 0 140 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+P 2 0 1 0 -30 50 0 100 N
+P 2 0 1 0 0 0 0 100 N
+P 2 0 1 0 0 100 30 50 N
+X +12V 1 0 0 0 U 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+# center-rescue_+3.3V-power
+#
+DEF center-rescue_+3.3V-power #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -150 50 H I C CNN
+F1 "center-rescue_+3.3V-power" 0 140 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+P 2 0 1 0 -30 50 0 100 N
+P 2 0 1 0 0 0 0 100 N
+P 2 0 1 0 0 100 30 50 N
+X +3V3 1 0 0 0 U 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+# center-rescue_+VSW-power
+#
+DEF center-rescue_+VSW-power #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -150 50 H I C CNN
+F1 "center-rescue_+VSW-power" 0 140 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+P 2 0 1 0 -30 50 0 100 N
+P 2 0 1 0 0 0 0 100 N
+P 2 0 1 0 0 100 30 50 N
+X +VSW 1 0 0 0 U 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+# center-rescue_AP1117-33-Regulator_Linear
+#
+DEF center-rescue_AP1117-33-Regulator_Linear U 0 10 Y Y 1 F N
+F0 "U" -150 125 50 H V C CNN
+F1 "center-rescue_AP1117-33-Regulator_Linear" 0 125 50 H V L CNN
+F2 "Package_TO_SOT_SMD:SOT-223-3_TabPin2" 0 200 50 H I C CNN
+F3 "http://www.diodes.com/datasheets/AP1117.pdf" 100 -250 50 H I C CNN
+$FPLIST
+ SOT?223*TabPin2*
+$ENDFPLIST
+DRAW
+S -200 -200 200 75 0 1 10 f
+X GND 1 0 -300 100 U 50 50 1 1 W
+X VO 2 300 0 100 L 50 50 1 1 w
+X VI 3 -300 0 100 R 50 50 1 1 W
+X PAD 4 300 -100 100 L 50 50 1 1 w
+ENDDRAW
+ENDDEF
+#
+# center-rescue_BSS83P-Transistor_FET
+#
+DEF center-rescue_BSS83P-Transistor_FET Q 0 0 Y N 1 F N
+F0 "Q" 200 75 50 H V L CNN
+F1 "center-rescue_BSS83P-Transistor_FET" 200 0 50 H V L CNN
+F2 "Package_TO_SOT_SMD:SOT-23" 200 -75 50 H I L CIN
+F3 "" 0 0 50 H I L CNN
+$FPLIST
+ SOT?23*
+$ENDFPLIST
+DRAW
+C 65 0 111 0 1 10 N
+C 100 -70 11 0 1 0 F
+C 100 70 11 0 1 0 F
+P 2 0 1 0 -100 0 10 0 N
+P 2 0 1 0 30 -70 100 -70 N
+P 2 0 1 10 30 -50 30 -90 N
+P 2 0 1 0 30 0 100 0 N
+P 2 0 1 10 30 20 30 -20 N
+P 2 0 1 0 30 70 100 70 N
+P 2 0 1 10 30 90 30 50 N
+P 2 0 1 0 100 -70 100 -100 N
+P 2 0 1 0 100 -70 100 0 N
+P 2 0 1 0 100 100 100 70 N
+P 3 0 1 10 10 75 10 -75 10 -75 N
+P 4 0 1 0 90 0 50 -15 50 15 90 0 F
+P 4 0 1 0 100 -70 130 -70 130 70 100 70 N
+P 4 0 1 0 110 -20 115 -15 145 -15 150 -10 N
+P 4 0 1 0 130 -15 115 10 145 10 130 -15 N
+X G 1 -200 0 100 R 50 50 1 1 I
+X S 2 100 -200 100 U 50 50 1 1 P
+X D 3 100 200 100 D 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# center-rescue_CP_Small-Device
+#
+DEF center-rescue_CP_Small-Device C 0 10 N N 1 F N
+F0 "C" 10 70 50 H V L CNN
+F1 "center-rescue_CP_Small-Device" 10 -80 50 H V L CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ CP_*
+$ENDFPLIST
+DRAW
+S -60 -12 60 -27 0 1 0 F
+S -60 27 60 12 0 1 0 N
+P 2 0 1 0 -50 60 -30 60 N
+P 2 0 1 0 -40 50 -40 70 N
+X ~ 1 0 100 73 D 50 50 1 1 P
+X ~ 2 0 -100 73 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# center-rescue_C_Small-Device
+#
+DEF center-rescue_C_Small-Device C 0 10 N N 1 F N
+F0 "C" 10 70 50 H V L CNN
+F1 "center-rescue_C_Small-Device" 10 -80 50 H V L CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ C_*
+$ENDFPLIST
+DRAW
+P 2 0 1 13 -60 -20 60 -20 N
+P 2 0 1 12 -60 20 60 20 N
+X ~ 1 0 100 80 D 50 50 1 1 P
+X ~ 2 0 -100 80 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# center-rescue_Conn_01x02-Connector_Generic
+#
+DEF center-rescue_Conn_01x02-Connector_Generic J 0 40 Y N 1 F N
+F0 "J" 0 100 50 H V C CNN
+F1 "center-rescue_Conn_01x02-Connector_Generic" 0 -200 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ Connector*:*_1x??_*
+$ENDFPLIST
+DRAW
+S -50 -95 0 -105 1 1 6 N
+S -50 5 0 -5 1 1 6 N
+S -50 50 50 -150 1 1 10 f
+X Pin_1 1 -200 0 150 R 50 50 1 1 P
+X Pin_2 2 -200 -100 150 R 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# center-rescue_Conn_01x04-Connector_Generic
+#
+DEF center-rescue_Conn_01x04-Connector_Generic J 0 40 Y N 1 F N
+F0 "J" 0 200 50 H V C CNN
+F1 "center-rescue_Conn_01x04-Connector_Generic" 0 -300 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ Connector*:*_1x??_*
+$ENDFPLIST
+DRAW
+S -50 -195 0 -205 1 1 6 N
+S -50 -95 0 -105 1 1 6 N
+S -50 5 0 -5 1 1 6 N
+S -50 105 0 95 1 1 6 N
+S -50 150 50 -250 1 1 10 f
+X Pin_1 1 -200 100 150 R 50 50 1 1 P
+X Pin_2 2 -200 0 150 R 50 50 1 1 P
+X Pin_3 3 -200 -100 150 R 50 50 1 1 P
+X Pin_4 4 -200 -200 150 R 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# center-rescue_Crystal_GND24_Small-Device
+#
+DEF center-rescue_Crystal_GND24_Small-Device Y 0 40 Y N 1 F N
+F0 "Y" 50 175 50 H V L CNN
+F1 "center-rescue_Crystal_GND24_Small-Device" 50 100 50 H V L CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ Crystal*
+$ENDFPLIST
+DRAW
+S -30 -60 30 60 0 1 0 N
+P 2 0 1 15 -50 -30 -50 30 N
+P 2 0 1 15 50 -30 50 30 N
+P 4 0 1 0 -50 -50 -50 -75 50 -75 50 -50 N
+P 4 0 1 0 -50 50 -50 75 50 75 50 50 N
+X 1 1 -100 0 50 R 50 50 1 1 P
+X 2 2 0 -125 50 U 50 50 1 1 P
+X 3 3 100 0 50 L 50 50 1 1 P
+X 4 4 0 125 50 D 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# center-rescue_D_Schottky_ALT-Device
+#
+DEF center-rescue_D_Schottky_ALT-Device D 0 40 N N 1 F N
+F0 "D" 0 100 50 H V C CNN
+F1 "center-rescue_D_Schottky_ALT-Device" 0 -100 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ TO-???*
+ *_Diode_*
+ *SingleDiode*
+ D_*
+$ENDFPLIST
+DRAW
+P 2 0 1 0 50 0 -50 0 N
+P 4 0 1 8 50 50 50 -50 -50 0 50 50 F
+P 6 0 1 8 -75 25 -75 50 -50 50 -50 -50 -25 -50 -25 -25 N
+X K 1 -150 0 100 R 50 50 1 1 P
+X A 2 150 0 100 L 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# center-rescue_D_Zener_ALT-Device
+#
+DEF center-rescue_D_Zener_ALT-Device D 0 40 N N 1 F N
+F0 "D" 0 100 50 H V C CNN
+F1 "center-rescue_D_Zener_ALT-Device" 0 -100 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ TO-???*
+ *_Diode_*
+ *SingleDiode*
+ D_*
+$ENDFPLIST
+DRAW
+P 2 0 1 0 50 0 -50 0 N
+P 3 0 1 8 -50 -50 -50 50 -30 50 N
+P 4 0 1 8 50 -50 50 50 -50 0 50 -50 F
+X K 1 -150 0 100 R 50 50 1 1 P
+X A 2 150 0 100 L 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# center-rescue_GND-power
+#
+DEF center-rescue_GND-power #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -250 50 H I C CNN
+F1 "center-rescue_GND-power" 0 -150 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
+X GND 1 0 0 0 D 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+# center-rescue_L-Device
+#
+DEF center-rescue_L-Device L 0 40 N N 1 F N
+F0 "L" -50 0 50 V V C CNN
+F1 "center-rescue_L-Device" 75 0 50 V V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ Choke_*
+ *Coil*
+ Inductor_*
+ L_*
+$ENDFPLIST
+DRAW
+A 0 -75 25 -899 899 0 1 0 N 0 -100 0 -50
+A 0 -25 25 -899 899 0 1 0 N 0 -50 0 0
+A 0 25 25 -899 899 0 1 0 N 0 0 0 50
+A 0 75 25 -899 899 0 1 0 N 0 50 0 100
+X 1 1 0 150 50 D 50 50 1 1 P
+X 2 2 0 -150 50 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# center-rescue_LED_ALT-Device
+#
+DEF center-rescue_LED_ALT-Device D 0 40 N N 1 F N
+F0 "D" 0 100 50 H V C CNN
+F1 "center-rescue_LED_ALT-Device" 0 -100 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ LED*
+ LED_SMD:*
+ LED_THT:*
+$ENDFPLIST
+DRAW
+P 2 0 1 8 -50 -50 -50 50 N
+P 2 0 1 0 -50 0 50 0 N
+P 4 0 1 8 50 -50 50 50 -50 0 50 -50 F
+P 5 0 1 0 -120 -30 -180 -90 -150 -90 -180 -90 -180 -60 N
+P 5 0 1 0 -70 -30 -130 -90 -100 -90 -130 -90 -130 -60 N
+X K 1 -150 0 100 R 50 50 1 1 P
+X A 2 150 0 100 L 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# center-rescue_R-Device
+#
+DEF center-rescue_R-Device R 0 0 N Y 1 F N
+F0 "R" 80 0 50 V V C CNN
+F1 "center-rescue_R-Device" 0 0 50 V V C CNN
+F2 "" -70 0 50 V I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ R_*
+$ENDFPLIST
+DRAW
+S -40 -100 40 100 0 1 10 N
+X ~ 1 0 150 50 D 50 50 1 1 P
+X ~ 2 0 -150 50 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# center-rescue_R_Small-Device
+#
+DEF center-rescue_R_Small-Device R 0 10 N N 1 F N
+F0 "R" 30 20 50 H V L CNN
+F1 "center-rescue_R_Small-Device" 30 -40 50 H V L CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ R_*
+$ENDFPLIST
+DRAW
+S -30 70 30 -70 0 1 8 N
+X ~ 1 0 100 30 D 50 50 1 1 P
+X ~ 2 0 -100 30 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# center-rescue_STM32F030F4Px-MCU_ST_STM32F0
+#
+DEF center-rescue_STM32F030F4Px-MCU_ST_STM32F0 U 0 20 Y Y 1 F N
+F0 "U" -400 650 50 H V L CNN
+F1 "center-rescue_STM32F030F4Px-MCU_ST_STM32F0" 200 650 50 H V L CNN
+F2 "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm" -400 -700 50 H I R CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ TSSOP*4.4x6.5mm*P0.65mm*
+$ENDFPLIST
+DRAW
+S -400 -700 400 600 0 1 10 f
+X BOOT0 1 -500 300 100 R 50 50 1 1 I
+X PA4 10 500 100 100 L 50 50 1 1 B
+X PA5 11 500 0 100 L 50 50 1 1 B
+X PA6 12 500 -100 100 L 50 50 1 1 B
+X PA7 13 500 -200 100 L 50 50 1 1 B
+X PB1 14 -500 -600 100 R 50 50 1 1 B
+X VSS 15 0 -800 100 U 50 50 1 1 W
+X VDD 16 0 700 100 D 50 50 1 1 W
+X PA9 17 500 -300 100 L 50 50 1 1 B
+X PA10 18 500 -400 100 L 50 50 1 1 B
+X PA13 19 500 -500 100 L 50 50 1 1 B
+X PF0 2 -500 -300 100 R 50 50 1 1 I
+X PA14 20 500 -600 100 L 50 50 1 1 B
+X PF1 3 -500 -400 100 R 50 50 1 1 I
+X NRST 4 -500 500 100 R 50 50 1 1 I
+X VDDA 5 100 700 100 D 50 50 1 1 W
+X PA0 6 500 500 100 L 50 50 1 1 B
+X PA1 7 500 400 100 L 50 50 1 1 B
+X PA2 8 500 300 100 L 50 50 1 1 B
+X PA3 9 500 200 100 L 50 50 1 1 B
+ENDDRAW
+ENDDEF
+#
+# center-rescue_TestPoint-Connector
+#
+DEF center-rescue_TestPoint-Connector TP 0 30 N N 1 F N
+F0 "TP" 0 270 50 H V C CNN
+F1 "center-rescue_TestPoint-Connector" 0 200 50 H V C CNN
+F2 "" 200 0 50 H I C CNN
+F3 "" 200 0 50 H I C CNN
+$FPLIST
+ Pin*
+ Test*
+$ENDFPLIST
+DRAW
+C 0 130 30 0 1 0 N
+X 1 1 0 0 100 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# center-rescue_bom_item-4xxx
+#
+DEF center-rescue_bom_item-4xxx E 0 40 Y Y 1 F N
+F0 "E" -100 0 50 H V L CNN
+F1 "center-rescue_bom_item-4xxx" 50 0 50 H V L CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+C -120 0 10 0 1 0 F
+ENDDRAW
+ENDDEF
+#
+# components_TX4138
+#
+DEF components_TX4138 U 0 40 Y Y 1 F N
+F0 "U" 0 0 50 H V C CNN
+F1 "components_TX4138" 0 300 50 V V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+S -300 -400 300 600 0 1 0 f
+X SW 1 500 300 200 L 50 50 1 1 I
+X ILIM 2 -500 300 200 R 50 50 1 1 I
+X VIN 3 -500 500 200 R 50 50 1 1 I
+X GND 4 0 -600 200 U 50 50 1 1 I
+X FB 5 500 100 200 L 50 50 1 1 I
+X EN 6 -500 -100 200 R 50 50 1 1 I
+X POK 7 500 -100 200 L 50 50 1 1 I
+X BS 8 500 500 200 L 50 50 1 1 I
+X ILIM 9 -500 200 200 R 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+#End Library
diff --git a/center/center-rescue.dcm b/center/center-rescue.dcm
new file mode 100644
index 0000000..5dd71cb
--- /dev/null
+++ b/center/center-rescue.dcm
@@ -0,0 +1,3 @@
+EESchema-DOCLIB Version 2.0
+#
+#End Doc Library
diff --git a/center/center-rescue.lib b/center/center-rescue.lib
new file mode 100644
index 0000000..c808937
--- /dev/null
+++ b/center/center-rescue.lib
@@ -0,0 +1,407 @@
+EESchema-LIBRARY Version 2.4
+#encoding utf-8
+#
+# +12V-power
+#
+DEF +12V-power #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -150 50 H I C CNN
+F1 "+12V-power" 0 140 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+P 2 0 1 0 -30 50 0 100 N
+P 2 0 1 0 0 0 0 100 N
+P 2 0 1 0 0 100 30 50 N
+X +12V 1 0 0 0 U 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+# +3.3V-power
+#
+DEF +3.3V-power #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -150 50 H I C CNN
+F1 "+3.3V-power" 0 140 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+P 2 0 1 0 -30 50 0 100 N
+P 2 0 1 0 0 0 0 100 N
+P 2 0 1 0 0 100 30 50 N
+X +3V3 1 0 0 0 U 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+# +VSW-power
+#
+DEF +VSW-power #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -150 50 H I C CNN
+F1 "+VSW-power" 0 140 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+P 2 0 1 0 -30 50 0 100 N
+P 2 0 1 0 0 0 0 100 N
+P 2 0 1 0 0 100 30 50 N
+X +VSW 1 0 0 0 U 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+# AP1117-33-Regulator_Linear
+#
+DEF AP1117-33-Regulator_Linear U 0 10 Y Y 1 F N
+F0 "U" -150 125 50 H V C CNN
+F1 "AP1117-33-Regulator_Linear" 0 125 50 H V L CNN
+F2 "Package_TO_SOT_SMD:SOT-223-3_TabPin2" 0 200 50 H I C CNN
+F3 "http://www.diodes.com/datasheets/AP1117.pdf" 100 -250 50 H I C CNN
+$FPLIST
+ SOT?223*TabPin2*
+$ENDFPLIST
+DRAW
+S -200 -200 200 75 0 1 10 f
+X GND 1 0 -300 100 U 50 50 1 1 W
+X VO 2 300 0 100 L 50 50 1 1 w
+X VI 3 -300 0 100 R 50 50 1 1 W
+X PAD 4 300 -100 100 L 50 50 1 1 w
+ENDDRAW
+ENDDEF
+#
+# BSS83P-Transistor_FET
+#
+DEF BSS83P-Transistor_FET Q 0 0 Y N 1 F N
+F0 "Q" 200 75 50 H V L CNN
+F1 "BSS83P-Transistor_FET" 200 0 50 H V L CNN
+F2 "Package_TO_SOT_SMD:SOT-23" 200 -75 50 H I L CIN
+F3 "" 0 0 50 H I L CNN
+$FPLIST
+ SOT?23*
+$ENDFPLIST
+DRAW
+C 65 0 111 0 1 10 N
+C 100 -70 11 0 1 0 F
+C 100 70 11 0 1 0 F
+P 2 0 1 0 -100 0 10 0 N
+P 2 0 1 0 30 -70 100 -70 N
+P 2 0 1 10 30 -50 30 -90 N
+P 2 0 1 0 30 0 100 0 N
+P 2 0 1 10 30 20 30 -20 N
+P 2 0 1 0 30 70 100 70 N
+P 2 0 1 10 30 90 30 50 N
+P 2 0 1 0 100 -70 100 -100 N
+P 2 0 1 0 100 -70 100 0 N
+P 2 0 1 0 100 100 100 70 N
+P 3 0 1 10 10 75 10 -75 10 -75 N
+P 4 0 1 0 90 0 50 -15 50 15 90 0 F
+P 4 0 1 0 100 -70 130 -70 130 70 100 70 N
+P 4 0 1 0 110 -20 115 -15 145 -15 150 -10 N
+P 4 0 1 0 130 -15 115 10 145 10 130 -15 N
+X G 1 -200 0 100 R 50 50 1 1 I
+X S 2 100 -200 100 U 50 50 1 1 P
+X D 3 100 200 100 D 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# CP_Small-Device
+#
+DEF CP_Small-Device C 0 10 N N 1 F N
+F0 "C" 10 70 50 H V L CNN
+F1 "CP_Small-Device" 10 -80 50 H V L CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ CP_*
+$ENDFPLIST
+DRAW
+S -60 -12 60 -27 0 1 0 F
+S -60 27 60 12 0 1 0 N
+P 2 0 1 0 -50 60 -30 60 N
+P 2 0 1 0 -40 50 -40 70 N
+X ~ 1 0 100 73 D 50 50 1 1 P
+X ~ 2 0 -100 73 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# C_Small-Device
+#
+DEF C_Small-Device C 0 10 N N 1 F N
+F0 "C" 10 70 50 H V L CNN
+F1 "C_Small-Device" 10 -80 50 H V L CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ C_*
+$ENDFPLIST
+DRAW
+P 2 0 1 13 -60 -20 60 -20 N
+P 2 0 1 12 -60 20 60 20 N
+X ~ 1 0 100 80 D 50 50 1 1 P
+X ~ 2 0 -100 80 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# Conn_01x02-Connector_Generic
+#
+DEF Conn_01x02-Connector_Generic J 0 40 Y N 1 F N
+F0 "J" 0 100 50 H V C CNN
+F1 "Conn_01x02-Connector_Generic" 0 -200 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ Connector*:*_1x??_*
+$ENDFPLIST
+DRAW
+S -50 -95 0 -105 1 1 6 N
+S -50 5 0 -5 1 1 6 N
+S -50 50 50 -150 1 1 10 f
+X Pin_1 1 -200 0 150 R 50 50 1 1 P
+X Pin_2 2 -200 -100 150 R 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# Conn_01x04-Connector_Generic
+#
+DEF Conn_01x04-Connector_Generic J 0 40 Y N 1 F N
+F0 "J" 0 200 50 H V C CNN
+F1 "Conn_01x04-Connector_Generic" 0 -300 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ Connector*:*_1x??_*
+$ENDFPLIST
+DRAW
+S -50 -195 0 -205 1 1 6 N
+S -50 -95 0 -105 1 1 6 N
+S -50 5 0 -5 1 1 6 N
+S -50 105 0 95 1 1 6 N
+S -50 150 50 -250 1 1 10 f
+X Pin_1 1 -200 100 150 R 50 50 1 1 P
+X Pin_2 2 -200 0 150 R 50 50 1 1 P
+X Pin_3 3 -200 -100 150 R 50 50 1 1 P
+X Pin_4 4 -200 -200 150 R 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# Crystal_GND24_Small-Device
+#
+DEF Crystal_GND24_Small-Device Y 0 40 Y N 1 F N
+F0 "Y" 50 175 50 H V L CNN
+F1 "Crystal_GND24_Small-Device" 50 100 50 H V L CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ Crystal*
+$ENDFPLIST
+DRAW
+S -30 -60 30 60 0 1 0 N
+P 2 0 1 15 -50 -30 -50 30 N
+P 2 0 1 15 50 -30 50 30 N
+P 4 0 1 0 -50 -50 -50 -75 50 -75 50 -50 N
+P 4 0 1 0 -50 50 -50 75 50 75 50 50 N
+X 1 1 -100 0 50 R 50 50 1 1 P
+X 2 2 0 -125 50 U 50 50 1 1 P
+X 3 3 100 0 50 L 50 50 1 1 P
+X 4 4 0 125 50 D 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# D_Schottky_ALT-Device
+#
+DEF D_Schottky_ALT-Device D 0 40 N N 1 F N
+F0 "D" 0 100 50 H V C CNN
+F1 "D_Schottky_ALT-Device" 0 -100 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ TO-???*
+ *_Diode_*
+ *SingleDiode*
+ D_*
+$ENDFPLIST
+DRAW
+P 2 0 1 0 50 0 -50 0 N
+P 4 0 1 8 50 50 50 -50 -50 0 50 50 F
+P 6 0 1 8 -75 25 -75 50 -50 50 -50 -50 -25 -50 -25 -25 N
+X K 1 -150 0 100 R 50 50 1 1 P
+X A 2 150 0 100 L 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# D_Zener_ALT-Device
+#
+DEF D_Zener_ALT-Device D 0 40 N N 1 F N
+F0 "D" 0 100 50 H V C CNN
+F1 "D_Zener_ALT-Device" 0 -100 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ TO-???*
+ *_Diode_*
+ *SingleDiode*
+ D_*
+$ENDFPLIST
+DRAW
+P 2 0 1 0 50 0 -50 0 N
+P 3 0 1 8 -50 -50 -50 50 -30 50 N
+P 4 0 1 8 50 -50 50 50 -50 0 50 -50 F
+X K 1 -150 0 100 R 50 50 1 1 P
+X A 2 150 0 100 L 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# GND-power
+#
+DEF GND-power #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -250 50 H I C CNN
+F1 "GND-power" 0 -150 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
+X GND 1 0 0 0 D 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+# L-Device
+#
+DEF L-Device L 0 40 N N 1 F N
+F0 "L" -50 0 50 V V C CNN
+F1 "L-Device" 75 0 50 V V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ Choke_*
+ *Coil*
+ Inductor_*
+ L_*
+$ENDFPLIST
+DRAW
+A 0 -75 25 -899 899 0 1 0 N 0 -100 0 -50
+A 0 -25 25 -899 899 0 1 0 N 0 -50 0 0
+A 0 25 25 -899 899 0 1 0 N 0 0 0 50
+A 0 75 25 -899 899 0 1 0 N 0 50 0 100
+X 1 1 0 150 50 D 50 50 1 1 P
+X 2 2 0 -150 50 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# LED_ALT-Device
+#
+DEF LED_ALT-Device D 0 40 N N 1 F N
+F0 "D" 0 100 50 H V C CNN
+F1 "LED_ALT-Device" 0 -100 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ LED*
+ LED_SMD:*
+ LED_THT:*
+$ENDFPLIST
+DRAW
+P 2 0 1 8 -50 -50 -50 50 N
+P 2 0 1 0 -50 0 50 0 N
+P 4 0 1 8 50 -50 50 50 -50 0 50 -50 F
+P 5 0 1 0 -120 -30 -180 -90 -150 -90 -180 -90 -180 -60 N
+P 5 0 1 0 -70 -30 -130 -90 -100 -90 -130 -90 -130 -60 N
+X K 1 -150 0 100 R 50 50 1 1 P
+X A 2 150 0 100 L 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# R-Device
+#
+DEF R-Device R 0 0 N Y 1 F N
+F0 "R" 80 0 50 V V C CNN
+F1 "R-Device" 0 0 50 V V C CNN
+F2 "" -70 0 50 V I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ R_*
+$ENDFPLIST
+DRAW
+S -40 -100 40 100 0 1 10 N
+X ~ 1 0 150 50 D 50 50 1 1 P
+X ~ 2 0 -150 50 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# R_Small-Device
+#
+DEF R_Small-Device R 0 10 N N 1 F N
+F0 "R" 30 20 50 H V L CNN
+F1 "R_Small-Device" 30 -40 50 H V L CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ R_*
+$ENDFPLIST
+DRAW
+S -30 70 30 -70 0 1 8 N
+X ~ 1 0 100 30 D 50 50 1 1 P
+X ~ 2 0 -100 30 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# STM32F030F4Px-MCU_ST_STM32F0
+#
+DEF STM32F030F4Px-MCU_ST_STM32F0 U 0 20 Y Y 1 F N
+F0 "U" -400 650 50 H V L CNN
+F1 "STM32F030F4Px-MCU_ST_STM32F0" 200 650 50 H V L CNN
+F2 "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm" -400 -700 50 H I R CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ TSSOP*4.4x6.5mm*P0.65mm*
+$ENDFPLIST
+DRAW
+S -400 -700 400 600 0 1 10 f
+X BOOT0 1 -500 300 100 R 50 50 1 1 I
+X PA4 10 500 100 100 L 50 50 1 1 B
+X PA5 11 500 0 100 L 50 50 1 1 B
+X PA6 12 500 -100 100 L 50 50 1 1 B
+X PA7 13 500 -200 100 L 50 50 1 1 B
+X PB1 14 -500 -600 100 R 50 50 1 1 B
+X VSS 15 0 -800 100 U 50 50 1 1 W
+X VDD 16 0 700 100 D 50 50 1 1 W
+X PA9 17 500 -300 100 L 50 50 1 1 B
+X PA10 18 500 -400 100 L 50 50 1 1 B
+X PA13 19 500 -500 100 L 50 50 1 1 B
+X PF0 2 -500 -300 100 R 50 50 1 1 I
+X PA14 20 500 -600 100 L 50 50 1 1 B
+X PF1 3 -500 -400 100 R 50 50 1 1 I
+X NRST 4 -500 500 100 R 50 50 1 1 I
+X VDDA 5 100 700 100 D 50 50 1 1 W
+X PA0 6 500 500 100 L 50 50 1 1 B
+X PA1 7 500 400 100 L 50 50 1 1 B
+X PA2 8 500 300 100 L 50 50 1 1 B
+X PA3 9 500 200 100 L 50 50 1 1 B
+ENDDRAW
+ENDDEF
+#
+# TestPoint-Connector
+#
+DEF TestPoint-Connector TP 0 30 N N 1 F N
+F0 "TP" 0 270 50 H V C CNN
+F1 "TestPoint-Connector" 0 200 50 H V C CNN
+F2 "" 200 0 50 H I C CNN
+F3 "" 200 0 50 H I C CNN
+$FPLIST
+ Pin*
+ Test*
+$ENDFPLIST
+DRAW
+C 0 130 30 0 1 0 N
+X 1 1 0 0 100 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# bom_item-4xxx
+#
+DEF bom_item-4xxx E 0 40 Y Y 1 F N
+F0 "E" -100 0 50 H V L CNN
+F1 "bom_item-4xxx" 50 0 50 H V L CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+C -120 0 10 0 1 0 F
+ENDDRAW
+ENDDEF
+#
+#End Library
diff --git a/center/center.kicad_pcb b/center/center.kicad_pcb
index 6051fe3..8915f80 100644
--- a/center/center.kicad_pcb
+++ b/center/center.kicad_pcb
@@ -1,54 +1,54 @@
-(kicad_pcb (version 20171130) (host pcbnew "(5.0.1)")
+(kicad_pcb (version 20190605) (host pcbnew "(5.99.0-52-gefbc802f4)")
(general
(thickness 1.6)
- (drawings 102)
- (tracks 438)
- (zones 0)
- (modules 52)
- (nets 35)
+ (drawings 113)
+ (tracks 408)
+ (modules 56)
+ (nets 43)
)
- (page A4)
+ (page "A4")
(layers
- (0 F.Cu signal)
- (31 B.Cu signal)
- (32 B.Adhes user)
- (33 F.Adhes user)
- (34 B.Paste user)
- (35 F.Paste user)
- (36 B.SilkS user)
- (37 F.SilkS user)
- (38 B.Mask user)
- (39 F.Mask user)
- (40 Dwgs.User user)
- (41 Cmts.User user)
- (42 Eco1.User user)
- (43 Eco2.User user)
- (44 Edge.Cuts user)
- (45 Margin user)
- (46 B.CrtYd user)
- (47 F.CrtYd user)
- (48 B.Fab user)
- (49 F.Fab user)
+ (0 "F.Cu" signal)
+ (31 "B.Cu" signal)
+ (32 "B.Adhes" user)
+ (33 "F.Adhes" user)
+ (34 "B.Paste" user)
+ (35 "F.Paste" user)
+ (36 "B.SilkS" user)
+ (37 "F.SilkS" user)
+ (38 "B.Mask" user)
+ (39 "F.Mask" user)
+ (40 "Dwgs.User" user)
+ (41 "Cmts.User" user)
+ (42 "Eco1.User" user)
+ (43 "Eco2.User" user)
+ (44 "Edge.Cuts" user)
+ (45 "Margin" user)
+ (46 "B.CrtYd" user)
+ (47 "F.CrtYd" user)
+ (48 "B.Fab" user)
+ (49 "F.Fab" user)
)
(setup
- (last_trace_width 0.8)
+ (last_trace_width 0.5)
(user_trace_width 0.1)
(user_trace_width 0.15)
(user_trace_width 0.2)
(user_trace_width 0.3)
+ (user_trace_width 0.4)
(user_trace_width 0.5)
(user_trace_width 0.8)
(user_trace_width 1.2)
+ (user_trace_width 1.5)
+ (user_trace_width 1.8)
(user_trace_width 2)
(trace_clearance 0.2)
(zone_clearance 0.15)
(zone_45_only no)
(trace_min 0.1)
- (segment_width 0.15)
- (edge_width 0.15)
(via_size 0.8)
(via_drill 0.4)
(via_min_size 0.4)
@@ -64,17 +64,25 @@
(uvias_allowed no)
(uvia_min_size 0.2)
(uvia_min_drill 0.1)
- (pcb_text_width 0.3)
- (pcb_text_size 1.5 1.5)
- (mod_edge_width 0.15)
- (mod_text_size 1 1)
- (mod_text_width 0.15)
+ (max_error 0.005)
+ (defaults
+ (edge_clearance 0.01)
+ (edge_cuts_line_width 0.15)
+ (courtyard_line_width 0.05)
+ (copper_line_width 0.15)
+ (copper_text_dims (size 1.5 1.5) (thickness 0.3) keep_upright)
+ (silk_line_width 0.15)
+ (silk_text_dims (size 1 1) (thickness 0.15) keep_upright)
+ (other_layers_line_width 0.1)
+ (other_layers_text_dims (size 1 1) (thickness 0.15) keep_upright)
+ )
(pad_size 1.524 1.524)
(pad_drill 0.762)
(pad_to_mask_clearance 0.051)
(solder_mask_min_width 0.25)
(aux_axis_origin 0 0)
- (visible_elements FFFFFFFF)
+ (grid_origin 174.95 76.975)
+ (visible_elements FFFFF7FF)
(pcbplotparams
(layerselection 0x010fc_ffffffff)
(usegerberextensions false)
@@ -105,3963 +113,4307 @@
)
(net 0 "")
- (net 1 +12V)
- (net 2 GND)
- (net 3 +3V3)
- (net 4 "Net-(C7-Pad2)")
- (net 5 "Net-(C8-Pad1)")
- (net 6 "Net-(C9-Pad1)")
- (net 7 "Net-(C10-Pad1)")
- (net 8 "Net-(C11-Pad2)")
- (net 9 "Net-(C12-Pad1)")
- (net 10 "Net-(C13-Pad1)")
- (net 11 "Net-(D1-Pad2)")
- (net 12 "Net-(D2-Pad2)")
- (net 13 /Vmeas_A)
- (net 14 /Vmeas_B)
- (net 15 "Net-(D7-Pad1)")
- (net 16 /CH2)
- (net 17 /CH3)
- (net 18 "Net-(D8-Pad1)")
- (net 19 /Q2)
- (net 20 /Q0)
- (net 21 /Q3)
- (net 22 /Q1)
- (net 23 /SWCLK)
- (net 24 /SWDIO)
- (net 25 "Net-(R5-Pad1)")
- (net 26 /CH0)
- (net 27 "Net-(R8-Pad1)")
- (net 28 /LOAD)
- (net 29 /CH1)
- (net 30 "Net-(TP1-Pad1)")
- (net 31 "Net-(TP2-Pad1)")
- (net 32 "Net-(TP3-Pad1)")
- (net 33 "Net-(TP4-Pad1)")
- (net 34 "Net-(Q3-Pad3)")
-
- (net_class Default "This is the default net class."
+ (net 1 "+12V")
+ (net 2 "GND")
+ (net 3 "+3V3")
+ (net 4 "Net-(C12-Pad1)")
+ (net 5 "Net-(D1-Pad2)")
+ (net 6 "Net-(D2-Pad2)")
+ (net 7 "/Vmeas_A")
+ (net 8 "/Vmeas_B")
+ (net 9 "Net-(D7-Pad1)")
+ (net 10 "/CH2")
+ (net 11 "/CH3")
+ (net 12 "/Q2")
+ (net 13 "/Q0")
+ (net 14 "/Q3")
+ (net 15 "/Q1")
+ (net 16 "/SWCLK")
+ (net 17 "/SWDIO")
+ (net 18 "/CH0")
+ (net 19 "Net-(R8-Pad1)")
+ (net 20 "/LOAD")
+ (net 21 "/CH1")
+ (net 22 "Net-(TP1-Pad1)")
+ (net 23 "Net-(TP2-Pad1)")
+ (net 24 "Net-(TP3-Pad1)")
+ (net 25 "Net-(TP4-Pad1)")
+ (net 26 "+VSW")
+ (net 27 "Net-(C11-Pad1)")
+ (net 28 "Net-(D7-Pad2)")
+ (net 29 "Net-(U2-Pad7)")
+ (net 30 "Net-(U2-Pad6)")
+ (net 31 "/ILIM")
+ (net 32 "/PAD")
+ (net 33 "Net-(C3-Pad2)")
+ (net 34 "Net-(C3-Pad1)")
+ (net 35 "Net-(C5-Pad1)")
+ (net 36 "Net-(Q1-Pad1)")
+ (net 37 "Net-(Q2-Pad1)")
+ (net 38 "Net-(Q4-Pad1)")
+ (net 39 "Net-(Q5-Pad1)")
+ (net 40 "Net-(R2-Pad2)")
+ (net 41 "Net-(R7-Pad1)")
+ (net 42 "Net-(D8-Pad2)")
+
+ (net_class "Default" "This is the default net class."
(clearance 0.2)
(trace_width 0.25)
(via_dia 0.8)
(via_drill 0.4)
(uvia_dia 0.3)
(uvia_drill 0.1)
- (add_net +12V)
- (add_net +3V3)
- (add_net /CH0)
- (add_net /CH1)
- (add_net /CH2)
- (add_net /CH3)
- (add_net /LOAD)
- (add_net /Q0)
- (add_net /Q1)
- (add_net /Q2)
- (add_net /Q3)
- (add_net /SWCLK)
- (add_net /SWDIO)
- (add_net /Vmeas_A)
- (add_net /Vmeas_B)
- (add_net GND)
- (add_net "Net-(C10-Pad1)")
- (add_net "Net-(C11-Pad2)")
+ (add_net "+12V")
+ (add_net "+3V3")
+ (add_net "+VSW")
+ (add_net "/CH0")
+ (add_net "/CH1")
+ (add_net "/CH2")
+ (add_net "/CH3")
+ (add_net "/ILIM")
+ (add_net "/LOAD")
+ (add_net "/PAD")
+ (add_net "/Q0")
+ (add_net "/Q1")
+ (add_net "/Q2")
+ (add_net "/Q3")
+ (add_net "/SWCLK")
+ (add_net "/SWDIO")
+ (add_net "/Vmeas_A")
+ (add_net "/Vmeas_B")
+ (add_net "GND")
+ (add_net "Net-(C11-Pad1)")
(add_net "Net-(C12-Pad1)")
- (add_net "Net-(C13-Pad1)")
- (add_net "Net-(C7-Pad2)")
- (add_net "Net-(C8-Pad1)")
- (add_net "Net-(C9-Pad1)")
+ (add_net "Net-(C3-Pad1)")
+ (add_net "Net-(C3-Pad2)")
+ (add_net "Net-(C5-Pad1)")
(add_net "Net-(D1-Pad2)")
(add_net "Net-(D2-Pad2)")
(add_net "Net-(D7-Pad1)")
- (add_net "Net-(D8-Pad1)")
- (add_net "Net-(Q3-Pad3)")
- (add_net "Net-(R5-Pad1)")
+ (add_net "Net-(D7-Pad2)")
+ (add_net "Net-(D8-Pad2)")
+ (add_net "Net-(Q1-Pad1)")
+ (add_net "Net-(Q2-Pad1)")
+ (add_net "Net-(Q4-Pad1)")
+ (add_net "Net-(Q5-Pad1)")
+ (add_net "Net-(R2-Pad2)")
+ (add_net "Net-(R7-Pad1)")
(add_net "Net-(R8-Pad1)")
(add_net "Net-(TP1-Pad1)")
(add_net "Net-(TP2-Pad1)")
(add_net "Net-(TP3-Pad1)")
(add_net "Net-(TP4-Pad1)")
+ (add_net "Net-(U2-Pad6)")
+ (add_net "Net-(U2-Pad7)")
)
- (module TO_SOT_Packages_SMD:SOT-23 (layer F.Cu) (tedit 58CE4E7E) (tstamp 5C29A060)
- (at 119.1 102.3 90)
- (descr "SOT-23, Standard")
- (tags SOT-23)
- (path /5C57CF20)
+ (module "LED_SMD:LED_PLCC_2835_Handsoldering" (layer "F.Cu") (tedit 5C65228D) (tstamp 5DF50ED7)
+ (at 152.35 100.775 270)
+ (descr "https://www.luckylight.cn/media/component/data-sheet/R2835BC-B2M-M10.pdf")
+ (tags "LED")
+ (path "/5DF69147")
(attr smd)
- (fp_text reference Q3 (at 2 -1.31 90) (layer F.SilkS)
+ (fp_text reference "D8" (at 0 -2.4 90) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value AO3400 (at 0 2.5 90) (layer F.Fab)
+ (fp_text value "LED_ALT" (at 0 2.475 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text user %R (at 0.25 -0.25 270) (layer F.Fab)
- (effects (font (size 0.5 0.5) (thickness 0.075)))
- )
- (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer F.Fab) (width 0.1))
- (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer F.Fab) (width 0.1))
- (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer F.Fab) (width 0.1))
- (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
- (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
- (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer F.SilkS) (width 0.12))
- (pad 1 smd rect (at -1 -0.95 90) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 9 "Net-(C12-Pad1)"))
- (pad 2 smd rect (at -1 0.95 90) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 3 smd rect (at 1 0 90) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 34 "Net-(Q3-Pad3)"))
- (model ${KISYS3DMOD}/TO_SOT_Packages_SMD.3dshapes/SOT-23.wrl
+ (fp_line (start 2.55 -1.65) (end 2.55 1.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -2.55 -1.65) (end 2.55 -1.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -2.55 1.65) (end -2.55 -1.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 2.55 1.65) (end -2.55 1.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.4 -1.6) (end -2.5 -1.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.4 1.6) (end -2.5 1.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.75 -1.4) (end 1.75 1.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -1.05 -1.4) (end 1.75 -1.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -1.75 1.4) (end -1.75 -0.7) (layer "F.Fab") (width 0.1))
+ (fp_line (start 1.75 1.4) (end -1.75 1.4) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.9 0.9) (thickness 0.135)))
+ )
+ (fp_line (start -2.5 -1.6) (end -2.5 1.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.05 -1.4) (end -1.75 -0.7) (layer "F.Fab") (width 0.1))
+ (pad "2" smd rect (at 1.525 0 270) (size 1.55 2.2) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 42 "Net-(D8-Pad2)"))
+ (pad "1" smd rect (at -1.05 0 270) (size 2.5 2.2) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 28 "Net-(D7-Pad2)"))
+ (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_PLCC_2835.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5C21D147)
- (at 100.4 119.2 180)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path /5C1AAFA9)
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5DF3CB45)
+ (at 161.95 91.375 90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path "/5DF76EB9")
(attr smd)
- (fp_text reference C2 (at 0 -1.25 180) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 10u (at 0 1.5 180) (layer F.Fab)
+ (fp_text reference "R14" (at -0.6 1.4 90) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text user %R (at 0 -1.25 180) (layer F.Fab)
+ (fp_text value "47k" (at 0 1.43 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -0.95 0 180) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 1 +12V))
- (pad 2 smd rect (at 0.95 0 180) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (pad "2" smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 39 "Net-(Q5-Pad1)"))
+ (pad "1" smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "+12V"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5C21D158)
- (at 102.75 116.75)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path /5C1AF3BD)
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5DF3CB34)
+ (at 201.625 86.775 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path "/5DF76EDE")
(attr smd)
- (fp_text reference C3 (at -2.04 1.21) (layer F.SilkS)
+ (fp_text reference "R13" (at 0 -1.43) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value 100n (at 0 1.5) (layer F.Fab)
+ (fp_text value "47k" (at 0 1.43) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text user %R (at 0 -1.25) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -0.95 0) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 1 +12V))
- (pad 2 smd rect (at 0.95 0) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 38 "Net-(Q4-Pad1)"))
+ (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "+12V"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5C21D23E)
- (at 98.45 116.5 180)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path /5C1AFB91)
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5DF3CB23)
+ (at 187.95 75.5 90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path "/5DF6A371")
(attr smd)
- (fp_text reference C5 (at 0 -1.25 180) (layer F.SilkS)
+ (fp_text reference "R12" (at 0 -1.43 90) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value 10u (at 0 1.5 180) (layer F.Fab)
+ (fp_text value "47k" (at 0 1.43 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 -1.25 180) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad 2 smd rect (at 0.95 0 180) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 1 smd rect (at -0.95 0 180) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 3 +3V3))
- (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (pad "2" smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 37 "Net-(Q2-Pad1)"))
+ (pad "1" smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "+12V"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5C21D24F)
- (at 105.5 100.3 270)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path /5C1B07A6)
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5DF43E93)
+ (at 156.275 114.175)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path "/5DF2C541")
(attr smd)
- (fp_text reference C6 (at 2.56 0.35) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 100n (at 0 1.5 270) (layer F.Fab)
+ (fp_text reference "R11" (at 0 1.6) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 -1.25 270) (layer F.Fab)
+ (fp_text value "47k" (at 0 1.43) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (pad 2 smd rect (at 0.95 0 270) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 1 smd rect (at -0.95 0 270) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 3 +3V3))
- (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (pad "2" smd roundrect (at 0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 36 "Net-(Q1-Pad1)"))
+ (pad "1" smd roundrect (at -0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "+12V"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5C2A006D)
- (at 112 96.75 180)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path /5C27E2DD)
+ (module "Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5DE86E0E)
+ (at 152.35 110.975 90)
+ (descr "Resistor SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path "/5C1F9EE6")
(attr smd)
- (fp_text reference C7 (at -2.3 0.6 270) (layer F.SilkS)
+ (fp_text reference "R9" (at 5 1.4 90) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value 0 (at 0 1.5 180) (layer F.Fab)
+ (fp_text value "180" (at 0 2.62 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 -1.25 180) (layer F.Fab)
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (pad 2 smd rect (at 0.95 0 180) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 4 "Net-(C7-Pad2)"))
- (pad 1 smd rect (at -0.95 0 180) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 1 +12V))
- (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (fp_line (start 4 1.92) (end -4 1.92) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 4 -1.92) (end 4 1.92) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -4 -1.92) (end 4 -1.92) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -4 1.92) (end -4 -1.92) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -2.052064 1.71) (end 2.052064 1.71) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -2.052064 -1.71) (end 2.052064 -1.71) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.15 1.6) (end -3.15 1.6) (layer "F.Fab") (width 0.1))
+ (fp_line (start 3.15 -1.6) (end 3.15 1.6) (layer "F.Fab") (width 0.1))
+ (fp_line (start -3.15 -1.6) (end 3.15 -1.6) (layer "F.Fab") (width 0.1))
+ (fp_line (start -3.15 1.6) (end -3.15 -1.6) (layer "F.Fab") (width 0.1))
+ (pad "2" smd roundrect (at 2.9875 0 90) (size 1.525 3.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.163934)
+ (net 42 "Net-(D8-Pad2)"))
+ (pad "1" smd roundrect (at -2.9875 0 90) (size 1.525 3.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.163934)
+ (net 1 "+12V"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_2512_6332Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5C21D271)
- (at 99 85.25 90)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path /5C265A6E)
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5C21D466)
+ (at 169.95 69.975 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path "/5C382D39")
(attr smd)
- (fp_text reference C8 (at 0 -1.25 90) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 0 (at 0 1.5 90) (layer F.Fab)
+ (fp_text reference "R8" (at -0.2 1.4) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text user %R (at 0 -1.25 90) (layer F.Fab)
+ (fp_text value "0" (at 0 1.43) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 5 "Net-(C8-Pad1)"))
- (pad 2 smd rect (at 0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "GND"))
+ (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 19 "Net-(R8-Pad1)"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5C21D282)
- (at 102.5 93.25 90)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path /5C31388F)
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5C21D433)
+ (at 171.95 79.475 270)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path "/5C36FD60")
(attr smd)
- (fp_text reference C9 (at 2.52 0.12 180) (layer F.SilkS)
+ (fp_text reference "R7" (at 0 -1.43 90) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value 12p (at 0 1.5 90) (layer F.Fab)
+ (fp_text value "0" (at 0 1.43 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 -1.25 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad 2 smd rect (at 0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 1 smd rect (at -0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 6 "Net-(C9-Pad1)"))
- (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (pad "2" smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 3 "+3V3"))
+ (pad "1" smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 41 "Net-(R7-Pad1)"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5C21D293)
- (at 96.75 93.3 90)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path /5C310CD7)
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5C21D3EF)
+ (at 180.175 88.55 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path "/5C1B72F8")
(attr smd)
- (fp_text reference C10 (at 2.54 -0.2) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 12p (at 0 1.5 90) (layer F.Fab)
+ (fp_text reference "R5" (at 0 -1.43) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text user %R (at 0 -1.25 90) (layer F.Fab)
+ (fp_text value "10k" (at 0 1.43) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 7 "Net-(C10-Pad1)"))
- (pad 2 smd rect (at 0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 7 "/Vmeas_A"))
+ (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 5 "Net-(D1-Pad2)"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitors_SMD:C_0805 (layer F.Cu) (tedit 58AA8463) (tstamp 5C21D2A4)
- (at 84.6 107 90)
- (descr "Capacitor SMD 0805, reflow soldering, AVX (see smccp.pdf)")
- (tags "capacitor 0805")
- (path /5C2DA813)
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") (tedit 5A02FF57) (tstamp 5DF3B02E)
+ (at 159.2 87.95 90)
+ (descr "SOT-23, Standard")
+ (tags "SOT-23")
+ (path "/5DF76EFD")
(attr smd)
- (fp_text reference C11 (at 2.21 -0.28 180) (layer F.SilkS)
+ (fp_text reference "Q9" (at 0 -2.5 90) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value 0 (at 0 1.75 90) (layer F.Fab)
+ (fp_text value "AO3400" (at 0 2.5 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text user %R (at 0 -1.5 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
+ (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.075)))
)
- (fp_line (start -1 0.62) (end -1 -0.62) (layer F.Fab) (width 0.1))
- (fp_line (start 1 0.62) (end -1 0.62) (layer F.Fab) (width 0.1))
- (fp_line (start 1 -0.62) (end 1 0.62) (layer F.Fab) (width 0.1))
- (fp_line (start -1 -0.62) (end 1 -0.62) (layer F.Fab) (width 0.1))
- (fp_line (start 0.5 -0.85) (end -0.5 -0.85) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.5 0.85) (end 0.5 0.85) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.75 -0.88) (end 1.75 -0.88) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.75 -0.88) (end -1.75 0.87) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.75 0.87) (end 1.75 -0.88) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.75 0.87) (end -1.75 0.87) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -1 0 90) (size 1 1.25) (layers F.Cu F.Paste F.Mask)
- (net 1 +12V))
- (pad 2 smd rect (at 1 0 90) (size 1 1.25) (layers F.Cu F.Paste F.Mask)
- (net 8 "Net-(C11-Pad2)"))
- (model Capacitors_SMD.3dshapes/C_0805.wrl
+ (pad "3" smd rect (at 1 0 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "2" smd rect (at -1 0.95 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 39 "Net-(Q5-Pad1)"))
+ (pad "1" smd rect (at -1 -0.95 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 11 "/CH3"))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitors_SMD:C_0805 (layer F.Cu) (tedit 5BFC95A3) (tstamp 5C5512B3)
- (at 114 104.2 270)
- (descr "Capacitor SMD 0805, reflow soldering, AVX (see smccp.pdf)")
- (tags "capacitor 0805")
- (path /5C23632F)
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") (tedit 5A02FF57) (tstamp 5DF3B019)
+ (at 199.725 84.15 90)
+ (descr "SOT-23, Standard")
+ (tags "SOT-23")
+ (path "/5DF76EED")
(attr smd)
- (fp_text reference C12 (at -0.27 1.65 270) (layer F.SilkS)
+ (fp_text reference "Q8" (at -1.425 -2.5 90) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value 0 (at 0 1.75 270) (layer F.Fab)
+ (fp_text value "AO3400" (at 0 2.5 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text user %R (at 0 -1.5 270) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
+ (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.075)))
)
- (fp_line (start -1 0.62) (end -1 -0.62) (layer F.Fab) (width 0.1))
- (fp_line (start 1 0.62) (end -1 0.62) (layer F.Fab) (width 0.1))
- (fp_line (start 1 -0.62) (end 1 0.62) (layer F.Fab) (width 0.1))
- (fp_line (start -1 -0.62) (end 1 -0.62) (layer F.Fab) (width 0.1))
- (fp_line (start 0.5 -0.85) (end -0.5 -0.85) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.5 0.85) (end 0.5 0.85) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.75 -0.88) (end 1.75 -0.88) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.75 -0.88) (end -1.75 0.87) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.75 0.87) (end 1.75 -0.88) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.75 0.87) (end -1.75 0.87) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -1 0 270) (size 1 1.25) (layers F.Cu F.Paste F.Mask)
- (net 9 "Net-(C12-Pad1)"))
- (pad 2 smd rect (at 1 0 270) (size 1 1.25) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (model Capacitors_SMD.3dshapes/C_0805.wrl
+ (pad "3" smd rect (at 1 0 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "2" smd rect (at -1 0.95 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 38 "Net-(Q4-Pad1)"))
+ (pad "1" smd rect (at -1 -0.95 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 10 "/CH2"))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitors_SMD:C_0805 (layer F.Cu) (tedit 58AA8463) (tstamp 5C2A071B)
- (at 113 110.75 90)
- (descr "Capacitor SMD 0805, reflow soldering, AVX (see smccp.pdf)")
- (tags "capacitor 0805")
- (path /5C24FD51)
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") (tedit 5A02FF57) (tstamp 5DF3B004)
+ (at 187.125 71.85 90)
+ (descr "SOT-23, Standard")
+ (tags "SOT-23")
+ (path "/5DF6A380")
(attr smd)
- (fp_text reference C13 (at 2.6 -0.1 180) (layer F.SilkS)
+ (fp_text reference "Q7" (at 0 -2.5 90) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value 0 (at 0 1.75 90) (layer F.Fab)
+ (fp_text value "AO3400" (at 0 2.5 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start 1.75 0.87) (end -1.75 0.87) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.75 0.87) (end 1.75 -0.88) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.75 -0.88) (end -1.75 0.87) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.75 -0.88) (end 1.75 -0.88) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.5 0.85) (end 0.5 0.85) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.5 -0.85) (end -0.5 -0.85) (layer F.SilkS) (width 0.12))
- (fp_line (start -1 -0.62) (end 1 -0.62) (layer F.Fab) (width 0.1))
- (fp_line (start 1 -0.62) (end 1 0.62) (layer F.Fab) (width 0.1))
- (fp_line (start 1 0.62) (end -1 0.62) (layer F.Fab) (width 0.1))
- (fp_line (start -1 0.62) (end -1 -0.62) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 -1.5 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
+ (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.075)))
)
- (pad 2 smd rect (at 1 0 90) (size 1 1.25) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 1 smd rect (at -1 0 90) (size 1 1.25) (layers F.Cu F.Paste F.Mask)
- (net 10 "Net-(C13-Pad1)"))
- (model Capacitors_SMD.3dshapes/C_0805.wrl
+ (pad "3" smd rect (at 1 0 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "2" smd rect (at -1 0.95 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 37 "Net-(Q2-Pad1)"))
+ (pad "1" smd rect (at -1 -0.95 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 21 "/CH1"))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Diodes_SMD:D_SMB_Handsoldering (layer F.Cu) (tedit 590B3D55) (tstamp 5C21D2DE)
- (at 112 83.75 180)
- (descr "Diode SMB (DO-214AA) Handsoldering")
- (tags "Diode SMB (DO-214AA) Handsoldering")
- (path /5C1985B1)
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") (tedit 5A02FF57) (tstamp 5DF438CC)
+ (at 159.95 111.575)
+ (descr "SOT-23, Standard")
+ (tags "SOT-23")
+ (path "/5DF1D708")
(attr smd)
- (fp_text reference D1 (at 4.54 2.17 180) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value SS510 (at 0 3 180) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at 0 -3 180) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -4.6 -2.15) (end -4.6 2.15) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.3 2) (end -2.3 2) (layer F.Fab) (width 0.1))
- (fp_line (start -2.3 2) (end -2.3 -2) (layer F.Fab) (width 0.1))
- (fp_line (start 2.3 -2) (end 2.3 2) (layer F.Fab) (width 0.1))
- (fp_line (start 2.3 -2) (end -2.3 -2) (layer F.Fab) (width 0.1))
- (fp_line (start -4.7 -2.25) (end 4.7 -2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.7 -2.25) (end 4.7 2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.7 2.25) (end -4.7 2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start -4.7 2.25) (end -4.7 -2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.64944 0.00102) (end -1.55114 0.00102) (layer F.Fab) (width 0.1))
- (fp_line (start 0.50118 0.00102) (end 1.4994 0.00102) (layer F.Fab) (width 0.1))
- (fp_line (start -0.64944 -0.799079) (end -0.64944 0.80112) (layer F.Fab) (width 0.1))
- (fp_line (start 0.50118 0.75032) (end 0.50118 -0.79908) (layer F.Fab) (width 0.1))
- (fp_line (start -0.64944 0.00102) (end 0.50118 0.75032) (layer F.Fab) (width 0.1))
- (fp_line (start -0.64944 0.00102) (end 0.50118 -0.79908) (layer F.Fab) (width 0.1))
- (fp_line (start -4.6 2.15) (end 2.7 2.15) (layer F.SilkS) (width 0.12))
- (fp_line (start -4.6 -2.15) (end 2.7 -2.15) (layer F.SilkS) (width 0.12))
- (pad 1 smd rect (at -2.7 0 180) (size 3.5 2.3) (layers F.Cu F.Paste F.Mask)
- (net 1 +12V))
- (pad 2 smd rect (at 2.7 0 180) (size 3.5 2.3) (layers F.Cu F.Paste F.Mask)
- (net 11 "Net-(D1-Pad2)"))
- (model ${KISYS3DMOD}/Diodes_SMD.3dshapes/D_SMB.wrl
+ (fp_text reference "Q6" (at 0 -2.5) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "AO3400" (at 0 2.5) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.075)))
+ )
+ (pad "3" smd rect (at 1 0) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "2" smd rect (at -1 0.95) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 36 "Net-(Q1-Pad1)"))
+ (pad "1" smd rect (at -1 -0.95) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 18 "/CH0"))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Diodes_SMD:D_SMB_Handsoldering (layer F.Cu) (tedit 590B3D55) (tstamp 5C21D2F6)
- (at 88.178544 83.66248)
- (descr "Diode SMB (DO-214AA) Handsoldering")
- (tags "Diode SMB (DO-214AA) Handsoldering")
- (path /5C199CCC)
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") (tedit 5A02FF57) (tstamp 5DF3AFDA)
+ (at 159.2 91.45 270)
+ (descr "SOT-23, Standard")
+ (tags "SOT-23")
+ (path "/5DF76EC9")
(attr smd)
- (fp_text reference D2 (at 4.611456 -2.31248) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value SS510 (at 0 3) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at 0 -3) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -4.6 -2.15) (end -4.6 2.15) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.3 2) (end -2.3 2) (layer F.Fab) (width 0.1))
- (fp_line (start -2.3 2) (end -2.3 -2) (layer F.Fab) (width 0.1))
- (fp_line (start 2.3 -2) (end 2.3 2) (layer F.Fab) (width 0.1))
- (fp_line (start 2.3 -2) (end -2.3 -2) (layer F.Fab) (width 0.1))
- (fp_line (start -4.7 -2.25) (end 4.7 -2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.7 -2.25) (end 4.7 2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.7 2.25) (end -4.7 2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start -4.7 2.25) (end -4.7 -2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.64944 0.00102) (end -1.55114 0.00102) (layer F.Fab) (width 0.1))
- (fp_line (start 0.50118 0.00102) (end 1.4994 0.00102) (layer F.Fab) (width 0.1))
- (fp_line (start -0.64944 -0.799079) (end -0.64944 0.80112) (layer F.Fab) (width 0.1))
- (fp_line (start 0.50118 0.75032) (end 0.50118 -0.79908) (layer F.Fab) (width 0.1))
- (fp_line (start -0.64944 0.00102) (end 0.50118 0.75032) (layer F.Fab) (width 0.1))
- (fp_line (start -0.64944 0.00102) (end 0.50118 -0.79908) (layer F.Fab) (width 0.1))
- (fp_line (start -4.6 2.15) (end 2.7 2.15) (layer F.SilkS) (width 0.12))
- (fp_line (start -4.6 -2.15) (end 2.7 -2.15) (layer F.SilkS) (width 0.12))
- (pad 1 smd rect (at -2.7 0) (size 3.5 2.3) (layers F.Cu F.Paste F.Mask)
- (net 1 +12V))
- (pad 2 smd rect (at 2.7 0) (size 3.5 2.3) (layers F.Cu F.Paste F.Mask)
- (net 12 "Net-(D2-Pad2)"))
- (model ${KISYS3DMOD}/Diodes_SMD.3dshapes/D_SMB.wrl
+ (fp_text reference "Q5" (at 0.725 2.45 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "AO3401" (at 0 2.5 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.075)))
+ )
+ (pad "3" smd rect (at 1 0 270) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 1 "+12V"))
+ (pad "2" smd rect (at -1 0.95 270) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 14 "/Q3"))
+ (pad "1" smd rect (at -1 -0.95 270) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 39 "Net-(Q5-Pad1)"))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Diodes_SMD:D_SMB_Handsoldering (layer F.Cu) (tedit 590B3D55) (tstamp 5C2A5BE3)
- (at 112 88.75 180)
- (descr "Diode SMB (DO-214AA) Handsoldering")
- (tags "Diode SMB (DO-214AA) Handsoldering")
- (path /5C19926C)
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") (tedit 5A02FF57) (tstamp 5DF3AFC5)
+ (at 201.925 117.725 315)
+ (descr "SOT-23, Standard")
+ (tags "SOT-23")
+ (path "/5DF76E9C")
(attr smd)
- (fp_text reference D3 (at 5.79 -1.66 180) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value SS510 (at 0 3 180) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -4.6 -2.15) (end 2.7 -2.15) (layer F.SilkS) (width 0.12))
- (fp_line (start -4.6 2.15) (end 2.7 2.15) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.64944 0.00102) (end 0.50118 -0.79908) (layer F.Fab) (width 0.1))
- (fp_line (start -0.64944 0.00102) (end 0.50118 0.75032) (layer F.Fab) (width 0.1))
- (fp_line (start 0.50118 0.75032) (end 0.50118 -0.79908) (layer F.Fab) (width 0.1))
- (fp_line (start -0.64944 -0.799079) (end -0.64944 0.80112) (layer F.Fab) (width 0.1))
- (fp_line (start 0.50118 0.00102) (end 1.4994 0.00102) (layer F.Fab) (width 0.1))
- (fp_line (start -0.64944 0.00102) (end -1.55114 0.00102) (layer F.Fab) (width 0.1))
- (fp_line (start -4.7 2.25) (end -4.7 -2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.7 2.25) (end -4.7 2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.7 -2.25) (end 4.7 2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start -4.7 -2.25) (end 4.7 -2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start 2.3 -2) (end -2.3 -2) (layer F.Fab) (width 0.1))
- (fp_line (start 2.3 -2) (end 2.3 2) (layer F.Fab) (width 0.1))
- (fp_line (start -2.3 2) (end -2.3 -2) (layer F.Fab) (width 0.1))
- (fp_line (start 2.3 2) (end -2.3 2) (layer F.Fab) (width 0.1))
- (fp_line (start -4.6 -2.15) (end -4.6 2.15) (layer F.SilkS) (width 0.12))
- (fp_text user %R (at 0 -3 180) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad 2 smd rect (at 2.7 0 180) (size 3.5 2.3) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 1 smd rect (at -2.7 0 180) (size 3.5 2.3) (layers F.Cu F.Paste F.Mask)
- (net 11 "Net-(D1-Pad2)"))
- (model ${KISYS3DMOD}/Diodes_SMD.3dshapes/D_SMB.wrl
+ (fp_text reference "Q4" (at -1.361181 -2.527907 135) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "AO3401" (at 0 2.5 135) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 0 45) (layer "F.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.075)))
+ )
+ (pad "3" smd rect (at 1 0 315) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 1 "+12V"))
+ (pad "2" smd rect (at -1 0.95 315) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 12 "/Q2"))
+ (pad "1" smd rect (at -1 -0.95 315) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 38 "Net-(Q4-Pad1)"))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Diodes_SMD:D_SMB_Handsoldering (layer F.Cu) (tedit 590B3D55) (tstamp 5C21D326)
- (at 88.178544 88.66248)
- (descr "Diode SMB (DO-214AA) Handsoldering")
- (tags "Diode SMB (DO-214AA) Handsoldering")
- (path /5C199DAE)
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") (tedit 5A02FF57) (tstamp 5DF4F844)
+ (at 190.8 73.825)
+ (descr "SOT-23, Standard")
+ (tags "SOT-23")
+ (path "/5DF6A350")
(attr smd)
- (fp_text reference D4 (at 3.771456 -2.16248) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value SS510 (at 0 3) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -4.6 -2.15) (end 2.7 -2.15) (layer F.SilkS) (width 0.12))
- (fp_line (start -4.6 2.15) (end 2.7 2.15) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.64944 0.00102) (end 0.50118 -0.79908) (layer F.Fab) (width 0.1))
- (fp_line (start -0.64944 0.00102) (end 0.50118 0.75032) (layer F.Fab) (width 0.1))
- (fp_line (start 0.50118 0.75032) (end 0.50118 -0.79908) (layer F.Fab) (width 0.1))
- (fp_line (start -0.64944 -0.799079) (end -0.64944 0.80112) (layer F.Fab) (width 0.1))
- (fp_line (start 0.50118 0.00102) (end 1.4994 0.00102) (layer F.Fab) (width 0.1))
- (fp_line (start -0.64944 0.00102) (end -1.55114 0.00102) (layer F.Fab) (width 0.1))
- (fp_line (start -4.7 2.25) (end -4.7 -2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.7 2.25) (end -4.7 2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.7 -2.25) (end 4.7 2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start -4.7 -2.25) (end 4.7 -2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start 2.3 -2) (end -2.3 -2) (layer F.Fab) (width 0.1))
- (fp_line (start 2.3 -2) (end 2.3 2) (layer F.Fab) (width 0.1))
- (fp_line (start -2.3 2) (end -2.3 -2) (layer F.Fab) (width 0.1))
- (fp_line (start 2.3 2) (end -2.3 2) (layer F.Fab) (width 0.1))
- (fp_line (start -4.6 -2.15) (end -4.6 2.15) (layer F.SilkS) (width 0.12))
- (fp_text user %R (at 0 -3) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad 2 smd rect (at 2.7 0) (size 3.5 2.3) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 1 smd rect (at -2.7 0) (size 3.5 2.3) (layers F.Cu F.Paste F.Mask)
- (net 12 "Net-(D2-Pad2)"))
- (model ${KISYS3DMOD}/Diodes_SMD.3dshapes/D_SMB.wrl
+ (fp_text reference "Q2" (at -0.85 -2.5) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "AO3401" (at 0 2.5) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.075)))
+ )
+ (pad "3" smd rect (at 1 0) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 1 "+12V"))
+ (pad "2" smd rect (at -1 0.95) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 15 "/Q1"))
+ (pad "1" smd rect (at -1 -0.95) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 37 "Net-(Q2-Pad1)"))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module footprints:led_tape_3528_2835 locked (layer B.Cu) (tedit 5BF8B28A) (tstamp 5C21D396)
- (at 109 91 323)
- (path /5C196961)
- (fp_text reference J1 (at 0 -0.499999 323) (layer B.SilkS) hide
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (module "Capacitor_THT:CP_Radial_D10.0mm_P5.00mm" (layer "F.Cu") (tedit 5DE83723) (tstamp 5DE9B522)
+ (at 203.45 108.975 180)
+ (descr "CP, Radial series, Radial, pin pitch=5.00mm, , diameter=10mm, Electrolytic Capacitor")
+ (tags "CP Radial series Radial pin pitch 5.00mm diameter 10mm Electrolytic Capacitor")
+ (path "/5DF2DAD7")
+ (fp_text reference "C1" (at 4.425 -6.1 180) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value "top left" (at 0 0.499999 323) (layer B.Fab) hide
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (fp_text value "220u/63V" (at 2.5 6.25 180) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start -0.499999 0) (end 0.499999 0) (layer B.SilkS) (width 0.5))
- (fp_line (start 4 -22) (end 4 0) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 0) (end -4 -22) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -15) (end 4 -15) (layer B.SilkS) (width 0.5))
- (fp_line (start 4 -15) (end -4 -11.999999) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -11.999999) (end 4 -11.999999) (layer B.SilkS) (width 0.5))
- (fp_line (start 4 -14) (end -1.5 -12) (layer B.SilkS) (width 0.5))
- (fp_line (start 1 -12) (end 4 -13) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -14) (end -1.5 -15) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -13) (end 1 -15) (layer B.SilkS) (width 0.5))
- (pad 2 smd oval (at 2.25 0 323) (size 2.8 5) (layers B.Cu B.Paste B.Mask)
- (net 11 "Net-(D1-Pad2)"))
- (pad 1 smd oval (at -2.25 0 323) (size 2.8 5) (layers B.Cu B.Paste B.Mask)
- (net 19 /Q2))
- )
-
- (module footprints:led_tape_3528_2835 locked (layer B.Cu) (tedit 5BF8B28A) (tstamp 5C21D3A6)
- (at 91 91 37)
- (path /5C196B9D)
- (fp_text reference J2 (at 0 -0.499999 37) (layer B.SilkS) hide
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (fp_text user "%R" (at 2.5 0 180) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value "top right" (at 0 0.499999 37) (layer B.Fab) hide
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (fp_line (start 7.581 -0.599) (end 7.581 0.599) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.541 -0.862) (end 7.541 0.862) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.501 -1.062) (end 7.501 1.062) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.461 -1.23) (end 7.461 1.23) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.421 -1.378) (end 7.421 1.378) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.381 -1.51) (end 7.381 1.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.341 -1.63) (end 7.341 1.63) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.301 -1.742) (end 7.301 1.742) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.261 -1.846) (end 7.261 1.846) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.221 -1.944) (end 7.221 1.944) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.181 -2.037) (end 7.181 2.037) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.141 -2.125) (end 7.141 2.125) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.101 -2.209) (end 7.101 2.209) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.061 -2.289) (end 7.061 2.289) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.021 -2.365) (end 7.021 2.365) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.981 -2.439) (end 6.981 2.439) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.941 -2.51) (end 6.941 2.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.901 -2.579) (end 6.901 2.579) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.861 -2.645) (end 6.861 2.645) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.821 -2.709) (end 6.821 2.709) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.781 -2.77) (end 6.781 2.77) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.741 -2.83) (end 6.741 2.83) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.701 -2.889) (end 6.701 2.889) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.661 -2.945) (end 6.661 2.945) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.621 -3) (end 6.621 3) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.581 -3.054) (end 6.581 3.054) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.541 -3.106) (end 6.541 3.106) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.501 -3.156) (end 6.501 3.156) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.461 -3.206) (end 6.461 3.206) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.421 -3.254) (end 6.421 3.254) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.381 -3.301) (end 6.381 3.301) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.341 -3.347) (end 6.341 3.347) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.301 -3.392) (end 6.301 3.392) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.261 -3.436) (end 6.261 3.436) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.221 1.241) (end 6.221 3.478) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.221 -3.478) (end 6.221 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.181 1.241) (end 6.181 3.52) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.181 -3.52) (end 6.181 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.141 1.241) (end 6.141 3.561) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.141 -3.561) (end 6.141 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.101 1.241) (end 6.101 3.601) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.101 -3.601) (end 6.101 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.061 1.241) (end 6.061 3.64) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.061 -3.64) (end 6.061 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.021 1.241) (end 6.021 3.679) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.021 -3.679) (end 6.021 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.981 1.241) (end 5.981 3.716) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.981 -3.716) (end 5.981 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.941 1.241) (end 5.941 3.753) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.941 -3.753) (end 5.941 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.901 1.241) (end 5.901 3.789) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.901 -3.789) (end 5.901 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.861 1.241) (end 5.861 3.824) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.861 -3.824) (end 5.861 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.821 1.241) (end 5.821 3.858) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.821 -3.858) (end 5.821 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.781 1.241) (end 5.781 3.892) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.781 -3.892) (end 5.781 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.741 1.241) (end 5.741 3.925) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.741 -3.925) (end 5.741 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.701 1.241) (end 5.701 3.957) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.701 -3.957) (end 5.701 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.661 1.241) (end 5.661 3.989) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.661 -3.989) (end 5.661 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.621 1.241) (end 5.621 4.02) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.621 -4.02) (end 5.621 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.581 1.241) (end 5.581 4.05) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.581 -4.05) (end 5.581 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.541 1.241) (end 5.541 4.08) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.541 -4.08) (end 5.541 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.501 1.241) (end 5.501 4.11) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.501 -4.11) (end 5.501 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.461 1.241) (end 5.461 4.138) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.461 -4.138) (end 5.461 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.421 1.241) (end 5.421 4.166) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.421 -4.166) (end 5.421 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.381 1.241) (end 5.381 4.194) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.381 -4.194) (end 5.381 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.341 1.241) (end 5.341 4.221) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.341 -4.221) (end 5.341 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.301 1.241) (end 5.301 4.247) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.301 -4.247) (end 5.301 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.261 1.241) (end 5.261 4.273) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.261 -4.273) (end 5.261 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.221 1.241) (end 5.221 4.298) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.221 -4.298) (end 5.221 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.181 1.241) (end 5.181 4.323) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.181 -4.323) (end 5.181 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.141 1.241) (end 5.141 4.347) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.141 -4.347) (end 5.141 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.101 1.241) (end 5.101 4.371) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.101 -4.371) (end 5.101 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.061 1.241) (end 5.061 4.395) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.061 -4.395) (end 5.061 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.021 1.241) (end 5.021 4.417) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.021 -4.417) (end 5.021 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.981 1.241) (end 4.981 4.44) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.981 -4.44) (end 4.981 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.941 1.241) (end 4.941 4.462) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.941 -4.462) (end 4.941 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.901 1.241) (end 4.901 4.483) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.901 -4.483) (end 4.901 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.861 1.241) (end 4.861 4.504) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.861 -4.504) (end 4.861 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.821 1.241) (end 4.821 4.525) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.821 -4.525) (end 4.821 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.781 1.241) (end 4.781 4.545) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.781 -4.545) (end 4.781 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.741 1.241) (end 4.741 4.564) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.741 -4.564) (end 4.741 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.701 1.241) (end 4.701 4.584) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.701 -4.584) (end 4.701 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.661 1.241) (end 4.661 4.603) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.661 -4.603) (end 4.661 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.621 1.241) (end 4.621 4.621) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.621 -4.621) (end 4.621 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.581 1.241) (end 4.581 4.639) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.581 -4.639) (end 4.581 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.541 1.241) (end 4.541 4.657) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.541 -4.657) (end 4.541 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.501 1.241) (end 4.501 4.674) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.501 -4.674) (end 4.501 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.461 1.241) (end 4.461 4.69) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.461 -4.69) (end 4.461 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.421 1.241) (end 4.421 4.707) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.421 -4.707) (end 4.421 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.381 1.241) (end 4.381 4.723) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.381 -4.723) (end 4.381 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.341 1.241) (end 4.341 4.738) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.341 -4.738) (end 4.341 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.301 1.241) (end 4.301 4.754) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.301 -4.754) (end 4.301 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.261 1.241) (end 4.261 4.768) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.261 -4.768) (end 4.261 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.221 1.241) (end 4.221 4.783) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.221 -4.783) (end 4.221 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.181 1.241) (end 4.181 4.797) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.181 -4.797) (end 4.181 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.141 1.241) (end 4.141 4.811) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.141 -4.811) (end 4.141 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.101 1.241) (end 4.101 4.824) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.101 -4.824) (end 4.101 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.061 1.241) (end 4.061 4.837) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.061 -4.837) (end 4.061 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.021 1.241) (end 4.021 4.85) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.021 -4.85) (end 4.021 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.981 1.241) (end 3.981 4.862) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.981 -4.862) (end 3.981 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.941 1.241) (end 3.941 4.874) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.941 -4.874) (end 3.941 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.901 1.241) (end 3.901 4.885) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.901 -4.885) (end 3.901 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.861 1.241) (end 3.861 4.897) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.861 -4.897) (end 3.861 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.821 1.241) (end 3.821 4.907) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.821 -4.907) (end 3.821 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.781 1.241) (end 3.781 4.918) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.781 -4.918) (end 3.781 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.741 -4.928) (end 3.741 4.928) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.701 -4.938) (end 3.701 4.938) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.661 -4.947) (end 3.661 4.947) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.621 -4.956) (end 3.621 4.956) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.581 -4.965) (end 3.581 4.965) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.541 -4.974) (end 3.541 4.974) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.501 -4.982) (end 3.501 4.982) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.461 -4.99) (end 3.461 4.99) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.421 -4.997) (end 3.421 4.997) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.381 -5.004) (end 3.381 5.004) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.341 -5.011) (end 3.341 5.011) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.301 -5.018) (end 3.301 5.018) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.261 -5.024) (end 3.261 5.024) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.221 -5.03) (end 3.221 5.03) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.18 -5.035) (end 3.18 5.035) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.14 -5.04) (end 3.14 5.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.1 -5.045) (end 3.1 5.045) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.06 -5.05) (end 3.06 5.05) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.02 -5.054) (end 3.02 5.054) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.98 -5.058) (end 2.98 5.058) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.94 -5.062) (end 2.94 5.062) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.9 -5.065) (end 2.9 5.065) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.86 -5.068) (end 2.86 5.068) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.82 -5.07) (end 2.82 5.07) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.78 -5.073) (end 2.78 5.073) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.74 -5.075) (end 2.74 5.075) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.7 -5.077) (end 2.7 5.077) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.66 -5.078) (end 2.66 5.078) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.62 -5.079) (end 2.62 5.079) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.58 -5.08) (end 2.58 5.08) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.54 -5.08) (end 2.54 5.08) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.5 -5.08) (end 2.5 5.08) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.288861 -2.6875) (end -1.288861 -1.6875) (layer "F.Fab") (width 0.1))
+ (fp_line (start -1.788861 -2.1875) (end -0.788861 -2.1875) (layer "F.Fab") (width 0.1))
+ (fp_circle (center 2.5 0) (end 7.75 0) (layer "F.CrtYd") (width 0.05))
+ (fp_circle (center 2.5 0) (end 7.62 0) (layer "F.SilkS") (width 0.12))
+ (fp_circle (center 2.5 0) (end 7.5 0) (layer "F.Fab") (width 0.1))
+ (pad "2" thru_hole circle (at 5 0 180) (size 2 2) (drill 1) (layers *.Cu *.Mask)
+ (net 2 "GND"))
+ (pad "1" thru_hole rect (at 0 0 180) (size 2 2) (drill 1) (layers *.Cu *.Mask)
+ (net 26 "+VSW"))
+ (model "${KISYS3DMOD}/Capacitor_THT.3dshapes/CP_Radial_D10.0mm_P5.00mm.wrl"
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
)
- (fp_line (start -4 -13) (end 1 -15) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -14) (end -1.5 -15) (layer B.SilkS) (width 0.5))
- (fp_line (start 1 -12) (end 4 -13) (layer B.SilkS) (width 0.5))
- (fp_line (start 4 -14) (end -1.5 -12) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -11.999999) (end 4 -11.999999) (layer B.SilkS) (width 0.5))
- (fp_line (start 4 -15) (end -4 -11.999999) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -15) (end 4 -15) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 0) (end -4 -22) (layer B.SilkS) (width 0.5))
- (fp_line (start 4 -22) (end 4 0) (layer B.SilkS) (width 0.5))
- (fp_line (start -0.499999 0) (end 0.499999 0) (layer B.SilkS) (width 0.5))
- (pad 1 smd oval (at -2.25 0 37) (size 2.8 5) (layers B.Cu B.Paste B.Mask)
- (net 20 /Q0))
- (pad 2 smd oval (at 2.25 0 37) (size 2.8 5) (layers B.Cu B.Paste B.Mask)
- (net 12 "Net-(D2-Pad2)"))
- )
-
- (module footprints:led_tape_3528_2835 locked (layer B.Cu) (tedit 5BF8B28A) (tstamp 5C21D3B6)
- (at 91 109 143)
- (path /5C196C4D)
- (fp_text reference J3 (at 0 -0.499999 143) (layer B.SilkS) hide
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ )
+
+ (module "Package_TO_SOT_SMD:SOT-223" (layer "F.Cu") (tedit 5A02FF57) (tstamp 5C29A0A0)
+ (at 164.95 99.975)
+ (descr "module CMS SOT223 4 pins")
+ (tags "CMS SOT")
+ (path "/5C19682A")
+ (attr smd)
+ (fp_text reference "U1" (at 0 -4.5) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value "bottom right" (at 0 0.499999 143) (layer B.Fab) hide
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (fp_text value "AP1117-33" (at 0 4.5) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ )
+ (fp_line (start -1.85 -2.3) (end -0.8 -3.35) (layer "F.Fab") (width 0.1))
+ (fp_line (start 1.91 3.41) (end 1.91 2.15) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.91 -3.41) (end 1.91 -2.15) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.4 -3.6) (end -4.4 -3.6) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 4.4 3.6) (end 4.4 -3.6) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -4.4 3.6) (end 4.4 3.6) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -4.4 -3.6) (end -4.4 3.6) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.85 -2.3) (end -1.85 3.35) (layer "F.Fab") (width 0.1))
+ (fp_line (start -1.85 3.41) (end 1.91 3.41) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.8 -3.35) (end 1.85 -3.35) (layer "F.Fab") (width 0.1))
+ (fp_line (start -4.1 -3.41) (end 1.91 -3.41) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.85 3.35) (end 1.85 3.35) (layer "F.Fab") (width 0.1))
+ (fp_line (start 1.85 -3.35) (end 1.85 3.35) (layer "F.Fab") (width 0.1))
+ (pad "1" smd rect (at -3.15 -2.3) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "3" smd rect (at -3.15 2.3) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 1 "+12V"))
+ (pad "2" smd rect (at -3.15 0) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 3 "+3V3"))
+ (pad "4" smd rect (at 3.15 0) (size 2 3.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 32 "/PAD"))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-223.wrl"
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
)
- (fp_line (start -0.499999 0) (end 0.499999 0) (layer B.SilkS) (width 0.5))
- (fp_line (start 4 -22) (end 4 0) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 0) (end -4 -22) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -15) (end 4 -15) (layer B.SilkS) (width 0.5))
- (fp_line (start 4 -15) (end -4 -11.999999) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -11.999999) (end 4 -11.999999) (layer B.SilkS) (width 0.5))
- (fp_line (start 4 -14) (end -1.5 -12) (layer B.SilkS) (width 0.5))
- (fp_line (start 1 -12) (end 4 -13) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -14) (end -1.5 -15) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -13) (end 1 -15) (layer B.SilkS) (width 0.5))
- (pad 2 smd oval (at 2.25 0 143) (size 2.8 5) (layers B.Cu B.Paste B.Mask)
- (net 11 "Net-(D1-Pad2)"))
- (pad 1 smd oval (at -2.25 0 143) (size 2.8 5) (layers B.Cu B.Paste B.Mask)
- (net 21 /Q3))
- )
-
- (module footprints:led_tape_3528_2835 locked (layer B.Cu) (tedit 5BF8B28A) (tstamp 5C21D3C6)
- (at 109 109 217)
- (path /5C196D8E)
- (fp_text reference J4 (at 0 -0.499999 217) (layer B.SilkS) hide
+ )
+
+ (module "LED_SMD:LED_PLCC_2835_Handsoldering" (layer "B.Cu") (tedit 5C65228D) (tstamp 5DE966E6)
+ (at 152.35 100.775 270)
+ (descr "https://www.luckylight.cn/media/component/data-sheet/R2835BC-B2M-M10.pdf")
+ (tags "LED")
+ (path "/5DFA0B1D")
+ (attr smd)
+ (fp_text reference "D7" (at -3.6 0) (layer "B.SilkS")
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
- (fp_text value "bottom left" (at 0 0.499999 217) (layer B.Fab) hide
+ (fp_text value "LED_ALT" (at 0 -2.475 270) (layer "B.Fab")
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
- (fp_line (start -0.499999 0) (end 0.499999 0) (layer B.SilkS) (width 0.5))
- (fp_line (start 4 -22) (end 4 0) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 0) (end -4 -22) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -15) (end 4 -15) (layer B.SilkS) (width 0.5))
- (fp_line (start 4 -15) (end -4 -11.999999) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -11.999999) (end 4 -11.999999) (layer B.SilkS) (width 0.5))
- (fp_line (start 4 -14) (end -1.5 -12) (layer B.SilkS) (width 0.5))
- (fp_line (start 1 -12) (end 4 -13) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -14) (end -1.5 -15) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -13) (end 1 -15) (layer B.SilkS) (width 0.5))
- (pad 2 smd oval (at 2.25 0 217) (size 2.8 5) (layers B.Cu B.Paste B.Mask)
- (net 12 "Net-(D2-Pad2)"))
- (pad 1 smd oval (at -2.25 0 217) (size 2.8 5) (layers B.Cu B.Paste B.Mask)
- (net 22 /Q1))
- )
-
- (module Pin_Headers:Pin_Header_Straight_1x04_Pitch2.54mm (layer F.Cu) (tedit 59650532) (tstamp 5C21D3DE)
- (at 90.75 96.75 270)
- (descr "Through hole straight pin header, 1x04, 2.54mm pitch, single row")
- (tags "Through hole pin header THT 1x04 2.54mm single row")
- (path /5C3B6CB2)
- (fp_text reference J5 (at 0 -2.33 270) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value SWD (at 0 9.95 270) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1))
- (fp_line (start 1.27 -1.27) (end 1.27 8.89) (layer F.Fab) (width 0.1))
- (fp_line (start 1.27 8.89) (end -1.27 8.89) (layer F.Fab) (width 0.1))
- (fp_line (start -1.27 8.89) (end -1.27 -0.635) (layer F.Fab) (width 0.1))
- (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1))
- (fp_line (start -1.33 8.95) (end 1.33 8.95) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.33 1.270001) (end -1.33 8.95) (layer F.SilkS) (width 0.12))
- (fp_line (start 1.33 1.270001) (end 1.33 8.95) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.33 1.270001) (end 1.33 1.270001) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.8 -1.8) (end -1.8 9.4) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 9.4) (end 1.8 9.4) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 9.4) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
- (fp_text user %R (at 0 3.81) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad 1 thru_hole rect (at 0 0 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
- (net 3 +3V3))
- (pad 2 thru_hole oval (at 0 2.54 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
- (net 23 /SWCLK))
- (pad 3 thru_hole oval (at 0 5.079999 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
- (net 2 GND))
- (pad 4 thru_hole oval (at 0 7.62 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
- (net 24 /SWDIO))
- (model ${KISYS3DMOD}/Pin_Headers.3dshapes/Pin_Header_Straight_1x04_Pitch2.54mm.wrl
+ (fp_line (start 2.55 1.65) (end 2.55 -1.65) (layer "B.CrtYd") (width 0.05))
+ (fp_line (start -2.55 1.65) (end 2.55 1.65) (layer "B.CrtYd") (width 0.05))
+ (fp_line (start -2.55 -1.65) (end -2.55 1.65) (layer "B.CrtYd") (width 0.05))
+ (fp_line (start 2.55 -1.65) (end -2.55 -1.65) (layer "B.CrtYd") (width 0.05))
+ (fp_line (start 1.4 1.6) (end -2.5 1.6) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 1.4 -1.6) (end -2.5 -1.6) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 1.75 1.4) (end 1.75 -1.4) (layer "B.Fab") (width 0.1))
+ (fp_line (start -1.05 1.4) (end 1.75 1.4) (layer "B.Fab") (width 0.1))
+ (fp_line (start -1.75 -1.4) (end -1.75 0.7) (layer "B.Fab") (width 0.1))
+ (fp_line (start 1.75 -1.4) (end -1.75 -1.4) (layer "B.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 0 270) (layer "B.Fab")
+ (effects (font (size 0.9 0.9) (thickness 0.135)) (justify mirror))
+ )
+ (fp_line (start -2.5 1.6) (end -2.5 -1.6) (layer "B.SilkS") (width 0.12))
+ (fp_line (start -1.05 1.4) (end -1.75 0.7) (layer "B.Fab") (width 0.1))
+ (pad "2" smd rect (at 1.525 0 270) (size 1.55 2.2) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 28 "Net-(D7-Pad2)"))
+ (pad "1" smd rect (at -1.05 0 270) (size 2.5 2.2) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 9 "Net-(D7-Pad1)"))
+ (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_PLCC_2835.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C21D3EF)
- (at 105.95 87.4 270)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C1B72F8)
+ (module "Diode_SMD:D_SOD-323_HandSoldering" (layer "F.Cu") (tedit 58641869) (tstamp 5DE94A28)
+ (at 175.925 88.575 180)
+ (descr "SOD-323")
+ (tags "SOD-323")
+ (path "/5DEB348D")
(attr smd)
- (fp_text reference R1 (at -1.04 -1.32 270) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 10k (at 0 1.55 270) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 0 270) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (pad 2 smd rect (at 1.1 0 270) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 13 /Vmeas_A))
- (pad 1 smd rect (at -1.1 0 270) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 11 "Net-(D1-Pad2)"))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (fp_text reference "D6" (at 0 -1.85) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "2V7" (at 0.1 1.9) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user "%R" (at 0 -1.85) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -1.9 -0.85) (end -1.9 0.85) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.2 0) (end 0.45 0) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.2 0.35) (end -0.3 0) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.2 -0.35) (end 0.2 0.35) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.3 0) (end 0.2 -0.35) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.3 0) (end -0.5 0) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.3 -0.35) (end -0.3 0.35) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.9 0.7) (end -0.9 -0.7) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.9 0.7) (end -0.9 0.7) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.9 -0.7) (end 0.9 0.7) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.9 -0.7) (end 0.9 -0.7) (layer "F.Fab") (width 0.1))
+ (fp_line (start -2 -0.95) (end 2 -0.95) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 2 -0.95) (end 2 0.95) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -2 0.95) (end 2 0.95) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -2 -0.95) (end -2 0.95) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.9 0.85) (end 1.25 0.85) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.9 -0.85) (end 1.25 -0.85) (layer "F.SilkS") (width 0.12))
+ (pad "2" smd rect (at 1.25 0 180) (size 1 1) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "1" smd rect (at -1.25 0 180) (size 1 1) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 7 "/Vmeas_A"))
+ (model "${KISYS3DMOD}/Diode_SMD.3dshapes/D_SOD-323.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C21D400)
- (at 105.5 107.5 180)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C1BABDF)
+ (module "Resistor_SMD:R_1210_3225Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5DE92826)
+ (at 186.55 118.975 127)
+ (descr "Resistor SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (path "/5DEB5134")
(attr smd)
- (fp_text reference R2 (at -2.97 -0.17 180) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 10k (at 0 1.55 180) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at -0.155001 0 180) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -1.1 0 180) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 12 "Net-(D2-Pad2)"))
- (pad 2 smd rect (at 1.1 0 180) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 14 /Vmeas_B))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (fp_text reference "R4" (at -1.009366 -2.482298 127) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "30mR 1/4W" (at 0 2.28 127) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -1.6 1.25) (end -1.6 -1.25) (layer "F.Fab") (width 0.1))
+ (fp_line (start -1.6 -1.25) (end 1.6 -1.25) (layer "F.Fab") (width 0.1))
+ (fp_line (start 1.6 -1.25) (end 1.6 1.25) (layer "F.Fab") (width 0.1))
+ (fp_line (start 1.6 1.25) (end -1.6 1.25) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.602064 -1.36) (end 0.602064 -1.36) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.602064 1.36) (end 0.602064 1.36) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -2.28 1.58) (end -2.28 -1.58) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -2.28 -1.58) (end 2.28 -1.58) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 2.28 -1.58) (end 2.28 1.58) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 2.28 1.58) (end -2.28 1.58) (layer "F.CrtYd") (width 0.05))
+ (fp_text user "%R" (at 0 0 127) (layer "F.Fab")
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ )
+ (pad "2" smd roundrect (at 1.4 0 127) (size 1.25 2.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2)
+ (net 26 "+VSW"))
+ (pad "1" smd roundrect (at -1.4 0 127) (size 1.25 2.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2)
+ (net 31 "/ILIM"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_1210_3225Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C2A0CB2)
- (at 103.95 87.4 90)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C1BC19C)
+ (module "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBE) (tstamp 5DE814E6)
+ (at 172.7 114.225 90)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (path "/5DF24754")
(attr smd)
- (fp_text reference R3 (at 2.63 -0.1 180) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 3k3 (at 0 1.55 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at 0 0 90) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 13 /Vmeas_A))
- (pad 2 smd rect (at 1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (fp_text reference "C5" (at 2.075 0.675 180) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "12p" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad "2" smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "GND"))
+ (pad "1" smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 35 "Net-(C5-Pad1)"))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C21D422)
- (at 105.5 105.5)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C1BB077)
+ (module "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBE) (tstamp 5DE90300)
+ (at 169.95 118.225 180)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (path "/5DF449C0")
(attr smd)
- (fp_text reference R4 (at 0 -1.45) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 3k3 (at 0 1.55) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 0) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (pad 2 smd rect (at 1.1 0) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 1 smd rect (at -1.1 0) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 14 /Vmeas_B))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (fp_text reference "C3" (at -0.075 1.275 180) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "100n" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 33 "Net-(C3-Pad2)"))
+ (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 34 "Net-(C3-Pad1)"))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C21D433)
- (at 94 99.5 270)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C36FD60)
+ (module "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBE) (tstamp 5DE902EF)
+ (at 183.95 115.725 90)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (path "/5DF3D7ED")
(attr smd)
- (fp_text reference R5 (at 0 -1.45 270) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 0 (at 0 1.55 270) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at -6.245001 -0.790001 270) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -1.1 0 270) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 25 "Net-(R5-Pad1)"))
- (pad 2 smd rect (at 1.1 0 270) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 3 +3V3))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (fp_text reference "C2" (at 0 -1.43 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "100n" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad "2" smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "GND"))
+ (pad "1" smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 26 "+VSW"))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C21D444)
- (at 97.75 88.5)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C265A68)
+ (module "Inductor_SMD:L_Neosid_SM-NE127_HandSoldering" (layer "F.Cu") (tedit 5990349C) (tstamp 5DE89FD7)
+ (at 177.2 125.975 180)
+ (descr "Neosid, Inductor, SM-NE127, Festinduktivitaet, SMD,")
+ (tags "Neosid Inductor SM-NE127 Festinduktivitaet SMD")
+ (path "/5DED4544")
(attr smd)
- (fp_text reference R6 (at 0.05 -1.11) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 0 (at 0 1.55) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at 0 0) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -1.1 0) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 5 "Net-(C8-Pad1)"))
- (pad 2 smd rect (at 1.1 0) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 26 /CH0))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (fp_text reference "L1" (at 0 -7.6) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "47uH/2A" (at 0 8.3) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 6.6 3) (end 6.6 6.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.6 6.6) (end -6.6 6.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -6.6 6.6) (end -6.6 3) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -6.6 -3) (end -6.6 -6.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -6.6 -6.6) (end 6.6 -6.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.6 -6.6) (end 6.6 -3) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -6.4 -6.4) (end 6.4 -6.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 6.4 -6.4) (end 6.4 6.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 6.4 6.4) (end -6.4 6.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -6.4 6.4) (end -6.4 -6.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -7.7 -6.65) (end 7.7 -6.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -7.7 -6.65) (end -7.7 6.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 7.7 6.65) (end 7.7 -6.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 7.7 6.65) (end -7.7 6.65) (layer "F.CrtYd") (width 0.05))
+ (fp_circle (center 0 0) (end 5 0) (layer "F.Fab") (width 0.1))
+ (pad "1" smd rect (at -5.5 0 180) (size 3.9 5.4) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 1 "+12V"))
+ (pad "2" smd rect (at 5.5 0 180) (size 3.9 5.4) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 33 "Net-(C3-Pad2)"))
+ (model "${KISYS3DMOD}/Inductor_SMD.3dshapes/L_Neosid_SM-NE127.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C21D455)
- (at 115.6 98 90)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C270B70)
- (attr smd)
- (fp_text reference R7 (at 0 -1.45 90) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 10k (at 0 1.55 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 0 90) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (pad 2 smd rect (at 1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 1 +12V))
- (pad 1 smd rect (at -1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 4 "Net-(C7-Pad2)"))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (module "Capacitor_THT:CP_Radial_D5.0mm_P2.00mm" (layer "F.Cu") (tedit 5AE50EF0) (tstamp 5C2A26DF)
+ (at 158.7 97.725 180)
+ (descr "CP, Radial series, Radial, pin pitch=2.00mm, , diameter=5mm, Electrolytic Capacitor")
+ (tags "CP Radial series Radial pin pitch 2.00mm diameter 5mm Electrolytic Capacitor")
+ (path "/5C1A7520")
+ (fp_text reference "C8" (at 1 -3.75) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "47u" (at 1 3.75) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 1 0) (end 3.5 0) (layer "F.Fab") (width 0.1))
+ (fp_circle (center 1 0) (end 3.62 0) (layer "F.SilkS") (width 0.12))
+ (fp_circle (center 1 0) (end 3.75 0) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.133605 -1.0875) (end -0.633605 -1.0875) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.883605 -1.3375) (end -0.883605 -0.8375) (layer "F.Fab") (width 0.1))
+ (fp_line (start 1 1.04) (end 1 2.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1 -2.58) (end 1 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.04 1.04) (end 1.04 2.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.04 -2.58) (end 1.04 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.08 -2.579) (end 1.08 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.08 1.04) (end 1.08 2.579) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.12 -2.578) (end 1.12 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.12 1.04) (end 1.12 2.578) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.16 -2.576) (end 1.16 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.16 1.04) (end 1.16 2.576) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.2 -2.573) (end 1.2 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.2 1.04) (end 1.2 2.573) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.24 -2.569) (end 1.24 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.24 1.04) (end 1.24 2.569) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.28 -2.565) (end 1.28 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.28 1.04) (end 1.28 2.565) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.32 -2.561) (end 1.32 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.32 1.04) (end 1.32 2.561) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.36 -2.556) (end 1.36 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.36 1.04) (end 1.36 2.556) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.4 -2.55) (end 1.4 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.4 1.04) (end 1.4 2.55) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.44 -2.543) (end 1.44 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.44 1.04) (end 1.44 2.543) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.48 -2.536) (end 1.48 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.48 1.04) (end 1.48 2.536) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.52 -2.528) (end 1.52 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.52 1.04) (end 1.52 2.528) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.56 -2.52) (end 1.56 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.56 1.04) (end 1.56 2.52) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.6 -2.511) (end 1.6 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.6 1.04) (end 1.6 2.511) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.64 -2.501) (end 1.64 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.64 1.04) (end 1.64 2.501) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.68 -2.491) (end 1.68 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.68 1.04) (end 1.68 2.491) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.721 -2.48) (end 1.721 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.721 1.04) (end 1.721 2.48) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.761 -2.468) (end 1.761 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.761 1.04) (end 1.761 2.468) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.801 -2.455) (end 1.801 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.801 1.04) (end 1.801 2.455) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.841 -2.442) (end 1.841 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.841 1.04) (end 1.841 2.442) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.881 -2.428) (end 1.881 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.881 1.04) (end 1.881 2.428) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.921 -2.414) (end 1.921 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.921 1.04) (end 1.921 2.414) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.961 -2.398) (end 1.961 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.961 1.04) (end 1.961 2.398) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.001 -2.382) (end 2.001 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.001 1.04) (end 2.001 2.382) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.041 -2.365) (end 2.041 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.041 1.04) (end 2.041 2.365) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.081 -2.348) (end 2.081 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.081 1.04) (end 2.081 2.348) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.121 -2.329) (end 2.121 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.121 1.04) (end 2.121 2.329) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.161 -2.31) (end 2.161 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.161 1.04) (end 2.161 2.31) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.201 -2.29) (end 2.201 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.201 1.04) (end 2.201 2.29) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.241 -2.268) (end 2.241 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.241 1.04) (end 2.241 2.268) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.281 -2.247) (end 2.281 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.281 1.04) (end 2.281 2.247) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.321 -2.224) (end 2.321 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.321 1.04) (end 2.321 2.224) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.361 -2.2) (end 2.361 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.361 1.04) (end 2.361 2.2) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.401 -2.175) (end 2.401 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.401 1.04) (end 2.401 2.175) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.441 -2.149) (end 2.441 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.441 1.04) (end 2.441 2.149) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.481 -2.122) (end 2.481 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.481 1.04) (end 2.481 2.122) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.521 -2.095) (end 2.521 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.521 1.04) (end 2.521 2.095) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.561 -2.065) (end 2.561 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.561 1.04) (end 2.561 2.065) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.601 -2.035) (end 2.601 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.601 1.04) (end 2.601 2.035) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.641 -2.004) (end 2.641 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.641 1.04) (end 2.641 2.004) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.681 -1.971) (end 2.681 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.681 1.04) (end 2.681 1.971) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.721 -1.937) (end 2.721 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.721 1.04) (end 2.721 1.937) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.761 -1.901) (end 2.761 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.761 1.04) (end 2.761 1.901) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.801 -1.864) (end 2.801 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.801 1.04) (end 2.801 1.864) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.841 -1.826) (end 2.841 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.841 1.04) (end 2.841 1.826) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.881 -1.785) (end 2.881 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.881 1.04) (end 2.881 1.785) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.921 -1.743) (end 2.921 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.921 1.04) (end 2.921 1.743) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.961 -1.699) (end 2.961 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.961 1.04) (end 2.961 1.699) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.001 -1.653) (end 3.001 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.001 1.04) (end 3.001 1.653) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.041 -1.605) (end 3.041 1.605) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.081 -1.554) (end 3.081 1.554) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.121 -1.5) (end 3.121 1.5) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.161 -1.443) (end 3.161 1.443) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.201 -1.383) (end 3.201 1.383) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.241 -1.319) (end 3.241 1.319) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.281 -1.251) (end 3.281 1.251) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.321 -1.178) (end 3.321 1.178) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.361 -1.098) (end 3.361 1.098) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.401 -1.011) (end 3.401 1.011) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.441 -0.915) (end 3.441 0.915) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.481 -0.805) (end 3.481 0.805) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.521 -0.677) (end 3.521 0.677) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.561 -0.518) (end 3.561 0.518) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.601 -0.284) (end 3.601 0.284) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.804775 -1.475) (end -1.304775 -1.475) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.554775 -1.725) (end -1.554775 -1.225) (layer "F.SilkS") (width 0.12))
+ (fp_text user "%R" (at 1 0) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad "2" thru_hole circle (at 2 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
+ (net 2 "GND"))
+ (pad "1" thru_hole rect (at 0 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
+ (net 3 "+3V3"))
+ (model "${KISYS3DMOD}/Capacitor_THT.3dshapes/CP_Radial_D5.0mm_P2.00mm.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C21D466)
- (at 94.5 93.25 90)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C382D39)
- (attr smd)
- (fp_text reference R8 (at 0 -1.45 90) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 0 (at 0 1.55 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 0 90) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (pad 2 smd rect (at 1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 1 smd rect (at -1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 27 "Net-(R8-Pad1)"))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (module "Capacitor_THT:CP_Radial_D13.0mm_P5.00mm" (layer "B.Cu") (tedit 5AE50EF1) (tstamp 5C2A339B)
+ (at 180.45 111.225 180)
+ (descr "CP, Radial series, Radial, pin pitch=5.00mm, , diameter=13mm, Electrolytic Capacitor")
+ (tags "CP Radial series Radial pin pitch 5.00mm diameter 13mm Electrolytic Capacitor")
+ (path "/5C1A6A7D")
+ (fp_text reference "C4" (at -2.975 5.825) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ )
+ (fp_text value "2200uF/25V/2A" (at 2.5 -7.75) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ )
+ (fp_circle (center 2.5 0) (end 9 0) (layer "B.Fab") (width 0.1))
+ (fp_circle (center 2.5 0) (end 9.12 0) (layer "B.SilkS") (width 0.12))
+ (fp_circle (center 2.5 0) (end 9.25 0) (layer "B.CrtYd") (width 0.05))
+ (fp_line (start -3.082015 2.8475) (end -1.782015 2.8475) (layer "B.Fab") (width 0.1))
+ (fp_line (start -2.432015 3.4975) (end -2.432015 2.1975) (layer "B.Fab") (width 0.1))
+ (fp_line (start 2.5 6.58) (end 2.5 -6.58) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 2.54 6.58) (end 2.54 -6.58) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 2.58 6.58) (end 2.58 -6.58) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 2.62 6.579) (end 2.62 -6.579) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 2.66 6.579) (end 2.66 -6.579) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 2.7 6.577) (end 2.7 -6.577) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 2.74 6.576) (end 2.74 -6.576) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 2.78 6.575) (end 2.78 -6.575) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 2.82 6.573) (end 2.82 -6.573) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 2.86 6.571) (end 2.86 -6.571) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 2.9 6.568) (end 2.9 -6.568) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 2.94 6.566) (end 2.94 -6.566) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 2.98 6.563) (end 2.98 -6.563) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.02 6.56) (end 3.02 -6.56) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.06 6.557) (end 3.06 -6.557) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.1 6.553) (end 3.1 -6.553) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.14 6.549) (end 3.14 -6.549) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.18 6.545) (end 3.18 -6.545) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.221 6.541) (end 3.221 -6.541) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.261 6.537) (end 3.261 -6.537) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.301 6.532) (end 3.301 -6.532) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.341 6.527) (end 3.341 -6.527) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.381 6.522) (end 3.381 -6.522) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.421 6.516) (end 3.421 -6.516) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.461 6.511) (end 3.461 -6.511) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.501 6.505) (end 3.501 -6.505) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.541 6.498) (end 3.541 -6.498) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.581 6.492) (end 3.581 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.581 -1.44) (end 3.581 -6.492) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.621 6.485) (end 3.621 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.621 -1.44) (end 3.621 -6.485) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.661 6.478) (end 3.661 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.661 -1.44) (end 3.661 -6.478) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.701 6.471) (end 3.701 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.701 -1.44) (end 3.701 -6.471) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.741 6.463) (end 3.741 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.741 -1.44) (end 3.741 -6.463) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.781 6.456) (end 3.781 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.781 -1.44) (end 3.781 -6.456) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.821 6.448) (end 3.821 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.821 -1.44) (end 3.821 -6.448) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.861 6.439) (end 3.861 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.861 -1.44) (end 3.861 -6.439) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.901 6.431) (end 3.901 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.901 -1.44) (end 3.901 -6.431) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.941 6.422) (end 3.941 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.941 -1.44) (end 3.941 -6.422) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.981 6.413) (end 3.981 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.981 -1.44) (end 3.981 -6.413) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.021 6.404) (end 4.021 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.021 -1.44) (end 4.021 -6.404) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.061 6.394) (end 4.061 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.061 -1.44) (end 4.061 -6.394) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.101 6.384) (end 4.101 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.101 -1.44) (end 4.101 -6.384) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.141 6.374) (end 4.141 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.141 -1.44) (end 4.141 -6.374) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.181 6.364) (end 4.181 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.181 -1.44) (end 4.181 -6.364) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.221 6.353) (end 4.221 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.221 -1.44) (end 4.221 -6.353) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.261 6.342) (end 4.261 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.261 -1.44) (end 4.261 -6.342) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.301 6.331) (end 4.301 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.301 -1.44) (end 4.301 -6.331) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.341 6.32) (end 4.341 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.341 -1.44) (end 4.341 -6.32) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.381 6.308) (end 4.381 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.381 -1.44) (end 4.381 -6.308) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.421 6.296) (end 4.421 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.421 -1.44) (end 4.421 -6.296) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.461 6.284) (end 4.461 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.461 -1.44) (end 4.461 -6.284) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.501 6.271) (end 4.501 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.501 -1.44) (end 4.501 -6.271) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.541 6.258) (end 4.541 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.541 -1.44) (end 4.541 -6.258) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.581 6.245) (end 4.581 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.581 -1.44) (end 4.581 -6.245) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.621 6.232) (end 4.621 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.621 -1.44) (end 4.621 -6.232) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.661 6.218) (end 4.661 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.661 -1.44) (end 4.661 -6.218) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.701 6.204) (end 4.701 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.701 -1.44) (end 4.701 -6.204) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.741 6.19) (end 4.741 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.741 -1.44) (end 4.741 -6.19) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.781 6.175) (end 4.781 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.781 -1.44) (end 4.781 -6.175) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.821 6.161) (end 4.821 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.821 -1.44) (end 4.821 -6.161) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.861 6.146) (end 4.861 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.861 -1.44) (end 4.861 -6.146) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.901 6.13) (end 4.901 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.901 -1.44) (end 4.901 -6.13) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.941 6.114) (end 4.941 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.941 -1.44) (end 4.941 -6.114) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.981 6.098) (end 4.981 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.981 -1.44) (end 4.981 -6.098) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.021 6.082) (end 5.021 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.021 -1.44) (end 5.021 -6.082) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.061 6.065) (end 5.061 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.061 -1.44) (end 5.061 -6.065) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.101 6.049) (end 5.101 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.101 -1.44) (end 5.101 -6.049) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.141 6.031) (end 5.141 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.141 -1.44) (end 5.141 -6.031) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.181 6.014) (end 5.181 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.181 -1.44) (end 5.181 -6.014) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.221 5.996) (end 5.221 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.221 -1.44) (end 5.221 -5.996) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.261 5.978) (end 5.261 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.261 -1.44) (end 5.261 -5.978) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.301 5.959) (end 5.301 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.301 -1.44) (end 5.301 -5.959) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.341 5.94) (end 5.341 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.341 -1.44) (end 5.341 -5.94) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.381 5.921) (end 5.381 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.381 -1.44) (end 5.381 -5.921) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.421 5.902) (end 5.421 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.421 -1.44) (end 5.421 -5.902) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.461 5.882) (end 5.461 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.461 -1.44) (end 5.461 -5.882) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.501 5.862) (end 5.501 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.501 -1.44) (end 5.501 -5.862) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.541 5.841) (end 5.541 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.541 -1.44) (end 5.541 -5.841) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.581 5.82) (end 5.581 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.581 -1.44) (end 5.581 -5.82) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.621 5.799) (end 5.621 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.621 -1.44) (end 5.621 -5.799) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.661 5.778) (end 5.661 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.661 -1.44) (end 5.661 -5.778) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.701 5.756) (end 5.701 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.701 -1.44) (end 5.701 -5.756) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.741 5.733) (end 5.741 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.741 -1.44) (end 5.741 -5.733) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.781 5.711) (end 5.781 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.781 -1.44) (end 5.781 -5.711) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.821 5.688) (end 5.821 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.821 -1.44) (end 5.821 -5.688) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.861 5.664) (end 5.861 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.861 -1.44) (end 5.861 -5.664) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.901 5.641) (end 5.901 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.901 -1.44) (end 5.901 -5.641) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.941 5.617) (end 5.941 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.941 -1.44) (end 5.941 -5.617) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.981 5.592) (end 5.981 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.981 -1.44) (end 5.981 -5.592) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.021 5.567) (end 6.021 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.021 -1.44) (end 6.021 -5.567) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.061 5.542) (end 6.061 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.061 -1.44) (end 6.061 -5.542) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.101 5.516) (end 6.101 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.101 -1.44) (end 6.101 -5.516) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.141 5.49) (end 6.141 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.141 -1.44) (end 6.141 -5.49) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.181 5.463) (end 6.181 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.181 -1.44) (end 6.181 -5.463) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.221 5.436) (end 6.221 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.221 -1.44) (end 6.221 -5.436) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.261 5.409) (end 6.261 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.261 -1.44) (end 6.261 -5.409) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.301 5.381) (end 6.301 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.301 -1.44) (end 6.301 -5.381) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.341 5.353) (end 6.341 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.341 -1.44) (end 6.341 -5.353) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.381 5.324) (end 6.381 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.381 -1.44) (end 6.381 -5.324) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.421 5.295) (end 6.421 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.421 -1.44) (end 6.421 -5.295) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.461 5.265) (end 6.461 -5.265) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.501 5.235) (end 6.501 -5.235) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.541 5.205) (end 6.541 -5.205) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.581 5.174) (end 6.581 -5.174) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.621 5.142) (end 6.621 -5.142) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.661 5.11) (end 6.661 -5.11) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.701 5.078) (end 6.701 -5.078) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.741 5.044) (end 6.741 -5.044) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.781 5.011) (end 6.781 -5.011) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.821 4.977) (end 6.821 -4.977) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.861 4.942) (end 6.861 -4.942) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.901 4.907) (end 6.901 -4.907) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.941 4.871) (end 6.941 -4.871) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.981 4.834) (end 6.981 -4.834) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.021 4.797) (end 7.021 -4.797) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.061 4.76) (end 7.061 -4.76) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.101 4.721) (end 7.101 -4.721) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.141 4.682) (end 7.141 -4.682) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.181 4.643) (end 7.181 -4.643) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.221 4.602) (end 7.221 -4.602) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.261 4.561) (end 7.261 -4.561) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.301 4.519) (end 7.301 -4.519) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.341 4.477) (end 7.341 -4.477) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.381 4.434) (end 7.381 -4.434) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.421 4.39) (end 7.421 -4.39) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.461 4.345) (end 7.461 -4.345) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.501 4.299) (end 7.501 -4.299) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.541 4.253) (end 7.541 -4.253) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.581 4.205) (end 7.581 -4.205) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.621 4.157) (end 7.621 -4.157) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.661 4.108) (end 7.661 -4.108) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.701 4.057) (end 7.701 -4.057) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.741 4.006) (end 7.741 -4.006) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.781 3.954) (end 7.781 -3.954) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.821 3.9) (end 7.821 -3.9) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.861 3.846) (end 7.861 -3.846) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.901 3.79) (end 7.901 -3.79) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.941 3.733) (end 7.941 -3.733) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.981 3.675) (end 7.981 -3.675) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.021 3.615) (end 8.021 -3.615) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.061 3.554) (end 8.061 -3.554) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.101 3.491) (end 8.101 -3.491) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.141 3.427) (end 8.141 -3.427) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.181 3.361) (end 8.181 -3.361) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.221 3.293) (end 8.221 -3.293) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.261 3.223) (end 8.261 -3.223) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.301 3.152) (end 8.301 -3.152) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.341 3.078) (end 8.341 -3.078) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.381 3.002) (end 8.381 -3.002) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.421 2.923) (end 8.421 -2.923) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.461 2.842) (end 8.461 -2.842) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.501 2.758) (end 8.501 -2.758) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.541 2.67) (end 8.541 -2.67) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.581 2.579) (end 8.581 -2.579) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.621 2.484) (end 8.621 -2.484) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.661 2.385) (end 8.661 -2.385) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.701 2.281) (end 8.701 -2.281) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.741 2.171) (end 8.741 -2.171) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.781 2.055) (end 8.781 -2.055) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.821 1.931) (end 8.821 -1.931) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.861 1.798) (end 8.861 -1.798) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.901 1.653) (end 8.901 -1.653) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.941 1.494) (end 8.941 -1.494) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.981 1.315) (end 8.981 -1.315) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 9.021 1.107) (end 9.021 -1.107) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 9.061 0.85) (end 9.061 -0.85) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 9.101 0.475) (end 9.101 -0.475) (layer "B.SilkS") (width 0.12))
+ (fp_line (start -4.584569 3.715) (end -3.284569 3.715) (layer "B.SilkS") (width 0.12))
+ (fp_line (start -3.934569 4.365) (end -3.934569 3.065) (layer "B.SilkS") (width 0.12))
+ (fp_text user "%R" (at 2.5 0) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ )
+ (pad "2" thru_hole circle (at 5 0 180) (size 2.4 2.4) (drill 1.2) (layers *.Cu *.Mask)
+ (net 2 "GND"))
+ (pad "1" thru_hole rect (at 0 0 180) (size 2.4 2.4) (drill 1.2) (layers *.Cu *.Mask)
+ (net 1 "+12V"))
+ (model "${KISYS3DMOD}/Capacitor_THT.3dshapes/CP_Radial_D13.0mm_P5.00mm.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C2A0457)
- (at 112 98.75 180)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C2AA0AC)
+ (module "Package_SO:SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.29x3mm" (layer "F.Cu") (tedit 5C56E16F) (tstamp 5DE8A5E4)
+ (at 179.2 116.225 180)
+ (descr "SOIC, 8 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/ada4898-1_4898-2.pdf#page=29), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+ (tags "SOIC SO")
+ (path "/5DE7FF76")
(attr smd)
- (fp_text reference R9 (at 0 -1.45 180) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 0 (at 0 1.55 180) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at 0 0 180) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -1.1 0 180) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 4 "Net-(C7-Pad2)"))
- (pad 2 smd rect (at 1.1 0 180) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 15 "Net-(D7-Pad1)"))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (fp_text reference "U2" (at -3.55 3.175 180) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "TX4138" (at 0 3.4) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.98 0.98) (thickness 0.15)))
+ )
+ (fp_line (start 3.7 -2.7) (end -3.7 -2.7) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 3.7 2.7) (end 3.7 -2.7) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -3.7 2.7) (end 3.7 2.7) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -3.7 -2.7) (end -3.7 2.7) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.95 -1.475) (end -0.975 -2.45) (layer "F.Fab") (width 0.1))
+ (fp_line (start -1.95 2.45) (end -1.95 -1.475) (layer "F.Fab") (width 0.1))
+ (fp_line (start 1.95 2.45) (end -1.95 2.45) (layer "F.Fab") (width 0.1))
+ (fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.975 -2.45) (end 1.95 -2.45) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0 -2.56) (end -3.45 -2.56) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0 -2.56) (end 1.95 -2.56) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0 2.56) (end -1.95 2.56) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0 2.56) (end 1.95 2.56) (layer "F.SilkS") (width 0.12))
+ (pad "8" smd roundrect (at 2.475 -1.905 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 40 "Net-(R2-Pad2)"))
+ (pad "7" smd roundrect (at 2.475 -0.635 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 29 "Net-(U2-Pad7)"))
+ (pad "6" smd roundrect (at 2.475 0.635 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 30 "Net-(U2-Pad6)"))
+ (pad "5" smd roundrect (at 2.475 1.905 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 35 "Net-(C5-Pad1)"))
+ (pad "4" smd roundrect (at -2.475 1.905 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "GND"))
+ (pad "3" smd roundrect (at -2.475 0.635 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 26 "+VSW"))
+ (pad "2" smd roundrect (at -2.475 -0.635 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 31 "/ILIM"))
+ (pad "1" smd roundrect (at -2.475 -1.905 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 33 "Net-(C3-Pad2)"))
+ (pad "" smd roundrect (at 0.57 0.75 180) (size 0.92 1.21) (layers "F.Paste") (roundrect_rratio 0.25))
+ (pad "" smd roundrect (at 0.57 -0.75 180) (size 0.92 1.21) (layers "F.Paste") (roundrect_rratio 0.25))
+ (pad "" smd roundrect (at -0.57 0.75 180) (size 0.92 1.21) (layers "F.Paste") (roundrect_rratio 0.25))
+ (pad "" smd roundrect (at -0.57 -0.75 180) (size 0.92 1.21) (layers "F.Paste") (roundrect_rratio 0.25))
+ (pad "9" smd roundrect (at 0 0 180) (size 2.29 3) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.10917)
+ (net 31 "/ILIM"))
+ (model "${KISYS3DMOD}/Package_SO.3dshapes/SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.29x3mm.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C2A14BF)
- (at 115.7 102.4 90)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C234E1F)
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5DE817CE)
+ (at 174.45 114.225 90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path "/5DF1BE4C")
(attr smd)
- (fp_text reference R11 (at 0 -1.45 90) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 0 (at 0 1.55 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 0 90) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (pad 2 smd rect (at 1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 28 /LOAD))
- (pad 1 smd rect (at -1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 9 "Net-(C12-Pad1)"))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (fp_text reference "R6" (at 1.05 1.7 180) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "R" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (pad "2" smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "GND"))
+ (pad "1" smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 35 "Net-(C5-Pad1)"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C29F655)
- (at 110.5 110.75 90)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C24FD4B)
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5DE8179D)
+ (at 173.45 116.725)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path "/5DF1B471")
(attr smd)
- (fp_text reference R12 (at 0 -1.45 90) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 0 (at 0 1.55 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 0 90) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (pad 2 smd rect (at 1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 29 /CH1))
- (pad 1 smd rect (at -1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 10 "Net-(C13-Pad1)"))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (fp_text reference "R3" (at -2.7 -1.1) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "R" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (pad "2" smd roundrect (at 0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 35 "Net-(C5-Pad1)"))
+ (pad "1" smd roundrect (at -0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "+12V"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C2A1938)
- (at 84.7 110.1)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C2DA800)
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5DE8178C)
+ (at 173.45 118.225)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path "/5DF4CC98")
(attr smd)
- (fp_text reference R13 (at -0.3 2.6 90) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 10k (at 0 1.55) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at 0 0) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -1.1 0) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 8 "Net-(C11-Pad2)"))
- (pad 2 smd rect (at 1.1 0) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 1 +12V))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (fp_text reference "R2" (at 0.05 1.375) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "5R1" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (pad "2" smd roundrect (at 0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 40 "Net-(R2-Pad2)"))
+ (pad "1" smd roundrect (at -0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 34 "Net-(C3-Pad1)"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C21D4CC)
- (at 82.2 107 90)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C2DA825)
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5DE88315)
+ (at 168.7 120.975 270)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path "/5DF04917")
(attr smd)
- (fp_text reference R14 (at -3.55 -0.05 270) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 0 (at 0 1.55 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 0 90) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (pad 2 smd rect (at 1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 18 "Net-(D8-Pad1)"))
- (pad 1 smd rect (at -1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 8 "Net-(C11-Pad2)"))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (fp_text reference "R1" (at 0.825 1.5 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "1k" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (pad "2" smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 33 "Net-(C3-Pad2)"))
+ (pad "1" smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "+12V"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Measurement_Points:Measurement_Point_Square-SMD-Pad_Small (layer F.Cu) (tedit 56C36007) (tstamp 5C21D4D5)
- (at 110.1 101.6)
+ (module "Measurement_Points:Measurement_Point_Square-SMD-Pad_Small" (layer "F.Cu") (tedit 56C36007) (tstamp 5C21D4DE)
+ (at 183.7 75.975)
(descr "Mesurement Point, Square, SMD Pad, 1.5mm x 1.5mm,")
(tags "Mesurement Point Square SMD Pad 1.5x1.5mm")
- (path /5C453DE6)
+ (path "/5C45EF40")
(attr virtual)
- (fp_text reference TP1 (at 0.38 1.8) (layer F.SilkS)
+ (fp_text reference "TP2" (at -1.39 0.1 90) (layer "F.SilkS") hide
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value TestPoint (at 0 2) (layer F.Fab)
+ (fp_text value "PA9" (at 2.575 0.275) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start -1 1) (end -1 -1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 1) (end -1 1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 -1) (end 1 1) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1 -1) (end 1 -1) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at 0 0) (size 1.5 1.5) (layers F.Cu F.Mask)
- (net 30 "Net-(TP1-Pad1)"))
+ (fp_line (start -1 -1) (end 1 -1) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1 -1) (end 1 1) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1 1) (end -1 1) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1 1) (end -1 -1) (layer "F.CrtYd") (width 0.05))
+ (pad "1" smd rect (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
+ (net 23 "Net-(TP2-Pad1)"))
)
- (module Measurement_Points:Measurement_Point_Square-SMD-Pad_Small (layer F.Cu) (tedit 56C36007) (tstamp 5C21D4DE)
- (at 105.9 96.7)
- (descr "Mesurement Point, Square, SMD Pad, 1.5mm x 1.5mm,")
- (tags "Mesurement Point Square SMD Pad 1.5x1.5mm")
- (path /5C45EF40)
- (attr virtual)
- (fp_text reference TP2 (at -1.39 0.1 90) (layer F.SilkS)
+ (module "center:led_tape_3528_2835" (layer "F.Cu") (tedit 5DE7D961) (tstamp 5DE7F492)
+ (at 156.2 73.275 37)
+ (path "/5C196C4D")
+ (fp_text reference "J3" (at 0 0.499999 37) (layer "F.SilkS") hide
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value TestPoint (at 0 2) (layer F.Fab)
+ (fp_text value "bottom right" (at 0 -0.499999 37) (layer "F.Fab") hide
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start -1 -1) (end 1 -1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 -1) (end 1 1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 1) (end -1 1) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1 1) (end -1 -1) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at 0 0) (size 1.5 1.5) (layers F.Cu F.Mask)
- (net 31 "Net-(TP2-Pad1)"))
+ (fp_line (start 5 3) (end 5 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 7 0) (end 5 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 7 0) (end 7 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 5 3) (end 7 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 3) (end -5 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -7 3) (end -5 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -7 0) (end -7 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 0) (end -7 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 31.5) (end -5 29) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 5 31.5) (end -5 31.5) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 5 29) (end 5 31.5) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 29) (end 5 29) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 4 29) (end 4 0) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 0) (end -4 29) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 3.000001) (end 4 3.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start 4 3.000001) (end -4 0) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 0) (end 4 0) (layer "F.SilkS") (width 0.5))
+ (fp_line (start 4 2.000001) (end -1.5 0.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start 1 0.000001) (end 4 1.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 2.000001) (end -1.5 3.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 1.000001) (end 1 3.000001) (layer "F.SilkS") (width 0.5))
+ (pad "2" smd oval (at 2 6 37) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 5 "Net-(D1-Pad2)"))
+ (pad "1" smd oval (at -2 6 37) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 14 "/Q3"))
)
- (module Measurement_Points:Measurement_Point_Square-SMD-Pad_Small (layer F.Cu) (tedit 56C36007) (tstamp 5C21D4E7)
- (at 105.9 94.2)
- (descr "Mesurement Point, Square, SMD Pad, 1.5mm x 1.5mm,")
- (tags "Mesurement Point Square SMD Pad 1.5x1.5mm")
- (path /5C45F33E)
- (attr virtual)
- (fp_text reference TP3 (at -1.5 -0.02 90) (layer F.SilkS)
+ (module "center:led_tape_3528_2835" (layer "F.Cu") (tedit 5DE7D961) (tstamp 5C21D3A6)
+ (at 156.225 126.575 143)
+ (path "/5C196B9D")
+ (fp_text reference "J2" (at 0 0.499999 143) (layer "F.SilkS") hide
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value TestPoint (at 0 2) (layer F.Fab)
+ (fp_text value "top right" (at 0 -0.499999 143) (layer "F.Fab") hide
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start -1 1) (end -1 -1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 1) (end -1 1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 -1) (end 1 1) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1 -1) (end 1 -1) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at 0 0) (size 1.5 1.5) (layers F.Cu F.Mask)
- (net 32 "Net-(TP3-Pad1)"))
+ (fp_line (start 5 3) (end 5 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 7 0) (end 5 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 7 0) (end 7 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 5 3) (end 7 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 3) (end -5 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -7 3) (end -5 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -7 0) (end -7 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 0) (end -7 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 31.5) (end -5 29) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 5 31.5) (end -5 31.5) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 5 29) (end 5 31.5) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 29) (end 5 29) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 4 29) (end 4 0) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 0) (end -4 29) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 3.000001) (end 4 3.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start 4 3.000001) (end -4 0) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 0) (end 4 0) (layer "F.SilkS") (width 0.5))
+ (fp_line (start 4 2.000001) (end -1.5 0.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start 1 0.000001) (end 4 1.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 2.000001) (end -1.5 3.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 1.000001) (end 1 3.000001) (layer "F.SilkS") (width 0.5))
+ (pad "2" smd oval (at 2 6 143) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 6 "Net-(D2-Pad2)"))
+ (pad "1" smd oval (at -2 6 143) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 13 "/Q0"))
)
- (module Measurement_Points:Measurement_Point_Square-SMD-Pad_Small (layer F.Cu) (tedit 56C36007) (tstamp 5C21D4F0)
- (at 107.4 101.6)
- (descr "Mesurement Point, Square, SMD Pad, 1.5mm x 1.5mm,")
- (tags "Mesurement Point Square SMD Pad 1.5x1.5mm")
- (path /5C45FC76)
- (attr virtual)
- (fp_text reference TP4 (at 0.13 1.68) (layer F.SilkS)
+ (module "center:led_tape_3528_2835" (layer "F.Cu") (tedit 5DE7D961) (tstamp 5C21D396)
+ (at 199.675 126.6 217)
+ (path "/5C196961")
+ (fp_text reference "J1" (at 0 0.499999 37) (layer "F.SilkS") hide
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value TestPoint (at 0 2) (layer F.Fab)
+ (fp_text value "top left" (at 0 -0.499999 37) (layer "F.Fab") hide
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start -1 -1) (end 1 -1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 -1) (end 1 1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 1) (end -1 1) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1 1) (end -1 -1) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at 0 0) (size 1.5 1.5) (layers F.Cu F.Mask)
- (net 33 "Net-(TP4-Pad1)"))
+ (fp_line (start 5 3) (end 5 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 7 0) (end 5 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 7 0) (end 7 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 5 3) (end 7 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 3) (end -5 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -7 3) (end -5 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -7 0) (end -7 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 0) (end -7 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 31.5) (end -5 29) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 5 31.5) (end -5 31.5) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 5 29) (end 5 31.5) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 29) (end 5 29) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 4 29) (end 4 0) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 0) (end -4 29) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 3.000001) (end 4 3.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start 4 3.000001) (end -4 0) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 0) (end 4 0) (layer "F.SilkS") (width 0.5))
+ (fp_line (start 4 2.000001) (end -1.5 0.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start 1 0.000001) (end 4 1.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 2.000001) (end -1.5 3.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 1.000001) (end 1 3.000001) (layer "F.SilkS") (width 0.5))
+ (pad "2" smd oval (at 2 6 217) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 5 "Net-(D1-Pad2)"))
+ (pad "1" smd oval (at -2 6 217) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 12 "/Q2"))
)
- (module Crystals:Crystal_SMD_3225-4pin_3.2x2.5mm (layer F.Cu) (tedit 58CD2E9C) (tstamp 5C21D504)
- (at 99.5 93.25 90)
- (descr "SMD Crystal SERIES SMD3225/4 http://www.txccrystal.com/images/pdf/7m-accuracy.pdf, 3.2x2.5mm^2 package")
- (tags "SMD SMT crystal")
- (path /5C30EB37)
+ (module "center:led_tape_3528_2835" (layer "F.Cu") (tedit 5DE7D961) (tstamp 5DE7DC7C)
+ (at 199.7 73.3 323)
+ (path "/5C196D8E")
+ (fp_text reference "J4" (at 0 0.499999 143) (layer "F.SilkS") hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "bottom left" (at 0 -0.499999 143) (layer "F.Fab") hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 5 3) (end 5 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 7 0) (end 5 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 7 0) (end 7 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 5 3) (end 7 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 3) (end -5 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -7 3) (end -5 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -7 0) (end -7 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 0) (end -7 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 31.5) (end -5 29) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 5 31.5) (end -5 31.5) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 5 29) (end 5 31.5) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 29) (end 5 29) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 4 29) (end 4 0) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 0) (end -4 29) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 3.000001) (end 4 3.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start 4 3.000001) (end -4 0) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 0) (end 4 0) (layer "F.SilkS") (width 0.5))
+ (fp_line (start 4 2.000001) (end -1.5 0.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start 1 0.000001) (end 4 1.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 2.000001) (end -1.5 3.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 1.000001) (end 1 3.000001) (layer "F.SilkS") (width 0.5))
+ (pad "1" smd oval (at -2 6 323) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 15 "/Q1"))
+ (pad "2" smd oval (at 2 6 323) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 6 "Net-(D2-Pad2)"))
+ )
+
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") (tedit 5A02FF57) (tstamp 5DF4F1A5)
+ (at 156.55 84.975 270)
+ (descr "SOT-23, Standard")
+ (tags "SOT-23")
+ (path "/5C57CF20")
(attr smd)
- (fp_text reference Y1 (at 2.68 0.03 180) (layer F.SilkS)
+ (fp_text reference "Q3" (at 0.4 -2.5 90) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value 8MHz (at 0 2.45 90) (layer F.Fab)
+ (fp_text value "AO3400" (at 0 2.5 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text user %R (at 0 0 90) (layer F.Fab)
- (effects (font (size 0.7 0.7) (thickness 0.105)))
+ (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.075)))
)
- (fp_line (start -1.6 -1.25) (end -1.6 1.25) (layer F.Fab) (width 0.1))
- (fp_line (start -1.6 1.25) (end 1.6 1.25) (layer F.Fab) (width 0.1))
- (fp_line (start 1.6 1.25) (end 1.6 -1.25) (layer F.Fab) (width 0.1))
- (fp_line (start 1.6 -1.25) (end -1.6 -1.25) (layer F.Fab) (width 0.1))
- (fp_line (start -1.6 0.25) (end -0.6 1.25) (layer F.Fab) (width 0.1))
- (fp_line (start -2 -1.65) (end -2 1.65) (layer F.SilkS) (width 0.12))
- (fp_line (start -2 1.65) (end 2 1.65) (layer F.SilkS) (width 0.12))
- (fp_line (start -2.1 -1.7) (end -2.1 1.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -2.1 1.7) (end 2.1 1.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 2.1 1.7) (end 2.1 -1.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 2.1 -1.7) (end -2.1 -1.7) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -1.1 0.85 90) (size 1.4 1.2) (layers F.Cu F.Paste F.Mask)
- (net 6 "Net-(C9-Pad1)"))
- (pad 2 smd rect (at 1.1 0.85 90) (size 1.4 1.2) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 3 smd rect (at 1.1 -0.85 90) (size 1.4 1.2) (layers F.Cu F.Paste F.Mask)
- (net 7 "Net-(C10-Pad1)"))
- (pad 4 smd rect (at -1.1 -0.85 90) (size 1.4 1.2) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (model ${KISYS3DMOD}/Crystals.3dshapes/Crystal_SMD_3225-4pin_3.2x2.5mm.wrl
+ (pad "3" smd rect (at 1 0 270) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 9 "Net-(D7-Pad1)"))
+ (pad "2" smd rect (at -1 0.95 270) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "1" smd rect (at -1 -0.95 270) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 20 "/LOAD"))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module TO_SOT_Packages_SMD:SOT-23 (layer F.Cu) (tedit 58CE4E7E) (tstamp 5C29A036)
- (at 95.75 85.25 270)
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") (tedit 5A02FF57) (tstamp 5DF43F1E)
+ (at 156.35 111.575 180)
(descr "SOT-23, Standard")
- (tags SOT-23)
- (path /5C577582)
+ (tags "SOT-23")
+ (path "/5C577582")
(attr smd)
- (fp_text reference Q1 (at 1.9 -1.41 270) (layer F.SilkS)
+ (fp_text reference "Q1" (at 1 2.4) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value AO3400 (at 0 2.5 270) (layer F.Fab)
+ (fp_text value "AO3401" (at 0 2.5) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text user %R (at 0 0) (layer F.Fab)
+ (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
(effects (font (size 0.5 0.5) (thickness 0.075)))
)
- (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer F.Fab) (width 0.1))
- (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer F.Fab) (width 0.1))
- (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer F.Fab) (width 0.1))
- (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
- (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
- (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer F.SilkS) (width 0.12))
- (pad 1 smd rect (at -1 -0.95 270) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 5 "Net-(C8-Pad1)"))
- (pad 2 smd rect (at -1 0.95 270) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 3 smd rect (at 1 0 270) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 20 /Q0))
- (model ${KISYS3DMOD}/TO_SOT_Packages_SMD.3dshapes/SOT-23.wrl
+ (pad "3" smd rect (at 1 0 180) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 1 "+12V"))
+ (pad "2" smd rect (at -1 0.95 180) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 13 "/Q0"))
+ (pad "1" smd rect (at -1 -0.95 180) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 36 "Net-(Q1-Pad1)"))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module TO_SOT_Packages_SMD:SOT-23 (layer F.Cu) (tedit 58CE4E7E) (tstamp 5C2A02C1)
- (at 112 93.5 90)
- (descr "SOT-23, Standard")
- (tags SOT-23)
- (path /5C26D08A)
+ (module "Diode_SMD:D_SMA" (layer "F.Cu") (tedit 586432E5) (tstamp 5DE80486)
+ (at 167.45 126.475 90)
+ (descr "Diode SMA (DO-214AC)")
+ (tags "Diode SMA (DO-214AC)")
+ (path "/5E0408EC")
(attr smd)
- (fp_text reference Q2 (at 1.46 -1.52 180) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value AO3401 (at 0 2.5 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
- (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
- (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer F.Fab) (width 0.1))
- (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer F.Fab) (width 0.1))
- (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 0 180) (layer F.Fab)
- (effects (font (size 0.5 0.5) (thickness 0.075)))
+ (fp_text reference "D5" (at 0 -2.5 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
)
- (pad 3 smd rect (at 1 0 90) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 19 /Q2))
- (pad 2 smd rect (at -1 0.95 90) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 1 +12V))
- (pad 1 smd rect (at -1 -0.95 90) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 4 "Net-(C7-Pad2)"))
- (model ${KISYS3DMOD}/TO_SOT_Packages_SMD.3dshapes/SOT-23.wrl
+ (fp_text value "SS510" (at 0 2.6 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -3.4 -1.65) (end 2 -1.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -3.4 1.65) (end 2 1.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 -0.79908) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 0.75032) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.50118 0.75032) (end 0.50118 -0.79908) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 -0.79908) (end -0.64944 0.80112) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.50118 0.00102) (end 1.4994 0.00102) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end -1.55114 0.00102) (layer "F.Fab") (width 0.1))
+ (fp_line (start -3.5 1.75) (end -3.5 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 3.5 1.75) (end -3.5 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 3.5 -1.75) (end 3.5 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -3.5 -1.75) (end 3.5 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 2.3 -1.5) (end -2.3 -1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start 2.3 -1.5) (end 2.3 1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start -2.3 1.5) (end -2.3 -1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start 2.3 1.5) (end -2.3 1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start -3.4 -1.65) (end -3.4 1.65) (layer "F.SilkS") (width 0.12))
+ (fp_text user "%R" (at 0 -2.5 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad "2" smd rect (at 2 0 90) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "1" smd rect (at -2 0 90) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 33 "Net-(C3-Pad2)"))
+ (model "${KISYS3DMOD}/Diode_SMD.3dshapes/D_SMA.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module TO_SOT_Packages_SMD:SOT-23 (layer F.Cu) (tedit 58CE4E7E) (tstamp 5C31E89B)
- (at 106.75 110.75 180)
- (descr "SOT-23, Standard")
- (tags SOT-23)
- (path /5C57D930)
+ (module "Diode_SMD:D_SMA" (layer "F.Cu") (tedit 586432E5) (tstamp 5DE7BDBF)
+ (at 193.45 99.975 270)
+ (descr "Diode SMA (DO-214AC)")
+ (tags "Diode SMA (DO-214AC)")
+ (path "/5E02DC22")
(attr smd)
- (fp_text reference Q4 (at -1.78 -2.44 180) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value AO3400 (at 0 2.5 180) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
- (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
- (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer F.Fab) (width 0.1))
- (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer F.Fab) (width 0.1))
- (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 0 270) (layer F.Fab)
- (effects (font (size 0.5 0.5) (thickness 0.075)))
+ (fp_text reference "D4" (at 2.05 -2.5 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "SS510" (at 0 2.6 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
)
- (pad 3 smd rect (at 1 0 180) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 22 /Q1))
- (pad 2 smd rect (at -1 0.95 180) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 1 smd rect (at -1 -0.95 180) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 10 "Net-(C13-Pad1)"))
- (model ${KISYS3DMOD}/TO_SOT_Packages_SMD.3dshapes/SOT-23.wrl
+ (fp_line (start -3.4 -1.65) (end 2 -1.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -3.4 1.65) (end 2 1.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 -0.79908) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 0.75032) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.50118 0.75032) (end 0.50118 -0.79908) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 -0.79908) (end -0.64944 0.80112) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.50118 0.00102) (end 1.4994 0.00102) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end -1.55114 0.00102) (layer "F.Fab") (width 0.1))
+ (fp_line (start -3.5 1.75) (end -3.5 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 3.5 1.75) (end -3.5 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 3.5 -1.75) (end 3.5 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -3.5 -1.75) (end 3.5 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 2.3 -1.5) (end -2.3 -1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start 2.3 -1.5) (end 2.3 1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start -2.3 1.5) (end -2.3 -1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start 2.3 1.5) (end -2.3 1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start -3.4 -1.65) (end -3.4 1.65) (layer "F.SilkS") (width 0.12))
+ (fp_text user "%R" (at 0 -2.5 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad "2" smd rect (at 2 0 270) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "1" smd rect (at -2 0 270) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 6 "Net-(D2-Pad2)"))
+ (model "${KISYS3DMOD}/Diode_SMD.3dshapes/D_SMA.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module TO_SOT_Packages_SMD:SOT-23 (layer F.Cu) (tedit 58CE4E7E) (tstamp 5C29A08A)
- (at 88 107)
- (descr "SOT-23, Standard")
- (tags SOT-23)
- (path /5C2DA7FA)
+ (module "Diode_SMD:D_SMA" (layer "F.Cu") (tedit 586432E5) (tstamp 5DE7BDA7)
+ (at 193.2 107.725 127)
+ (descr "Diode SMA (DO-214AC)")
+ (tags "Diode SMA (DO-214AC)")
+ (path "/5E03ECDB")
(attr smd)
- (fp_text reference Q5 (at 2.04 -1.54) (layer F.SilkS)
+ (fp_text reference "D3" (at 0.344436 2.450788 127) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value AO3401 (at 0 2.5) (layer F.Fab)
+ (fp_text value "SS510" (at 0 2.6 127) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text user %R (at 0 0 90) (layer F.Fab)
- (effects (font (size 0.5 0.5) (thickness 0.075)))
+ (fp_line (start -3.4 -1.65) (end 2 -1.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -3.4 1.65) (end 2 1.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 -0.79908) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 0.75032) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.50118 0.75032) (end 0.50118 -0.79908) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 -0.79908) (end -0.64944 0.80112) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.50118 0.00102) (end 1.4994 0.00102) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end -1.55114 0.00102) (layer "F.Fab") (width 0.1))
+ (fp_line (start -3.5 1.75) (end -3.5 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 3.5 1.75) (end -3.5 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 3.5 -1.75) (end 3.5 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -3.5 -1.75) (end 3.5 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 2.3 -1.5) (end -2.3 -1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start 2.3 -1.5) (end 2.3 1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start -2.3 1.5) (end -2.3 -1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start 2.3 1.5) (end -2.3 1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start -3.4 -1.65) (end -3.4 1.65) (layer "F.SilkS") (width 0.12))
+ (fp_text user "%R" (at 0 -2.5 127) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer F.Fab) (width 0.1))
- (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer F.Fab) (width 0.1))
- (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer F.Fab) (width 0.1))
- (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
- (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
- (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer F.SilkS) (width 0.12))
- (pad 1 smd rect (at -1 -0.95) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 8 "Net-(C11-Pad2)"))
- (pad 2 smd rect (at -1 0.95) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 1 +12V))
- (pad 3 smd rect (at 1 0) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 21 /Q3))
- (model ${KISYS3DMOD}/TO_SOT_Packages_SMD.3dshapes/SOT-23.wrl
+ (pad "2" smd rect (at 2 0 127) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "1" smd rect (at -2 0 127) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 5 "Net-(D1-Pad2)"))
+ (model "${KISYS3DMOD}/Diode_SMD.3dshapes/D_SMA.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module TO_SOT_Packages_SMD:SOT-223-3Lead_TabPin2 (layer F.Cu) (tedit 58CE4E7E) (tstamp 5C29A0A0)
- (at 99.45 110.85 90)
- (descr "module CMS SOT223 4 pins")
- (tags "CMS SOT")
- (path /5C19682A)
+ (module "Diode_SMD:D_SMA" (layer "F.Cu") (tedit 586432E5) (tstamp 5C21D2F6)
+ (at 189.45 99.975 270)
+ (descr "Diode SMA (DO-214AC)")
+ (tags "Diode SMA (DO-214AC)")
+ (path "/5C199CCC")
(attr smd)
- (fp_text reference U1 (at 0 -4.5 90) (layer F.SilkS)
+ (fp_text reference "D2" (at 4.15 0 180) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value AP1117-33 (at 0 4.5 90) (layer F.Fab)
+ (fp_text value "SS510" (at 0 2.6 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text user %R (at 0 0 180) (layer F.Fab)
- (effects (font (size 0.8 0.8) (thickness 0.12)))
+ (fp_line (start -3.4 -1.65) (end 2 -1.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -3.4 1.65) (end 2 1.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 -0.79908) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 0.75032) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.50118 0.75032) (end 0.50118 -0.79908) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 -0.79908) (end -0.64944 0.80112) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.50118 0.00102) (end 1.4994 0.00102) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end -1.55114 0.00102) (layer "F.Fab") (width 0.1))
+ (fp_line (start -3.5 1.75) (end -3.5 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 3.5 1.75) (end -3.5 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 3.5 -1.75) (end 3.5 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -3.5 -1.75) (end 3.5 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 2.3 -1.5) (end -2.3 -1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start 2.3 -1.5) (end 2.3 1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start -2.3 1.5) (end -2.3 -1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start 2.3 1.5) (end -2.3 1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start -3.4 -1.65) (end -3.4 1.65) (layer "F.SilkS") (width 0.12))
+ (fp_text user "%R" (at 0 -2.5 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start 1.91 3.41) (end 1.91 2.15) (layer F.SilkS) (width 0.12))
- (fp_line (start 1.91 -3.41) (end 1.91 -2.15) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.4 -3.6) (end -4.4 -3.6) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.4 3.6) (end 4.4 -3.6) (layer F.CrtYd) (width 0.05))
- (fp_line (start -4.4 3.6) (end 4.4 3.6) (layer F.CrtYd) (width 0.05))
- (fp_line (start -4.4 -3.6) (end -4.4 3.6) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.85 -2.35) (end -0.85 -3.35) (layer F.Fab) (width 0.1))
- (fp_line (start -1.85 -2.35) (end -1.85 3.35) (layer F.Fab) (width 0.1))
- (fp_line (start -1.85 3.41) (end 1.91 3.41) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.85 -3.35) (end 1.85 -3.35) (layer F.Fab) (width 0.1))
- (fp_line (start -4.1 -3.41) (end 1.91 -3.41) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.85 3.35) (end 1.85 3.35) (layer F.Fab) (width 0.1))
- (fp_line (start 1.85 -3.35) (end 1.85 3.35) (layer F.Fab) (width 0.1))
- (pad 2 smd rect (at 3.15 0 90) (size 2 3.8) (layers F.Cu F.Paste F.Mask)
- (net 3 +3V3))
- (pad 2 smd rect (at -3.15 0 90) (size 2 1.5) (layers F.Cu F.Paste F.Mask)
- (net 3 +3V3))
- (pad 3 smd rect (at -3.15 2.3 90) (size 2 1.5) (layers F.Cu F.Paste F.Mask)
- (net 1 +12V))
- (pad 1 smd rect (at -3.15 -2.3 90) (size 2 1.5) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (model ${KISYS3DMOD}/TO_SOT_Packages_SMD.3dshapes/SOT-223.wrl
+ (pad "2" smd rect (at 2 0 270) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 6 "Net-(D2-Pad2)"))
+ (pad "1" smd rect (at -2 0 270) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 26 "+VSW"))
+ (model "${KISYS3DMOD}/Diode_SMD.3dshapes/D_SMA.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Housings_SSOP:TSSOP-20_4.4x6.5mm_Pitch0.65mm (layer F.Cu) (tedit 54130A77) (tstamp 5C29A0C4)
- (at 100 100)
- (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)")
- (tags "SSOP 0.65")
- (path /5C1966AA)
+ (module "Diode_SMD:D_SMA" (layer "F.Cu") (tedit 586432E5) (tstamp 5DE7BD78)
+ (at 192.7 92.725 53)
+ (descr "Diode SMA (DO-214AC)")
+ (tags "Diode SMA (DO-214AC)")
+ (path "/5E0395C8")
(attr smd)
- (fp_text reference U2 (at -4.93 -3.53 180) (layer F.SilkS)
+ (fp_text reference "D1" (at 0.015802 2.554971 53) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value STM32F030F4P6 (at 0 4.3) (layer F.Fab)
+ (fp_text value "SS510" (at 0 2.6 53) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer F.Fab) (width 0.15))
- (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15))
- (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15))
- (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15))
- (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15))
- (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05))
- (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05))
- (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05))
- (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05))
- (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15))
- (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer F.SilkS) (width 0.15))
- (fp_text user %R (at 0 0) (layer F.Fab)
- (effects (font (size 0.8 0.8) (thickness 0.15)))
+ (fp_line (start -3.4 -1.65) (end 2 -1.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -3.4 1.65) (end 2 1.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 -0.79908) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 0.75032) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.50118 0.75032) (end 0.50118 -0.79908) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 -0.79908) (end -0.64944 0.80112) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.50118 0.00102) (end 1.4994 0.00102) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end -1.55114 0.00102) (layer "F.Fab") (width 0.1))
+ (fp_line (start -3.5 1.75) (end -3.5 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 3.5 1.75) (end -3.5 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 3.5 -1.75) (end 3.5 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -3.5 -1.75) (end 3.5 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 2.3 -1.5) (end -2.3 -1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start 2.3 -1.5) (end 2.3 1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start -2.3 1.5) (end -2.3 -1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start 2.3 1.5) (end -2.3 1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start -3.4 -1.65) (end -3.4 1.65) (layer "F.SilkS") (width 0.12))
+ (fp_text user "%R" (at 0 -2.5 53) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
)
- (pad 1 smd rect (at -2.95 -2.925) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 27 "Net-(R8-Pad1)"))
- (pad 2 smd rect (at -2.95 -2.275) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 7 "Net-(C10-Pad1)"))
- (pad 3 smd rect (at -2.95 -1.625) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 6 "Net-(C9-Pad1)"))
- (pad 4 smd rect (at -2.95 -0.975) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 25 "Net-(R5-Pad1)"))
- (pad 5 smd rect (at -2.95 -0.325) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 3 +3V3))
- (pad 6 smd rect (at -2.95 0.325) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 13 /Vmeas_A))
- (pad 7 smd rect (at -2.95 0.975) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 14 /Vmeas_B))
- (pad 8 smd rect (at -2.95 1.625) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 28 /LOAD))
- (pad 9 smd rect (at -2.95 2.275) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 26 /CH0))
- (pad 10 smd rect (at -2.95 2.925) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 17 /CH3))
- (pad 11 smd rect (at 2.95 2.925) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 30 "Net-(TP1-Pad1)"))
- (pad 12 smd rect (at 2.95 2.275) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 16 /CH2))
- (pad 13 smd rect (at 2.95 1.625) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 29 /CH1))
- (pad 14 smd rect (at 2.95 0.975) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 33 "Net-(TP4-Pad1)"))
- (pad 15 smd rect (at 2.95 0.325) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 16 smd rect (at 2.95 -0.325) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 3 +3V3))
- (pad 17 smd rect (at 2.95 -0.975) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 31 "Net-(TP2-Pad1)"))
- (pad 18 smd rect (at 2.95 -1.625) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 32 "Net-(TP3-Pad1)"))
- (pad 19 smd rect (at 2.95 -2.275) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 24 /SWDIO))
- (pad 20 smd rect (at 2.95 -2.925) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 23 /SWCLK))
- (model ${KISYS3DMOD}/Housings_SSOP.3dshapes/TSSOP-20_4.4x6.5mm_Pitch0.65mm.wrl
+ (pad "2" smd rect (at 2 0 53) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 5 "Net-(D1-Pad2)"))
+ (pad "1" smd rect (at -2 0 53) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 26 "+VSW"))
+ (model "${KISYS3DMOD}/Diode_SMD.3dshapes/D_SMA.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Diodes_SMD:D_MiniMELF_Handsoldering (layer F.Cu) (tedit 5905D919) (tstamp 5C29F225)
- (at 101.6 84.7 90)
- (descr "Diode Mini-MELF Handsoldering")
- (tags "Diode Mini-MELF Handsoldering")
- (path /5C1D3E90)
+ (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5C21D147)
+ (at 162.95 106.975)
+ (descr "Capacitor SMD 0603, hand soldering")
+ (tags "capacitor 0603")
+ (path "/5C1AAFA9")
(attr smd)
- (fp_text reference D5 (at 2.77 -1.84 90) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value D_Schottky (at 0 1.75 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at 0 -1.75 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 2.75 -1) (end -4.55 -1) (layer F.SilkS) (width 0.12))
- (fp_line (start -4.55 -1) (end -4.55 1) (layer F.SilkS) (width 0.12))
- (fp_line (start -4.55 1) (end 2.75 1) (layer F.SilkS) (width 0.12))
- (fp_line (start 1.65 -0.8) (end 1.65 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start 1.65 0.8) (end -1.65 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start -1.65 0.8) (end -1.65 -0.8) (layer F.Fab) (width 0.1))
- (fp_line (start -1.65 -0.8) (end 1.65 -0.8) (layer F.Fab) (width 0.1))
- (fp_line (start 0.25 0) (end 0.75 0) (layer F.Fab) (width 0.1))
- (fp_line (start 0.25 0.4) (end -0.35 0) (layer F.Fab) (width 0.1))
- (fp_line (start 0.25 -0.4) (end 0.25 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 0) (end 0.25 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 0) (end -0.35 0.55) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 0) (end -0.35 -0.55) (layer F.Fab) (width 0.1))
- (fp_line (start -0.75 0) (end -0.35 0) (layer F.Fab) (width 0.1))
- (fp_line (start -4.65 -1.1) (end 4.65 -1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.65 -1.1) (end 4.65 1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.65 1.1) (end -4.65 1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start -4.65 1.1) (end -4.65 -1.1) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -2.75 0 90) (size 3.3 1.7) (layers F.Cu F.Paste F.Mask)
- (net 13 /Vmeas_A))
- (pad 2 smd rect (at 2.75 0 90) (size 3.3 1.7) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (model ${KISYS3DMOD}/Diodes_SMD.3dshapes/D_MiniMELF.wrl
+ (fp_text reference "C6" (at -2.925 0 180) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "10u" (at 0 1.5 180) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user "%R" (at 0 -1.25 180) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
+ (pad "1" smd rect (at -0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 1 "+12V"))
+ (pad "2" smd rect (at 0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (model "Capacitors_SMD.3dshapes/C_0603.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Diodes_SMD:D_MiniMELF_Handsoldering (layer F.Cu) (tedit 5905D919) (tstamp 5C29F23D)
- (at 85.6 100 180)
- (descr "Diode Mini-MELF Handsoldering")
- (tags "Diode Mini-MELF Handsoldering")
- (path /5C1CFB2A)
+ (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5C21D158)
+ (at 162.95 104.975)
+ (descr "Capacitor SMD 0603, hand soldering")
+ (tags "capacitor 0603")
+ (path "/5C1AF3BD")
(attr smd)
- (fp_text reference D6 (at -5.56 0 180) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value D_Schottky (at 0 1.75 180) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -4.65 1.1) (end -4.65 -1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.65 1.1) (end -4.65 1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.65 -1.1) (end 4.65 1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start -4.65 -1.1) (end 4.65 -1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.75 0) (end -0.35 0) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 0) (end -0.35 -0.55) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 0) (end -0.35 0.55) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 0) (end 0.25 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.25 -0.4) (end 0.25 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.25 0.4) (end -0.35 0) (layer F.Fab) (width 0.1))
- (fp_line (start 0.25 0) (end 0.75 0) (layer F.Fab) (width 0.1))
- (fp_line (start -1.65 -0.8) (end 1.65 -0.8) (layer F.Fab) (width 0.1))
- (fp_line (start -1.65 0.8) (end -1.65 -0.8) (layer F.Fab) (width 0.1))
- (fp_line (start 1.65 0.8) (end -1.65 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start 1.65 -0.8) (end 1.65 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start -4.55 1) (end 2.75 1) (layer F.SilkS) (width 0.12))
- (fp_line (start -4.55 -1) (end -4.55 1) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.75 -1) (end -4.55 -1) (layer F.SilkS) (width 0.12))
- (fp_text user %R (at 0 -1.75 180) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad 2 smd rect (at 2.75 0 180) (size 3.3 1.7) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 1 smd rect (at -2.75 0 180) (size 3.3 1.7) (layers F.Cu F.Paste F.Mask)
- (net 14 /Vmeas_B))
- (model ${KISYS3DMOD}/Diodes_SMD.3dshapes/D_MiniMELF.wrl
+ (fp_text reference "C7" (at -2.925 0) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "100n" (at 0 1.5) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user "%R" (at 0 -1.25) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
+ (pad "1" smd rect (at -0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 1 "+12V"))
+ (pad "2" smd rect (at 0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (model "Capacitors_SMD.3dshapes/C_0603.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Diodes_SMD:D_MiniMELF_Handsoldering (layer F.Cu) (tedit 5905D919) (tstamp 5C29F255)
- (at 108.5 95.75 270)
- (descr "Diode Mini-MELF Handsoldering")
- (tags "Diode Mini-MELF Handsoldering")
- (path /5C280B09)
+ (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5C21D23E)
+ (at 157.95 93.975)
+ (descr "Capacitor SMD 0603, hand soldering")
+ (tags "capacitor 0603")
+ (path "/5C1AFB91")
(attr smd)
- (fp_text reference D7 (at 0 -1.75 270) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 8V2 (at 0 1.75 270) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at 0 -1.75 270) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 2.75 -1) (end -4.55 -1) (layer F.SilkS) (width 0.12))
- (fp_line (start -4.55 -1) (end -4.55 1) (layer F.SilkS) (width 0.12))
- (fp_line (start -4.55 1) (end 2.75 1) (layer F.SilkS) (width 0.12))
- (fp_line (start 1.65 -0.8) (end 1.65 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start 1.65 0.8) (end -1.65 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start -1.65 0.8) (end -1.65 -0.8) (layer F.Fab) (width 0.1))
- (fp_line (start -1.65 -0.8) (end 1.65 -0.8) (layer F.Fab) (width 0.1))
- (fp_line (start 0.25 0) (end 0.75 0) (layer F.Fab) (width 0.1))
- (fp_line (start 0.25 0.4) (end -0.35 0) (layer F.Fab) (width 0.1))
- (fp_line (start 0.25 -0.4) (end 0.25 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 0) (end 0.25 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 0) (end -0.35 0.55) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 0) (end -0.35 -0.55) (layer F.Fab) (width 0.1))
- (fp_line (start -0.75 0) (end -0.35 0) (layer F.Fab) (width 0.1))
- (fp_line (start -4.65 -1.1) (end 4.65 -1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.65 -1.1) (end 4.65 1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.65 1.1) (end -4.65 1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start -4.65 1.1) (end -4.65 -1.1) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -2.75 0 270) (size 3.3 1.7) (layers F.Cu F.Paste F.Mask)
- (net 15 "Net-(D7-Pad1)"))
- (pad 2 smd rect (at 2.75 0 270) (size 3.3 1.7) (layers F.Cu F.Paste F.Mask)
- (net 16 /CH2))
- (model ${KISYS3DMOD}/Diodes_SMD.3dshapes/D_MiniMELF.wrl
+ (fp_text reference "C9" (at 0.1 1.3 180) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "10u" (at 0 1.5 180) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 -1.25 180) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad "2" smd rect (at 0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "1" smd rect (at -0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 3 "+3V3"))
+ (model "Capacitors_SMD.3dshapes/C_0603.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Diodes_SMD:D_MiniMELF_Handsoldering (layer F.Cu) (tedit 5905D919) (tstamp 5C29F26D)
- (at 85.6 103.2)
- (descr "Diode Mini-MELF Handsoldering")
- (tags "Diode Mini-MELF Handsoldering")
- (path /5C2DA819)
+ (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5C21D24F)
+ (at 172.95 82.975)
+ (descr "Capacitor SMD 0603, hand soldering")
+ (tags "capacitor 0603")
+ (path "/5C1B07A6")
(attr smd)
- (fp_text reference D8 (at 5.56 -0.02) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 8V2 (at 0 1.75) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -4.65 1.1) (end -4.65 -1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.65 1.1) (end -4.65 1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.65 -1.1) (end 4.65 1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start -4.65 -1.1) (end 4.65 -1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.75 0) (end -0.35 0) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 0) (end -0.35 -0.55) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 0) (end -0.35 0.55) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 0) (end 0.25 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.25 -0.4) (end 0.25 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.25 0.4) (end -0.35 0) (layer F.Fab) (width 0.1))
- (fp_line (start 0.25 0) (end 0.75 0) (layer F.Fab) (width 0.1))
- (fp_line (start -1.65 -0.8) (end 1.65 -0.8) (layer F.Fab) (width 0.1))
- (fp_line (start -1.65 0.8) (end -1.65 -0.8) (layer F.Fab) (width 0.1))
- (fp_line (start 1.65 0.8) (end -1.65 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start 1.65 -0.8) (end 1.65 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start -4.55 1) (end 2.75 1) (layer F.SilkS) (width 0.12))
- (fp_line (start -4.55 -1) (end -4.55 1) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.75 -1) (end -4.55 -1) (layer F.SilkS) (width 0.12))
- (fp_text user %R (at 0 -1.75) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad 2 smd rect (at 2.75 0) (size 3.3 1.7) (layers F.Cu F.Paste F.Mask)
- (net 17 /CH3))
- (pad 1 smd rect (at -2.75 0) (size 3.3 1.7) (layers F.Cu F.Paste F.Mask)
- (net 18 "Net-(D8-Pad1)"))
- (model ${KISYS3DMOD}/Diodes_SMD.3dshapes/D_MiniMELF.wrl
+ (fp_text reference "C10" (at 3.3 -0.575 -180) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "100n" (at 0 1.5 180) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 -1.25 180) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad "2" smd rect (at 0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "1" smd rect (at -0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 3 "+3V3"))
+ (model "Capacitors_SMD.3dshapes/C_0603.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_2512_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C2A1198)
- (at 119.1 94 270)
- (descr "Resistor SMD 2512, hand soldering")
- (tags "resistor 2512")
- (path /5C1F9EE6)
+ (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5C21D282)
+ (at 166.95 74.975 90)
+ (descr "Capacitor SMD 0603, hand soldering")
+ (tags "capacitor 0603")
+ (path "/5C31388F")
(attr smd)
- (fp_text reference R10 (at 0.04 2.43 270) (layer F.SilkS)
+ (fp_text reference "C11" (at 0.025 -1.325 270) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value 620 (at 0 2.75 270) (layer F.Fab)
+ (fp_text value "12p" (at 0 1.5 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text user %R (at 0 0 270) (layer F.Fab)
+ (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 -1.25 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start -3.15 1.6) (end -3.15 -1.6) (layer F.Fab) (width 0.1))
- (fp_line (start 3.15 1.6) (end -3.15 1.6) (layer F.Fab) (width 0.1))
- (fp_line (start 3.15 -1.6) (end 3.15 1.6) (layer F.Fab) (width 0.1))
- (fp_line (start -3.15 -1.6) (end 3.15 -1.6) (layer F.Fab) (width 0.1))
- (fp_line (start 2.6 1.82) (end -2.6 1.82) (layer F.SilkS) (width 0.12))
- (fp_line (start -2.6 -1.82) (end 2.6 -1.82) (layer F.SilkS) (width 0.12))
- (fp_line (start -5.56 -1.85) (end 5.55 -1.85) (layer F.CrtYd) (width 0.05))
- (fp_line (start -5.56 -1.85) (end -5.56 1.85) (layer F.CrtYd) (width 0.05))
- (fp_line (start 5.55 1.85) (end 5.55 -1.85) (layer F.CrtYd) (width 0.05))
- (fp_line (start 5.55 1.85) (end -5.56 1.85) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -3.95 0 270) (size 2.7 3.2) (layers F.Cu F.Paste F.Mask)
- (net 1 +12V))
- (pad 2 smd rect (at 3.95 0 270) (size 2.7 3.2) (layers F.Cu F.Paste F.Mask)
- (net 34 "Net-(Q3-Pad3)"))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_2512.wrl
+ (pad "2" smd rect (at 0.95 0 90) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "1" smd rect (at -0.95 0 90) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 27 "Net-(C11-Pad1)"))
+ (model "Capacitors_SMD.3dshapes/C_0603.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitor_THT:CP_Radial_D10.0mm_P5.00mm (layer F.Cu) (tedit 5AE50EF1) (tstamp 5C2A339B)
- (at 89.3 118.85 15)
- (descr "CP, Radial series, Radial, pin pitch=5.00mm, , diameter=10mm, Electrolytic Capacitor")
- (tags "CP Radial series Radial pin pitch 5.00mm diameter 10mm Electrolytic Capacitor")
- (path /5C1A6A7D)
- (fp_text reference C1 (at 2.5 -6.25 15) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 1000uF (at 2.5 6.25 15) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 2.500001 0) (end 7.5 0) (layer F.Fab) (width 0.1))
- (fp_circle (center 2.500001 0) (end 7.62 0) (layer F.SilkS) (width 0.12))
- (fp_circle (center 2.500001 0) (end 7.75 0) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.788861 -2.1875) (end -0.788861 -2.1875) (layer F.Fab) (width 0.1))
- (fp_line (start -1.288861 -2.6875) (end -1.288861 -1.6875) (layer F.Fab) (width 0.1))
- (fp_line (start 2.5 -5.08) (end 2.5 5.08) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.54 -5.08) (end 2.54 5.08) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.58 -5.08) (end 2.58 5.08) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.62 -5.079) (end 2.62 5.079) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.66 -5.078) (end 2.66 5.078) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.7 -5.077) (end 2.7 5.077) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.74 -5.075) (end 2.74 5.075) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.78 -5.073) (end 2.78 5.073) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.82 -5.07) (end 2.82 5.07) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.86 -5.068) (end 2.86 5.068) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.9 -5.065) (end 2.9 5.065001) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.94 -5.062) (end 2.94 5.062) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.98 -5.058) (end 2.98 5.058) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.019999 -5.054) (end 3.020001 5.054) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.06 -5.05) (end 3.06 5.05) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.1 -5.045) (end 3.1 5.045) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.14 -5.04) (end 3.14 5.04) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.18 -5.035) (end 3.18 5.035) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.221 -5.03) (end 3.221 5.03) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.261 -5.024) (end 3.261 5.024) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.301 -5.018) (end 3.301 5.018) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.341 -5.011) (end 3.341 5.011) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.381 -5.004) (end 3.381 5.004) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.421 -4.997) (end 3.420999 4.997) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.461 -4.99) (end 3.461 4.99) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.501 -4.982) (end 3.501 4.982) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.541 -4.974) (end 3.541 4.974) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.581 -4.965) (end 3.581 4.965) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.621 -4.956) (end 3.621 4.956) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.661 -4.947) (end 3.661 4.947) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.701 -4.938) (end 3.701 4.938) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.741 -4.928) (end 3.741 4.928001) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.781 -4.918) (end 3.781 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.781 1.241) (end 3.781 4.918) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.821 -4.907) (end 3.821 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.821 1.241001) (end 3.821001 4.907) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.861 -4.896999) (end 3.861 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.861 1.241) (end 3.861 4.897) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.901 -4.885001) (end 3.901 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.901 1.241) (end 3.901 4.885) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.941 -4.874) (end 3.941 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.941 1.241) (end 3.941 4.874) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.981 -4.862) (end 3.981 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.981 1.241) (end 3.981 4.862) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.021 -4.85) (end 4.021 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.021 1.241) (end 4.021 4.85) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.061001 -4.837) (end 4.061 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.061 1.241) (end 4.061 4.837) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.101 -4.824) (end 4.101 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.101 1.241) (end 4.101 4.824) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.141 -4.810999) (end 4.141 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.141 1.241) (end 4.141 4.811) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.181 -4.797) (end 4.181 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.180999 1.241) (end 4.181 4.797) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.221 -4.783) (end 4.221 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.221 1.241) (end 4.220999 4.783) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.261 -4.768) (end 4.261 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.261 1.241) (end 4.261 4.768) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.301 -4.754) (end 4.301 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.301001 1.241) (end 4.301 4.754) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.341 -4.738) (end 4.341 -1.240999) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.341 1.240999) (end 4.341 4.738) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.381 -4.722999) (end 4.380999 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.381 1.241) (end 4.381 4.723) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.421 -4.707) (end 4.421 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.421 1.241) (end 4.421 4.707) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.461 -4.69) (end 4.461 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.461 1.241) (end 4.461 4.69) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.501 -4.674) (end 4.501001 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.501 1.240999) (end 4.501 4.674) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.541 -4.657) (end 4.541 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.541 1.241) (end 4.541 4.657) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.581 -4.639) (end 4.581 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.581 1.241) (end 4.581 4.639) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.621 -4.621) (end 4.621 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.621 1.241) (end 4.621 4.621) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.661 -4.603) (end 4.661 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.661 1.241) (end 4.661 4.603) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.701 -4.584) (end 4.701 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.701 1.241) (end 4.701 4.584) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.741 -4.564) (end 4.741 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.741 1.241) (end 4.741 4.564) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.781 -4.545) (end 4.781 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.781 1.241) (end 4.781 4.545) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.821 -4.525) (end 4.821 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.821 1.241) (end 4.821 4.525) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.860999 -4.504) (end 4.861 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.861 1.241) (end 4.860999 4.504) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.901 -4.483) (end 4.901 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.901 1.241) (end 4.901 4.483) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.941 -4.462) (end 4.941 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.941 1.241) (end 4.941 4.462) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.981 -4.44) (end 4.981 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.981 1.241) (end 4.981 4.44) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.021 -4.417) (end 5.021 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.021 1.241) (end 5.021 4.417) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.061 -4.395) (end 5.061 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.061 1.241) (end 5.061 4.395) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.101 -4.371) (end 5.101 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.101 1.241) (end 5.101 4.371) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.141 -4.347) (end 5.141 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.141 1.241) (end 5.141 4.347) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.181 -4.323) (end 5.181 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.181 1.241) (end 5.181 4.323) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.221 -4.298) (end 5.221 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.221 1.241) (end 5.220999 4.298) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.261 -4.273) (end 5.261 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.261 1.241) (end 5.261 4.273) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.301 -4.247) (end 5.301 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.301 1.241) (end 5.301 4.247) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.341 -4.221) (end 5.341 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.340999 1.241) (end 5.341 4.220999) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.381 -4.194) (end 5.381 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.381 1.241) (end 5.381 4.194) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.421 -4.166) (end 5.421 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.421 1.241) (end 5.421 4.166) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.461 -4.138) (end 5.461 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.461001 1.241) (end 5.461 4.138) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.501 -4.11) (end 5.501 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.501001 1.241) (end 5.501 4.11) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.541 -4.08) (end 5.540999 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.541 1.241) (end 5.541 4.08) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.581 -4.05) (end 5.581 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.581 1.241) (end 5.581 4.05) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.621 -4.02) (end 5.621001 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.621 1.241) (end 5.621001 4.02) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.661 -3.989) (end 5.661001 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.661 1.241) (end 5.661 3.989) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.701 -3.957) (end 5.701 -1.241001) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.701 1.241) (end 5.701 3.957) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.741 -3.925) (end 5.741 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.741 1.241) (end 5.741 3.925) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.781 -3.892) (end 5.781 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.781 1.241) (end 5.780999 3.892) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.821 -3.858) (end 5.821 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.821 1.241) (end 5.821 3.858) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.861 -3.824) (end 5.861 -1.241001) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.861 1.241) (end 5.861 3.824) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.901 -3.789) (end 5.901 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.901 1.241) (end 5.901 3.789) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.941 -3.753) (end 5.941 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.941 1.241) (end 5.941 3.753001) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.981 -3.716) (end 5.981 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.981 1.241) (end 5.981 3.716) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.021 -3.679) (end 6.021 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.021 1.241) (end 6.021 3.679) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.061 -3.64) (end 6.061 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.061 1.241) (end 6.061 3.64) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.101 -3.601) (end 6.101 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.101 1.241) (end 6.101 3.601) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.141 -3.561) (end 6.141 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.141 1.241) (end 6.141 3.561) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.181001 -3.52) (end 6.181 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.181 1.241) (end 6.180999 3.52) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.221 -3.478) (end 6.221 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.221 1.241) (end 6.221 3.478) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.261 -3.436) (end 6.261 3.436) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.301 -3.392) (end 6.301 3.392) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.341 -3.347) (end 6.341 3.347) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.381 -3.301) (end 6.381 3.301) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.421 -3.254) (end 6.421 3.254) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.461 -3.206) (end 6.461 3.206) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.501 -3.156) (end 6.501 3.156) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.541 -3.106) (end 6.541 3.106) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.581 -3.054) (end 6.581 3.054001) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.621 -3) (end 6.621 3) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.661 -2.945) (end 6.661 2.945) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.701 -2.889) (end 6.701 2.889) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.741 -2.83) (end 6.741 2.83) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.781 -2.77) (end 6.781 2.77) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.821 -2.709) (end 6.821 2.709) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.861 -2.645) (end 6.861 2.645) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.901 -2.578999) (end 6.901 2.579) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.941 -2.51) (end 6.941 2.51) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.981 -2.439) (end 6.981 2.439) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.021 -2.365) (end 7.021 2.365) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.061 -2.289) (end 7.060999 2.289) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.101 -2.209) (end 7.101 2.209) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.141 -2.125) (end 7.141 2.125) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.181 -2.037) (end 7.181 2.037) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.221 -1.944) (end 7.221 1.944) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.261 -1.846) (end 7.261 1.846) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.301 -1.742) (end 7.301 1.742) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.341001 -1.63) (end 7.341 1.63) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.381 -1.51) (end 7.381 1.51) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.421 -1.378) (end 7.421 1.378) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.461 -1.23) (end 7.461 1.23) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.500999 -1.062) (end 7.501 1.062) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.541 -0.862) (end 7.541 0.861999) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.581 -0.599) (end 7.581 0.599) (layer F.SilkS) (width 0.12))
- (fp_line (start -2.979646 -2.875) (end -1.979646 -2.875) (layer F.SilkS) (width 0.12))
- (fp_line (start -2.479646 -3.375) (end -2.479646 -2.375) (layer F.SilkS) (width 0.12))
- (fp_text user %R (at 2.500001 0 15) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad 1 thru_hole rect (at 0 0 15) (size 2 2) (drill 1) (layers *.Cu *.Mask)
- (net 1 +12V))
- (pad 2 thru_hole circle (at 5 0 15) (size 2 2) (drill 1) (layers *.Cu *.Mask)
- (net 2 GND))
- (model ${KISYS3DMOD}/Capacitor_THT.3dshapes/CP_Radial_D10.0mm_P5.00mm.wrl
+ (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5C21D293)
+ (at 169.95 71.975 180)
+ (descr "Capacitor SMD 0603, hand soldering")
+ (tags "capacitor 0603")
+ (path "/5C310CD7")
+ (attr smd)
+ (fp_text reference "C12" (at 5 -0.2 180) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "12p" (at 0 1.5) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user "%R" (at 0 -1.25) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
+ (pad "1" smd rect (at -0.95 0 180) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 4 "Net-(C12-Pad1)"))
+ (pad "2" smd rect (at 0.95 0 180) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (model "Capacitors_SMD.3dshapes/C_0603.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitor_THT:CP_Radial_D10.0mm_P5.00mm (layer F.Cu) (tedit 5AE50EF1) (tstamp 5C2A26DF)
- (at 109.35 118.2 195)
- (descr "CP, Radial series, Radial, pin pitch=5.00mm, , diameter=10mm, Electrolytic Capacitor")
- (tags "CP Radial series Radial pin pitch 5.00mm diameter 10mm Electrolytic Capacitor")
- (path /5C1A7520)
- (fp_text reference C4 (at -3.002991 3.170812 195) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 470uF (at 2.5 6.25 195) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at 2.5 0 195) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -2.479646 -3.375) (end -2.479646 -2.375) (layer F.SilkS) (width 0.12))
- (fp_line (start -2.979646 -2.875) (end -1.979646 -2.875) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.581 -0.599) (end 7.581 0.599) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.541 -0.862) (end 7.541 0.862) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.501 -1.062) (end 7.501 1.062) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.461 -1.23) (end 7.461 1.23) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.421 -1.378) (end 7.421 1.378) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.381 -1.51) (end 7.381 1.51) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.341 -1.63) (end 7.341 1.63) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.301 -1.742) (end 7.301 1.742) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.261 -1.846) (end 7.261 1.846) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.221 -1.944) (end 7.221 1.944) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.181 -2.037) (end 7.181 2.037) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.141 -2.125) (end 7.141 2.125) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.101 -2.209) (end 7.101 2.209) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.061 -2.289) (end 7.061 2.289) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.021 -2.365) (end 7.021 2.365) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.981 -2.439) (end 6.981 2.439) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.941 -2.51) (end 6.941 2.51) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.901 -2.579) (end 6.901 2.579) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.861 -2.645) (end 6.861 2.645) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.821 -2.709) (end 6.821 2.709) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.781 -2.77) (end 6.781 2.77) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.741 -2.83) (end 6.741 2.83) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.701 -2.889) (end 6.701 2.889) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.661 -2.945) (end 6.661 2.945) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.621 -3) (end 6.621 3) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.581 -3.054) (end 6.581 3.054) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.541 -3.106) (end 6.541 3.106) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.501 -3.156) (end 6.501 3.156) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.461 -3.206) (end 6.461 3.206) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.421 -3.254) (end 6.421 3.254) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.381 -3.301) (end 6.381 3.301) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.341 -3.347) (end 6.341 3.347) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.301 -3.392) (end 6.301 3.392) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.261 -3.436) (end 6.261 3.436) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.221 1.241) (end 6.221 3.478) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.221 -3.478) (end 6.221 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.181 1.241) (end 6.181 3.52) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.181 -3.52) (end 6.181 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.141 1.241) (end 6.141 3.561) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.141 -3.561) (end 6.141 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.101 1.241) (end 6.101 3.601) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.101 -3.601) (end 6.101 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.061 1.241) (end 6.061 3.64) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.061 -3.64) (end 6.061 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.021 1.241) (end 6.021 3.679) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.021 -3.679) (end 6.021 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.981 1.241) (end 5.981 3.716) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.981 -3.716) (end 5.981 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.941 1.241) (end 5.941 3.753) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.941 -3.753) (end 5.941 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.901 1.241) (end 5.901 3.789) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.901 -3.789) (end 5.901 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.861 1.241) (end 5.861 3.824) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.861 -3.824) (end 5.861 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.821 1.241) (end 5.821 3.858) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.821 -3.858) (end 5.821 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.781 1.241) (end 5.781 3.892) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.781 -3.892) (end 5.781 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.741 1.241) (end 5.741 3.925) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.741 -3.925) (end 5.741 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.701 1.241) (end 5.701 3.957) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.701 -3.957) (end 5.701 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.661 1.241) (end 5.661 3.989) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.661 -3.989) (end 5.661 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.621 1.241) (end 5.621 4.02) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.621 -4.02) (end 5.621 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.581 1.241) (end 5.581 4.05) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.581 -4.05) (end 5.581 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.541 1.241) (end 5.541 4.08) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.541 -4.08) (end 5.541 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.501 1.241) (end 5.501 4.11) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.501 -4.11) (end 5.501 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.461 1.241) (end 5.461 4.138) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.461 -4.138) (end 5.461 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.421 1.241) (end 5.421 4.166) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.421 -4.166) (end 5.421 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.381 1.241) (end 5.381 4.194) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.381 -4.194) (end 5.381 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.341 1.241) (end 5.341 4.221) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.341 -4.221) (end 5.341 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.301 1.241) (end 5.301 4.247) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.301 -4.247) (end 5.301 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.261 1.241) (end 5.261 4.273) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.261 -4.273) (end 5.261 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.221 1.241) (end 5.221 4.298) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.221 -4.298) (end 5.221 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.181 1.241) (end 5.181 4.323) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.181 -4.323) (end 5.181 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.141 1.241) (end 5.141 4.347) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.141 -4.347) (end 5.141 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.101 1.241) (end 5.101 4.371) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.101 -4.371) (end 5.101 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.061 1.241) (end 5.061 4.395) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.061 -4.395) (end 5.061 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.021 1.241) (end 5.021 4.417) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.021 -4.417) (end 5.021 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.981 1.241) (end 4.981 4.44) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.981 -4.44) (end 4.981 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.941 1.241) (end 4.941 4.462) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.941 -4.462) (end 4.941 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.901 1.241) (end 4.901 4.483) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.901 -4.483) (end 4.901 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.861 1.241) (end 4.861 4.504) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.861 -4.504) (end 4.861 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.821 1.241) (end 4.821 4.525) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.821 -4.525) (end 4.821 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.781 1.241) (end 4.781 4.545) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.781 -4.545) (end 4.781 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.741 1.241) (end 4.741 4.564) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.741 -4.564) (end 4.741 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.701 1.241) (end 4.701 4.584) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.701 -4.584) (end 4.701 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.661 1.241) (end 4.661 4.603) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.661 -4.603) (end 4.661 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.621 1.241) (end 4.621 4.621) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.621 -4.621) (end 4.621 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.581 1.241) (end 4.581 4.639) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.581 -4.639) (end 4.581 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.541 1.241) (end 4.541 4.657) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.541 -4.657) (end 4.541 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.501 1.241) (end 4.501 4.674) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.501 -4.674) (end 4.501 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.461 1.241) (end 4.461 4.69) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.461 -4.69) (end 4.461 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.421 1.241) (end 4.421 4.707) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.421 -4.707) (end 4.421 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.381 1.241) (end 4.381 4.723) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.381 -4.723) (end 4.381 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.341 1.241) (end 4.341 4.738) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.341 -4.738) (end 4.341 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.301 1.241) (end 4.301 4.754) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.301 -4.754) (end 4.301 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.261 1.241) (end 4.261 4.768) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.261 -4.768) (end 4.261 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.221 1.241) (end 4.221 4.783) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.221 -4.783) (end 4.221 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.181 1.241) (end 4.181 4.797) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.181 -4.797) (end 4.181 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.141 1.241) (end 4.141 4.811) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.141 -4.811) (end 4.141 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.101 1.241) (end 4.101 4.824) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.101 -4.824) (end 4.101 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.061 1.241) (end 4.061 4.837) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.061 -4.837) (end 4.061 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.021 1.241) (end 4.021 4.85) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.021 -4.85) (end 4.021 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.981 1.241) (end 3.981 4.862) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.981 -4.862) (end 3.981 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.941 1.241) (end 3.941 4.874) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.941 -4.874) (end 3.941 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.901 1.241) (end 3.901 4.885) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.901 -4.885) (end 3.901 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.861 1.241) (end 3.861 4.897) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.861 -4.897) (end 3.861 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.821 1.241) (end 3.821 4.907) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.821 -4.907) (end 3.821 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.781 1.241) (end 3.781 4.918) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.781 -4.918) (end 3.781 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.741 -4.928) (end 3.741 4.928) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.701 -4.938) (end 3.701 4.938) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.661 -4.947) (end 3.661 4.947) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.621 -4.956) (end 3.621 4.956) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.581 -4.965) (end 3.581 4.965) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.541 -4.974) (end 3.541 4.974) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.501 -4.982) (end 3.501 4.982) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.461 -4.99) (end 3.461 4.99) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.421 -4.997) (end 3.421 4.997) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.381 -5.004) (end 3.381 5.004) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.341 -5.011) (end 3.341 5.011) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.301 -5.018) (end 3.301 5.018) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.261 -5.024) (end 3.261 5.024) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.221 -5.03) (end 3.221 5.03) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.18 -5.035) (end 3.18 5.035) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.14 -5.04) (end 3.14 5.04) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.1 -5.045) (end 3.1 5.045) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.06 -5.05) (end 3.06 5.05) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.02 -5.054) (end 3.02 5.054) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.98 -5.058) (end 2.98 5.058) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.94 -5.062) (end 2.94 5.062) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.9 -5.065) (end 2.9 5.065) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.86 -5.068) (end 2.86 5.068) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.82 -5.07) (end 2.82 5.07) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.78 -5.073) (end 2.78 5.073) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.74 -5.075) (end 2.74 5.075) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.7 -5.077) (end 2.7 5.077) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.66 -5.078) (end 2.66 5.078) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.62 -5.079) (end 2.62 5.079) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.58 -5.08) (end 2.58 5.08) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.54 -5.08) (end 2.54 5.08) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.5 -5.08) (end 2.5 5.08) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.288861 -2.6875) (end -1.288861 -1.6875) (layer F.Fab) (width 0.1))
- (fp_line (start -1.788861 -2.1875) (end -0.788861 -2.1875) (layer F.Fab) (width 0.1))
- (fp_circle (center 2.5 0) (end 7.75 0) (layer F.CrtYd) (width 0.05))
- (fp_circle (center 2.5 0) (end 7.62 0) (layer F.SilkS) (width 0.12))
- (fp_circle (center 2.5 0) (end 7.5 0) (layer F.Fab) (width 0.1))
- (pad 2 thru_hole circle (at 5 0 195) (size 2 2) (drill 1) (layers *.Cu *.Mask)
- (net 2 GND))
- (pad 1 thru_hole rect (at 0 0 195) (size 2 2) (drill 1) (layers *.Cu *.Mask)
- (net 3 +3V3))
- (model ${KISYS3DMOD}/Capacitor_THT.3dshapes/CP_Radial_D10.0mm_P5.00mm.wrl
+ (module "Pin_Headers:Pin_Header_Straight_1x04_Pitch2.54mm" (layer "F.Cu") (tedit 59650532) (tstamp 5C21D3DE)
+ (at 181.7 70.475 270)
+ (descr "Through hole straight pin header, 1x04, 2.54mm pitch, single row")
+ (tags "Through hole pin header THT 1x04 2.54mm single row")
+ (path "/5C3B6CB2")
+ (fp_text reference "J5" (at -1.5 -3.05) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "SWD" (at 0 9.95 270) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer "F.Fab") (width 0.1))
+ (fp_line (start 1.27 -1.27) (end 1.27 8.89) (layer "F.Fab") (width 0.1))
+ (fp_line (start 1.27 8.89) (end -1.27 8.89) (layer "F.Fab") (width 0.1))
+ (fp_line (start -1.27 8.89) (end -1.27 -0.635) (layer "F.Fab") (width 0.1))
+ (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer "F.Fab") (width 0.1))
+ (fp_line (start -1.33 8.95) (end 1.33 8.95) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.33 1.270001) (end -1.33 8.95) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.33 1.270001) (end 1.33 8.95) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.33 1.270001) (end 1.33 1.270001) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.33 0) (end -1.33 -1.33) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.8 -1.8) (end -1.8 9.4) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.8 9.4) (end 1.8 9.4) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.8 9.4) (end 1.8 -1.8) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer "F.CrtYd") (width 0.05))
+ (fp_text user "%R" (at 0 3.81) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad "1" thru_hole rect (at 0 0 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+ (net 3 "+3V3"))
+ (pad "2" thru_hole oval (at 0 2.54 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+ (net 16 "/SWCLK"))
+ (pad "3" thru_hole oval (at 0 5.079999 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+ (net 2 "GND"))
+ (pad "4" thru_hole oval (at 0 7.62 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+ (net 17 "/SWDIO"))
+ (model "${KISYS3DMOD}/Pin_Headers.3dshapes/Pin_Header_Straight_1x04_Pitch2.54mm.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (dimension 58.002155 (width 0.3) (layer Dwgs.User)
- (gr_text "58.002 mm" (at 99.998922 62.4) (layer Dwgs.User)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
+ (module "Measurement_Points:Measurement_Point_Square-SMD-Pad_Small" (layer "F.Cu") (tedit 56C36007) (tstamp 5DE9D5BB)
+ (at 180.95 82.475)
+ (descr "Mesurement Point, Square, SMD Pad, 1.5mm x 1.5mm,")
+ (tags "Mesurement Point Square SMD Pad 1.5x1.5mm")
+ (path "/5C453DE6")
+ (attr virtual)
+ (fp_text reference "TP1" (at 0.38 1.8) (layer "F.SilkS") hide
+ (effects (font (size 1 1) (thickness 0.15)))
)
- (feature1 (pts (xy 70.997845 78) (xy 70.997845 63.913579)))
- (feature2 (pts (xy 129 78) (xy 129 63.913579)))
- (crossbar (pts (xy 129 64.5) (xy 70.997845 64.5)))
- (arrow1a (pts (xy 70.997845 64.5) (xy 72.124349 63.913579)))
- (arrow1b (pts (xy 70.997845 64.5) (xy 72.124349 65.086421)))
- (arrow2a (pts (xy 129 64.5) (xy 127.873496 63.913579)))
- (arrow2b (pts (xy 129 64.5) (xy 127.873496 65.086421)))
+ (fp_text value "PA5" (at 0 2) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -1 1) (end -1 -1) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1 1) (end -1 1) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1 -1) (end 1 1) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1 -1) (end 1 -1) (layer "F.CrtYd") (width 0.05))
+ (pad "1" smd rect (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
+ (net 22 "Net-(TP1-Pad1)"))
)
- (dimension 64.5 (width 0.3) (layer Dwgs.User)
- (gr_text "64.500 mm" (at 138.6 100.25 270) (layer Dwgs.User)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
+
+ (module "Measurement_Points:Measurement_Point_Square-SMD-Pad_Small" (layer "F.Cu") (tedit 56C36007) (tstamp 5DE9D42C)
+ (at 183.7 73.725)
+ (descr "Mesurement Point, Square, SMD Pad, 1.5mm x 1.5mm,")
+ (tags "Mesurement Point Square SMD Pad 1.5x1.5mm")
+ (path "/5C45F33E")
+ (attr virtual)
+ (fp_text reference "TP3" (at 1.8 0.125 90) (layer "F.SilkS") hide
+ (effects (font (size 1 1) (thickness 0.15)))
)
- (feature1 (pts (xy 116.5 132.5) (xy 137.086421 132.5)))
- (feature2 (pts (xy 116.5 68) (xy 137.086421 68)))
- (crossbar (pts (xy 136.5 68) (xy 136.5 132.5)))
- (arrow1a (pts (xy 136.5 132.5) (xy 135.913579 131.373496)))
- (arrow1b (pts (xy 136.5 132.5) (xy 137.086421 131.373496)))
- (arrow2a (pts (xy 136.5 68) (xy 135.913579 69.126504)))
- (arrow2b (pts (xy 136.5 68) (xy 137.086421 69.126504)))
+ (fp_text value "PA10" (at 3.05 -0.125) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -1 1) (end -1 -1) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1 1) (end -1 1) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1 -1) (end 1 1) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1 -1) (end 1 -1) (layer "F.CrtYd") (width 0.05))
+ (pad "1" smd rect (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
+ (net 24 "Net-(TP3-Pad1)"))
)
- (gr_circle (center 100 100) (end 125 100) (layer Dwgs.User) (width 0.15))
- (gr_text ▶ (at 101.7 84.75 270) (layer F.SilkS) (tstamp 5C2A769D)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
+
+ (module "Measurement_Points:Measurement_Point_Square-SMD-Pad_Small" (layer "F.Cu") (tedit 56C36007) (tstamp 5DE9D563)
+ (at 185.45 79.475)
+ (descr "Mesurement Point, Square, SMD Pad, 1.5mm x 1.5mm,")
+ (tags "Mesurement Point Square SMD Pad 1.5x1.5mm")
+ (path "/5C45FC76")
+ (attr virtual)
+ (fp_text reference "TP4" (at 0.13 1.68) (layer "F.SilkS") hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "PA4" (at 0 2) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -1 -1) (end 1 -1) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1 -1) (end 1 1) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1 1) (end -1 1) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1 1) (end -1 -1) (layer "F.CrtYd") (width 0.05))
+ (pad "1" smd rect (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
+ (net 25 "Net-(TP4-Pad1)"))
)
- (gr_text ▶ (at 85.55 103.3 180) (layer F.SilkS) (tstamp 5C2A766D)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
+
+ (module "Crystals:Crystal_SMD_3225-4pin_3.2x2.5mm" (layer "F.Cu") (tedit 58CD2E9C) (tstamp 5C21D504)
+ (at 170.45 75.225)
+ (descr "SMD Crystal SERIES SMD3225/4 http://www.txccrystal.com/images/pdf/7m-accuracy.pdf, 3.2x2.5mm^2 package")
+ (tags "SMD SMT crystal")
+ (path "/5C30EB37")
+ (attr smd)
+ (fp_text reference "Y1" (at -1.3 2.75) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "8MHz" (at 0 2.45) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.7 0.7) (thickness 0.105)))
+ )
+ (fp_line (start -1.6 -1.25) (end -1.6 1.25) (layer "F.Fab") (width 0.1))
+ (fp_line (start -1.6 1.25) (end 1.6 1.25) (layer "F.Fab") (width 0.1))
+ (fp_line (start 1.6 1.25) (end 1.6 -1.25) (layer "F.Fab") (width 0.1))
+ (fp_line (start 1.6 -1.25) (end -1.6 -1.25) (layer "F.Fab") (width 0.1))
+ (fp_line (start -1.6 0.25) (end -0.6 1.25) (layer "F.Fab") (width 0.1))
+ (fp_line (start -2 -1.65) (end -2 1.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -2 1.65) (end 2 1.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -2.1 -1.7) (end -2.1 1.7) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -2.1 1.7) (end 2.1 1.7) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 2.1 1.7) (end 2.1 -1.7) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 2.1 -1.7) (end -2.1 -1.7) (layer "F.CrtYd") (width 0.05))
+ (pad "1" smd rect (at -1.1 0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 27 "Net-(C11-Pad1)"))
+ (pad "2" smd rect (at 1.1 0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "3" smd rect (at 1.1 -0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 4 "Net-(C12-Pad1)"))
+ (pad "4" smd rect (at -1.1 -0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (model "${KISYS3DMOD}/Crystals.3dshapes/Crystal_SMD_3225-4pin_3.2x2.5mm.wrl"
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
)
- (gr_text ▶ (at 85.6 99.95) (layer F.SilkS) (tstamp 5C2A763C)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
+
+ (module "Housings_SSOP:TSSOP-20_4.4x6.5mm_Pitch0.65mm" (layer "F.Cu") (tedit 54130A77) (tstamp 5C29A0C4)
+ (at 178.1 77.725)
+ (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)")
+ (tags "SSOP 0.65")
+ (path "/5C1966AA")
+ (attr smd)
+ (fp_text reference "U3" (at -3.025 -4.25 180) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "STM32F030F4P6" (at 0 4.3) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer "F.Fab") (width 0.15))
+ (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer "F.Fab") (width 0.15))
+ (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer "F.Fab") (width 0.15))
+ (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer "F.Fab") (width 0.15))
+ (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer "F.Fab") (width 0.15))
+ (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer "F.SilkS") (width 0.15))
+ (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer "F.SilkS") (width 0.15))
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.8 0.8) (thickness 0.15)))
+ )
+ (pad "1" smd rect (at -2.95 -2.925) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 19 "Net-(R8-Pad1)"))
+ (pad "2" smd rect (at -2.95 -2.275) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 4 "Net-(C12-Pad1)"))
+ (pad "3" smd rect (at -2.95 -1.625) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 27 "Net-(C11-Pad1)"))
+ (pad "4" smd rect (at -2.95 -0.975) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 41 "Net-(R7-Pad1)"))
+ (pad "5" smd rect (at -2.95 -0.325) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 3 "+3V3"))
+ (pad "6" smd rect (at -2.95 0.325) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 7 "/Vmeas_A"))
+ (pad "7" smd rect (at -2.95 0.975) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 8 "/Vmeas_B"))
+ (pad "8" smd rect (at -2.95 1.625) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 20 "/LOAD"))
+ (pad "9" smd rect (at -2.95 2.275) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 18 "/CH0"))
+ (pad "10" smd rect (at -2.95 2.925) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 11 "/CH3"))
+ (pad "11" smd rect (at 2.95 2.925) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 22 "Net-(TP1-Pad1)"))
+ (pad "12" smd rect (at 2.95 2.275) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 10 "/CH2"))
+ (pad "13" smd rect (at 2.95 1.625) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 21 "/CH1"))
+ (pad "14" smd rect (at 2.95 0.975) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 25 "Net-(TP4-Pad1)"))
+ (pad "15" smd rect (at 2.95 0.325) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "16" smd rect (at 2.95 -0.325) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 3 "+3V3"))
+ (pad "17" smd rect (at 2.95 -0.975) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 23 "Net-(TP2-Pad1)"))
+ (pad "18" smd rect (at 2.95 -1.625) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 24 "Net-(TP3-Pad1)"))
+ (pad "19" smd rect (at 2.95 -2.275) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 17 "/SWDIO"))
+ (pad "20" smd rect (at 2.95 -2.925) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 16 "/SWCLK"))
+ (model "${KISYS3DMOD}/Housings_SSOP.3dshapes/TSSOP-20_4.4x6.5mm_Pitch0.65mm.wrl"
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
)
- (gr_text ▶ (at 108.45 95.7 90) (layer F.SilkS) (tstamp 5C2A760D)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
+
+ (gr_text "+" (at 204.6 104.425) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
)
- (gr_text ▶ (at 112.05 88.65) (layer F.SilkS) (tstamp 5C2A75B3)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
+ (gr_line (start 160.45 109.725) (end 160.45 97.725) (layer "Dwgs.User") (width 0.1))
+ (gr_line (start 154.95 109.725) (end 160.45 109.725) (layer "Dwgs.User") (width 0.1))
+ (gr_line (start 154.95 97.725) (end 154.95 109.725) (layer "Dwgs.User") (width 0.1))
+ (gr_line (start 184.7 90.975) (end 184.7 112.475) (layer "Dwgs.User") (width 0.1))
+ (gr_line (start 171.2 90.975) (end 184.7 90.975) (layer "Dwgs.User") (width 0.1))
+ (gr_line (start 171.2 112.475) (end 171.2 90.975) (layer "Dwgs.User") (width 0.1))
+ (dimension 56.11802 (width 0.1) (layer "Dwgs.User")
+ (gr_text "56.118 mm" (at 177.936757 60.725) (layer "Dwgs.User")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (feature1 (pts (xy 205.995767 81.881428) (xy 205.995767 61.388579)))
+ (feature2 (pts (xy 149.877747 81.881428) (xy 149.877747 61.388579)))
+ (crossbar (pts (xy 149.877747 61.975) (xy 205.995767 61.975)))
+ (arrow1a (pts (xy 205.995767 61.975) (xy 204.869263 62.561421)))
+ (arrow1b (pts (xy 205.995767 61.975) (xy 204.869263 61.388579)))
+ (arrow2a (pts (xy 149.877747 61.975) (xy 151.004251 62.561421)))
+ (arrow2b (pts (xy 149.877747 61.975) (xy 151.004251 61.388579)))
)
- (gr_text ▶ (at 112.05 83.65) (layer F.SilkS) (tstamp 5C2A75AF)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
+ (dimension 65.425983 (width 0.1) (layer "Dwgs.User")
+ (gr_text "65.426 mm" (at 213.199753 99.955826 270.0004545) (layer "Dwgs.User")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (feature1 (pts (xy 186.570596 132.669029) (xy 212.536434 132.668823)))
+ (feature2 (pts (xy 186.570077 67.243046) (xy 212.535915 67.24284)))
+ (crossbar (pts (xy 211.949494 67.242845) (xy 211.950013 132.668828)))
+ (arrow1a (pts (xy 211.950013 132.668828) (xy 211.363583 131.542329)))
+ (arrow1b (pts (xy 211.950013 132.668828) (xy 212.536425 131.54232)))
+ (arrow2a (pts (xy 211.949494 67.242845) (xy 211.363082 68.369353)))
+ (arrow2b (pts (xy 211.949494 67.242845) (xy 212.535924 68.369344)))
)
- (gr_text ▶ (at 88.15 88.8 180) (layer F.SilkS) (tstamp 5C2A753A)
+ (gr_text "▶" (at 192.75 92.775 233) (layer "F.SilkS") (tstamp 5DE80430)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
- (gr_text ▶ (at 88.1 83.75 180) (layer F.SilkS)
+ (gr_text "▶" (at 193.35 107.675 307) (layer "F.SilkS") (tstamp 5DE80428)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
- (gr_text SWD (at 86.7 92.45) (layer F.SilkS)
+ (gr_text "▶" (at 193.35 99.975 90) (layer "F.SilkS") (tstamp 5DE80423)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
- (gr_text ⏚ (at 85.65 94.6) (layer F.SilkS)
+ (gr_line (start 195.7 108.975) (end 195.7 86.725) (layer "Dwgs.User") (width 0.1))
+ (gr_line (start 205.95 86.725) (end 195.7 86.725) (layer "Dwgs.User") (width 0.1))
+ (gr_line (start 205.95 109.225) (end 205.95 86.725) (layer "Dwgs.User") (width 0.1))
+ (gr_line (start 169.45 99.975) (end 186.475 99.975) (layer "Dwgs.User") (width 0.1))
+ (gr_line (start 177.95 93.35) (end 177.95 106.55) (layer "Dwgs.User") (width 0.1))
+ (gr_line (start 202.411491 120.73177) (end 205.995767 118.030643) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 151.355693 76.385122) (xy 151.665404 76.151739) (xy 152.103129 76.212871) (xy 152.336511 76.522584)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 150.428618 76.463672) (xy 150.579297 76.663358) (xy 150.854355 76.720974) (xy 151.072506 76.598546)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 160.810413 131.271699) (xy 160.960909 131.071382) (xy 160.939924 130.79061) (xy 160.761323 130.614889)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 195.407267 130.392159) (end 195.113227 130.613859) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 160.479167 130.401979) (xy 160.169456 130.168599) (xy 160.108324 129.731389) (xy 160.341708 129.421679)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 150.428101 123.4484) (end 149.877747 124.178588) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 177.497766 93.529296) (xy 177.61701 93.439268) (xy 177.760427 93.386904) (xy 177.909627 93.378918)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 195.532321 70.490393) (end 194.268314 72.167809) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 158.522697 67.243046) (end 149.877747 73.757894) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 151.073023 123.314041) (xy 150.854754 123.190888) (xy 150.579032 123.24833) (xy 150.428101 123.4484)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 205.995762 126.154695) (end 205.995762 124.179621) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 202.398571 79.170484) (xy 202.088861 78.9371) (xy 202.02721 78.499892) (xy 202.260597 78.190181)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 149.877747 81.881428) (end 149.877747 118.030643) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 152.336511 76.522584) (end 153.6 78.2) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 158.523731 132.669029) (end 159.757247 132.669029) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 162.572579 72.315087) (xy 162.26287 72.548471) (xy 161.825661 72.486823) (xy 161.592277 72.177112)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 153.612919 121.72189) (end 152.349431 123.398791) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 186.126714 100.568659) (end 178.36853 106.37709) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 159.757247 132.669029) (xy 159.757247 132.669029) (xy 160.810413 131.271699) (xy 160.810413 131.271699)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 177.909627 93.378918) (xy 178.086388 93.369361) (xy 178.260832 93.422628) (xy 178.402104 93.529296)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 205.995767 118.030643) (end 205.995767 81.881428) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 202.260597 78.190181) (end 203.524601 76.513281) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 202.273513 121.712072) (xy 202.04013 121.402361) (xy 202.10178 120.965154) (xy 202.411491 120.73177)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 205.995767 75.733483) (end 205.995767 73.757894) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 205.445413 76.463672) (end 205.995767 75.733483) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 205.995767 81.881428) (end 202.398571 79.170484) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 195.394344 69.510091) (xy 195.704054 69.743475) (xy 195.765705 70.180683) (xy 195.532321 70.490393)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 186.428075 99.969853) (xy 186.427367 100.205738) (xy 186.315736 100.427548) (xy 186.126714 100.568659)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 204.799459 76.597514) (xy 205.017844 76.721392) (xy 205.294229 76.664125) (xy 205.445413 76.463672)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 205.444891 123.4484) (xy 205.293812 123.248513) (xy 205.018119 123.191297) (xy 204.799975 123.314558)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 160.341708 129.421679) (end 161.605196 127.744259) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 160.81041 68.63986) (end 159.757761 67.243046) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 193.300933 127.596989) (xy 193.610648 127.3636) (xy 194.047853 127.424732) (xy 194.281237 127.734439)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 205.995767 73.757894) (end 197.350819 67.243046) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 194.281237 127.734439) (end 195.545244 129.411859) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 149.877747 118.030643) (end 153.47546 120.741589) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 204.504903 76.375306) (xy 204.504903 76.375306) (xy 204.799459 76.597514) (xy 204.799459 76.597514)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 152.349431 123.398791) (xy 152.116047 123.708501) (xy 151.678323 123.770151) (xy 151.368612 123.536767)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 153.47546 120.741589) (xy 153.785171 120.974972) (xy 153.846303 121.412179) (xy 153.612919 121.72189)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 178.36853 106.37709) (xy 178.100481 106.57699) (xy 177.732482 106.575318) (xy 177.466261 106.37299)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 169.771105 100.554706) (xy 169.583317 100.41296) (xy 169.47292 100.191314) (xy 169.47292 99.956034)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 204.517823 123.526948) (xy 204.20811 123.760332) (xy 203.770904 123.698683) (xy 203.537521 123.388972)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 194.268314 72.167809) (xy 194.034931 72.47752) (xy 193.597725 72.538652) (xy 193.288015 72.305268)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 197.350819 67.243046) (end 196.116784 67.243046) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 196.116784 67.243046) (end 195.063102 68.640377) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 160.328789 70.500212) (xy 160.095405 70.190501) (xy 160.156537 69.753293) (xy 160.466248 69.51991)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 169.771105 99.357362) (end 177.497766 93.529296) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 203.524601 76.513281) (xy 203.757985 76.20357) (xy 204.195193 76.141917) (xy 204.504903 76.375306)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 177.466261 106.37299) (xy 177.466261 106.37299) (xy 169.771105 100.554706) (xy 169.771105 100.554706)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 160.761323 130.614889) (end 160.479167 130.401979) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 160.466248 69.51991) (end 160.76132 69.297702) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 186.130314 99.369248) (xy 186.318486 99.511492) (xy 186.428783 99.733969) (xy 186.428075 99.969853)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 186.570077 67.243046) (end 169.303435 67.243046) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 195.112192 69.297184) (end 195.394344 69.510091) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 160.76132 69.297702) (xy 160.940512 69.12184) (xy 160.961516 68.84037) (xy 160.81041 68.63986)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 159.757761 67.243046) (end 158.522697 67.243046) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 169.47292 99.956034) (xy 169.47292 99.720754) (xy 169.583317 99.499109) (xy 169.771105 99.357362)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 161.592277 72.177112) (end 160.328789 70.500212) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 149.877747 73.757894) (end 149.877747 75.732451) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 151.368612 123.536767) (xy 151.368612 123.536767) (xy 151.073023 123.314041) (xy 151.073023 123.314041)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 161.605196 127.744259) (xy 161.83858 127.434551) (xy 162.275787 127.373419) (xy 162.585498 127.606799)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 149.877747 126.154178) (end 158.523731 132.669029) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 193.288015 72.305268) (end 186.570077 67.243046) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 177.909627 93.378918) (end 177.909627 93.378918) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 178.402104 93.529296) (end 186.130314 99.369248) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 195.545244 129.411859) (xy 195.778628 129.721569) (xy 195.716977 130.158779) (xy 195.407267 130.392159)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 149.877747 75.732451) (xy 149.877747 75.732451) (xy 150.428618 76.463672) (xy 150.428618 76.463672)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 195.113227 130.613859) (xy 194.933713 130.789447) (xy 194.912263 131.070937) (xy 195.063097 131.271699)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 197.350814 132.669029) (end 205.995762 126.154695) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 195.063097 131.271699) (end 196.115747 132.669029) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 162.585498 127.606799) (end 169.303435 132.669029) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 169.303435 67.243046) (end 162.572579 72.315087) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 196.115747 132.669029) (end 197.350814 132.669029) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 204.799975 123.314558) (end 204.517823 123.526948) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 195.063102 68.640377) (xy 194.912608 68.840694) (xy 194.933593 69.121464) (xy 195.112192 69.297184)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 203.537521 123.388972) (end 202.273513 121.712072) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 205.995762 124.179621) (end 205.444891 123.4484) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 169.303435 132.669029) (end 186.570596 132.669029) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 149.877747 124.178588) (end 149.877747 126.154178) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 186.570596 132.669029) (end 193.300933 127.596989) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 153.462541 79.180302) (end 149.877747 81.881428) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 151.072506 76.598546) (end 151.355693 76.385122) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 153.6 78.2) (xy 153.833384 78.50971) (xy 153.772252 78.946919) (xy 153.462541 79.180302)) (layer "Edge.Cuts") (width 0.2))
+ (gr_text "▶" (at 189.35 99.975 90) (layer "F.SilkS")
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
- (gr_text + (at 90.7 94.4) (layer F.SilkS)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
+ (gr_text "SWD" (at 177.75 75.28) (layer "B.SilkS")
+ (effects (font (size 1.5 1.5) (thickness 0.3)) (justify mirror))
)
- (gr_text CK (at 88.15 94.5) (layer F.SilkS)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
+ (gr_text "⏚" (at 176.7 73.13) (layer "B.SilkS")
+ (effects (font (size 1.5 1.5) (thickness 0.3)) (justify mirror))
)
- (gr_text IO (at 83.1 94.55) (layer F.SilkS)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
+ (gr_text "+" (at 181.75 73.33) (layer "B.SilkS")
+ (effects (font (size 1.5 1.5) (thickness 0.3)) (justify mirror))
+ )
+ (gr_text "CK" (at 179.2 73.23) (layer "B.SilkS")
+ (effects (font (size 1.5 1.5) (thickness 0.3)) (justify mirror))
+ )
+ (gr_text "IO" (at 174.15 73.18) (layer "B.SilkS")
+ (effects (font (size 1.5 1.5) (thickness 0.3)) (justify mirror))
)
- (gr_text "github.com/\njaseg/8seg" (at 79.4 124.75 323) (layer F.SilkS)
+ (gr_text "github.com/\njaseg/8seg" (at 193.15 117.775 307) (layer "F.SilkS")
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
- (gr_text cc-by-sa (at 117.2 124.05 307) (layer F.SilkS)
+ (gr_text "cc-by-sa" (at 194.15 82.775 53) (layer "F.SilkS")
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
- (gr_text "(c)2018 jaseg" (at 117.65 120.85 307) (layer F.SilkS)
+ (gr_text "(c)2018 jaseg" (at 190.949926 83.224472 53) (layer "F.SilkS") (tstamp 5DE935C0)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
- (gr_text "8seg R02" (at 119.95 118.5 307) (layer F.SilkS)
+ (gr_text "8seg R03" (at 166.056995 114.061317 53) (layer "F.SilkS") (tstamp 5DE93595)
(effects (font (size 2.5 2.5) (thickness 0.4)))
)
- (gr_text ↑UP↑ (at 99.65 78.02) (layer B.SilkS) (tstamp 5C2A4E04)
+ (gr_text "↑UP↑" (at 177.95 85.975) (layer "B.SilkS") (tstamp 5C2A4E04)
(effects (font (size 3 3) (thickness 0.6)) (justify mirror))
)
- (gr_text ↑UP↑ (at 99.47 77.97) (layer F.SilkS)
+ (gr_text "↑UP↑" (at 178.1 85.325) (layer "F.SilkS") (tstamp 5DE9D652)
(effects (font (size 3 3) (thickness 0.6)))
)
- (gr_line (start 87.734397 126.954928) (end 85.338677 125.149868) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 106.465884 75.89492) (end 103.270972 75.254001) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 76.352368 108.104843) (end 77.093294 110.011692) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 79.036744 113.623425) (end 80.232527 115.308608) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 87.822757 121.852908) (end 90.697186 123.228025) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 93.710813 124.223111) (end 96.826221 124.828395) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 78.929922 86.638822) (end 77.911504 88.38735) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 77.04041 90.206583) (end 76.319817 92.087114) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 122.141986 88.456383) (end 121.136903 86.715469) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 122.79221 119.131118) (end 119.83528 115.207318) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 119.83528 115.207318) (end 121.01661 113.530637) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 75.092805 98.002431) (end 75.005977 100.034088) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 100.005997 75.034062) (end 96.768574 75.252799) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 116.40448 68.209156) (end 112.4099 73.510124) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 125.18793 117.326058) (end 122.79221 119.131118) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 76.319817 92.087114) (end 75.752901 94.019537) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 123.669763 108.046376) (end 124.246251 106.099016) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 77.352087 119.131118) (end 74.956367 117.326058) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 77.911504 88.38735) (end 77.04041 90.206583) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 122.937137 109.940526) (end 123.669763 108.046376) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 116.40448 132.255888) (end 129.18252 122.626508) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 112.4099 73.510124) (end 114.80562 75.315182) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 74.956367 83.138996) (end 77.352087 81.333936) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 93.599788 75.88552) (end 90.539076 76.921661) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 121.01661 113.530637) (end 122.051658 111.771826) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 125.00603 100.034088) (end 124.921104 98.015835) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 129.18252 122.626508) (end 125.18793 117.326058) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 100.005997 125.034108) (end 103.21 124.82) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 75.752901 94.019537) (end 75.342838 95.994445) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 80.092487 84.970406) (end 78.929922 86.638822) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 122.79221 81.333936) (end 125.18793 83.138996) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 124.246251 106.099016) (end 124.663315 104.108088) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 125.18793 83.138996) (end 129.18252 77.838546) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 114.80562 75.315182) (end 112.48379 78.396126) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 112.4099 126.954928) (end 116.40448 132.255888) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 123.712166 92.136879) (end 123.001438 90.266596) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 77.989209 111.854503) (end 79.036744 113.623425) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 124.663315 104.108088) (end 124.91767 102.083232) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 106.347479 124.200305) (end 109.380181 123.185159) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 122.051658 111.771826) (end 122.937137 109.940526) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 103.270972 75.254001) (end 100.005997 75.034062) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 121.136903 86.715469) (end 119.98928 85.053086) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 90.539076 76.921661) (end 87.625877 78.350656) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 75.342838 95.994445) (end 75.092805 98.002431) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 109.550301 76.945927) (end 106.465884 75.89492) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 124.91767 102.083232) (end 125.00603 100.034088) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 129.18252 77.838546) (end 116.40448 68.209156) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 96.826221 124.828395) (end 100.005997 125.034108) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 75.005977 100.034088) (end 75.093236 102.098579) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 80.232527 115.308608) (end 77.352087 119.131118) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 109.380181 123.185159) (end 112.26985 121.784698) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 124.921104 98.015835) (end 124.67509 96.020729) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 70.961777 122.626508) (end 83.739807 132.255888) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 70.961777 77.838546) (end 74.956367 83.138996) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 124.271081 94.058) (end 123.712166 92.136879) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 96.768574 75.252799) (end 93.599788 75.88552) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 77.352087 81.333936) (end 80.092487 84.970406) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 124.67509 96.020729) (end 124.271081 94.058) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 75.769803 106.143808) (end 76.352368 108.104843) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 112.48379 78.396126) (end 109.550301 76.945927) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 74.956367 117.326058) (end 70.961777 122.626508) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 87.625877 78.350656) (end 85.338677 75.315182) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 83.739807 132.255888) (end 87.734397 126.954928) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 112.26985 121.784698) (end 114.80562 125.149868) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 75.348968 104.138436) (end 75.769803 106.143808) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 85.338677 125.149868) (end 87.822757 121.852908) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 90.697186 123.228025) (end 93.710813 124.223111) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 119.98928 85.053086) (end 122.79221 81.333936) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 77.093294 110.011692) (end 77.989209 111.854503) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 83.739807 68.209156) (end 70.961777 77.838546) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 75.093236 102.098579) (end 75.348968 104.138436) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 123.001438 90.266596) (end 122.141986 88.456383) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 85.338677 75.315182) (end 87.734397 73.510124) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 87.734397 73.510124) (end 83.739807 68.209156) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 114.80562 125.149868) (end 112.4099 126.954928) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 103.21 124.82) (end 106.347479 124.200305) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 75 125) (end 75 75) (layer Dwgs.User) (width 0.2))
- (gr_line (start 125 125) (end 75 125) (layer Dwgs.User) (width 0.2))
- (gr_line (start 125 75) (end 125 125) (layer Dwgs.User) (width 0.2))
- (gr_line (start 75 75) (end 125 75) (layer Dwgs.User) (width 0.2))
-
- (segment (start 87 108.9) (end 85.8 110.1) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 87 107.95) (end 87 108.9) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 84.6 108.9) (end 85.8 110.1) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 84.6 108) (end 84.6 108.9) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 113.1 96.9) (end 112.95 96.75) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 115.6 96.9) (end 113.1 96.9) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 114.65 94.5) (end 119.1 90.05) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 112.95 94.5) (end 114.65 94.5) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 112.95 96.75) (end 112.95 94.5) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 101.8 116.75) (end 101.8 114.05) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 101.8 114.05) (end 101.75 114) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 101.8 118.75) (end 101.35 119.2) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 101.8 116.75) (end 101.8 118.75) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 101.35 119.2) (end 101.35 120.6) (width 2) (layer F.Cu) (net 1))
- (via (at 85.799992 111.750008) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 1))
- (segment (start 89.3 118.85) (end 85.799992 115.349992) (width 2) (layer B.Cu) (net 1))
- (segment (start 85.799992 112.598536) (end 85.799992 111.750008) (width 2) (layer B.Cu) (net 1))
- (segment (start 85.799992 115.349992) (end 85.799992 112.598536) (width 2) (layer B.Cu) (net 1))
- (via (at 92.571589 78.755737) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48CC))
- (via (at 86.370323 82.09101) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48CD))
- (via (at 81.503225 87.17934) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48CE))
- (via (at 78.44672 93.522646) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48CF))
- (via (at 77.5 100.5) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48D0))
- (via (at 78.755737 107.428411) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48D1))
- (via (at 82.09101 113.629677) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48D2))
- (via (at 87.17934 118.496775) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48D3))
- (via (at 93.522646 121.55328) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48D4))
- (via (at 100.5 122.5) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48D5))
- (via (at 107.428411 121.244263) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48D6))
- (via (at 113.629677 117.90899) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48D7))
- (via (at 118.496775 112.82066) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48D8))
- (via (at 121.55328 106.477354) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48D9))
- (via (at 122.5 99.5) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48DA))
- (via (at 121.244263 92.571589) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48DB))
- (via (at 117.90899 86.370323) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48DC))
- (via (at 112.82066 81.503225) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48DD))
- (via (at 106.477354 78.44672) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48DE))
- (via (at 99.5 77.5) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1))
- (via (at 85.799992 111.750008) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1))
- (segment (start 85.799992 110.100008) (end 85.8 110.1) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 85.799992 111.750008) (end 85.799992 110.100008) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 105.5 101.025) (end 105.5 101.25) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 104.8 100.325) (end 105.5 101.025) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 102.95 100.325) (end 104.8 100.325) (width 0.3) (layer F.Cu) (net 2))
- (via (at 98.9 95.9) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 2))
- (segment (start 98.65 94.35) (end 98.65 95.65) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 98.65 95.65) (end 98.9 95.9) (width 0.3) (layer F.Cu) (net 2))
- (via (at 105.25 92.4) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 2))
- (segment (start 109.3 88.75) (end 108.7 88.75) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 105.25 92.2) (end 105.25 92.4) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 108.7 88.75) (end 105.25 92.2) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 101.6 81.95) (end 100 81.95) (width 0.3) (layer F.Cu) (net 2))
- (via (at 99 82.7) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 2))
- (segment (start 98.3 81.95) (end 98.2 82.05) (width 0.3) (layer F.Cu) (net 2))
- (via (at 84.903097 101.658975) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 2))
- (segment (start 82.85 100) (end 83.244122 100) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 83.244122 100) (end 84.903097 101.658975) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 97.15 114) (end 97 110.65) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 97.15 110.8) (end 97 110.65) (width 0.3) (layer F.Cu) (net 2))
- (via (at 100.8 97.95) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 2))
- (segment (start 98.9 95.9) (end 98.9 96.05) (width 0.3) (layer B.Cu) (net 2))
- (segment (start 98.9 96.05) (end 100.8 97.95) (width 0.3) (layer B.Cu) (net 2))
- (via (at 106.75 99.4) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 2))
- (segment (start 105.5 101.25) (end 106.75 100) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 106.75 100) (end 106.75 99.4) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 103.95 85.1) (end 103.95 86.3) (width 0.3) (layer F.Cu) (net 2))
- (via (at 103.8 83.25) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 2))
- (segment (start 103.8 83.25) (end 103.95 85.1) (width 0.3) (layer F.Cu) (net 2))
- (via (at 106.25 84.5) (size 2) (drill 1) (layers F.Cu B.Cu) (net 2))
- (segment (start 106.5 84.5) (end 106.25 84.5) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 109.3 88.75) (end 109.3 87.3) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 109.3 87.3) (end 106.5 84.5) (width 0.3) (layer F.Cu) (net 2))
- (via (at 114.9 107.7) (size 2) (drill 1) (layers F.Cu B.Cu) (net 2))
- (segment (start 97.15 110.8) (end 97.15 110.8) (width 0.3) (layer F.Cu) (net 2) (tstamp 5C2A43AC))
- (segment (start 97.15 111.7) (end 97.15 110.8) (width 0.3) (layer F.Cu) (net 2) (tstamp 5C2A447A))
- (via (at 97.15 111.7) (size 2) (drill 1) (layers F.Cu B.Cu) (net 2))
- (segment (start 106.749999 104.600001) (end 106.6 104.75) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 106.6 104.75) (end 106.6 105.5) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 112.550001 104.600001) (end 106.749999 104.600001) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 113.55 105.6) (end 112.550001 104.600001) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 119.45 103.3) (end 119.25 103.1) (width 0.2) (layer F.Cu) (net 2))
- (segment (start 119.25 103.1) (end 119.25 103.05) (width 0.2) (layer F.Cu) (net 2))
- (segment (start 119.55 103.3) (end 117.4 101.15) (width 0.2) (layer F.Cu) (net 2))
- (segment (start 119.55 103.3) (end 119.45 103.3) (width 0.2) (layer F.Cu) (net 2))
- (segment (start 120.05 103.3) (end 119.55 103.3) (width 0.2) (layer F.Cu) (net 2))
- (segment (start 113.149999 100.200001) (end 112.35 101) (width 0.2) (layer F.Cu) (net 2))
- (via (at 112 100.3) (size 2) (drill 1) (layers F.Cu B.Cu) (net 2))
- (segment (start 116.450001 100.200001) (end 113.149999 100.200001) (width 0.2) (layer F.Cu) (net 2))
- (via (at 117.3 101.05) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (segment (start 117.4 101.15) (end 117.3 101.05) (width 0.2) (layer F.Cu) (net 2))
- (segment (start 117.3 101.05) (end 116.450001 100.200001) (width 0.2) (layer F.Cu) (net 2))
- (via (at 117 102.4) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (segment (start 117.3 101.05) (end 117.3 102.1) (width 0.2) (layer F.Cu) (net 2))
- (segment (start 117.3 102.1) (end 117 102.4) (width 0.2) (layer F.Cu) (net 2))
- (via (at 94.8 82.7) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 2))
- (segment (start 94.8 84.25) (end 94.8 83.05) (width 0.5) (layer F.Cu) (net 2))
- (segment (start 94.8 83.05) (end 94.15 82.4) (width 0.5) (layer F.Cu) (net 2))
- (via (at 85.775634 92.775634) (size 2) (drill 1) (layers F.Cu B.Cu) (net 2))
- (segment (start 86.16539 92.775634) (end 85.775634 92.775634) (width 0.25) (layer F.Cu) (net 2))
- (segment (start 90.878544 88.66248) (end 90.278544 88.66248) (width 0.25) (layer F.Cu) (net 2))
- (segment (start 90.278544 88.66248) (end 86.16539 92.775634) (width 0.25) (layer F.Cu) (net 2))
- (via (at 94.8 112.7) (size 2) (drill 1) (layers F.Cu B.Cu) (net 2))
- (segment (start 97 110.65) (end 97.15 111.7) (width 0.3) (layer F.Cu) (net 2) (tstamp 5C551021))
- (via (at 96.4 109.5) (size 2) (drill 1) (layers F.Cu B.Cu) (net 2))
- (via (at 96.8 104.7) (size 2) (drill 1) (layers F.Cu B.Cu) (net 2) (tstamp 5C551038))
- (via (at 108.2 105.5) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 2))
- (via (at 93 93) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 95.9 95.9) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 93.4 96.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 92.4 96.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 101.5 93.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 101.6 95.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 92.4 98.85) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 109.3 90.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 109.3 86.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 113.6 108.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 109.2 109.4) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 101.1 109.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 106.7 117.7) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 97.9 119.1) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 96.2 118.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 90.6 90.6) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 91.2 85.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 92.2 86.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (segment (start 100 81.95) (end 98.3 81.95) (width 0.3) (layer F.Cu) (net 2) (tstamp 5C551156))
- (via (at 100 81.95) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 101.6 84.7) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 98 87.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 93.5 84.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (segment (start 120.05 103.3) (end 120.05 103.55) (width 0.8) (layer F.Cu) (net 2))
- (segment (start 120.05 103.55) (end 119.05 104.55) (width 0.8) (layer F.Cu) (net 2))
- (via (at 101.35448 100.50001) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 2))
- (segment (start 102.95 100.325) (end 101.52949 100.325) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 101.52949 100.325) (end 101.35448 100.50001) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 94.775 99.675) (end 94 100.45) (width 0.3) (layer F.Cu) (net 3))
- (segment (start 97.05 99.675) (end 94.775 99.675) (width 0.3) (layer F.Cu) (net 3))
- (segment (start 105.175 99.675) (end 105.5 99.35) (width 0.3) (layer F.Cu) (net 3))
- (segment (start 102.95 99.675) (end 105.175 99.675) (width 0.3) (layer F.Cu) (net 3))
- (segment (start 99.45 116.45) (end 99.4 116.5) (width 1.2) (layer F.Cu) (net 3))
- (segment (start 99.45 114) (end 99.45 116.45) (width 1.2) (layer F.Cu) (net 3))
- (segment (start 99.45 114) (end 99.45 111.9) (width 2) (layer F.Cu) (net 3))
- (segment (start 99.45 111.9) (end 99.45 107.7) (width 2) (layer F.Cu) (net 3))
- (segment (start 94 100.6) (end 94 100.45) (width 0.3) (layer F.Cu) (net 3))
- (segment (start 90.75 98.3) (end 90.75 96.75) (width 0.3) (layer F.Cu) (net 3))
- (segment (start 94 100.45) (end 92.9 100.45) (width 0.3) (layer F.Cu) (net 3))
- (segment (start 92.9 100.45) (end 90.75 98.3) (width 0.3) (layer F.Cu) (net 3))
- (via (at 99.2 104.4) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 3))
- (segment (start 98.85 104.75) (end 99.2 104.4) (width 1.2) (layer F.Cu) (net 3))
- (segment (start 99.45 107.7) (end 98.85 107.1) (width 1.2) (layer F.Cu) (net 3))
- (segment (start 98.85 107.1) (end 98.85 104.75) (width 1.2) (layer F.Cu) (net 3))
- (segment (start 109.35 118.2) (end 103.05 111.9) (width 1.2) (layer F.Cu) (net 3))
- (segment (start 103.05 111.9) (end 99.45 111.9) (width 1.2) (layer F.Cu) (net 3))
- (via (at 99.75 102) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 3))
- (segment (start 99.75 101.35) (end 99.75 102) (width 0.3) (layer F.Cu) (net 3))
- (segment (start 97.05 99.675) (end 98.075 99.675) (width 0.3) (layer F.Cu) (net 3))
- (segment (start 99.75 103.85) (end 99.75 102) (width 1.2) (layer B.Cu) (net 3))
- (segment (start 99.2 104.4) (end 99.75 103.85) (width 1.2) (layer B.Cu) (net 3))
- (segment (start 101.7 99.45) (end 100.795998 99.45) (width 0.5) (layer F.Cu) (net 3))
- (segment (start 101.925 99.675) (end 101.7 99.45) (width 0.3) (layer F.Cu) (net 3))
- (segment (start 102.95 99.675) (end 101.925 99.675) (width 0.3) (layer F.Cu) (net 3))
- (segment (start 100.795998 99.45) (end 100.595998 99.65) (width 0.5) (layer F.Cu) (net 3))
- (segment (start 98.1 99.65) (end 98.075 99.675) (width 0.5) (layer F.Cu) (net 3))
- (segment (start 100.595998 99.65) (end 98.1 99.65) (width 0.5) (layer F.Cu) (net 3))
- (segment (start 99.75 101.151472) (end 99.75 102) (width 0.5) (layer F.Cu) (net 3))
- (segment (start 100.595998 99.65) (end 99.9 99.65) (width 0.5) (layer F.Cu) (net 3))
- (segment (start 99.75 99.8) (end 99.75 101.151472) (width 0.5) (layer F.Cu) (net 3))
- (segment (start 99.9 99.65) (end 99.75 99.8) (width 0.5) (layer F.Cu) (net 3))
- (segment (start 113.45 99.1) (end 113.1 98.75) (width 0.5) (layer F.Cu) (net 4))
- (segment (start 115.6 99.1) (end 113.45 99.1) (width 0.5) (layer F.Cu) (net 4))
- (segment (start 113.05 98.75) (end 111.05 96.75) (width 0.5) (layer F.Cu) (net 4))
- (segment (start 113.1 98.75) (end 113.05 98.75) (width 0.5) (layer F.Cu) (net 4))
- (segment (start 111.05 94.5) (end 111.05 96.75) (width 0.5) (layer F.Cu) (net 4))
- (segment (start 96.75 84.3) (end 96.7 84.25) (width 0.5) (layer F.Cu) (net 5))
- (segment (start 96.7 84.3) (end 97.6 85.2) (width 0.5) (layer F.Cu) (net 5))
- (segment (start 96.7 84.25) (end 96.7 84.3) (width 0.5) (layer F.Cu) (net 5))
- (segment (start 99 86.2) (end 98.125 86.2) (width 0.5) (layer F.Cu) (net 5))
- (segment (start 97.6 85.2) (end 97.6 86.2) (width 0.5) (layer F.Cu) (net 5))
- (segment (start 98.125 86.2) (end 97.6 86.2) (width 0.5) (layer F.Cu) (net 5))
- (segment (start 97.6 86.6) (end 96.65 87.55) (width 0.5) (layer F.Cu) (net 5))
- (segment (start 97.6 86.2) (end 97.6 86.6) (width 0.5) (layer F.Cu) (net 5))
- (segment (start 96.65 87.55) (end 96.65 88.5) (width 0.5) (layer F.Cu) (net 5))
- (segment (start 102.35 94.35) (end 102.5 94.2) (width 0.3) (layer F.Cu) (net 6))
- (segment (start 100.35 94.35) (end 102.35 94.35) (width 0.3) (layer F.Cu) (net 6))
- (segment (start 100.35 96.15712) (end 100.35 95.35) (width 0.3) (layer F.Cu) (net 6))
- (segment (start 100.35 95.35) (end 100.35 94.35) (width 0.3) (layer F.Cu) (net 6))
- (segment (start 98.13212 98.375) (end 100.35 96.15712) (width 0.3) (layer F.Cu) (net 6))
- (segment (start 97.05 98.375) (end 98.13212 98.375) (width 0.3) (layer F.Cu) (net 6))
- (segment (start 96.75 94.05) (end 98.65 92.15) (width 0.3) (layer F.Cu) (net 7))
- (segment (start 96.75 94.25) (end 96.75 94.05) (width 0.3) (layer F.Cu) (net 7))
- (segment (start 96.75 95.15) (end 98.5 96.9) (width 0.3) (layer F.Cu) (net 7))
- (segment (start 96.75 94.25) (end 96.75 95.15) (width 0.3) (layer F.Cu) (net 7))
- (segment (start 98.5 97.3) (end 98.075 97.725) (width 0.3) (layer F.Cu) (net 7))
- (segment (start 98.5 96.9) (end 98.5 97.3) (width 0.3) (layer F.Cu) (net 7))
- (segment (start 98.075 97.725) (end 97.05 97.725) (width 0.3) (layer F.Cu) (net 7))
- (segment (start 84.3 106) (end 84.6 106) (width 0.8) (layer F.Cu) (net 8))
- (segment (start 82.2 108.1) (end 84.3 106) (width 0.8) (layer F.Cu) (net 8))
- (segment (start 84.6 106) (end 86.95 106) (width 0.8) (layer F.Cu) (net 8))
- (segment (start 86.95 106) (end 87 106.05) (width 0.8) (layer F.Cu) (net 8))
- (segment (start 82.2 108.7) (end 83.6 110.1) (width 0.8) (layer F.Cu) (net 8))
- (segment (start 82.2 108.1) (end 82.2 108.7) (width 0.8) (layer F.Cu) (net 8))
- (segment (start 118.1 103.35) (end 118.15 103.3) (width 0.5) (layer F.Cu) (net 9))
- (segment (start 117.95 103.5) (end 118.15 103.3) (width 0.5) (layer F.Cu) (net 9))
- (segment (start 115.7 103.5) (end 117.95 103.5) (width 0.5) (layer F.Cu) (net 9))
- (segment (start 114.3 103.5) (end 114 103.2) (width 0.8) (layer F.Cu) (net 9))
- (segment (start 115.7 103.5) (end 114.3 103.5) (width 0.8) (layer F.Cu) (net 9))
- (segment (start 110.4 111.75) (end 110.5 111.85) (width 0.3) (layer F.Cu) (net 10))
- (segment (start 110.35 111.7) (end 110.5 111.85) (width 0.5) (layer F.Cu) (net 10))
- (segment (start 107.75 111.7) (end 110.35 111.7) (width 0.5) (layer F.Cu) (net 10))
- (segment (start 112.9 111.85) (end 113 111.75) (width 0.5) (layer F.Cu) (net 10))
- (segment (start 110.5 111.85) (end 112.9 111.85) (width 0.5) (layer F.Cu) (net 10))
- (segment (start 114.360002 88.75) (end 114.7 88.75) (width 2) (layer F.Cu) (net 11))
- (via (at 111.75 86.139998) (size 2) (drill 1) (layers F.Cu B.Cu) (net 11))
- (segment (start 109.3 83.75) (end 109.360002 83.75) (width 2) (layer F.Cu) (net 11))
- (segment (start 109.360002 83.75) (end 111.75 86.139998) (width 2) (layer F.Cu) (net 11))
- (segment (start 111.75 86.139998) (end 114.360002 88.75) (width 2) (layer F.Cu) (net 11))
- (segment (start 105.95 86.15) (end 104.7 84.9) (width 0.3) (layer F.Cu) (net 11))
- (segment (start 104.7 84.9) (end 104.7 84.051998) (width 0.3) (layer F.Cu) (net 11))
- (segment (start 105.95 86.3) (end 105.95 86.15) (width 0.3) (layer F.Cu) (net 11))
- (segment (start 104.7 84.051998) (end 105.601999 83.149999) (width 0.3) (layer F.Cu) (net 11))
- (segment (start 105.601999 83.149999) (end 108.099999 83.149999) (width 0.3) (layer F.Cu) (net 11))
- (segment (start 108.7 83.75) (end 109.3 83.75) (width 0.3) (layer F.Cu) (net 11))
- (segment (start 108.099999 83.149999) (end 108.7 83.75) (width 0.3) (layer F.Cu) (net 11))
- (segment (start 112.889998 86.139998) (end 111.75 86.139998) (width 2) (layer B.Cu) (net 11))
- (segment (start 114.751014 88.4) (end 110.79693 92.354084) (width 2) (layer B.Cu) (net 11))
- (segment (start 115.15 88.4) (end 114.751014 88.4) (width 2) (layer B.Cu) (net 11))
- (segment (start 115.15 88.4) (end 112.889998 86.139998) (width 2) (layer B.Cu) (net 11))
- (segment (start 119 92.25) (end 115.15 88.4) (width 2) (layer B.Cu) (net 11))
- (segment (start 119 106.8) (end 119 92.25) (width 2) (layer B.Cu) (net 11))
- (segment (start 110.024755 115.775245) (end 119 106.8) (width 2) (layer B.Cu) (net 11))
- (segment (start 89.20307 113.00307) (end 91.2 115) (width 2) (layer B.Cu) (net 11))
- (segment (start 91.2 115) (end 96.7 115) (width 2) (layer B.Cu) (net 11))
- (segment (start 96.7 115) (end 97.5 115.8) (width 2) (layer B.Cu) (net 11))
- (segment (start 97.524755 115.775245) (end 110.024755 115.775245) (width 2) (layer B.Cu) (net 11))
- (segment (start 97.5 115.8) (end 97.524755 115.775245) (width 2) (layer B.Cu) (net 11))
- (segment (start 89.20307 107.645916) (end 89.20307 113.00307) (width 2) (layer B.Cu) (net 11))
- (segment (start 90.878544 83.66248) (end 90.478544 83.66248) (width 2) (layer F.Cu) (net 12))
- (segment (start 85.478544 88.66248) (end 87.95 86.191024) (width 2) (layer F.Cu) (net 12))
- (via (at 87.95 86.191024) (size 2) (drill 1) (layers F.Cu B.Cu) (net 12))
- (segment (start 90.478544 83.66248) (end 87.95 86.191024) (width 2) (layer F.Cu) (net 12))
- (segment (start 89.351014 86.2) (end 92.79693 89.645916) (width 2) (layer B.Cu) (net 12))
- (segment (start 89.3 86.2) (end 89.351014 86.2) (width 2) (layer B.Cu) (net 12))
- (segment (start 106.6 107.5) (end 107.7 107.5) (width 0.25) (layer F.Cu) (net 12))
- (via (at 107.9 107.7) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 12))
- (segment (start 107.7 107.5) (end 107.9 107.7) (width 0.25) (layer F.Cu) (net 12))
- (segment (start 107.20307 108.39693) (end 107.20307 110.354084) (width 0.25) (layer B.Cu) (net 12))
- (segment (start 107.9 107.7) (end 107.20307 108.39693) (width 0.25) (layer B.Cu) (net 12))
- (segment (start 89.342038 86.191024) (end 87.95 86.191024) (width 2) (layer B.Cu) (net 12))
- (segment (start 89.451014 86.3) (end 89.342038 86.191024) (width 2) (layer B.Cu) (net 12))
- (segment (start 89.139998 86.3) (end 89.451014 86.3) (width 2) (layer B.Cu) (net 12))
- (segment (start 107.20307 110.354084) (end 103.848986 107) (width 2) (layer B.Cu) (net 12))
- (segment (start 95.5 107) (end 92.45 103.95) (width 2) (layer B.Cu) (net 12))
- (segment (start 80.649999 94.789999) (end 89.139998 86.3) (width 2) (layer B.Cu) (net 12))
- (segment (start 92.45 103.95) (end 83 103.95) (width 2) (layer B.Cu) (net 12))
- (segment (start 103.848986 107) (end 95.5 107) (width 2) (layer B.Cu) (net 12))
- (segment (start 83 103.95) (end 80.649999 101.599999) (width 2) (layer B.Cu) (net 12))
- (segment (start 80.649999 101.599999) (end 80.649999 94.789999) (width 2) (layer B.Cu) (net 12))
- (segment (start 105.95 88.5) (end 103.95 88.5) (width 0.3) (layer F.Cu) (net 13))
- (segment (start 102.65 88.5) (end 101.6 87.45) (width 0.3) (layer F.Cu) (net 13))
- (segment (start 103.95 88.5) (end 102.65 88.5) (width 0.3) (layer F.Cu) (net 13))
- (segment (start 94.580035 101.699967) (end 95.955002 100.325) (width 0.3) (layer F.Cu) (net 13))
- (segment (start 95.955002 100.325) (end 96.025 100.325) (width 0.3) (layer F.Cu) (net 13))
- (segment (start 93.369964 101.699968) (end 94.580035 101.699967) (width 0.3) (layer F.Cu) (net 13))
- (segment (start 96.025 100.325) (end 97.05 100.325) (width 0.3) (layer F.Cu) (net 13))
- (segment (start 89.410001 97.740003) (end 93.369964 101.699968) (width 0.3) (layer F.Cu) (net 13))
- (segment (start 89.410001 95.759997) (end 89.410001 97.740003) (width 0.3) (layer F.Cu) (net 13))
- (via (at 90.683142 95.080201) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 13))
- (segment (start 90.089797 95.080201) (end 89.410001 95.759997) (width 0.25) (layer F.Cu) (net 13))
- (segment (start 90.683142 95.080201) (end 90.089797 95.080201) (width 0.25) (layer F.Cu) (net 13))
- (via (at 97.975075 89.849978) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 13))
- (segment (start 101.6 87.45) (end 101.6 88.25) (width 0.25) (layer F.Cu) (net 13))
- (segment (start 101.6 88.25) (end 100.000022 89.849978) (width 0.25) (layer F.Cu) (net 13))
- (segment (start 98.54076 89.849978) (end 97.975075 89.849978) (width 0.25) (layer F.Cu) (net 13))
- (segment (start 100.000022 89.849978) (end 98.54076 89.849978) (width 0.25) (layer F.Cu) (net 13))
- (segment (start 97.1 90.725053) (end 97.975075 89.849978) (width 0.25) (layer B.Cu) (net 13))
- (segment (start 97.1 93.265685) (end 97.1 90.725053) (width 0.25) (layer B.Cu) (net 13))
- (segment (start 94.885485 95.4802) (end 97.1 93.265685) (width 0.25) (layer B.Cu) (net 13))
- (segment (start 90.683142 95.080201) (end 91.083141 95.4802) (width 0.25) (layer B.Cu) (net 13))
- (segment (start 91.083141 95.4802) (end 94.885485 95.4802) (width 0.25) (layer B.Cu) (net 13))
- (segment (start 104.4 107.5) (end 104.4 105.5) (width 0.3) (layer F.Cu) (net 14))
- (via (at 101.5 104.9) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 14))
- (segment (start 93.162855 102.199978) (end 94.800022 102.199978) (width 0.3) (layer F.Cu) (net 14))
- (segment (start 88.35 100) (end 90.962876 100) (width 0.3) (layer F.Cu) (net 14))
- (segment (start 96.025 100.975) (end 97.05 100.975) (width 0.3) (layer F.Cu) (net 14))
- (segment (start 94.800022 102.199978) (end 96.025 100.975) (width 0.3) (layer F.Cu) (net 14))
- (segment (start 90.962876 100) (end 93.162855 102.199978) (width 0.3) (layer F.Cu) (net 14))
- (segment (start 98.75001 102.45001) (end 99.225001 102.925001) (width 0.25) (layer F.Cu) (net 14))
- (via (at 101.450021 103.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 14))
- (segment (start 101.5 103.249979) (end 101.450021 103.2) (width 0.3) (layer B.Cu) (net 14))
- (segment (start 101.5 104.9) (end 101.5 103.249979) (width 0.3) (layer B.Cu) (net 14))
- (segment (start 100.609337 102.925001) (end 100.884336 103.2) (width 0.25) (layer F.Cu) (net 14))
- (segment (start 100.884336 103.2) (end 101.450021 103.2) (width 0.25) (layer F.Cu) (net 14))
- (segment (start 98.75001 101.628245) (end 98.75001 102.45001) (width 0.25) (layer F.Cu) (net 14))
- (segment (start 98.096765 100.975) (end 98.75001 101.628245) (width 0.25) (layer F.Cu) (net 14))
- (segment (start 99.225001 102.925001) (end 100.609337 102.925001) (width 0.25) (layer F.Cu) (net 14))
- (segment (start 97.05 100.975) (end 98.096765 100.975) (width 0.25) (layer F.Cu) (net 14))
- (segment (start 103.8 104.9) (end 101.5 104.9) (width 0.3) (layer F.Cu) (net 14))
- (segment (start 104.4 105.5) (end 103.8 104.9) (width 0.3) (layer F.Cu) (net 14))
- (segment (start 110.75 98.75) (end 109.9 97.9) (width 0.3) (layer F.Cu) (net 15))
- (segment (start 110.9 98.75) (end 110.75 98.75) (width 0.3) (layer F.Cu) (net 15))
- (segment (start 108.5 94.95) (end 108.5 93) (width 0.3) (layer F.Cu) (net 15))
- (segment (start 109.9 96.35) (end 108.5 94.95) (width 0.3) (layer F.Cu) (net 15))
- (segment (start 109.9 97.9) (end 109.9 96.35) (width 0.3) (layer F.Cu) (net 15))
- (segment (start 108.65 98.65) (end 108.5 98.5) (width 0.3) (layer F.Cu) (net 16))
- (segment (start 108.65 102.7) (end 108.65 98.65) (width 0.3) (layer F.Cu) (net 16))
- (segment (start 108.4 102.95) (end 108.65 102.7) (width 0.3) (layer F.Cu) (net 16))
- (segment (start 104.65 102.95) (end 108.4 102.95) (width 0.3) (layer F.Cu) (net 16))
- (segment (start 102.95 102.275) (end 103.975 102.275) (width 0.3) (layer F.Cu) (net 16))
- (segment (start 103.975 102.275) (end 104.65 102.95) (width 0.3) (layer F.Cu) (net 16))
- (segment (start 95.3 103.2) (end 90.3 103.2) (width 0.3) (layer F.Cu) (net 17))
- (segment (start 95.575 102.925) (end 95.3 103.2) (width 0.3) (layer F.Cu) (net 17))
- (segment (start 97.05 102.925) (end 95.575 102.925) (width 0.3) (layer F.Cu) (net 17))
- (segment (start 90.3 103.2) (end 88.35 103.2) (width 0.3) (layer F.Cu) (net 17))
- (segment (start 82.2 103.85) (end 82.85 103.2) (width 0.8) (layer F.Cu) (net 18))
- (segment (start 82.2 105.9) (end 82.2 103.85) (width 0.8) (layer F.Cu) (net 18))
- (via (at 114.8 91.7) (size 2) (drill 1) (layers F.Cu B.Cu) (net 19))
- (segment (start 112 92.5) (end 114 92.5) (width 1.2) (layer F.Cu) (net 19))
- (segment (start 114 92.5) (end 114.8 91.7) (width 1.2) (layer F.Cu) (net 19))
- (segment (start 107.20307 93.75307) (end 107.20307 91.851988) (width 1.2) (layer B.Cu) (net 19))
- (segment (start 107.20307 91.851988) (end 107.20307 89.645916) (width 1.2) (layer B.Cu) (net 19))
- (segment (start 109.05 95.6) (end 107.20307 93.75307) (width 1.2) (layer B.Cu) (net 19))
- (segment (start 111.35 95.6) (end 109.05 95.6) (width 1.2) (layer B.Cu) (net 19))
- (segment (start 114.8 91.7) (end 114.8 92.15) (width 1.2) (layer B.Cu) (net 19))
- (segment (start 114.8 92.15) (end 111.35 95.6) (width 1.2) (layer B.Cu) (net 19))
- (via (at 94.5 87.4) (size 2) (drill 1) (layers F.Cu B.Cu) (net 20))
- (segment (start 95.75 86.25) (end 95.65 86.25) (width 1.2) (layer F.Cu) (net 20))
- (segment (start 95.65 86.25) (end 94.5 87.4) (width 1.2) (layer F.Cu) (net 20))
- (segment (start 94.299999 94.500001) (end 91.799999 94.500001) (width 1.2) (layer B.Cu) (net 20))
- (segment (start 96.1 92.7) (end 94.299999 94.500001) (width 1.2) (layer B.Cu) (net 20))
- (segment (start 91.799999 94.500001) (end 90.701375 93.401377) (width 1.2) (layer B.Cu) (net 20))
- (segment (start 94.5 87.4) (end 96.1 89) (width 1.2) (layer B.Cu) (net 20))
- (segment (start 96.1 89) (end 96.1 92.7) (width 1.2) (layer B.Cu) (net 20))
- (via (at 92.694365 107.305635) (size 2) (drill 1) (layers F.Cu B.Cu) (net 21))
- (segment (start 92.79693 110.354084) (end 92.79693 107.4082) (width 1.2) (layer B.Cu) (net 21))
- (segment (start 92.79693 107.4082) (end 92.694365 107.305635) (width 1.2) (layer B.Cu) (net 21))
- (segment (start 92.38873 107) (end 92.694365 107.305635) (width 1.2) (layer F.Cu) (net 21))
- (segment (start 89 107) (end 92.38873 107) (width 1.2) (layer F.Cu) (net 21))
- (via (at 103 109.8) (size 2) (drill 1) (layers F.Cu B.Cu) (net 22))
- (segment (start 105.75 110.75) (end 103.95 110.75) (width 1.2) (layer F.Cu) (net 22))
- (segment (start 103.95 110.75) (end 103 109.8) (width 1.2) (layer F.Cu) (net 22))
- (segment (start 103 110.5) (end 103 109.8) (width 1.2) (layer B.Cu) (net 22))
- (segment (start 106.2 113.7) (end 103 110.5) (width 1.2) (layer B.Cu) (net 22))
- (segment (start 109.1 113.7) (end 106.2 113.7) (width 1.2) (layer B.Cu) (net 22))
- (segment (start 110.79693 107.645916) (end 110.79693 112.00307) (width 1.2) (layer B.Cu) (net 22))
- (segment (start 110.79693 112.00307) (end 109.1 113.7) (width 1.2) (layer B.Cu) (net 22))
- (segment (start 93.714245 91.099999) (end 102.905001 91.099999) (width 0.3) (layer F.Cu) (net 23))
- (segment (start 102.905001 91.099999) (end 103.225001 91.419999) (width 0.3) (layer F.Cu) (net 23))
- (segment (start 102.95 96.55) (end 102.95 97.075) (width 0.3) (layer F.Cu) (net 23))
- (segment (start 103.225001 96.274999) (end 102.95 96.55) (width 0.3) (layer F.Cu) (net 23))
- (segment (start 93.114223 91.700021) (end 93.714245 91.099999) (width 0.3) (layer F.Cu) (net 23))
- (segment (start 92.199979 91.700022) (end 93.114223 91.700021) (width 0.3) (layer F.Cu) (net 23))
- (segment (start 88.21 96.75) (end 88.21 95.690001) (width 0.3) (layer F.Cu) (net 23))
- (segment (start 88.21 95.690001) (end 92.199979 91.700022) (width 0.3) (layer F.Cu) (net 23))
- (segment (start 103.225001 91.419999) (end 103.225001 96.274999) (width 0.3) (layer F.Cu) (net 23))
- (segment (start 88.09288 95.099999) (end 91.992869 91.200011) (width 0.3) (layer F.Cu) (net 24))
- (segment (start 104.05 97.65) (end 103.975 97.725) (width 0.3) (layer F.Cu) (net 24))
- (segment (start 83.13 96.75) (end 84.78 95.1) (width 0.3) (layer F.Cu) (net 24))
- (segment (start 103.975 97.725) (end 102.95 97.725) (width 0.3) (layer F.Cu) (net 24))
- (segment (start 91.992869 91.200011) (end 92.907111 91.200011) (width 0.3) (layer F.Cu) (net 24))
- (segment (start 104.05 90.819998) (end 104.05 97.65) (width 0.3) (layer F.Cu) (net 24))
- (segment (start 93.507134 90.599988) (end 103.82999 90.599988) (width 0.3) (layer F.Cu) (net 24))
- (segment (start 92.907111 91.200011) (end 93.507134 90.599988) (width 0.3) (layer F.Cu) (net 24))
- (segment (start 84.78 95.1) (end 88.09288 95.099999) (width 0.3) (layer F.Cu) (net 24))
- (segment (start 103.82999 90.599988) (end 104.05 90.819998) (width 0.3) (layer F.Cu) (net 24))
- (segment (start 94.625 99.025) (end 94 98.4) (width 0.3) (layer F.Cu) (net 25))
- (segment (start 97.05 99.025) (end 94.625 99.025) (width 0.3) (layer F.Cu) (net 25))
- (segment (start 96.614743 90.099977) (end 98.21472 88.5) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 93.300023 90.099977) (end 96.614743 90.099977) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 86.669998 101.45) (end 86.1 100.880002) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 91.705756 101.45) (end 86.669998 101.45) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 98.21472 88.5) (end 98.85 88.5) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 92.955745 102.699989) (end 91.705756 101.45) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 95.092889 102.699989) (end 92.955745 102.699989) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 95.517878 102.275) (end 95.092889 102.699989) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 86.1 100.880002) (end 86.1 100.119998) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 83.200011 94.599989) (end 87.885768 94.599989) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 86.1 100.119998) (end 84.110001 98.129999) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 91.785757 90.7) (end 92.7 90.7) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 84.110001 98.129999) (end 82.309998 98.129999) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 97.05 102.275) (end 95.517878 102.275) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 81.75 96.05) (end 83.200011 94.599989) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 92.7 90.7) (end 93.300023 90.099977) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 82.309998 98.129999) (end 81.75 97.570001) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 81.75 97.570001) (end 81.75 96.05) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 87.885768 94.599989) (end 91.785757 90.7) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 97.05 97.075) (end 95.225 97.075) (width 0.3) (layer F.Cu) (net 27))
- (segment (start 94.5 96.35) (end 94.5 94.35) (width 0.3) (layer F.Cu) (net 27))
- (segment (start 95.225 97.075) (end 94.5 96.35) (width 0.3) (layer F.Cu) (net 27))
- (segment (start 98.075 101.625) (end 97.05 101.625) (width 0.3) (layer F.Cu) (net 28))
- (segment (start 110.499999 104.100001) (end 100.550001 104.100001) (width 0.3) (layer F.Cu) (net 28))
- (segment (start 115.7 101.3) (end 113.3 101.3) (width 0.3) (layer F.Cu) (net 28))
- (segment (start 113.3 101.3) (end 110.499999 104.100001) (width 0.3) (layer F.Cu) (net 28))
- (segment (start 100.550001 104.100001) (end 100.25 103.8) (width 0.3) (layer F.Cu) (net 28))
- (segment (start 100.25 103.8) (end 99.825012 103.375012) (width 0.25) (layer F.Cu) (net 28))
- (segment (start 99.825012 103.375012) (end 98.825012 103.375012) (width 0.25) (layer F.Cu) (net 28))
- (segment (start 98.825012 103.375012) (end 98.3 102.85) (width 0.25) (layer F.Cu) (net 28))
- (segment (start 98.299999 101.849999) (end 98.075 101.625) (width 0.25) (layer F.Cu) (net 28))
- (segment (start 98.3 102.85) (end 98.299999 101.849999) (width 0.25) (layer F.Cu) (net 28))
- (via (at 101.225 102.1) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 29))
- (segment (start 102.95 101.625) (end 101.7 101.625) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 101.7 101.625) (end 101.225 102.1) (width 0.3) (layer F.Cu) (net 29))
- (via (at 100.45 104.875) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 29))
- (segment (start 100.700011 104.624989) (end 100.45 104.875) (width 0.3) (layer B.Cu) (net 29))
- (segment (start 100.700011 102.624989) (end 100.700011 104.624989) (width 0.3) (layer B.Cu) (net 29))
- (segment (start 101.225 102.1) (end 100.700011 102.624989) (width 0.3) (layer B.Cu) (net 29))
- (segment (start 107.219998 110.75) (end 106.169998 109.7) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 103.1 106.15) (end 102.6 105.65) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 104.925 109.7) (end 103.6 108.375) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 102.6 105.65) (end 100.8 105.65) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 109.55 110.75) (end 107.219998 110.75) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 103.6 108.375) (end 103.325 108.375) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 110.5 109.65) (end 110.5 109.8) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 106.169998 109.7) (end 104.925 109.7) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 110.5 109.8) (end 109.55 110.75) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 103.325 108.375) (end 103.1 108.15) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 103.1 108.15) (end 103.1 106.15) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 100.8 105.65) (end 100.45 105.3) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 100.45 105.3) (end 100.45 104.875) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 109.3 103.45) (end 110.1 102.65) (width 0.3) (layer F.Cu) (net 30))
- (segment (start 110.1 102.65) (end 110.1 101.6) (width 0.3) (layer F.Cu) (net 30))
- (segment (start 104.4 103.45) (end 109.3 103.45) (width 0.3) (layer F.Cu) (net 30))
- (segment (start 102.95 102.925) (end 103.875 102.925) (width 0.3) (layer F.Cu) (net 30))
- (segment (start 103.875 102.925) (end 104.4 103.45) (width 0.3) (layer F.Cu) (net 30))
- (segment (start 105.9 96.7) (end 105.9 97.1) (width 0.3) (layer F.Cu) (net 31))
- (segment (start 104.08924 99.025) (end 105.9 97.21424) (width 0.3) (layer F.Cu) (net 31))
- (segment (start 105.9 97.21424) (end 105.9 96.7) (width 0.3) (layer F.Cu) (net 31))
- (segment (start 102.95 99.025) (end 104.08924 99.025) (width 0.3) (layer F.Cu) (net 31))
- (segment (start 104.03212 98.375) (end 104.55001 97.85711) (width 0.3) (layer F.Cu) (net 32))
- (segment (start 102.95 98.375) (end 104.03212 98.375) (width 0.3) (layer F.Cu) (net 32))
- (segment (start 104.85 94.2) (end 105.9 94.2) (width 0.3) (layer F.Cu) (net 32))
- (segment (start 104.55001 94.49999) (end 104.85 94.2) (width 0.3) (layer F.Cu) (net 32))
- (segment (start 104.55001 97.85711) (end 104.55001 94.49999) (width 0.3) (layer F.Cu) (net 32) (tstamp 5C2A68C7))
- (segment (start 106.55 102.45) (end 107.4 101.6) (width 0.3) (layer F.Cu) (net 33))
- (segment (start 105.094998 102.45) (end 106.55 102.45) (width 0.3) (layer F.Cu) (net 33))
- (segment (start 104.4 101.4) (end 104.4 101.755002) (width 0.3) (layer F.Cu) (net 33))
- (segment (start 102.95 100.975) (end 103.975 100.975) (width 0.3) (layer F.Cu) (net 33))
- (segment (start 104.4 101.755002) (end 105.094998 102.45) (width 0.3) (layer F.Cu) (net 33))
- (segment (start 103.975 100.975) (end 104.4 101.4) (width 0.3) (layer F.Cu) (net 33))
- (segment (start 119.1 101.3) (end 119.1 97.95) (width 1.2) (layer F.Cu) (net 34))
-
- (zone (net 2) (net_name GND) (layer F.Cu) (tstamp 5C55131F) (hatch edge 0.508)
- (priority 1)
+
+ (segment (start 154.95 100.975) (end 153.625 102.3) (width 0.5) (layer "B.Cu") (net 28))
+ (segment (start 153.625 102.3) (end 152.35 102.3) (width 0.5) (layer "B.Cu") (net 28))
+ (segment (start 153.7 99.725) (end 154.95 100.975) (width 0.5) (layer "F.Cu") (net 28))
+ (segment (start 152.35 99.725) (end 153.7 99.725) (width 0.5) (layer "F.Cu") (net 28))
+ (via (at 154.95 100.975) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 28))
+ (segment (start 152.35 107.9875) (end 152.35 102.3) (width 0.5) (layer "F.Cu") (net 42))
+ (via (at 164.55 89.175) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5DF4FB15))
+ (via (at 204.95 92.175) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5DF4FAF4))
+ (segment (start 152.35 99.725) (end 152.35 91.375) (width 0.5) (layer "B.Cu") (net 9))
+ (segment (start 152.35 91.375) (end 156.15 87.575) (width 0.5) (layer "B.Cu") (net 9))
+ (segment (start 156.55 87.175) (end 156.15 87.575) (width 0.5) (layer "F.Cu") (net 9))
+ (segment (start 156.55 85.975) (end 156.55 87.175) (width 0.5) (layer "F.Cu") (net 9))
+ (via (at 156.15 87.575) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 9))
+ (segment (start 160.55 81.606824) (end 160.55 85.975) (width 1.5) (layer "B.Cu") (net 14))
+ (segment (start 160.55 85.975) (end 156.632499 89.892501) (width 1.5) (layer "B.Cu") (net 14))
+ (segment (start 158.213619 79.270443) (end 160.55 81.606824) (width 1.5) (layer "B.Cu") (net 14))
+ (segment (start 158.25 90.45) (end 157.8075 90.8925) (width 1.5) (layer "F.Cu") (net 14))
+ (segment (start 157.8075 90.8925) (end 157.632498 90.8925) (width 1.5) (layer "F.Cu") (net 14))
+ (segment (start 157.632498 90.8925) (end 156.632499 89.892501) (width 1.5) (layer "F.Cu") (net 14))
+ (via (at 156.632499 89.892501) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 14))
+ (segment (start 173.75 81.064998) (end 175.15 82.464998) (width 0.3) (layer "F.Cu") (net 20))
+ (segment (start 173.75 79.725) (end 173.75 81.064998) (width 0.3) (layer "F.Cu") (net 20))
+ (segment (start 175.15 79.35) (end 174.125 79.35) (width 0.3) (layer "F.Cu") (net 20))
+ (segment (start 174.125 79.35) (end 173.75 79.725) (width 0.3) (layer "F.Cu") (net 20))
+ (segment (start 175.15 82.464998) (end 175.15 83.975) (width 0.3) (layer "F.Cu") (net 20))
+ (segment (start 175.15 83.975) (end 174.65001 84.47499) (width 0.3) (layer "F.Cu") (net 20))
+ (segment (start 174.65001 84.47499) (end 170.334941 84.47499) (width 0.3) (layer "F.Cu") (net 20))
+ (segment (start 170.334941 84.47499) (end 169.784933 83.924982) (width 0.3) (layer "F.Cu") (net 20))
+ (segment (start 169.784933 83.924982) (end 157.550018 83.924982) (width 0.3) (layer "F.Cu") (net 20))
+ (segment (start 157.550018 83.924982) (end 157.5 83.975) (width 0.3) (layer "F.Cu") (net 20))
+ (segment (start 157 93.975) (end 154.9 93.975) (width 1.5) (layer "F.Cu") (net 3))
+ (segment (start 154.9 93.975) (end 154.199999 93.274999) (width 1.5) (layer "F.Cu") (net 3))
+ (segment (start 154.199999 93.274999) (end 154.199999 81.238999) (width 1.5) (layer "F.Cu") (net 3))
+ (segment (start 154.199999 81.238999) (end 155.213999 80.224999) (width 1.5) (layer "F.Cu") (net 3))
+ (segment (start 155.213999 80.224999) (end 171.349999 80.224999) (width 1.5) (layer "F.Cu") (net 3))
+ (segment (start 171.349999 80.224999) (end 171.475 80.35) (width 1.5) (layer "F.Cu") (net 3))
+ (segment (start 171.475 80.35) (end 171.95 80.35) (width 1.5) (layer "F.Cu") (net 3))
+ (segment (start 181.05 77.4) (end 175.15 77.4) (width 0.3) (layer "F.Cu") (net 3))
+ (segment (start 182.95 70.475) (end 185.15 72.675) (width 0.4) (layer "F.Cu") (net 3))
+ (segment (start 181.7 70.475) (end 182.95 70.475) (width 0.4) (layer "F.Cu") (net 3))
+ (segment (start 185.15 77) (end 184.75 77.4) (width 0.4) (layer "F.Cu") (net 3))
+ (segment (start 184.75 77.4) (end 181.05 77.4) (width 0.4) (layer "F.Cu") (net 3))
+ (segment (start 172.8 80.575) (end 171.95 80.575) (width 0.4) (layer "F.Cu") (net 3))
+ (segment (start 173.873542 77.4) (end 172.95 78.323542) (width 0.4) (layer "F.Cu") (net 3))
+ (segment (start 172.95 78.323542) (end 172.95 80.425) (width 0.4) (layer "F.Cu") (net 3))
+ (segment (start 175.15 77.4) (end 173.873542 77.4) (width 0.4) (layer "F.Cu") (net 3))
+ (segment (start 172.95 80.425) (end 172.8 80.575) (width 0.4) (layer "F.Cu") (net 3))
+ (segment (start 185.15 72.675) (end 185.15 77) (width 0.4) (layer "F.Cu") (net 3))
+ (segment (start 172 80.625) (end 171.95 80.575) (width 0.8) (layer "F.Cu") (net 3))
+ (segment (start 172 82.975) (end 172 80.625) (width 0.8) (layer "F.Cu") (net 3))
+ (via (at 156.15 82.175) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5DF41463))
+ (segment (start 199.291014 117.116014) (end 199.291014 118.974924) (width 1.8) (layer "B.Cu") (net 12))
+ (segment (start 199.291014 118.974924) (end 197.661381 120.604557) (width 1.8) (layer "B.Cu") (net 12))
+ (segment (start 199.864645 117.689645) (end 199.291014 117.116014) (width 1.8) (layer "F.Cu") (net 12))
+ (segment (start 200.546142 117.689645) (end 199.864645 117.689645) (width 1.8) (layer "F.Cu") (net 12))
+ (via (at 199.291014 117.116014) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 12))
+ (segment (start 203.45 110.199311) (end 195.792401 117.85691) (width 1.5) (layer "F.Cu") (net 26))
+ (segment (start 203.45 108.975) (end 203.45 110.199311) (width 1.5) (layer "F.Cu") (net 26))
+ (segment (start 195.792401 117.85691) (end 190.43191 117.85691) (width 1.5) (layer "F.Cu") (net 26))
+ (segment (start 190.43191 117.85691) (end 185.707459 117.85691) (width 1.5) (layer "F.Cu") (net 26))
+ (segment (start 198.775 85.15) (end 187.130002 85.15) (width 0.3) (layer "F.Cu") (net 10))
+ (segment (start 187.130002 85.15) (end 181.980002 80) (width 0.3) (layer "F.Cu") (net 10))
+ (segment (start 181.980002 80) (end 181.05 80) (width 0.3) (layer "F.Cu") (net 10))
+ (via (at 164.95 105.975) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5DF410A0))
+ (segment (start 162.4 110.525) (end 165.985356 106.939644) (width 0.5) (layer "F.Cu") (net 18))
+ (segment (start 165.985356 106.939644) (end 165.985356 85.685355) (width 0.5) (layer "F.Cu") (net 18))
+ (segment (start 162.3 110.625) (end 162.4 110.525) (width 0.5) (layer "F.Cu") (net 18))
+ (segment (start 158.95 110.625) (end 162.3 110.625) (width 0.5) (layer "F.Cu") (net 18))
+ (via (at 160.15 113.575) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5DF40F4C))
+ (segment (start 157.35 112.525) (end 158.95 112.525) (width 0.5) (layer "F.Cu") (net 36))
+ (segment (start 157.35 112.525) (end 157.35 113.975) (width 0.5) (layer "F.Cu") (net 36))
+ (segment (start 157.35 113.975) (end 157.15 114.175) (width 0.5) (layer "F.Cu") (net 36))
+ (segment (start 200.75 86.775) (end 200.75 87.25) (width 0.5) (layer "F.Cu") (net 38))
+ (segment (start 202.099999 103.278999) (end 202.099999 104.814997) (width 0.5) (layer "F.Cu") (net 38))
+ (segment (start 202.349991 103.029007) (end 202.099999 103.278999) (width 0.5) (layer "F.Cu") (net 38))
+ (segment (start 202.349991 88.849991) (end 202.349991 103.029007) (width 0.5) (layer "F.Cu") (net 38))
+ (segment (start 200.75 87.25) (end 202.349991 88.849991) (width 0.5) (layer "F.Cu") (net 38))
+ (segment (start 205.15 112.520101) (end 201.889645 115.780456) (width 0.5) (layer "F.Cu") (net 38))
+ (segment (start 201.889645 115.780456) (end 201.889645 116.346142) (width 0.5) (layer "F.Cu") (net 38))
+ (segment (start 202.099999 104.814997) (end 205.15 107.864998) (width 0.5) (layer "F.Cu") (net 38))
+ (segment (start 205.15 107.864998) (end 205.15 112.520101) (width 0.5) (layer "F.Cu") (net 38))
+ (segment (start 203.549999 86.975001) (end 203.55 102.560787) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 203.55 102.560787) (end 203.55 103.975) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 201.150001 106.374999) (end 202.550001 104.974999) (width 1.5) (layer "B.Cu") (net 1))
+ (segment (start 202.550001 104.974999) (end 203.55 103.975) (width 1.5) (layer "B.Cu") (net 1))
+ (segment (start 204.35 115.8) (end 201.150001 112.600001) (width 1.5) (layer "B.Cu") (net 1))
+ (segment (start 201.150001 112.600001) (end 201.150001 106.374999) (width 1.5) (layer "B.Cu") (net 1))
+ (via (at 203.55 103.975) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 1))
+ (segment (start 198.230001 102.855001) (end 198.230001 98.444999) (width 1.8) (layer "F.Cu") (net 26))
+ (segment (start 201.95 108.975) (end 198.230001 105.255001) (width 1.8) (layer "F.Cu") (net 26))
+ (segment (start 198.230001 105.255001) (end 198.230001 102.855001) (width 1.8) (layer "F.Cu") (net 26))
+ (segment (start 203.45 108.975) (end 201.95 108.975) (width 1.8) (layer "F.Cu") (net 26))
+ (segment (start 196.2 111.725) (end 196.2 105.425) (width 1.8) (layer "B.Cu") (net 5))
+ (segment (start 199.700001 101.924999) (end 200.7 100.925) (width 1.8) (layer "B.Cu") (net 5))
+ (segment (start 200.7 97.924099) (end 200.7 99.510787) (width 1.8) (layer "F.Cu") (net 5))
+ (segment (start 196.2 105.425) (end 199.700001 101.924999) (width 1.8) (layer "B.Cu") (net 5))
+ (segment (start 200.7 99.510787) (end 200.7 100.925) (width 1.8) (layer "F.Cu") (net 5))
+ (segment (start 193.90363 91.127729) (end 200.7 97.924099) (width 1.8) (layer "F.Cu") (net 5))
+ (via (at 200.7 100.925) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 5))
+ (segment (start 203.549999 83.624999) (end 203.549999 86.975001) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 202.5 86.775) (end 203.349998 86.775) (width 0.3) (layer "F.Cu") (net 1))
+ (segment (start 203.349998 86.775) (end 203.549999 86.975001) (width 0.3) (layer "F.Cu") (net 1))
+ (segment (start 200.75 86.775) (end 200.75 85.225) (width 0.3) (layer "F.Cu") (net 38))
+ (segment (start 200.75 85.225) (end 200.675 85.15) (width 0.3) (layer "F.Cu") (net 38))
+ (via (at 187.15 69.575) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5DF40611))
+ (via (at 199.75 81.975) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5DF4060F))
+ (via (at 166.95 71.975) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5DF40598))
+ (via (at 162.55 85.975) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5DF4057A))
+ (via (at 167.55 91.575) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5DF40578))
+ (via (at 173.35 81.775) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
+ (segment (start 162.84717 87.975) (end 166.397179 84.42499) (width 0.3) (layer "F.Cu") (net 11))
+ (segment (start 158.475 87.975) (end 162.84717 87.975) (width 0.3) (layer "F.Cu") (net 11))
+ (segment (start 158.25 88.2) (end 158.475 87.975) (width 0.3) (layer "F.Cu") (net 11))
+ (segment (start 174.95 84.975) (end 175.95 83.975) (width 0.3) (layer "F.Cu") (net 11))
+ (segment (start 166.397179 84.42499) (end 169.577821 84.42499) (width 0.3) (layer "F.Cu") (net 11))
+ (segment (start 169.577821 84.42499) (end 170.127831 84.975) (width 0.3) (layer "F.Cu") (net 11))
+ (segment (start 158.25 88.95) (end 158.25 88.2) (width 0.3) (layer "F.Cu") (net 11))
+ (segment (start 170.127831 84.975) (end 174.95 84.975) (width 0.3) (layer "F.Cu") (net 11))
+ (segment (start 175.95 81.975) (end 175.15 81.175) (width 0.3) (layer "F.Cu") (net 11))
+ (segment (start 175.95 83.975) (end 175.95 81.975) (width 0.3) (layer "F.Cu") (net 11))
+ (segment (start 175.15 81.175) (end 175.15 80.65) (width 0.3) (layer "F.Cu") (net 11))
+ (segment (start 175.1 85.625) (end 170 85.625) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 175.75 84.975) (end 175.1 85.625) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 170 85.625) (end 169.35 84.975) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 176.500011 84.224989) (end 176.500011 80.225011) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 175.75 84.975) (end 176.500011 84.224989) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 176.500011 80.225011) (end 176.275 80) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 176.275 80) (end 175.15 80) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 191.15 80.575) (end 191.8 79.925) (width 0.3) (layer "F.Cu") (net 1))
+ (segment (start 189.095399 80.575) (end 191.15 80.575) (width 0.3) (layer "F.Cu") (net 1))
+ (segment (start 191.8 79.925) (end 191.8 74.525) (width 0.3) (layer "F.Cu") (net 1))
+ (segment (start 187.95 76.375) (end 187.95 79.429601) (width 0.3) (layer "F.Cu") (net 1))
+ (segment (start 187.95 79.429601) (end 189.095399 80.575) (width 0.3) (layer "F.Cu") (net 1))
+ (segment (start 191.8 74.525) (end 191.8 73.825) (width 0.3) (layer "F.Cu") (net 1))
+ (segment (start 160.15 90.45) (end 160.15 88.95) (width 0.3) (layer "F.Cu") (net 39))
+ (segment (start 161.95 90.5) (end 160.675 90.5) (width 0.3) (layer "F.Cu") (net 39))
+ (segment (start 160.675 90.5) (end 160.55 90.375) (width 0.3) (layer "F.Cu") (net 39))
+ (segment (start 187.35 78.175) (end 186.175 77) (width 0.3) (layer "F.Cu") (net 21))
+ (segment (start 186.175 77) (end 186.175 72.85) (width 0.3) (layer "F.Cu") (net 21))
+ (segment (start 187.35 80.175) (end 187.35 78.175) (width 0.3) (layer "F.Cu") (net 21))
+ (segment (start 185.95 81.575) (end 187.35 80.175) (width 0.3) (layer "F.Cu") (net 21))
+ (segment (start 184.75 81.575) (end 185.95 81.575) (width 0.3) (layer "F.Cu") (net 21))
+ (segment (start 182.525 79.35) (end 184.75 81.575) (width 0.3) (layer "F.Cu") (net 21))
+ (segment (start 181.05 79.35) (end 182.525 79.35) (width 0.3) (layer "F.Cu") (net 21))
+ (segment (start 188.075 72.85) (end 188.075 74.5) (width 0.5) (layer "F.Cu") (net 37))
+ (segment (start 188.075 74.5) (end 187.95 74.625) (width 0.5) (layer "F.Cu") (net 37))
+ (segment (start 189.8 72.875) (end 188.1 72.875) (width 0.5) (layer "F.Cu") (net 37))
+ (segment (start 188.1 72.875) (end 188.075 72.85) (width 0.5) (layer "F.Cu") (net 37))
+ (segment (start 172.45 85.575) (end 169.95 85.575) (width 0.3) (layer "F.Cu") (net 18))
+ (segment (start 173.15 85.575) (end 172.45 85.575) (width 0.3) (layer "F.Cu") (net 18))
+ (segment (start 172.45 85.575) (end 174.35 85.575) (width 0.3) (layer "F.Cu") (net 18))
+ (segment (start 177.175 78.95) (end 176.275 78.05) (width 0.4) (layer "F.Cu") (net 7))
+ (segment (start 177.175 88.575) (end 177.175 78.95) (width 0.4) (layer "F.Cu") (net 7))
+ (segment (start 176.275 78.05) (end 175.15 78.05) (width 0.4) (layer "F.Cu") (net 7))
+ (segment (start 179.075 88.55) (end 177.2 88.55) (width 0.8) (layer "F.Cu") (net 7))
+ (segment (start 177.2 88.55) (end 177.175 88.575) (width 0.8) (layer "F.Cu") (net 7))
+ (segment (start 181.352729 91.127729) (end 173.102729 91.127729) (width 2) (layer "F.Cu") (net 5))
+ (segment (start 193.90363 91.127729) (end 181.352729 91.127729) (width 2) (layer "F.Cu") (net 5))
+ (segment (start 181.275 88.55) (end 181.275 91.05) (width 0.8) (layer "F.Cu") (net 5))
+ (segment (start 181.275 91.05) (end 181.352729 91.127729) (width 0.8) (layer "F.Cu") (net 5))
+ (segment (start 181.675 116.86) (end 180.335 116.86) (width 0.4) (layer "F.Cu") (net 31))
+ (segment (start 180.335 116.86) (end 179.75 116.275) (width 0.4) (layer "F.Cu") (net 31))
+ (via (at 171.95 109.575) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
+ (via (at 179.75 117.275) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 31))
+ (via (at 178.65 117.275) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 31))
+ (via (at 179.2 116.275) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 31))
+ (via (at 179.75 115.275) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 31))
+ (via (at 178.65 115.275) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 31))
+ (via (at 178.65 113.475) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 2))
+ (segment (start 178.65 113.475) (end 178.196796 113.475) (width 1.2) (layer "F.Cu") (net 2) (tstamp 5DE9692C))
+ (via (at 180.05 113.475) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 2))
+ (segment (start 180.05 113.475) (end 178.65 113.475) (width 1.2) (layer "F.Cu") (net 2) (tstamp 5DE9692A))
+ (via (at 179.35 79.175) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
+ (via (at 179.35 78.175) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
+ (via (at 169.15 81.775) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
+ (via (at 170.15 82.175) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
+ (segment (start 169.95 85.575) (end 169.35 84.975) (width 0.3) (layer "F.Cu") (net 18))
+ (via (at 188.55 125.975) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
+ (via (at 187.55 109.775) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
+ (via (at 172.95 87.775) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
+ (via (at 184.75 94.375) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
+ (segment (start 181.675 118.13) (end 179.99 119.815) (width 1.2) (layer "F.Cu") (net 33))
+ (segment (start 173.5 119.975) (end 179.83 119.975) (width 1.5) (layer "F.Cu") (net 33))
+ (segment (start 179.83 119.975) (end 179.99 119.815) (width 1.5) (layer "F.Cu") (net 33) (tstamp 5DE9DF15))
+ (segment (start 171.7 125.975) (end 171.7 121.775) (width 1.5) (layer "F.Cu") (net 33))
+ (segment (start 171.7 121.775) (end 173.5 119.975) (width 1.5) (layer "F.Cu") (net 33))
+ (segment (start 180.45 111.275) (end 186.35 117.175) (width 2) (layer "B.Cu") (net 1))
+ (segment (start 180.45 111.225) (end 180.45 111.275) (width 2) (layer "B.Cu") (net 1))
+ (segment (start 186.35 118.775) (end 186.35 117.175) (width 2) (layer "B.Cu") (net 1))
+ (segment (start 184.35 120.775) (end 186.35 118.775) (width 2) (layer "B.Cu") (net 1))
+ (segment (start 182.2 120.775) (end 184.35 120.775) (width 2) (layer "B.Cu") (net 1))
+ (via (at 182.2 120.775) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 1))
+ (segment (start 182.7 125.975) (end 182.7 121.275) (width 2) (layer "F.Cu") (net 1))
+ (segment (start 182.7 121.275) (end 182.2 120.775) (width 2) (layer "F.Cu") (net 1))
+ (segment (start 189.42501 115.65001) (end 190.42501 115.65001) (width 1.5) (layer "F.Cu") (net 2))
+ (segment (start 188.625 114.85) (end 184.109202 114.85) (width 1.5) (layer "F.Cu") (net 2))
+ (segment (start 189.42501 115.65001) (end 188.625 114.85) (width 1.5) (layer "F.Cu") (net 2))
+ (segment (start 184.109202 114.85) (end 184.029601 114.770399) (width 1.5) (layer "F.Cu") (net 2))
+ (segment (start 195.382992 115.65001) (end 190.42501 115.65001) (width 1.8) (layer "F.Cu") (net 2))
+ (segment (start 190.35 115.72502) (end 190.42501 115.65001) (width 1.2) (layer "F.Cu") (net 2))
+ (segment (start 183.55 117.971046) (end 183.55 118.476437) (width 0.8) (layer "F.Cu") (net 31))
+ (segment (start 186.354017 120.09309) (end 187.392541 120.09309) (width 0.8) (layer "F.Cu") (net 31))
+ (segment (start 183.55 118.476437) (end 185.166653 120.09309) (width 0.8) (layer "F.Cu") (net 31))
+ (segment (start 185.166653 120.09309) (end 186.354017 120.09309) (width 0.8) (layer "F.Cu") (net 31))
+ (segment (start 181.675 116.86) (end 182.438954 116.86) (width 0.8) (layer "F.Cu") (net 31))
+ (segment (start 182.438954 116.86) (end 183.55 117.971046) (width 0.8) (layer "F.Cu") (net 31))
+ (segment (start 185.707459 117.85691) (end 185.20691 117.85691) (width 1.2) (layer "F.Cu") (net 26))
+ (segment (start 185.20691 117.85691) (end 183.95 116.6) (width 1.2) (layer "F.Cu") (net 26))
+ (segment (start 169.77501 121.24999) (end 170.87499 121.24999) (width 1.2) (layer "F.Cu") (net 33))
+ (segment (start 170.87499 121.24999) (end 171.7 122.075) (width 1.2) (layer "F.Cu") (net 33))
+ (segment (start 171.7 122.075) (end 171.7 125.975) (width 1.2) (layer "F.Cu") (net 33))
+ (segment (start 167.45 128.475) (end 169.2 128.475) (width 1.8) (layer "F.Cu") (net 33))
+ (segment (start 169.2 128.475) (end 171.7 125.975) (width 1.8) (layer "F.Cu") (net 33))
+ (segment (start 177.89006 107.736481) (end 178.028194 107.802276) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 177.556957 107.774594) (end 177.89006 107.736481) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 177.429905 107.673631) (end 177.556957 107.774594) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 177.090875 107.630219) (end 177.429905 107.673631) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 176.99802 107.510093) (end 177.090875 107.630219) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 178.439013 107.736681) (end 179.285537 107.225) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 171.7 107.225) (end 176.562585 107.225) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 179.285537 107.225) (end 181.319998 107.225) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 163.95 114.975) (end 171.7 107.225) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 162.45 114.975) (end 163.95 114.975) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 176.562585 107.225) (end 176.6507 107.279517) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 176.70794 107.403381) (end 176.99802 107.510093) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 178.305737 107.703825) (end 178.439013 107.736681) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 176.6507 107.279517) (end 176.70794 107.403381) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 178.028194 107.802276) (end 178.305737 107.703825) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 186.569998 101.975) (end 189.45 101.975) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 181.319998 107.225) (end 186.569998 101.975) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 198.45 112.583002) (end 195.382992 115.65001) (width 1.8) (layer "F.Cu") (net 2))
+ (segment (start 198.45 108.975) (end 198.45 112.583002) (width 1.8) (layer "F.Cu") (net 2))
+ (segment (start 191.45 116.475) (end 191.45 120.225) (width 1.8) (layer "B.Cu") (net 5))
+ (segment (start 191.45 120.225) (end 194.236817 123.011817) (width 1.8) (layer "B.Cu") (net 5))
+ (segment (start 196.2 111.725) (end 191.45 116.475) (width 1.8) (layer "B.Cu") (net 5))
+ (segment (start 194.236817 123.011817) (end 194.466839 123.011817) (width 1.8) (layer "B.Cu") (net 5))
+ (segment (start 196.2 111.725) (end 196.2 111.118641) (width 1.8) (layer "F.Cu") (net 5))
+ (segment (start 196.2 111.118641) (end 194.40363 109.322271) (width 1.8) (layer "F.Cu") (net 5))
+ (via (at 196.2 111.725) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 5))
+ (segment (start 194.107273 94.322271) (end 198.230001 98.444999) (width 2) (layer "F.Cu") (net 26))
+ (segment (start 184.425 114.85) (end 183.95 114.85) (width 1.2) (layer "F.Cu") (net 2))
+ (segment (start 181.675 115.59) (end 182.94 115.59) (width 0.8) (layer "F.Cu") (net 26))
+ (segment (start 182.94 115.59) (end 183.95 116.6) (width 0.8) (layer "F.Cu") (net 26))
+ (segment (start 180.83 113.475) (end 180.05 113.475) (width 1.2) (layer "F.Cu") (net 2))
+ (segment (start 178.196796 113.475) (end 177.146795 112.424999) (width 1.2) (layer "F.Cu") (net 2))
+ (segment (start 177.146795 112.424999) (end 176.649999 112.424999) (width 1.2) (layer "F.Cu") (net 2))
+ (segment (start 176.649999 112.424999) (end 175.45 111.225) (width 1.2) (layer "F.Cu") (net 2))
+ (segment (start 175.45 111.225) (end 175.45 112.35) (width 1.2) (layer "F.Cu") (net 2))
+ (segment (start 175.45 112.35) (end 174.45 113.35) (width 1.2) (layer "F.Cu") (net 2))
+ (segment (start 172.7 113.35) (end 174.45 113.35) (width 0.8) (layer "F.Cu") (net 2))
+ (segment (start 176.725 114.32) (end 175.23 114.32) (width 0.8) (layer "F.Cu") (net 35))
+ (segment (start 175.23 114.32) (end 174.45 115.1) (width 0.8) (layer "F.Cu") (net 35))
+ (segment (start 174.325 116.725) (end 174.325 115.225) (width 0.8) (layer "F.Cu") (net 35))
+ (segment (start 174.325 115.225) (end 174.45 115.1) (width 0.8) (layer "F.Cu") (net 35))
+ (segment (start 172.7 115.1) (end 174.45 115.1) (width 0.8) (layer "F.Cu") (net 35))
+ (segment (start 168.7 121.85) (end 169.175 121.85) (width 0.8) (layer "F.Cu") (net 33))
+ (segment (start 169.175 121.85) (end 169.77501 121.24999) (width 0.8) (layer "F.Cu") (net 33))
+ (segment (start 169.77501 121.24999) (end 169.77501 119.40001) (width 0.8) (layer "F.Cu") (net 33))
+ (segment (start 169.075 118.7) (end 169.075 118.225) (width 0.8) (layer "F.Cu") (net 33))
+ (segment (start 169.77501 119.40001) (end 169.075 118.7) (width 0.8) (layer "F.Cu") (net 33))
+ (segment (start 170.825 118.225) (end 172.575 118.225) (width 0.8) (layer "F.Cu") (net 34))
+ (segment (start 176.725 118.13) (end 174.42 118.13) (width 0.8) (layer "F.Cu") (net 40))
+ (segment (start 174.42 118.13) (end 174.325 118.225) (width 0.8) (layer "F.Cu") (net 40))
+ (segment (start 162.45 110.475) (end 162.7 110.225) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 162.4 110.525) (end 162.7 110.225) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 162 108.175) (end 161.7 108.475) (width 1.2) (layer "F.Cu") (net 1))
+ (segment (start 162 106.975) (end 162 108.175) (width 1.2) (layer "F.Cu") (net 1))
+ (via (at 161.7 108.475) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1))
+ (segment (start 162 106.975) (end 162 104.975) (width 1.2) (layer "F.Cu") (net 1))
+ (segment (start 161.8 102.275) (end 161.8 104.775) (width 1.2) (layer "F.Cu") (net 1))
+ (segment (start 161.8 104.775) (end 162 104.975) (width 1.2) (layer "F.Cu") (net 1))
+ (segment (start 168.7 86.225) (end 168.45 86.475) (width 0.4) (layer "F.Cu") (net 5))
+ (segment (start 168.45 86.475) (end 168.45 83.905022) (width 2) (layer "B.Cu") (net 5))
+ (via (at 168.45 86.475) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 5))
+ (segment (start 168.45 83.905022) (end 161.408161 76.863183) (width 2) (layer "B.Cu") (net 5))
+ (segment (start 173.102729 91.127729) (end 168.45 86.475) (width 2) (layer "F.Cu") (net 5))
+ (segment (start 181.05 78.7) (end 184.675 78.7) (width 0.4) (layer "F.Cu") (net 25))
+ (segment (start 184.675 78.7) (end 185.45 79.475) (width 0.4) (layer "F.Cu") (net 25))
+ (segment (start 180.95 82.475) (end 180.95 80.775001) (width 0.5) (layer "F.Cu") (net 22))
+ (segment (start 180.95 80.775001) (end 181.05 80.675001) (width 0.5) (layer "F.Cu") (net 22))
+ (segment (start 182.45 74.975) (end 182.45 75.825) (width 0.4) (layer "F.Cu") (net 24))
+ (segment (start 182.45 74.975) (end 183.7 73.725) (width 0.4) (layer "F.Cu") (net 24))
+ (segment (start 182.45 75.825) (end 182.175 76.1) (width 0.4) (layer "F.Cu") (net 24))
+ (segment (start 182.175 76.1) (end 181.05 76.1) (width 0.4) (layer "F.Cu") (net 24))
+ (segment (start 181.05 76.75) (end 182.925 76.75) (width 0.4) (layer "F.Cu") (net 23))
+ (segment (start 182.925 76.75) (end 183.7 75.975) (width 0.4) (layer "F.Cu") (net 23))
+ (segment (start 181.05 74.8) (end 181.05 72.365) (width 0.4) (layer "F.Cu") (net 16))
+ (segment (start 181.05 72.365) (end 179.16 70.475) (width 0.4) (layer "F.Cu") (net 16))
+ (segment (start 179.08 75.475) (end 180.45 75.475) (width 0.4) (layer "F.Cu") (net 17))
+ (segment (start 180.45 75.475) (end 180.475 75.45) (width 0.4) (layer "F.Cu") (net 17))
+ (segment (start 180.475 75.45) (end 181.05 75.45) (width 0.4) (layer "F.Cu") (net 17))
+ (segment (start 174.08 70.475) (end 179.08 75.475) (width 0.4) (layer "F.Cu") (net 17))
+ (segment (start 172.45 73.225) (end 172.45 70.375) (width 0.4) (layer "F.Cu") (net 19))
+ (segment (start 172.45 70.375) (end 172.05 69.975) (width 0.4) (layer "F.Cu") (net 19))
+ (segment (start 172.05 69.975) (end 171.05 69.975) (width 0.4) (layer "F.Cu") (net 19))
+ (segment (start 175.15 74.8) (end 174.025 74.8) (width 0.4) (layer "F.Cu") (net 19))
+ (segment (start 174.025 74.8) (end 172.45 73.225) (width 0.4) (layer "F.Cu") (net 19))
+ (segment (start 161.8 96.625) (end 162.45 95.975) (width 2) (layer "F.Cu") (net 2))
+ (segment (start 161.8 97.675) (end 161.8 96.625) (width 2) (layer "F.Cu") (net 2))
+ (via (at 162.45 95.975) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
+ (segment (start 195.95 99.475) (end 195.95 84.975) (width 1.8) (layer "B.Cu") (net 6))
+ (segment (start 195.95 81.475) (end 195.95 84.975) (width 1.8) (layer "B.Cu") (net 6))
+ (segment (start 197.686381 79.738619) (end 195.95 81.475) (width 1.8) (layer "B.Cu") (net 6))
+ (segment (start 197.686381 79.295443) (end 197.686381 79.738619) (width 1.8) (layer "B.Cu") (net 6))
+ (segment (start 194.45 97.975) (end 195.95 99.475) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 193.45 97.975) (end 194.45 97.975) (width 1.8) (layer "F.Cu") (net 6))
+ (via (at 195.95 99.475) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 6))
+ (segment (start 162.45 114.975) (end 162.45 116.368176) (width 1.8) (layer "B.Cu") (net 6))
+ (segment (start 162.45 116.368176) (end 158.238619 120.579557) (width 1.8) (layer "B.Cu") (net 6))
+ (via (at 162.45 114.975) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 6))
+ (segment (start 193.45 97.975) (end 189.45 101.975) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 171.55 76.075) (end 171.229998 76.075) (width 0.4) (layer "F.Cu") (net 2))
+ (segment (start 171.229998 76.075) (end 169.529998 74.375) (width 0.4) (layer "F.Cu") (net 2))
+ (segment (start 169.529998 74.375) (end 169.35 74.375) (width 0.4) (layer "F.Cu") (net 2))
+ (segment (start 169.35 76.075) (end 167.1 76.075) (width 0.4) (layer "F.Cu") (net 27))
+ (segment (start 167.1 76.075) (end 166.95 75.925) (width 0.4) (layer "F.Cu") (net 27))
+ (segment (start 175.15 76.1) (end 173.425001 76.1) (width 0.4) (layer "F.Cu") (net 27))
+ (segment (start 173.425001 76.1) (end 172.45 77.075001) (width 0.4) (layer "F.Cu") (net 27))
+ (segment (start 169.45 76.075) (end 169.35 76.075) (width 0.4) (layer "F.Cu") (net 27))
+ (segment (start 172.45 77.075001) (end 170.45 77.075) (width 0.4) (layer "F.Cu") (net 27))
+ (segment (start 170.45 77.075) (end 169.45 76.075) (width 0.4) (layer "F.Cu") (net 27))
+ (segment (start 175.15 76.75) (end 173.675 76.75) (width 0.4) (layer "F.Cu") (net 41))
+ (segment (start 173.675 76.75) (end 172.05 78.375) (width 0.4) (layer "F.Cu") (net 41))
+ (segment (start 172.05 78.375) (end 171.95 78.375) (width 0.4) (layer "F.Cu") (net 41))
+ (segment (start 170.9 71.975) (end 170.9 73.725) (width 0.3) (layer "F.Cu") (net 4))
+ (segment (start 170.9 73.725) (end 171.55 74.375) (width 0.3) (layer "F.Cu") (net 4))
+ (segment (start 175.15 75.45) (end 172.725 75.45) (width 0.3) (layer "F.Cu") (net 4))
+ (segment (start 172.725 75.45) (end 171.65 74.375) (width 0.3) (layer "F.Cu") (net 4))
+ (segment (start 171.65 74.375) (end 171.55 74.375) (width 0.3) (layer "F.Cu") (net 4))
+ (segment (start 161.8 99.975) (end 158.8 99.975) (width 2) (layer "F.Cu") (net 3))
+ (segment (start 158.8 99.975) (end 158.7 99.875) (width 2) (layer "F.Cu") (net 3))
+ (segment (start 158.7 99.875) (end 158.7 97.725) (width 2) (layer "F.Cu") (net 3))
+ (segment (start 189.95 78.975) (end 189.95 76.975) (width 2) (layer "F.Cu") (net 15))
+ (segment (start 192.036817 76.888183) (end 189.95 78.975) (width 2) (layer "B.Cu") (net 15))
+ (segment (start 194.491839 76.888183) (end 192.036817 76.888183) (width 2) (layer "B.Cu") (net 15))
+ (via (at 189.95 78.975) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 15))
+ (segment (start 193.45 104.674099) (end 193.049549 105.074549) (width 2) (layer "F.Cu") (net 2))
+ (segment (start 193.049549 105.074549) (end 191.99637 106.127729) (width 2) (layer "F.Cu") (net 2))
+ (segment (start 193.049549 105.074549) (end 194.549549 105.074549) (width 2) (layer "F.Cu") (net 2))
+ (segment (start 194.549549 105.074549) (end 198.45 108.975) (width 2) (layer "F.Cu") (net 2))
+ (segment (start 193.45 101.975) (end 193.45 104.674099) (width 2) (layer "F.Cu") (net 2))
+ (segment (start 191.49637 94.322271) (end 194.107273 94.322271) (width 2) (layer "F.Cu") (net 26))
+ (segment (start 189.45 97.975) (end 189.45 96.368641) (width 2) (layer "F.Cu") (net 26))
+ (segment (start 189.45 96.368641) (end 191.49637 94.322271) (width 2) (layer "F.Cu") (net 26))
+ (segment (start 184.650001 124.024999) (end 186.550001 124.024999) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 182.7 125.975) (end 184.650001 124.024999) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 202.025 119.075) (end 202.025 119.025) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 200.125 120.975) (end 202.025 119.075) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 186.550001 124.024999) (end 189.6 120.975) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 189.6 120.975) (end 200.125 120.975) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 189.8 76.825) (end 189.8 74.775) (width 1.5) (layer "F.Cu") (net 15))
+ (segment (start 189.95 76.975) (end 189.8 76.825) (width 1.5) (layer "F.Cu") (net 15))
+ (via (at 204.35 115.8) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 1))
+ (segment (start 202.025 119.039214) (end 202.025 119.075) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 202.632107 118.432107) (end 202.025 119.039214) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 204.35 116.714214) (end 202.632107 118.432107) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 204.35 115.8) (end 204.35 116.714214) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 157 94.760002) (end 157 93.975) (width 1.5) (layer "F.Cu") (net 3))
+ (segment (start 158.7 96.460002) (end 157 94.760002) (width 1.5) (layer "F.Cu") (net 3))
+ (segment (start 158.7 97.725) (end 158.7 96.460002) (width 1.5) (layer "F.Cu") (net 3))
+ (segment (start 165.95 85.65) (end 166.625 84.975) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 162.7 110.225) (end 165.95 106.975) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 166.625 84.975) (end 169.35 84.975) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 165.95 106.975) (end 165.95 85.65) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 159.2 92.45) (end 162.675 92.45) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 164.3 102.275) (end 161.8 102.275) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 164.400001 102.174999) (end 164.3 102.275) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 164.400001 94.175001) (end 164.400001 102.174999) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 162.675 92.45) (end 164.400001 94.175001) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 171.62499 112.386008) (end 171.62499 116.29999) (width 0.8) (layer "F.Cu") (net 1))
+ (segment (start 174.585999 109.424999) (end 171.62499 112.386008) (width 0.8) (layer "F.Cu") (net 1))
+ (segment (start 172.05 116.725) (end 172.575 116.725) (width 0.8) (layer "F.Cu") (net 1))
+ (segment (start 176.649999 109.424999) (end 174.585999 109.424999) (width 0.8) (layer "F.Cu") (net 1))
+ (segment (start 171.62499 116.29999) (end 172.05 116.725) (width 0.8) (layer "F.Cu") (net 1) (tstamp 5DE9E64A))
+ (segment (start 178.45 111.225) (end 176.649999 109.424999) (width 0.8) (layer "F.Cu") (net 1))
+ (segment (start 180.45 111.225) (end 178.45 111.225) (width 0.8) (layer "F.Cu") (net 1))
+ (segment (start 167.94999 117.643316) (end 168.868306 116.725) (width 0.8) (layer "F.Cu") (net 1))
+ (segment (start 167.94999 119.82499) (end 167.94999 117.643316) (width 0.8) (layer "F.Cu") (net 1))
+ (segment (start 168.225 120.1) (end 167.94999 119.82499) (width 0.8) (layer "F.Cu") (net 1))
+ (segment (start 168.7 120.1) (end 168.225 120.1) (width 0.8) (layer "F.Cu") (net 1))
+ (segment (start 160.149539 119.82499) (end 167.94999 119.82499) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 156.348185 116.023636) (end 160.149539 119.82499) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 178.632002 111.225) (end 177.096375 109.689373) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 180.45 111.225) (end 178.632002 111.225) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 168.868306 116.725) (end 172.05 116.725) (width 0.8) (layer "F.Cu") (net 1))
+ (segment (start 155.4 115.075451) (end 155.4 114.175) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 156.348185 116.023636) (end 155.4 115.075451) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 155.4 111.625) (end 155.35 111.575) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 155.4 114.175) (end 155.4 111.625) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 155.1875 113.9625) (end 155.4 114.175) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 152.35 113.9625) (end 155.1875 113.9625) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 155.95 107.375) (end 155.35 107.975) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 161.7 108.475) (end 159.65 108.475) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 155.35 107.975) (end 155.35 111.575) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 158.55 107.375) (end 155.95 107.375) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 159.65 108.475) (end 158.55 107.375) (width 1.5) (layer "F.Cu") (net 1))
+ (via (at 157.3 109.325) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 13))
+ (segment (start 157.35 110.625) (end 157.35 109.375) (width 1.5) (layer "F.Cu") (net 13))
+ (segment (start 157.35 109.375) (end 157.3 109.325) (width 1.5) (layer "F.Cu") (net 13))
+ (segment (start 161.433161 122.091839) (end 161.433161 122.986817) (width 1.5) (layer "B.Cu") (net 13))
+ (segment (start 166.15 117.375) (end 161.433161 122.091839) (width 1.5) (layer "B.Cu") (net 13))
+ (segment (start 159.686002 109.325) (end 162.336002 111.975) (width 1.5) (layer "B.Cu") (net 13))
+ (segment (start 164.55 111.975) (end 166.15 113.575) (width 1.5) (layer "B.Cu") (net 13))
+ (segment (start 157.3 109.325) (end 159.686002 109.325) (width 1.5) (layer "B.Cu") (net 13))
+ (segment (start 162.336002 111.975) (end 164.55 111.975) (width 1.5) (layer "B.Cu") (net 13))
+ (segment (start 166.15 113.575) (end 166.15 117.375) (width 1.5) (layer "B.Cu") (net 13))
+ (segment (start 193.75 73.825) (end 203.549999 83.624999) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 191.8 73.825) (end 193.75 73.825) (width 1.5) (layer "F.Cu") (net 1))
+
+ (zone (net 2) (net_name "GND") (layer "F.Cu") (tstamp 0) (hatch edge 0.508)
(connect_pads (clearance 0.15))
- (min_thickness 0.15)
- (fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.5))
+ (min_thickness 0.254)
+ (fill yes (thermal_gap 0.254) (thermal_bridge_width 0.7))
(polygon
(pts
- (xy 80 100) (xy 80 98.75) (xy 80.5 95.5) (xy 81.5 92.25) (xy 83.25 89)
- (xy 84.75 87) (xy 86.75 85) (xy 88.25 83.75) (xy 90.75 82.25) (xy 93.75 81)
- (xy 96.5 80.25) (xy 98.75 80) (xy 101.25 80) (xy 103 80.25) (xy 105.5 80.75)
- (xy 107.5 81.5) (xy 109.25 82.25) (xy 111 83.25) (xy 112.25 84.25) (xy 114 85.75)
- (xy 115.25 87) (xy 116.5 88.75) (xy 117.5 90.5) (xy 118.5 92.75) (xy 119.25 94.5)
- (xy 119.75 96.5) (xy 120 100) (xy 120 100.75) (xy 119.75 103.5) (xy 119.5 104.5)
- (xy 118.75 107) (xy 117.75 109.25) (xy 116.5 111.25) (xy 115 113.25) (xy 114 114.25)
- (xy 113 115.25) (xy 111.25 116.5) (xy 109.25 117.75) (xy 107 118.75) (xy 105.5 119.25)
- (xy 103.5 119.75) (xy 100.5 120) (xy 99.25 120) (xy 96.75 119.75) (xy 94.5 119.25)
- (xy 92.5 118.5) (xy 89.75 117.25) (xy 88 116) (xy 86.25 114.5) (xy 84.75 113)
- (xy 83.75 111.75) (xy 82.25 109.25) (xy 81.25 107) (xy 80.5 104.5) (xy 80.25 102.75)
- (xy 80 100.75) (xy 80 100.25)
+ (xy 169.25 67.275) (xy 186.65 67.275) (xy 206.05 81.875) (xy 206.05 118.075) (xy 186.55 132.675)
+ (xy 169.25 132.675) (xy 149.85 117.975) (xy 149.85 81.875)
)
)
(filled_polygon
(pts
- (xy 100.474478 80.115903) (xy 100.425 80.235354) (xy 100.425 81.69375) (xy 100.50625 81.775) (xy 101.425 81.775)
- (xy 101.425 81.755) (xy 101.775 81.755) (xy 101.775 81.775) (xy 102.69375 81.775) (xy 102.775 81.69375)
- (xy 102.775 80.293618) (xy 102.987321 80.32395) (xy 105.479346 80.822355) (xy 107.472047 81.569618) (xy 109.216518 82.317248)
- (xy 109.220657 82.319613) (xy 107.55 82.319613) (xy 107.442701 82.340956) (xy 107.351736 82.401736) (xy 107.290956 82.492701)
- (xy 107.269613 82.6) (xy 107.269613 82.724999) (xy 105.643856 82.724999) (xy 105.601998 82.716673) (xy 105.560141 82.724999)
- (xy 105.436172 82.749658) (xy 105.295591 82.843591) (xy 105.27188 82.879077) (xy 104.429079 83.721879) (xy 104.393593 83.74559)
- (xy 104.369882 83.781076) (xy 104.299659 83.886172) (xy 104.266674 84.051998) (xy 104.275001 84.09386) (xy 104.275 84.858142)
- (xy 104.266674 84.9) (xy 104.276823 84.951023) (xy 104.299659 85.065826) (xy 104.393592 85.206408) (xy 104.429081 85.230121)
- (xy 105.219613 86.020654) (xy 105.219613 86.9) (xy 105.240956 87.007299) (xy 105.301736 87.098264) (xy 105.392701 87.159044)
- (xy 105.5 87.180387) (xy 106.4 87.180387) (xy 106.507299 87.159044) (xy 106.598264 87.098264) (xy 106.659044 87.007299)
- (xy 106.680387 86.9) (xy 106.680387 85.7) (xy 106.659044 85.592701) (xy 106.598264 85.501736) (xy 106.507299 85.440956)
- (xy 106.4 85.419613) (xy 105.820654 85.419613) (xy 105.125 84.72396) (xy 105.125 84.228038) (xy 105.77804 83.574999)
- (xy 107.269613 83.574999) (xy 107.269613 84.9) (xy 107.290956 85.007299) (xy 107.351736 85.098264) (xy 107.442701 85.159044)
- (xy 107.55 85.180387) (xy 108.987268 85.180387) (xy 110.669106 86.862226) (xy 110.669107 86.862228) (xy 111.02777 87.220891)
- (xy 111.027773 87.220892) (xy 111.081881 87.275) (xy 109.55625 87.275) (xy 109.475 87.35625) (xy 109.475 88.575)
- (xy 111.29375 88.575) (xy 111.375 88.49375) (xy 111.375 87.568119) (xy 112.669613 88.862733) (xy 112.669613 89.9)
- (xy 112.690956 90.007299) (xy 112.751736 90.098264) (xy 112.842701 90.159044) (xy 112.95 90.180387) (xy 116.45 90.180387)
- (xy 116.557299 90.159044) (xy 116.648264 90.098264) (xy 116.709044 90.007299) (xy 116.730387 89.9) (xy 116.730387 89.304344)
- (xy 117.219613 90.160489) (xy 117.219613 90.692951) (xy 116.075 91.837564) (xy 116.075 91.446387) (xy 115.880893 90.97777)
- (xy 115.52223 90.619107) (xy 115.053613 90.425) (xy 114.546387 90.425) (xy 114.07777 90.619107) (xy 113.719107 90.97777)
- (xy 113.525 91.446387) (xy 113.525 91.625) (xy 111.913821 91.625) (xy 111.658592 91.675768) (xy 111.36916 91.86916)
- (xy 111.175768 92.158592) (xy 111.107858 92.5) (xy 111.175768 92.841408) (xy 111.36916 93.13084) (xy 111.658592 93.324232)
- (xy 111.913821 93.375) (xy 113.913822 93.375) (xy 114 93.392142) (xy 114.086178 93.375) (xy 114.086179 93.375)
- (xy 114.341408 93.324232) (xy 114.63084 93.13084) (xy 114.679659 93.057777) (xy 114.762436 92.975) (xy 114.937564 92.975)
- (xy 114.287564 93.625) (xy 113.036179 93.625) (xy 112.95 93.607858) (xy 112.863821 93.625) (xy 112.608592 93.675768)
- (xy 112.31916 93.86916) (xy 112.125768 94.158592) (xy 112.057858 94.5) (xy 112.075001 94.586184) (xy 112.075 96.347917)
- (xy 112.069613 96.375) (xy 112.069613 96.690904) (xy 112.057858 96.75) (xy 112.069613 96.809096) (xy 112.069613 97.027152)
- (xy 111.930387 96.887926) (xy 111.930387 96.375) (xy 111.909044 96.267701) (xy 111.848264 96.176736) (xy 111.757299 96.115956)
- (xy 111.65 96.094613) (xy 111.575 96.094613) (xy 111.575 95.197217) (xy 111.648264 95.148264) (xy 111.709044 95.057299)
- (xy 111.730387 94.95) (xy 111.730387 94.05) (xy 111.709044 93.942701) (xy 111.648264 93.851736) (xy 111.557299 93.790956)
- (xy 111.45 93.769613) (xy 110.65 93.769613) (xy 110.542701 93.790956) (xy 110.451736 93.851736) (xy 110.390956 93.942701)
- (xy 110.369613 94.05) (xy 110.369613 94.95) (xy 110.390956 95.057299) (xy 110.451736 95.148264) (xy 110.525 95.197217)
- (xy 110.525001 96.094613) (xy 110.45 96.094613) (xy 110.342701 96.115956) (xy 110.281903 96.156579) (xy 110.206408 96.043592)
- (xy 110.170922 96.019881) (xy 109.081427 94.930387) (xy 109.35 94.930387) (xy 109.457299 94.909044) (xy 109.548264 94.848264)
- (xy 109.609044 94.757299) (xy 109.630387 94.65) (xy 109.630387 91.35) (xy 109.609044 91.242701) (xy 109.548264 91.151736)
- (xy 109.457299 91.090956) (xy 109.35 91.069613) (xy 107.65 91.069613) (xy 107.542701 91.090956) (xy 107.451736 91.151736)
- (xy 107.390956 91.242701) (xy 107.369613 91.35) (xy 107.369613 94.65) (xy 107.390956 94.757299) (xy 107.451736 94.848264)
- (xy 107.542701 94.909044) (xy 107.65 94.930387) (xy 108.070575 94.930387) (xy 108.066674 94.95) (xy 108.085291 95.043592)
- (xy 108.099659 95.115826) (xy 108.193592 95.256408) (xy 108.229081 95.280121) (xy 109.475001 96.526042) (xy 109.475001 96.602784)
- (xy 109.457299 96.590956) (xy 109.35 96.569613) (xy 107.65 96.569613) (xy 107.542701 96.590956) (xy 107.451736 96.651736)
- (xy 107.390956 96.742701) (xy 107.369613 96.85) (xy 107.369613 100.15) (xy 107.390956 100.257299) (xy 107.451736 100.348264)
- (xy 107.542701 100.409044) (xy 107.65 100.430387) (xy 108.225001 100.430387) (xy 108.225001 100.584531) (xy 108.15 100.569613)
- (xy 106.65 100.569613) (xy 106.542701 100.590956) (xy 106.451736 100.651736) (xy 106.390956 100.742701) (xy 106.369613 100.85)
- (xy 106.369613 102.025) (xy 106.15429 102.025) (xy 106.2 101.914646) (xy 106.2 101.50625) (xy 106.11875 101.425)
- (xy 105.675 101.425) (xy 105.675 101.445) (xy 105.325 101.445) (xy 105.325 101.425) (xy 105.305 101.425)
- (xy 105.305 101.075) (xy 105.325 101.075) (xy 105.325 100.40625) (xy 105.675 100.40625) (xy 105.675 101.075)
- (xy 106.11875 101.075) (xy 106.2 100.99375) (xy 106.2 100.585354) (xy 106.150522 100.465903) (xy 106.059098 100.374479)
- (xy 105.939647 100.325) (xy 105.75625 100.325) (xy 105.675 100.40625) (xy 105.325 100.40625) (xy 105.24375 100.325)
- (xy 105.060353 100.325) (xy 104.940902 100.374479) (xy 104.849478 100.465903) (xy 104.8 100.585354) (xy 104.8 100.99375)
- (xy 104.881248 101.074998) (xy 104.8 101.074998) (xy 104.8 101.233663) (xy 104.777507 101.2) (xy 104.706408 101.093592)
- (xy 104.670922 101.069881) (xy 104.305121 100.70408) (xy 104.281408 100.668592) (xy 104.140827 100.574659) (xy 104.016858 100.55)
- (xy 104.016857 100.55) (xy 104 100.546647) (xy 104 100.51875) (xy 103.91875 100.4375) (xy 103.125 100.4375)
- (xy 103.125 100.469613) (xy 102.775 100.469613) (xy 102.775 100.4375) (xy 101.98125 100.4375) (xy 101.9 100.51875)
- (xy 101.9 100.614647) (xy 101.948329 100.731321) (xy 101.944613 100.75) (xy 101.944613 101.2) (xy 101.741855 101.2)
- (xy 101.699999 101.191674) (xy 101.658143 101.2) (xy 101.658142 101.2) (xy 101.534173 101.224659) (xy 101.393592 101.318592)
- (xy 101.369881 101.354078) (xy 101.298959 101.425) (xy 101.090734 101.425) (xy 100.842643 101.527763) (xy 100.652763 101.717643)
- (xy 100.61645 101.80531) (xy 100.491789 101.504352) (xy 100.275 101.287563) (xy 100.275 100.175) (xy 100.544292 100.175)
- (xy 100.595998 100.185285) (xy 100.647704 100.175) (xy 100.653471 100.173853) (xy 100.672478 100.170072) (xy 100.800843 100.144539)
- (xy 100.974502 100.028504) (xy 101.003795 99.984664) (xy 101.013459 99.975) (xy 101.61431 99.975) (xy 101.618592 99.981408)
- (xy 101.732909 100.057792) (xy 101.759173 100.075341) (xy 101.9 100.103353) (xy 101.9 100.13125) (xy 101.98125 100.2125)
- (xy 102.775 100.2125) (xy 102.775 100.180387) (xy 103.125 100.180387) (xy 103.125 100.2125) (xy 103.91875 100.2125)
- (xy 104 100.13125) (xy 104 100.1) (xy 104.894487 100.1) (xy 104.926736 100.148264) (xy 105.017701 100.209044)
- (xy 105.125 100.230387) (xy 105.875 100.230387) (xy 105.982299 100.209044) (xy 106.073264 100.148264) (xy 106.134044 100.057299)
- (xy 106.155387 99.95) (xy 106.155387 98.75) (xy 106.134044 98.642701) (xy 106.073264 98.551736) (xy 105.982299 98.490956)
- (xy 105.875 98.469613) (xy 105.245667 98.469613) (xy 105.984894 97.730387) (xy 106.65 97.730387) (xy 106.757299 97.709044)
- (xy 106.848264 97.648264) (xy 106.909044 97.557299) (xy 106.930387 97.45) (xy 106.930387 95.95) (xy 106.909044 95.842701)
- (xy 106.848264 95.751736) (xy 106.757299 95.690956) (xy 106.65 95.669613) (xy 105.15 95.669613) (xy 105.042701 95.690956)
- (xy 104.97501 95.736185) (xy 104.97501 95.163815) (xy 105.042701 95.209044) (xy 105.15 95.230387) (xy 106.65 95.230387)
- (xy 106.757299 95.209044) (xy 106.848264 95.148264) (xy 106.909044 95.057299) (xy 106.930387 94.95) (xy 106.930387 93.45)
- (xy 106.909044 93.342701) (xy 106.848264 93.251736) (xy 106.757299 93.190956) (xy 106.65 93.169613) (xy 105.15 93.169613)
- (xy 105.042701 93.190956) (xy 104.951736 93.251736) (xy 104.890956 93.342701) (xy 104.869613 93.45) (xy 104.869613 93.770575)
- (xy 104.85 93.766674) (xy 104.808142 93.775) (xy 104.684173 93.799659) (xy 104.543592 93.893592) (xy 104.519881 93.929078)
- (xy 104.475 93.973959) (xy 104.475 90.861855) (xy 104.483326 90.819997) (xy 104.450341 90.654171) (xy 104.4125 90.597538)
- (xy 104.356408 90.51359) (xy 104.32092 90.489878) (xy 104.160111 90.329069) (xy 104.136398 90.29358) (xy 103.995817 90.199647)
- (xy 103.871848 90.174988) (xy 103.871847 90.174988) (xy 103.82999 90.166662) (xy 103.788133 90.174988) (xy 100.233591 90.174988)
- (xy 100.288406 90.138362) (xy 100.310725 90.104959) (xy 101.035297 89.380387) (xy 102.45 89.380387) (xy 102.557299 89.359044)
- (xy 102.648264 89.298264) (xy 102.709044 89.207299) (xy 102.730387 89.1) (xy 102.730387 88.925) (xy 103.219613 88.925)
- (xy 103.219613 89.1) (xy 103.240956 89.207299) (xy 103.301736 89.298264) (xy 103.392701 89.359044) (xy 103.5 89.380387)
- (xy 104.4 89.380387) (xy 104.507299 89.359044) (xy 104.598264 89.298264) (xy 104.659044 89.207299) (xy 104.680387 89.1)
- (xy 104.680387 88.925) (xy 105.219613 88.925) (xy 105.219613 89.1) (xy 105.240956 89.207299) (xy 105.301736 89.298264)
- (xy 105.392701 89.359044) (xy 105.5 89.380387) (xy 106.4 89.380387) (xy 106.507299 89.359044) (xy 106.598264 89.298264)
- (xy 106.659044 89.207299) (xy 106.680387 89.1) (xy 106.680387 89.00625) (xy 107.225 89.00625) (xy 107.225 89.964647)
- (xy 107.274479 90.084098) (xy 107.365903 90.175522) (xy 107.485354 90.225) (xy 109.04375 90.225) (xy 109.125 90.14375)
- (xy 109.125 88.925) (xy 109.475 88.925) (xy 109.475 90.14375) (xy 109.55625 90.225) (xy 111.114646 90.225)
- (xy 111.234097 90.175522) (xy 111.325521 90.084098) (xy 111.375 89.964647) (xy 111.375 89.00625) (xy 111.29375 88.925)
- (xy 109.475 88.925) (xy 109.125 88.925) (xy 107.30625 88.925) (xy 107.225 89.00625) (xy 106.680387 89.00625)
- (xy 106.680387 87.9) (xy 106.659044 87.792701) (xy 106.598264 87.701736) (xy 106.507299 87.640956) (xy 106.4 87.619613)
- (xy 105.5 87.619613) (xy 105.392701 87.640956) (xy 105.301736 87.701736) (xy 105.240956 87.792701) (xy 105.219613 87.9)
- (xy 105.219613 88.075) (xy 104.680387 88.075) (xy 104.680387 87.9) (xy 104.659044 87.792701) (xy 104.598264 87.701736)
- (xy 104.507299 87.640956) (xy 104.4 87.619613) (xy 103.5 87.619613) (xy 103.392701 87.640956) (xy 103.301736 87.701736)
- (xy 103.240956 87.792701) (xy 103.219613 87.9) (xy 103.219613 88.075) (xy 102.826041 88.075) (xy 102.730387 87.979346)
- (xy 102.730387 87.535353) (xy 107.225 87.535353) (xy 107.225 88.49375) (xy 107.30625 88.575) (xy 109.125 88.575)
- (xy 109.125 87.35625) (xy 109.04375 87.275) (xy 107.485354 87.275) (xy 107.365903 87.324478) (xy 107.274479 87.415902)
- (xy 107.225 87.535353) (xy 102.730387 87.535353) (xy 102.730387 86.55625) (xy 103.175 86.55625) (xy 103.175 86.964646)
- (xy 103.224478 87.084097) (xy 103.315902 87.175521) (xy 103.435353 87.225) (xy 103.69375 87.225) (xy 103.775 87.14375)
- (xy 103.775 86.475) (xy 104.125 86.475) (xy 104.125 87.14375) (xy 104.20625 87.225) (xy 104.464647 87.225)
- (xy 104.584098 87.175521) (xy 104.675522 87.084097) (xy 104.725 86.964646) (xy 104.725 86.55625) (xy 104.64375 86.475)
- (xy 104.125 86.475) (xy 103.775 86.475) (xy 103.25625 86.475) (xy 103.175 86.55625) (xy 102.730387 86.55625)
- (xy 102.730387 85.8) (xy 102.709044 85.692701) (xy 102.670727 85.635354) (xy 103.175 85.635354) (xy 103.175 86.04375)
- (xy 103.25625 86.125) (xy 103.775 86.125) (xy 103.775 85.45625) (xy 104.125 85.45625) (xy 104.125 86.125)
- (xy 104.64375 86.125) (xy 104.725 86.04375) (xy 104.725 85.635354) (xy 104.675522 85.515903) (xy 104.584098 85.424479)
- (xy 104.464647 85.375) (xy 104.20625 85.375) (xy 104.125 85.45625) (xy 103.775 85.45625) (xy 103.69375 85.375)
- (xy 103.435353 85.375) (xy 103.315902 85.424479) (xy 103.224478 85.515903) (xy 103.175 85.635354) (xy 102.670727 85.635354)
- (xy 102.648264 85.601736) (xy 102.557299 85.540956) (xy 102.45 85.519613) (xy 100.75 85.519613) (xy 100.642701 85.540956)
- (xy 100.551736 85.601736) (xy 100.490956 85.692701) (xy 100.469613 85.8) (xy 100.469613 88.814703) (xy 99.834338 89.449978)
- (xy 98.529669 89.449978) (xy 98.357432 89.277741) (xy 98.236744 89.22775) (xy 98.25 89.230387) (xy 99.45 89.230387)
- (xy 99.557299 89.209044) (xy 99.648264 89.148264) (xy 99.709044 89.057299) (xy 99.730387 88.95) (xy 99.730387 88.05)
- (xy 99.709044 87.942701) (xy 99.648264 87.851736) (xy 99.557299 87.790956) (xy 99.45 87.769613) (xy 98.25 87.769613)
- (xy 98.142701 87.790956) (xy 98.051736 87.851736) (xy 97.990956 87.942701) (xy 97.969613 88.05) (xy 97.969613 88.152632)
- (xy 97.908312 88.193592) (xy 97.884602 88.229077) (xy 97.530387 88.583292) (xy 97.530387 88.05) (xy 97.509044 87.942701)
- (xy 97.448264 87.851736) (xy 97.357299 87.790956) (xy 97.25 87.769613) (xy 97.175 87.769613) (xy 97.175 87.767461)
- (xy 97.934667 87.007795) (xy 97.978504 86.978504) (xy 98.094539 86.804845) (xy 98.110421 86.725) (xy 98.344613 86.725)
- (xy 98.344613 86.8) (xy 98.365956 86.907299) (xy 98.426736 86.998264) (xy 98.517701 87.059044) (xy 98.625 87.080387)
- (xy 99.375 87.080387) (xy 99.482299 87.059044) (xy 99.573264 86.998264) (xy 99.634044 86.907299) (xy 99.655387 86.8)
- (xy 99.655387 85.6) (xy 99.634044 85.492701) (xy 99.573264 85.401736) (xy 99.482299 85.340956) (xy 99.375 85.319613)
- (xy 98.625 85.319613) (xy 98.517701 85.340956) (xy 98.426736 85.401736) (xy 98.365956 85.492701) (xy 98.344613 85.6)
- (xy 98.344613 85.675) (xy 98.125 85.675) (xy 98.125 85.251705) (xy 98.135285 85.199999) (xy 98.094539 84.995155)
- (xy 98.077452 84.969583) (xy 97.978504 84.821496) (xy 97.934668 84.792206) (xy 97.698712 84.55625) (xy 98.3 84.55625)
- (xy 98.3 84.964646) (xy 98.349478 85.084097) (xy 98.440902 85.175521) (xy 98.560353 85.225) (xy 98.74375 85.225)
- (xy 98.825 85.14375) (xy 98.825 84.475) (xy 99.175 84.475) (xy 99.175 85.14375) (xy 99.25625 85.225)
- (xy 99.439647 85.225) (xy 99.559098 85.175521) (xy 99.650522 85.084097) (xy 99.7 84.964646) (xy 99.7 84.55625)
- (xy 99.61875 84.475) (xy 99.175 84.475) (xy 98.825 84.475) (xy 98.38125 84.475) (xy 98.3 84.55625)
- (xy 97.698712 84.55625) (xy 97.380387 84.237926) (xy 97.380387 83.8) (xy 97.359044 83.692701) (xy 97.320727 83.635354)
- (xy 98.3 83.635354) (xy 98.3 84.04375) (xy 98.38125 84.125) (xy 98.825 84.125) (xy 98.825 83.45625)
- (xy 99.175 83.45625) (xy 99.175 84.125) (xy 99.61875 84.125) (xy 99.7 84.04375) (xy 99.7 83.635354)
- (xy 99.650522 83.515903) (xy 99.559098 83.424479) (xy 99.439647 83.375) (xy 99.25625 83.375) (xy 99.175 83.45625)
- (xy 98.825 83.45625) (xy 98.74375 83.375) (xy 98.560353 83.375) (xy 98.440902 83.424479) (xy 98.349478 83.515903)
- (xy 98.3 83.635354) (xy 97.320727 83.635354) (xy 97.298264 83.601736) (xy 97.207299 83.540956) (xy 97.1 83.519613)
- (xy 96.3 83.519613) (xy 96.192701 83.540956) (xy 96.101736 83.601736) (xy 96.040956 83.692701) (xy 96.019613 83.8)
- (xy 96.019613 84.7) (xy 96.040956 84.807299) (xy 96.101736 84.898264) (xy 96.192701 84.959044) (xy 96.3 84.980387)
- (xy 96.637926 84.980387) (xy 97.075 85.417462) (xy 97.075001 86.148289) (xy 97.064715 86.2) (xy 97.075 86.251706)
- (xy 97.075 86.382538) (xy 96.315335 87.142203) (xy 96.271496 87.171496) (xy 96.166669 87.328382) (xy 96.155461 87.345156)
- (xy 96.114715 87.55) (xy 96.125 87.601707) (xy 96.125 87.769613) (xy 96.05 87.769613) (xy 95.942701 87.790956)
- (xy 95.851736 87.851736) (xy 95.790956 87.942701) (xy 95.769613 88.05) (xy 95.769613 88.95) (xy 95.790956 89.057299)
- (xy 95.851736 89.148264) (xy 95.942701 89.209044) (xy 96.05 89.230387) (xy 96.883293 89.230387) (xy 96.438703 89.674977)
- (xy 93.34188 89.674977) (xy 93.300023 89.666651) (xy 93.258165 89.674977) (xy 93.134196 89.699636) (xy 92.993615 89.793569)
- (xy 92.969904 89.829055) (xy 92.953544 89.845415) (xy 92.953544 88.91873) (xy 92.872294 88.83748) (xy 91.053544 88.83748)
- (xy 91.053544 90.05623) (xy 91.134794 90.13748) (xy 92.66148 90.13748) (xy 92.52396 90.275) (xy 91.827612 90.275)
- (xy 91.785756 90.266674) (xy 91.7439 90.275) (xy 91.743899 90.275) (xy 91.61993 90.299659) (xy 91.479349 90.393592)
- (xy 91.455638 90.429078) (xy 87.709728 94.174989) (xy 83.241868 94.174989) (xy 83.20001 94.166663) (xy 83.140944 94.178412)
- (xy 83.034184 94.199648) (xy 82.893603 94.293581) (xy 82.869892 94.329067) (xy 81.479079 95.719881) (xy 81.443593 95.743592)
- (xy 81.419882 95.779078) (xy 81.349659 95.884174) (xy 81.316674 96.05) (xy 81.325001 96.091862) (xy 81.325 97.528143)
- (xy 81.316674 97.570001) (xy 81.325 97.611858) (xy 81.349659 97.735827) (xy 81.443592 97.876409) (xy 81.479081 97.900122)
- (xy 81.979879 98.400921) (xy 82.00359 98.436407) (xy 82.067452 98.479078) (xy 82.144171 98.53034) (xy 82.309998 98.563325)
- (xy 82.351855 98.554999) (xy 83.933961 98.554999) (xy 84.203962 98.825) (xy 83.10625 98.825) (xy 83.025 98.90625)
- (xy 83.025 99.825) (xy 84.74375 99.825) (xy 84.825 99.74375) (xy 84.825 99.446039) (xy 85.675001 100.29604)
- (xy 85.675 100.838144) (xy 85.666674 100.880002) (xy 85.675 100.921859) (xy 85.699659 101.045828) (xy 85.793592 101.18641)
- (xy 85.829081 101.210123) (xy 86.339879 101.720922) (xy 86.36359 101.756408) (xy 86.504171 101.850341) (xy 86.581864 101.865795)
- (xy 86.669998 101.883326) (xy 86.711855 101.875) (xy 91.529716 101.875) (xy 92.429715 102.775) (xy 90.280387 102.775)
- (xy 90.280387 102.35) (xy 90.259044 102.242701) (xy 90.198264 102.151736) (xy 90.107299 102.090956) (xy 90 102.069613)
- (xy 86.7 102.069613) (xy 86.592701 102.090956) (xy 86.501736 102.151736) (xy 86.440956 102.242701) (xy 86.419613 102.35)
- (xy 86.419613 104.05) (xy 86.440956 104.157299) (xy 86.501736 104.248264) (xy 86.592701 104.309044) (xy 86.7 104.330387)
- (xy 90 104.330387) (xy 90.107299 104.309044) (xy 90.198264 104.248264) (xy 90.259044 104.157299) (xy 90.280387 104.05)
- (xy 90.280387 103.625) (xy 95.258143 103.625) (xy 95.3 103.633326) (xy 95.341857 103.625) (xy 95.341858 103.625)
- (xy 95.465827 103.600341) (xy 95.606408 103.506408) (xy 95.63012 103.47092) (xy 95.751041 103.35) (xy 96.129334 103.35)
- (xy 96.217701 103.409044) (xy 96.325 103.430387) (xy 97.775 103.430387) (xy 97.882299 103.409044) (xy 97.973264 103.348264)
- (xy 98.034044 103.257299) (xy 98.051891 103.167575) (xy 98.514312 103.629998) (xy 98.536628 103.663396) (xy 98.634063 103.7285)
- (xy 98.458211 103.904352) (xy 98.45821 103.904354) (xy 98.292221 104.070343) (xy 98.219161 104.11916) (xy 98.15991 104.207836)
- (xy 98.025769 104.408592) (xy 97.957858 104.75) (xy 97.975001 104.836182) (xy 97.975 106.419613) (xy 97.55 106.419613)
- (xy 97.442701 106.440956) (xy 97.351736 106.501736) (xy 97.290956 106.592701) (xy 97.269613 106.7) (xy 97.269613 108.7)
- (xy 97.290956 108.807299) (xy 97.351736 108.898264) (xy 97.442701 108.959044) (xy 97.55 108.980387) (xy 98.175001 108.980387)
- (xy 98.175 112.025572) (xy 98.175001 112.025576) (xy 98.175001 112.815382) (xy 98.084098 112.724479) (xy 97.964647 112.675)
- (xy 97.40625 112.675) (xy 97.325 112.75625) (xy 97.325 113.825) (xy 97.345 113.825) (xy 97.345 114.175)
- (xy 97.325 114.175) (xy 97.325 115.24375) (xy 97.40625 115.325) (xy 97.964647 115.325) (xy 98.084098 115.275521)
- (xy 98.175522 115.184097) (xy 98.225 115.064646) (xy 98.225 114.376939) (xy 98.248977 114.497479) (xy 98.419613 114.752854)
- (xy 98.419613 115) (xy 98.440956 115.107299) (xy 98.501736 115.198264) (xy 98.575001 115.247217) (xy 98.575001 115.966749)
- (xy 98.540956 116.017701) (xy 98.519613 116.125) (xy 98.519613 116.440904) (xy 98.507858 116.5) (xy 98.519613 116.559096)
- (xy 98.519613 116.875) (xy 98.540956 116.982299) (xy 98.601736 117.073264) (xy 98.692701 117.134044) (xy 98.8 117.155387)
- (xy 98.8059 117.155387) (xy 99.058592 117.324231) (xy 99.4 117.392142) (xy 99.741408 117.324231) (xy 99.95778 117.179656)
- (xy 99.982049 117.155387) (xy 100 117.155387) (xy 100.107299 117.134044) (xy 100.198264 117.073264) (xy 100.259044 116.982299)
- (xy 100.280387 116.875) (xy 100.280387 116.760465) (xy 100.325 116.536179) (xy 100.342142 116.45) (xy 100.325 116.363821)
- (xy 100.325 115.247217) (xy 100.398264 115.198264) (xy 100.459044 115.107299) (xy 100.480387 115) (xy 100.480387 114.752855)
- (xy 100.651023 114.49748) (xy 100.719613 114.152655) (xy 100.719613 115) (xy 100.740956 115.107299) (xy 100.801736 115.198264)
- (xy 100.892701 115.259044) (xy 100.925001 115.265469) (xy 100.925 116.347917) (xy 100.919613 116.375) (xy 100.919613 117.125)
- (xy 100.925 117.152084) (xy 100.925001 117.98456) (xy 100.85252 117.998977) (xy 100.430777 118.280777) (xy 100.244372 118.559753)
- (xy 100.234097 118.549478) (xy 100.114646 118.5) (xy 99.70625 118.5) (xy 99.625 118.58125) (xy 99.625 119.025)
- (xy 99.645 119.025) (xy 99.645 119.375) (xy 99.625 119.375) (xy 99.625 119.81875) (xy 99.70625 119.9)
- (xy 100.075 119.9) (xy 100.075 119.925) (xy 99.253743 119.925) (xy 99.003743 119.9) (xy 99.19375 119.9)
- (xy 99.275 119.81875) (xy 99.275 119.375) (xy 98.60625 119.375) (xy 98.525 119.45625) (xy 98.525 119.639647)
- (xy 98.574479 119.759098) (xy 98.665903 119.850522) (xy 98.71585 119.871211) (xy 96.761907 119.675816) (xy 94.521408 119.177928)
- (xy 93.333628 118.73251) (xy 93.652388 118.73251) (xy 93.812944 118.869221) (xy 94.339635 118.890441) (xy 94.69334 118.760353)
- (xy 98.525 118.760353) (xy 98.525 118.94375) (xy 98.60625 119.025) (xy 99.275 119.025) (xy 99.275 118.58125)
- (xy 99.19375 118.5) (xy 98.785354 118.5) (xy 98.665903 118.549478) (xy 98.574479 118.640902) (xy 98.525 118.760353)
- (xy 94.69334 118.760353) (xy 94.834354 118.70849) (xy 95.060544 118.534927) (xy 95.131234 118.336254) (xy 94.193683 117.794959)
- (xy 93.652388 118.73251) (xy 93.333628 118.73251) (xy 92.528708 118.430665) (xy 91.06625 117.765911) (xy 92.795093 117.765911)
- (xy 92.977044 118.26063) (xy 93.150607 118.48682) (xy 93.34928 118.55751) (xy 93.890575 117.619959) (xy 93.668686 117.491851)
- (xy 94.368683 117.491851) (xy 95.306234 118.033146) (xy 95.442945 117.87259) (xy 95.464165 117.345899) (xy 95.282214 116.85118)
- (xy 95.209372 116.75625) (xy 96.575 116.75625) (xy 96.575 116.939647) (xy 96.624479 117.059098) (xy 96.715903 117.150522)
- (xy 96.835354 117.2) (xy 97.24375 117.2) (xy 97.325 117.11875) (xy 97.325 116.675) (xy 97.675 116.675)
- (xy 97.675 117.11875) (xy 97.75625 117.2) (xy 98.164646 117.2) (xy 98.284097 117.150522) (xy 98.375521 117.059098)
- (xy 98.425 116.939647) (xy 98.425 116.75625) (xy 98.34375 116.675) (xy 97.675 116.675) (xy 97.325 116.675)
- (xy 96.65625 116.675) (xy 96.575 116.75625) (xy 95.209372 116.75625) (xy 95.108651 116.62499) (xy 94.909978 116.5543)
- (xy 94.368683 117.491851) (xy 93.668686 117.491851) (xy 92.953024 117.078664) (xy 92.816313 117.23922) (xy 92.795093 117.765911)
- (xy 91.06625 117.765911) (xy 89.787669 117.184738) (xy 89.214815 116.775556) (xy 93.128024 116.775556) (xy 94.065575 117.316851)
- (xy 94.60687 116.3793) (xy 94.446314 116.242589) (xy 93.919623 116.221369) (xy 93.424904 116.40332) (xy 93.198714 116.576883)
- (xy 93.128024 116.775556) (xy 89.214815 116.775556) (xy 88.21353 116.060353) (xy 96.575 116.060353) (xy 96.575 116.24375)
- (xy 96.65625 116.325) (xy 97.325 116.325) (xy 97.325 115.88125) (xy 97.675 115.88125) (xy 97.675 116.325)
- (xy 98.34375 116.325) (xy 98.425 116.24375) (xy 98.425 116.060353) (xy 98.375521 115.940902) (xy 98.284097 115.849478)
- (xy 98.164646 115.8) (xy 97.75625 115.8) (xy 97.675 115.88125) (xy 97.325 115.88125) (xy 97.24375 115.8)
- (xy 96.835354 115.8) (xy 96.715903 115.849478) (xy 96.624479 115.940902) (xy 96.575 116.060353) (xy 88.21353 116.060353)
- (xy 88.046287 115.940894) (xy 86.301 114.444934) (xy 86.112316 114.25625) (xy 96.075 114.25625) (xy 96.075 115.064646)
- (xy 96.124478 115.184097) (xy 96.215902 115.275521) (xy 96.335353 115.325) (xy 96.89375 115.325) (xy 96.975 115.24375)
- (xy 96.975 114.175) (xy 96.15625 114.175) (xy 96.075 114.25625) (xy 86.112316 114.25625) (xy 84.805969 112.949903)
- (xy 83.811717 111.707088) (xy 83.285697 110.830387) (xy 84.2 110.830387) (xy 84.307299 110.809044) (xy 84.398264 110.748264)
- (xy 84.459044 110.657299) (xy 84.480387 110.55) (xy 84.480387 110.017823) (xy 84.919613 110.457049) (xy 84.919613 110.55)
- (xy 84.924993 110.577046) (xy 84.924993 110.821884) (xy 84.719099 111.027778) (xy 84.524992 111.496395) (xy 84.524992 112.003621)
- (xy 84.719099 112.472238) (xy 85.077762 112.830901) (xy 85.546379 113.025008) (xy 86.053605 113.025008) (xy 86.270049 112.935354)
- (xy 96.075 112.935354) (xy 96.075 113.74375) (xy 96.15625 113.825) (xy 96.975 113.825) (xy 96.975 112.75625)
- (xy 96.89375 112.675) (xy 96.335353 112.675) (xy 96.215902 112.724479) (xy 96.124478 112.815903) (xy 96.075 112.935354)
- (xy 86.270049 112.935354) (xy 86.522222 112.830901) (xy 86.880885 112.472238) (xy 87.074992 112.003621) (xy 87.074992 111.496395)
- (xy 86.880885 111.027778) (xy 86.674992 110.821885) (xy 86.674992 110.577123) (xy 86.680387 110.55) (xy 86.680387 110.457049)
- (xy 87.557777 109.579659) (xy 87.63084 109.53084) (xy 87.824232 109.241408) (xy 87.875 108.986179) (xy 87.892142 108.900001)
- (xy 87.875 108.813823) (xy 87.875 107.863821) (xy 87.824232 107.608592) (xy 87.63084 107.31916) (xy 87.341407 107.125768)
- (xy 87 107.057858) (xy 86.658592 107.125768) (xy 86.36916 107.31916) (xy 86.175768 107.608593) (xy 86.125 107.863822)
- (xy 86.125001 108.537563) (xy 85.8 108.862564) (xy 85.494113 108.556677) (xy 85.505387 108.5) (xy 85.505387 107.5)
- (xy 85.484044 107.392701) (xy 85.423264 107.301736) (xy 85.332299 107.240956) (xy 85.225 107.219613) (xy 85.007026 107.219613)
- (xy 84.941407 107.175768) (xy 84.6 107.107858) (xy 84.258592 107.175768) (xy 84.192973 107.219613) (xy 84.034981 107.219613)
- (xy 84.254594 107) (xy 88.107858 107) (xy 88.175768 107.341408) (xy 88.36916 107.63084) (xy 88.658592 107.824232)
- (xy 88.913821 107.875) (xy 91.550153 107.875) (xy 91.613472 108.027865) (xy 91.972135 108.386528) (xy 92.440752 108.580635)
- (xy 92.947978 108.580635) (xy 93.416595 108.386528) (xy 93.775258 108.027865) (xy 93.969365 107.559248) (xy 93.969365 107.052022)
- (xy 93.775258 106.583405) (xy 93.416595 106.224742) (xy 92.947978 106.030635) (xy 92.440752 106.030635) (xy 92.212934 106.125)
- (xy 88.913821 106.125) (xy 88.658592 106.175768) (xy 88.36916 106.36916) (xy 88.175768 106.658592) (xy 88.107858 107)
- (xy 84.254594 107) (xy 84.474207 106.780387) (xy 85.225 106.780387) (xy 85.332299 106.759044) (xy 85.423264 106.698264)
- (xy 85.438808 106.675) (xy 86.39175 106.675) (xy 86.442701 106.709044) (xy 86.55 106.730387) (xy 86.960601 106.730387)
- (xy 87 106.738224) (xy 87.039399 106.730387) (xy 87.45 106.730387) (xy 87.557299 106.709044) (xy 87.648264 106.648264)
- (xy 87.709044 106.557299) (xy 87.730387 106.45) (xy 87.730387 105.65) (xy 87.709044 105.542701) (xy 87.648264 105.451736)
- (xy 87.557299 105.390956) (xy 87.45 105.369613) (xy 87.221527 105.369613) (xy 87.213372 105.364164) (xy 87.016481 105.325)
- (xy 86.95 105.311776) (xy 86.883519 105.325) (xy 85.438808 105.325) (xy 85.423264 105.301736) (xy 85.332299 105.240956)
- (xy 85.225 105.219613) (xy 83.975 105.219613) (xy 83.867701 105.240956) (xy 83.776736 105.301736) (xy 83.715956 105.392701)
- (xy 83.694613 105.5) (xy 83.694613 105.650793) (xy 82.930387 106.415019) (xy 82.930387 105.3) (xy 82.909044 105.192701)
- (xy 82.875 105.14175) (xy 82.875 104.330387) (xy 84.5 104.330387) (xy 84.607299 104.309044) (xy 84.698264 104.248264)
- (xy 84.759044 104.157299) (xy 84.780387 104.05) (xy 84.780387 102.35) (xy 84.759044 102.242701) (xy 84.698264 102.151736)
- (xy 84.607299 102.090956) (xy 84.5 102.069613) (xy 81.2 102.069613) (xy 81.092701 102.090956) (xy 81.001736 102.151736)
- (xy 80.940956 102.242701) (xy 80.919613 102.35) (xy 80.919613 104.05) (xy 80.940956 104.157299) (xy 81.001736 104.248264)
- (xy 81.092701 104.309044) (xy 81.2 104.330387) (xy 81.525001 104.330387) (xy 81.525 105.141749) (xy 81.490956 105.192701)
- (xy 81.469613 105.3) (xy 81.469613 106.5) (xy 81.490956 106.607299) (xy 81.551736 106.698264) (xy 81.642701 106.759044)
- (xy 81.75 106.780387) (xy 82.56502 106.780387) (xy 82.125794 107.219613) (xy 81.75 107.219613) (xy 81.642701 107.240956)
- (xy 81.551736 107.301736) (xy 81.500355 107.378634) (xy 81.320469 106.97389) (xy 80.573451 104.48383) (xy 80.324342 102.740065)
- (xy 80.075 100.745329) (xy 80.075 100.25625) (xy 80.875 100.25625) (xy 80.875 100.914647) (xy 80.924479 101.034098)
- (xy 81.015903 101.125522) (xy 81.135354 101.175) (xy 82.59375 101.175) (xy 82.675 101.09375) (xy 82.675 100.175)
- (xy 83.025 100.175) (xy 83.025 101.09375) (xy 83.10625 101.175) (xy 84.564646 101.175) (xy 84.684097 101.125522)
- (xy 84.775521 101.034098) (xy 84.825 100.914647) (xy 84.825 100.25625) (xy 84.74375 100.175) (xy 83.025 100.175)
- (xy 82.675 100.175) (xy 80.95625 100.175) (xy 80.875 100.25625) (xy 80.075 100.25625) (xy 80.075 99.085353)
- (xy 80.875 99.085353) (xy 80.875 99.74375) (xy 80.95625 99.825) (xy 82.675 99.825) (xy 82.675 98.90625)
- (xy 82.59375 98.825) (xy 81.135354 98.825) (xy 81.015903 98.874478) (xy 80.924479 98.965902) (xy 80.875 99.085353)
- (xy 80.075 99.085353) (xy 80.075 98.755736) (xy 80.573295 95.516816) (xy 81.569521 92.279084) (xy 83.313369 89.040508)
- (xy 83.448157 88.860791) (xy 83.448157 89.81248) (xy 83.4695 89.919779) (xy 83.53028 90.010744) (xy 83.621245 90.071524)
- (xy 83.728544 90.092867) (xy 87.228544 90.092867) (xy 87.335843 90.071524) (xy 87.426808 90.010744) (xy 87.487588 89.919779)
- (xy 87.508931 89.81248) (xy 87.508931 88.91873) (xy 88.803544 88.91873) (xy 88.803544 89.877127) (xy 88.853023 89.996578)
- (xy 88.944447 90.088002) (xy 89.063898 90.13748) (xy 90.622294 90.13748) (xy 90.703544 90.05623) (xy 90.703544 88.83748)
- (xy 88.884794 88.83748) (xy 88.803544 88.91873) (xy 87.508931 88.91873) (xy 87.508931 88.435214) (xy 88.496312 87.447833)
- (xy 88.803544 87.447833) (xy 88.803544 88.40623) (xy 88.884794 88.48748) (xy 90.703544 88.48748) (xy 90.703544 87.26873)
- (xy 91.053544 87.26873) (xy 91.053544 88.48748) (xy 92.872294 88.48748) (xy 92.953544 88.40623) (xy 92.953544 87.447833)
- (xy 92.904065 87.328382) (xy 92.812641 87.236958) (xy 92.69319 87.18748) (xy 91.134794 87.18748) (xy 91.053544 87.26873)
- (xy 90.703544 87.26873) (xy 90.622294 87.18748) (xy 89.063898 87.18748) (xy 88.944447 87.236958) (xy 88.853023 87.328382)
- (xy 88.803544 87.447833) (xy 88.496312 87.447833) (xy 88.672228 87.271918) (xy 88.67223 87.271917) (xy 88.79776 87.146387)
- (xy 93.225 87.146387) (xy 93.225 87.653613) (xy 93.419107 88.12223) (xy 93.77777 88.480893) (xy 94.246387 88.675)
- (xy 94.753613 88.675) (xy 95.22223 88.480893) (xy 95.580893 88.12223) (xy 95.775 87.653613) (xy 95.775 87.362437)
- (xy 96.056202 87.081235) (xy 96.091408 87.074232) (xy 96.38084 86.88084) (xy 96.574232 86.591408) (xy 96.642142 86.25)
- (xy 96.574232 85.908592) (xy 96.38084 85.61916) (xy 96.091408 85.425768) (xy 95.836179 85.375) (xy 95.736179 85.375)
- (xy 95.65 85.357858) (xy 95.563821 85.375) (xy 95.308592 85.425768) (xy 95.01916 85.61916) (xy 94.970343 85.69222)
- (xy 94.537563 86.125) (xy 94.246387 86.125) (xy 93.77777 86.319107) (xy 93.419107 86.67777) (xy 93.225 87.146387)
- (xy 88.79776 87.146387) (xy 89.030893 86.913254) (xy 89.030894 86.913251) (xy 90.851279 85.092867) (xy 92.628544 85.092867)
- (xy 92.735843 85.071524) (xy 92.826808 85.010744) (xy 92.887588 84.919779) (xy 92.908931 84.81248) (xy 92.908931 84.50625)
- (xy 94.075 84.50625) (xy 94.075 84.764646) (xy 94.124478 84.884097) (xy 94.215902 84.975521) (xy 94.335353 85.025)
- (xy 94.54375 85.025) (xy 94.625 84.94375) (xy 94.625 84.425) (xy 94.975 84.425) (xy 94.975 84.94375)
- (xy 95.05625 85.025) (xy 95.264647 85.025) (xy 95.384098 84.975521) (xy 95.475522 84.884097) (xy 95.525 84.764646)
- (xy 95.525 84.50625) (xy 95.44375 84.425) (xy 94.975 84.425) (xy 94.625 84.425) (xy 94.15625 84.425)
- (xy 94.075 84.50625) (xy 92.908931 84.50625) (xy 92.908931 83.735354) (xy 94.075 83.735354) (xy 94.075 83.99375)
- (xy 94.15625 84.075) (xy 94.625 84.075) (xy 94.625 83.55625) (xy 94.975 83.55625) (xy 94.975 84.075)
- (xy 95.44375 84.075) (xy 95.525 83.99375) (xy 95.525 83.735354) (xy 95.475522 83.615903) (xy 95.384098 83.524479)
- (xy 95.264647 83.475) (xy 95.05625 83.475) (xy 94.975 83.55625) (xy 94.625 83.55625) (xy 94.54375 83.475)
- (xy 94.335353 83.475) (xy 94.215902 83.524479) (xy 94.124478 83.615903) (xy 94.075 83.735354) (xy 92.908931 83.735354)
- (xy 92.908931 82.51248) (xy 92.887588 82.405181) (xy 92.826808 82.314216) (xy 92.735843 82.253436) (xy 92.628544 82.232093)
- (xy 90.987977 82.232093) (xy 91.05 82.20625) (xy 100.425 82.20625) (xy 100.425 83.664646) (xy 100.474478 83.784097)
- (xy 100.565902 83.875521) (xy 100.685353 83.925) (xy 101.34375 83.925) (xy 101.425 83.84375) (xy 101.425 82.125)
- (xy 101.775 82.125) (xy 101.775 83.84375) (xy 101.85625 83.925) (xy 102.514647 83.925) (xy 102.634098 83.875521)
- (xy 102.725522 83.784097) (xy 102.775 83.664646) (xy 102.775 82.20625) (xy 102.69375 82.125) (xy 101.775 82.125)
- (xy 101.425 82.125) (xy 100.50625 82.125) (xy 100.425 82.20625) (xy 91.05 82.20625) (xy 93.774393 81.071086)
- (xy 96.514094 80.323895) (xy 98.754151 80.075) (xy 100.515381 80.075)
+ (xy 193.103638 72.511921) (xy 193.126973 72.536494) (xy 193.272302 72.625486) (xy 193.285018 72.629248) (xy 193.295961 72.636742)
+ (xy 193.476233 72.700235) (xy 193.494627 72.701651) (xy 193.511939 72.708026) (xy 193.680085 72.723377) (xy 193.712473 72.747752)
+ (xy 193.699268 72.749) (xy 191.732308 72.749) (xy 191.530283 72.774522) (xy 191.277514 72.874601) (xy 191.057574 73.034396)
+ (xy 190.884283 73.243868) (xy 190.76853 73.489855) (xy 190.717589 73.756901) (xy 190.734659 74.028225) (xy 190.818669 74.28678)
+ (xy 190.964339 74.516319) (xy 191.162516 74.70242) (xy 191.324001 74.791197) (xy 191.324 79.727834) (xy 190.952835 80.099)
+ (xy 190.662485 80.099) (xy 190.700088 80.070457) (xy 190.771527 80.026508) (xy 190.818185 79.980817) (xy 190.870217 79.941323)
+ (xy 190.924143 79.877056) (xy 190.984073 79.818369) (xy 191.019452 79.763471) (xy 191.061436 79.713437) (xy 191.099786 79.638816)
+ (xy 191.145223 79.568313) (xy 191.167558 79.506946) (xy 191.197416 79.448849) (xy 191.218277 79.3676) (xy 191.24712 79.288351)
+ (xy 191.254489 79.226563) (xy 191.271619 79.159846) (xy 191.272836 79.072699) (xy 191.276 79.046162) (xy 191.276 78.846087)
+ (xy 191.276264 78.827132) (xy 191.276 78.825969) (xy 191.276 76.900262) (xy 191.251223 76.67937) (xy 191.153391 76.398432)
+ (xy 190.995748 76.14615) (xy 190.876 76.025564) (xy 190.876 74.707308) (xy 190.850478 74.505283) (xy 190.750399 74.252514)
+ (xy 190.590604 74.032574) (xy 190.381132 73.859283) (xy 190.135145 73.74353) (xy 189.868099 73.692589) (xy 189.596776 73.709659)
+ (xy 189.338221 73.793669) (xy 189.108682 73.939339) (xy 188.922581 74.137516) (xy 188.789665 74.379289) (xy 188.754464 74.563819)
+ (xy 188.754464 74.326996) (xy 188.698371 74.088505) (xy 188.651 74.025775) (xy 188.651 73.574477) (xy 188.710033 73.535033)
+ (xy 188.766182 73.451) (xy 189.075523 73.451) (xy 189.114967 73.510033) (xy 189.222801 73.582086) (xy 189.33759 73.604918)
+ (xy 190.26241 73.604918) (xy 190.377199 73.582086) (xy 190.485033 73.510033) (xy 190.557086 73.402199) (xy 190.579918 73.28741)
+ (xy 190.579918 72.46259) (xy 190.557086 72.347801) (xy 190.485033 72.239967) (xy 190.377199 72.167914) (xy 190.26241 72.145082)
+ (xy 189.33759 72.145082) (xy 189.222801 72.167914) (xy 189.114967 72.239967) (xy 189.075523 72.299) (xy 188.787297 72.299)
+ (xy 188.782086 72.272801) (xy 188.710033 72.164967) (xy 188.602199 72.092914) (xy 188.48741 72.070082) (xy 187.66259 72.070082)
+ (xy 187.547801 72.092914) (xy 187.439967 72.164967) (xy 187.367914 72.272801) (xy 187.345082 72.38759) (xy 187.345082 73.31241)
+ (xy 187.367914 73.427199) (xy 187.439967 73.535033) (xy 187.499 73.574478) (xy 187.499001 73.818281) (xy 187.463505 73.826629)
+ (xy 187.292969 73.955411) (xy 187.182064 74.134528) (xy 187.145536 74.329938) (xy 187.145536 74.923004) (xy 187.201629 75.161495)
+ (xy 187.330411 75.332031) (xy 187.509528 75.442936) (xy 187.704938 75.479464) (xy 188.198004 75.479464) (xy 188.436495 75.423371)
+ (xy 188.607031 75.294589) (xy 188.717936 75.115472) (xy 188.724001 75.083028) (xy 188.724 75.947473) (xy 188.698371 75.838505)
+ (xy 188.569589 75.667969) (xy 188.390472 75.557064) (xy 188.195062 75.520536) (xy 187.701996 75.520536) (xy 187.463505 75.576629)
+ (xy 187.292969 75.705411) (xy 187.182064 75.884528) (xy 187.145536 76.079938) (xy 187.145536 76.673004) (xy 187.201629 76.911495)
+ (xy 187.330411 77.082031) (xy 187.474 77.170938) (xy 187.474001 77.625837) (xy 186.651 76.802836) (xy 186.651 73.61727)
+ (xy 186.702199 73.607086) (xy 186.810033 73.535033) (xy 186.882086 73.427199) (xy 186.904918 73.31241) (xy 186.904918 72.38759)
+ (xy 186.882086 72.272801) (xy 186.810033 72.164967) (xy 186.702199 72.092914) (xy 186.58741 72.070082) (xy 185.76259 72.070082)
+ (xy 185.647801 72.092914) (xy 185.539967 72.164967) (xy 185.477432 72.258557) (xy 184.292875 71.074) (xy 186.340024 71.074)
+ (xy 186.340024 71.31241) (xy 186.367047 71.448269) (xy 186.451035 71.573965) (xy 186.576731 71.657953) (xy 186.71259 71.684976)
+ (xy 186.901 71.684976) (xy 186.901 71.074) (xy 187.349 71.074) (xy 187.349 71.684976) (xy 187.53741 71.684976)
+ (xy 187.673269 71.657953) (xy 187.798965 71.573965) (xy 187.882953 71.448269) (xy 187.909976 71.31241) (xy 187.909976 71.074)
+ (xy 187.349 71.074) (xy 186.901 71.074) (xy 186.340024 71.074) (xy 184.292875 71.074) (xy 183.606465 70.38759)
+ (xy 186.340024 70.38759) (xy 186.340024 70.626) (xy 186.901 70.626) (xy 186.901 70.015024) (xy 187.349 70.015024)
+ (xy 187.349 70.626) (xy 187.909976 70.626) (xy 187.909976 70.38759) (xy 187.882953 70.251731) (xy 187.798965 70.126035)
+ (xy 187.673269 70.042047) (xy 187.53741 70.015024) (xy 187.349 70.015024) (xy 186.901 70.015024) (xy 186.71259 70.015024)
+ (xy 186.576731 70.042047) (xy 186.451035 70.126035) (xy 186.367047 70.251731) (xy 186.340024 70.38759) (xy 183.606465 70.38759)
+ (xy 183.358574 70.1397) (xy 183.329225 70.095775) (xy 183.155235 69.97952) (xy 183.013976 69.951421) (xy 182.95 69.938695)
+ (xy 182.898195 69.949) (xy 182.879918 69.949) (xy 182.879918 69.61259) (xy 182.857086 69.497801) (xy 182.785033 69.389967)
+ (xy 182.677199 69.317914) (xy 182.56241 69.295082) (xy 180.83759 69.295082) (xy 180.722801 69.317914) (xy 180.614967 69.389967)
+ (xy 180.542914 69.497801) (xy 180.520082 69.61259) (xy 180.520082 71.091208) (xy 180.27593 70.847056) (xy 180.317548 70.71261)
+ (xy 180.341655 70.48325) (xy 180.320754 70.253575) (xy 180.255638 70.032333) (xy 180.148791 69.827953) (xy 180.004281 69.648219)
+ (xy 179.827612 69.499976) (xy 179.625515 69.388873) (xy 179.405262 69.319004) (xy 179.214936 69.299) (xy 179.102029 69.299)
+ (xy 178.930476 69.315821) (xy 178.709695 69.382479) (xy 178.506066 69.49075) (xy 178.327345 69.636511) (xy 178.180339 69.814211)
+ (xy 178.070649 70.017079) (xy 178.002452 70.23739) (xy 177.978345 70.46675) (xy 177.999246 70.696425) (xy 178.064362 70.917667)
+ (xy 178.171209 71.122047) (xy 178.315719 71.301781) (xy 178.492388 71.450024) (xy 178.694485 71.561127) (xy 178.914738 71.630996)
+ (xy 179.105064 71.651) (xy 179.217971 71.651) (xy 179.389524 71.634179) (xy 179.532222 71.591096) (xy 180.524001 72.582876)
+ (xy 180.524 74.245082) (xy 180.31259 74.245082) (xy 180.197801 74.267914) (xy 180.089967 74.339967) (xy 180.017914 74.447801)
+ (xy 179.995082 74.56259) (xy 179.995082 74.949) (xy 179.297875 74.949) (xy 175.19593 70.847056) (xy 175.237548 70.71261)
+ (xy 175.238978 70.699) (xy 175.408761 70.699) (xy 175.451725 70.87727) (xy 175.548869 71.090928) (xy 175.684664 71.282362)
+ (xy 175.854206 71.444664) (xy 176.051382 71.571979) (xy 176.396001 71.710864) (xy 176.396001 70.699) (xy 176.844001 70.699)
+ (xy 176.844001 71.69113) (xy 177.078861 71.622231) (xy 177.287518 71.514765) (xy 177.472091 71.369782) (xy 177.625916 71.192513)
+ (xy 177.743447 70.989353) (xy 177.844274 70.699) (xy 176.844001 70.699) (xy 176.396001 70.699) (xy 175.408761 70.699)
+ (xy 175.238978 70.699) (xy 175.261655 70.48325) (xy 175.240754 70.253575) (xy 175.239997 70.251) (xy 175.395728 70.251)
+ (xy 176.396001 70.251) (xy 176.844001 70.251) (xy 177.831241 70.251) (xy 177.788277 70.07273) (xy 177.691133 69.859072)
+ (xy 177.555338 69.667638) (xy 177.385796 69.505336) (xy 177.18862 69.378021) (xy 176.844001 69.239136) (xy 176.844001 70.251)
+ (xy 176.396001 70.251) (xy 176.396001 69.25887) (xy 176.161141 69.327769) (xy 175.952484 69.435235) (xy 175.767911 69.580218)
+ (xy 175.614086 69.757487) (xy 175.496555 69.960647) (xy 175.395728 70.251) (xy 175.239997 70.251) (xy 175.175638 70.032333)
+ (xy 175.068791 69.827953) (xy 174.924281 69.648219) (xy 174.747612 69.499976) (xy 174.545515 69.388873) (xy 174.325262 69.319004)
+ (xy 174.134936 69.299) (xy 174.022029 69.299) (xy 173.850476 69.315821) (xy 173.629695 69.382479) (xy 173.426066 69.49075)
+ (xy 173.247345 69.636511) (xy 173.100339 69.814211) (xy 172.990649 70.017079) (xy 172.944048 70.167623) (xy 172.829225 69.995775)
+ (xy 172.785309 69.966432) (xy 172.458573 69.639698) (xy 172.429225 69.595775) (xy 172.255235 69.47952) (xy 172.113976 69.451421)
+ (xy 172.05 69.438695) (xy 171.998195 69.449) (xy 171.593538 69.449) (xy 171.494589 69.317969) (xy 171.315472 69.207064)
+ (xy 171.120062 69.170536) (xy 170.526996 69.170536) (xy 170.288505 69.226629) (xy 170.117969 69.355411) (xy 170.007064 69.534528)
+ (xy 169.976626 69.697361) (xy 169.905531 69.43203) (xy 169.761881 69.260834) (xy 169.573291 69.151952) (xy 169.299 69.103587)
+ (xy 169.299 70.854395) (xy 169.66797 70.755531) (xy 169.839166 70.611881) (xy 169.948048 70.423291) (xy 169.977867 70.254175)
+ (xy 170.026629 70.461495) (xy 170.155411 70.632031) (xy 170.334528 70.742936) (xy 170.529938 70.779464) (xy 171.123004 70.779464)
+ (xy 171.361495 70.723371) (xy 171.532031 70.594589) (xy 171.589978 70.501) (xy 171.832125 70.501) (xy 171.924001 70.592876)
+ (xy 171.924 73.173195) (xy 171.913695 73.225) (xy 171.935875 73.3365) (xy 171.954519 73.430233) (xy 171.964441 73.445082)
+ (xy 171.376 73.445082) (xy 171.376 72.679918) (xy 171.51241 72.679918) (xy 171.627199 72.657086) (xy 171.735033 72.585033)
+ (xy 171.807086 72.477199) (xy 171.829918 72.36241) (xy 171.829918 71.58759) (xy 171.807086 71.472801) (xy 171.735033 71.364967)
+ (xy 171.627199 71.292914) (xy 171.51241 71.270082) (xy 170.28759 71.270082) (xy 170.172801 71.292914) (xy 170.064967 71.364967)
+ (xy 169.992914 71.472801) (xy 169.977529 71.55015) (xy 169.957953 71.451731) (xy 169.873965 71.326035) (xy 169.748269 71.242047)
+ (xy 169.61241 71.215024) (xy 169.224 71.215024) (xy 169.224 72.734976) (xy 169.61241 72.734976) (xy 169.748269 72.707953)
+ (xy 169.873965 72.623965) (xy 169.957953 72.498269) (xy 169.977529 72.39985) (xy 169.992914 72.477199) (xy 170.064967 72.585033)
+ (xy 170.172801 72.657086) (xy 170.28759 72.679918) (xy 170.424 72.679918) (xy 170.424001 73.707413) (xy 170.407953 73.626731)
+ (xy 170.323965 73.501035) (xy 170.198269 73.417047) (xy 170.06241 73.390024) (xy 169.574 73.390024) (xy 169.574 74.151)
+ (xy 170.434976 74.151) (xy 170.434976 73.839466) (xy 170.439646 73.848631) (xy 170.455439 73.922932) (xy 170.520082 74.011906)
+ (xy 170.520082 74.98741) (xy 170.542914 75.102199) (xy 170.598794 75.185828) (xy 170.576035 75.201035) (xy 170.492047 75.326731)
+ (xy 170.465024 75.46259) (xy 170.465024 75.851) (xy 172.450152 75.851) (xy 172.486899 75.86294) (xy 172.550606 75.904312)
+ (xy 172.750468 75.935966) (xy 172.787663 75.926) (xy 172.855125 75.926) (xy 172.482126 76.299) (xy 170.465024 76.299)
+ (xy 170.465024 76.346149) (xy 170.379918 76.261043) (xy 170.379918 75.46259) (xy 170.357086 75.347801) (xy 170.301206 75.264172)
+ (xy 170.323965 75.248965) (xy 170.407953 75.123269) (xy 170.434976 74.98741) (xy 170.434976 74.599) (xy 168.265024 74.599)
+ (xy 168.265024 74.98741) (xy 168.292047 75.123269) (xy 168.376035 75.248965) (xy 168.398794 75.264172) (xy 168.342914 75.347801)
+ (xy 168.320082 75.46259) (xy 168.320082 75.549) (xy 167.654918 75.549) (xy 167.654918 75.31259) (xy 167.632086 75.197801)
+ (xy 167.560033 75.089967) (xy 167.452199 75.017914) (xy 167.37485 75.002529) (xy 167.473269 74.982953) (xy 167.598965 74.898965)
+ (xy 167.682953 74.773269) (xy 167.709976 74.63741) (xy 167.709976 74.249) (xy 166.190024 74.249) (xy 166.190024 74.63741)
+ (xy 166.217047 74.773269) (xy 166.301035 74.898965) (xy 166.426731 74.982953) (xy 166.52515 75.002529) (xy 166.447801 75.017914)
+ (xy 166.339967 75.089967) (xy 166.267914 75.197801) (xy 166.245082 75.31259) (xy 166.245082 76.53741) (xy 166.267914 76.652199)
+ (xy 166.339967 76.760033) (xy 166.447801 76.832086) (xy 166.56259 76.854918) (xy 167.33741 76.854918) (xy 167.452199 76.832086)
+ (xy 167.560033 76.760033) (xy 167.632086 76.652199) (xy 167.64227 76.601) (xy 168.320082 76.601) (xy 168.320082 76.68741)
+ (xy 168.342914 76.802199) (xy 168.414967 76.910033) (xy 168.522801 76.982086) (xy 168.63759 77.004918) (xy 169.636043 77.004918)
+ (xy 170.04143 77.410305) (xy 170.070775 77.454224) (xy 170.125012 77.490463) (xy 170.244765 77.57048) (xy 170.45 77.611305)
+ (xy 170.501809 77.600999) (xy 172.080125 77.601001) (xy 171.93559 77.745536) (xy 171.701996 77.745536) (xy 171.463505 77.801629)
+ (xy 171.292969 77.930411) (xy 171.182064 78.109528) (xy 171.145536 78.304938) (xy 171.145536 78.898004) (xy 171.201629 79.136495)
+ (xy 171.211072 79.148999) (xy 155.332786 79.148999) (xy 155.316065 79.145261) (xy 155.197115 79.148999) (xy 155.146306 79.148999)
+ (xy 155.129484 79.151125) (xy 155.044338 79.1538) (xy 154.995123 79.168098) (xy 154.944282 79.174521) (xy 154.865076 79.20588)
+ (xy 154.779393 79.230774) (xy 154.747557 79.25241) (xy 154.691513 79.2746) (xy 154.471573 79.434395) (xy 154.417277 79.500027)
+ (xy 153.523148 80.394157) (xy 153.508681 80.403338) (xy 153.427208 80.490098) (xy 153.391288 80.526018) (xy 153.380894 80.539417)
+ (xy 153.322579 80.601516) (xy 153.297892 80.646422) (xy 153.26648 80.686918) (xy 153.232648 80.765103) (xy 153.189664 80.843288)
+ (xy 153.182452 80.881099) (xy 153.158512 80.93642) (xy 153.115983 81.204933) (xy 153.124 81.289742) (xy 153.123999 93.156213)
+ (xy 153.120261 93.172934) (xy 153.123999 93.29187) (xy 153.123999 93.342692) (xy 153.126126 93.359522) (xy 153.128801 93.444659)
+ (xy 153.143097 93.493866) (xy 153.149521 93.544716) (xy 153.180884 93.623931) (xy 153.205775 93.709604) (xy 153.227409 93.741437)
+ (xy 153.2496 93.797484) (xy 153.409395 94.017425) (xy 153.475032 94.071725) (xy 154.055158 94.651852) (xy 154.064339 94.666319)
+ (xy 154.151103 94.747796) (xy 154.187018 94.783711) (xy 154.200417 94.794105) (xy 154.262516 94.85242) (xy 154.307422 94.877107)
+ (xy 154.347918 94.908519) (xy 154.426099 94.94235) (xy 154.504288 94.985335) (xy 154.542102 94.992549) (xy 154.59742 95.016487)
+ (xy 154.865932 95.059016) (xy 154.950733 95.051) (xy 155.957948 95.051) (xy 155.980885 95.108934) (xy 156.005776 95.194607)
+ (xy 156.02741 95.22644) (xy 156.049601 95.282487) (xy 156.209396 95.502428) (xy 156.275039 95.556733) (xy 157.580171 96.861866)
+ (xy 157.570082 96.91259) (xy 157.570082 97.030356) (xy 157.504779 97.131687) (xy 157.44451 97.297275) (xy 156.024259 98.717525)
+ (xy 156.333597 98.852671) (xy 156.559607 98.902363) (xy 156.790966 98.907209) (xy 157.018859 98.867025) (xy 157.234607 98.783342)
+ (xy 157.374001 98.69488) (xy 157.374 99.861683) (xy 157.372448 100.009848) (xy 157.389684 100.089567) (xy 157.398777 100.170629)
+ (xy 157.421022 100.234512) (xy 157.435314 100.300614) (xy 157.469784 100.374536) (xy 157.49661 100.451567) (xy 157.532454 100.508929)
+ (xy 157.561226 100.57063) (xy 157.608726 100.630992) (xy 157.654253 100.70385) (xy 157.711125 100.76112) (xy 157.712051 100.762296)
+ (xy 157.746777 100.797022) (xy 157.863866 100.91493) (xy 157.865379 100.91589) (xy 157.956631 101.009073) (xy 158.025192 101.053258)
+ (xy 158.088938 101.104145) (xy 158.149833 101.133583) (xy 158.206687 101.170223) (xy 158.283335 101.19812) (xy 158.356771 101.233621)
+ (xy 158.422677 101.248836) (xy 158.486649 101.27212) (xy 158.562932 101.281217) (xy 158.64663 101.30054) (xy 158.727358 101.300822)
+ (xy 158.728857 101.301) (xy 158.778599 101.301) (xy 158.94411 101.301578) (xy 158.946696 101.301) (xy 160.557595 101.301)
+ (xy 160.492914 101.397801) (xy 160.470082 101.51259) (xy 160.470082 103.03741) (xy 160.492914 103.152199) (xy 160.564967 103.260033)
+ (xy 160.672801 103.332086) (xy 160.78759 103.354918) (xy 160.874 103.354918) (xy 160.874001 104.754413) (xy 160.869451 104.862963)
+ (xy 160.888448 104.943957) (xy 160.899735 105.026358) (xy 160.917291 105.066926) (xy 160.928466 105.114571) (xy 160.956766 105.158149)
+ (xy 161.000493 105.259199) (xy 161.070082 105.345133) (xy 161.070082 105.36241) (xy 161.074001 105.382113) (xy 161.074 106.567893)
+ (xy 161.070082 106.587591) (xy 161.070082 107.362409) (xy 161.074 107.382107) (xy 161.074 107.399) (xy 160.095693 107.399)
+ (xy 159.394845 106.698152) (xy 159.385659 106.683679) (xy 159.298881 106.602189) (xy 159.262981 106.566288) (xy 159.249589 106.5559)
+ (xy 159.187484 106.49758) (xy 159.142574 106.47289) (xy 159.10208 106.441481) (xy 159.023913 106.407656) (xy 158.945711 106.364664)
+ (xy 158.907894 106.35745) (xy 158.852579 106.333513) (xy 158.584066 106.290984) (xy 158.499268 106.299) (xy 156.068786 106.299)
+ (xy 156.052065 106.295262) (xy 155.933129 106.299) (xy 155.882306 106.299) (xy 155.865476 106.301127) (xy 155.780339 106.303802)
+ (xy 155.731132 106.318098) (xy 155.680282 106.324522) (xy 155.601067 106.355885) (xy 155.515393 106.380776) (xy 155.483559 106.402411)
+ (xy 155.427514 106.424601) (xy 155.207574 106.584396) (xy 155.153269 106.650039) (xy 154.673154 107.130154) (xy 154.658681 107.139339)
+ (xy 154.577189 107.226119) (xy 154.541288 107.262019) (xy 154.5309 107.275411) (xy 154.472579 107.337517) (xy 154.44789 107.382428)
+ (xy 154.416481 107.422919) (xy 154.382654 107.501091) (xy 154.354464 107.552369) (xy 154.354464 107.464712) (xy 154.297038 107.220553)
+ (xy 154.16544 107.046288) (xy 153.982349 106.932923) (xy 153.782346 106.895536) (xy 152.926 106.895536) (xy 152.926 103.404918)
+ (xy 153.46241 103.404918) (xy 153.577199 103.382086) (xy 153.685033 103.310033) (xy 153.757086 103.202199) (xy 153.779918 103.08741)
+ (xy 153.779918 101.51259) (xy 153.757086 101.397801) (xy 153.685033 101.289967) (xy 153.625219 101.25) (xy 153.685033 101.210033)
+ (xy 153.757086 101.102199) (xy 153.779918 100.98741) (xy 153.779918 100.619504) (xy 154.029542 100.869129) (xy 154.015353 100.965212)
+ (xy 154.047152 101.216918) (xy 154.145467 101.4508) (xy 154.303056 101.649628) (xy 154.508308 101.798752) (xy 154.746102 101.887187)
+ (xy 154.998918 101.908416) (xy 155.24813 101.860877) (xy 155.475378 101.74807) (xy 155.663918 101.578308) (xy 155.799861 101.364096)
+ (xy 155.873384 101.120575) (xy 155.876231 100.848795) (xy 155.807823 100.603787) (xy 155.676397 100.386776) (xy 155.491453 100.213102)
+ (xy 155.266617 100.095561) (xy 155.018455 100.042813) (xy 154.843411 100.053826) (xy 154.175391 99.385806) (xy 154.170421 99.375637)
+ (xy 154.098591 99.309006) (xy 154.069473 99.279887) (xy 154.060749 99.273903) (xy 154.008392 99.225333) (xy 153.976475 99.216091)
+ (xy 153.937397 99.189283) (xy 153.779918 99.151912) (xy 153.779918 98.46259) (xy 153.757086 98.347801) (xy 153.685033 98.239967)
+ (xy 153.577199 98.167914) (xy 153.46241 98.145082) (xy 151.23759 98.145082) (xy 151.122801 98.167914) (xy 151.014967 98.239967)
+ (xy 150.942914 98.347801) (xy 150.920082 98.46259) (xy 150.920082 100.98741) (xy 150.942914 101.102199) (xy 151.014967 101.210033)
+ (xy 151.074781 101.25) (xy 151.014967 101.289967) (xy 150.942914 101.397801) (xy 150.920082 101.51259) (xy 150.920082 103.08741)
+ (xy 150.942914 103.202199) (xy 151.014967 103.310033) (xy 151.122801 103.382086) (xy 151.23759 103.404918) (xy 151.774001 103.404918)
+ (xy 151.774 106.895536) (xy 150.914712 106.895536) (xy 150.670553 106.952962) (xy 150.496288 107.08456) (xy 150.382923 107.267651)
+ (xy 150.345536 107.467654) (xy 150.345536 108.510288) (xy 150.402962 108.754447) (xy 150.53456 108.928712) (xy 150.717651 109.042077)
+ (xy 150.917654 109.079464) (xy 153.785288 109.079464) (xy 154.029447 109.022038) (xy 154.203712 108.89044) (xy 154.274 108.77692)
+ (xy 154.274001 111.456207) (xy 154.270262 111.472935) (xy 154.274001 111.591902) (xy 154.274001 111.642691) (xy 154.276126 111.659513)
+ (xy 154.278802 111.744661) (xy 154.293099 111.793869) (xy 154.299522 111.844717) (xy 154.324001 111.906543) (xy 154.324 112.8865)
+ (xy 153.867747 112.8865) (xy 153.782346 112.870536) (xy 150.914712 112.870536) (xy 150.670553 112.927962) (xy 150.496288 113.05956)
+ (xy 150.382923 113.242651) (xy 150.345536 113.442654) (xy 150.345536 114.485288) (xy 150.402962 114.729447) (xy 150.53456 114.903712)
+ (xy 150.717651 115.017077) (xy 150.917654 115.054464) (xy 153.785288 115.054464) (xy 153.853162 115.0385) (xy 154.322308 115.0385)
+ (xy 154.324 115.092322) (xy 154.324 115.143144) (xy 154.326127 115.159974) (xy 154.328802 115.245111) (xy 154.343098 115.294318)
+ (xy 154.349522 115.345168) (xy 154.380885 115.424383) (xy 154.405776 115.510056) (xy 154.42741 115.541889) (xy 154.449601 115.597936)
+ (xy 154.609396 115.817877) (xy 154.675033 115.872177) (xy 155.635929 116.833074) (xy 155.635937 116.83308) (xy 159.304696 120.50184)
+ (xy 159.313878 120.516309) (xy 159.400642 120.597786) (xy 159.436557 120.633701) (xy 159.449956 120.644095) (xy 159.512056 120.70241)
+ (xy 159.556962 120.727098) (xy 159.597456 120.758508) (xy 159.675636 120.79234) (xy 159.753828 120.835326) (xy 159.791641 120.842539)
+ (xy 159.846958 120.866477) (xy 160.115472 120.909006) (xy 160.200271 120.90099) (xy 168.017682 120.90099) (xy 168.195815 120.878486)
+ (xy 168.259528 120.917936) (xy 168.454938 120.954464) (xy 168.897169 120.954464) (xy 168.882382 120.995536) (xy 168.451996 120.995536)
+ (xy 168.213505 121.051629) (xy 168.042969 121.180411) (xy 167.932064 121.359528) (xy 167.895536 121.554938) (xy 167.895536 122.148004)
+ (xy 167.951629 122.386495) (xy 168.080411 122.557031) (xy 168.259528 122.667936) (xy 168.454938 122.704464) (xy 168.948004 122.704464)
+ (xy 169.186495 122.648371) (xy 169.282333 122.575999) (xy 169.289986 122.575999) (xy 169.344996 122.558125) (xy 169.402142 122.549074)
+ (xy 169.453689 122.522809) (xy 169.508706 122.504933) (xy 169.555502 122.470934) (xy 169.607051 122.444668) (xy 169.770015 122.281704)
+ (xy 169.770017 122.2817) (xy 169.875727 122.17599) (xy 170.49143 122.17599) (xy 170.624 122.308561) (xy 170.624 122.945082)
+ (xy 169.73759 122.945082) (xy 169.622801 122.967914) (xy 169.514967 123.039967) (xy 169.442914 123.147801) (xy 169.420082 123.26259)
+ (xy 169.420082 126.521094) (xy 168.692176 127.249) (xy 168.679918 127.249) (xy 168.679918 127.21259) (xy 168.657086 127.097801)
+ (xy 168.585033 126.989967) (xy 168.477199 126.917914) (xy 168.36241 126.895082) (xy 166.53759 126.895082) (xy 166.422801 126.917914)
+ (xy 166.314967 126.989967) (xy 166.242914 127.097801) (xy 166.220082 127.21259) (xy 166.220082 128.499573) (xy 166.217465 128.541752)
+ (xy 166.220082 128.556981) (xy 166.220082 129.73741) (xy 166.242914 129.852199) (xy 166.314967 129.960033) (xy 166.422801 130.032086)
+ (xy 166.53759 130.054918) (xy 168.36241 130.054918) (xy 168.477199 130.032086) (xy 168.585033 129.960033) (xy 168.657086 129.852199)
+ (xy 168.679918 129.73741) (xy 168.679918 129.701) (xy 169.107035 129.701) (xy 169.156923 129.708589) (xy 169.250227 129.701)
+ (xy 169.271546 129.701) (xy 169.320726 129.695266) (xy 169.441864 129.685413) (xy 169.462455 129.678743) (xy 169.483958 129.676236)
+ (xy 169.598211 129.634764) (xy 169.717611 129.596084) (xy 169.731646 129.58633) (xy 169.752688 129.578692) (xy 169.991768 129.421943)
+ (xy 170.079636 129.329188) (xy 170.403906 129.004918) (xy 170.624 129.004918) (xy 170.624 132.393029) (xy 169.395783 132.393029)
+ (xy 162.770219 127.400407) (xy 162.747258 127.376076) (xy 162.605903 127.288912) (xy 162.593202 127.285111) (xy 162.582289 127.277588)
+ (xy 162.39812 127.212072) (xy 162.379288 127.210563) (xy 162.361558 127.204034) (xy 162.221585 127.191259) (xy 158.932482 124.699)
+ (xy 166.165024 124.699) (xy 166.165024 125.73741) (xy 166.192047 125.873269) (xy 166.276035 125.998965) (xy 166.401731 126.082953)
+ (xy 166.53759 126.109976) (xy 167.226 126.109976) (xy 167.226 124.699) (xy 167.674 124.699) (xy 167.674 126.109976)
+ (xy 168.36241 126.109976) (xy 168.498269 126.082953) (xy 168.623965 125.998965) (xy 168.707953 125.873269) (xy 168.734976 125.73741)
+ (xy 168.734976 124.699) (xy 167.674 124.699) (xy 167.226 124.699) (xy 166.165024 124.699) (xy 158.932482 124.699)
+ (xy 156.970825 123.21259) (xy 166.165024 123.21259) (xy 166.165024 124.251) (xy 167.226 124.251) (xy 167.226 122.840024)
+ (xy 167.674 122.840024) (xy 167.674 124.251) (xy 168.734976 124.251) (xy 168.734976 123.21259) (xy 168.707953 123.076731)
+ (xy 168.623965 122.951035) (xy 168.498269 122.867047) (xy 168.36241 122.840024) (xy 167.674 122.840024) (xy 167.226 122.840024)
+ (xy 166.53759 122.840024) (xy 166.401731 122.867047) (xy 166.276035 122.951035) (xy 166.192047 123.076731) (xy 166.165024 123.21259)
+ (xy 156.970825 123.21259) (xy 153.959171 120.930564) (xy 153.917195 120.832023) (xy 153.908684 120.821158) (xy 153.903882 120.808224)
+ (xy 153.800712 120.662886) (xy 153.790956 120.654807) (xy 153.784205 120.64409) (xy 153.654381 120.523904) (xy 153.623815 120.507793)
+ (xy 150.153747 117.893031) (xy 150.153747 97.824217) (xy 155.518455 97.824217) (xy 155.560229 98.051824) (xy 155.700955 98.407261)
+ (xy 156.383216 97.725) (xy 155.706274 97.048058) (xy 155.569799 97.366479) (xy 155.521686 97.59283) (xy 155.518455 97.824217)
+ (xy 150.153747 97.824217) (xy 150.153747 96.727364) (xy 156.019148 96.727364) (xy 156.7 97.408216) (xy 157.378193 96.730023)
+ (xy 157.050622 96.592324) (xy 156.82394 96.545792) (xy 156.592536 96.544177) (xy 156.365226 96.587539) (xy 156.019148 96.727364)
+ (xy 150.153747 96.727364) (xy 150.153747 82.019042) (xy 153.6101 79.414697) (xy 153.639906 79.399258) (xy 153.76316 79.286775)
+ (xy 153.769333 79.277129) (xy 153.778267 79.269963) (xy 153.882758 79.127552) (xy 153.887682 79.114902) (xy 153.896236 79.104363)
+ (xy 153.971843 78.935045) (xy 153.972633 78.930095) (xy 161.304112 73.41259) (xy 166.190024 73.41259) (xy 166.190024 73.801)
+ (xy 166.726 73.801) (xy 166.726 73.040024) (xy 167.174 73.040024) (xy 167.174 73.801) (xy 167.709976 73.801)
+ (xy 167.709976 73.76259) (xy 168.265024 73.76259) (xy 168.265024 74.151) (xy 169.126 74.151) (xy 169.126 73.390024)
+ (xy 168.63759 73.390024) (xy 168.501731 73.417047) (xy 168.376035 73.501035) (xy 168.292047 73.626731) (xy 168.265024 73.76259)
+ (xy 167.709976 73.76259) (xy 167.709976 73.41259) (xy 167.682953 73.276731) (xy 167.598965 73.151035) (xy 167.473269 73.067047)
+ (xy 167.33741 73.040024) (xy 167.174 73.040024) (xy 166.726 73.040024) (xy 166.56259 73.040024) (xy 166.426731 73.067047)
+ (xy 166.301035 73.151035) (xy 166.217047 73.276731) (xy 166.190024 73.41259) (xy 161.304112 73.41259) (xy 162.211501 72.72971)
+ (xy 162.330516 72.721279) (xy 162.348447 72.715087) (xy 162.367378 72.713945) (xy 162.551419 72.652546) (xy 162.563607 72.644495)
+ (xy 162.577641 72.640451) (xy 162.731969 72.547454) (xy 162.756172 72.522328) (xy 163.185244 72.199) (xy 168.015024 72.199)
+ (xy 168.015024 72.36241) (xy 168.042047 72.498269) (xy 168.126035 72.623965) (xy 168.251731 72.707953) (xy 168.38759 72.734976)
+ (xy 168.776 72.734976) (xy 168.776 72.199) (xy 168.015024 72.199) (xy 163.185244 72.199) (xy 163.996616 71.58759)
+ (xy 168.015024 71.58759) (xy 168.015024 71.751) (xy 168.776 71.751) (xy 168.776 71.215024) (xy 168.38759 71.215024)
+ (xy 168.251731 71.242047) (xy 168.126035 71.326035) (xy 168.042047 71.451731) (xy 168.015024 71.58759) (xy 163.996616 71.58759)
+ (xy 165.839345 70.199) (xy 168.159002 70.199) (xy 168.244469 70.51797) (xy 168.388119 70.689166) (xy 168.576709 70.798048)
+ (xy 168.851 70.846413) (xy 168.851 70.199) (xy 168.159002 70.199) (xy 165.839345 70.199) (xy 166.433864 69.751)
+ (xy 168.162405 69.751) (xy 168.851 69.751) (xy 168.851 69.095605) (xy 168.48203 69.194469) (xy 168.310834 69.338119)
+ (xy 168.201952 69.526709) (xy 168.162405 69.751) (xy 166.433864 69.751) (xy 169.395782 67.519046) (xy 186.477731 67.519046)
)
)
(filled_polygon
(pts
- (xy 107.992192 118.079628) (xy 108.027467 118.211274) (xy 106.972846 118.679995) (xy 105.77908 119.077917) (xy 105.672956 118.78937)
- (xy 105.499393 118.56318) (xy 105.30072 118.49249) (xy 104.808145 119.345655) (xy 104.183672 119.501773) (xy 103.343766 119.016854)
- (xy 103.207055 119.17741) (xy 103.185964 119.700909) (xy 102.625 119.747656) (xy 102.625 119.087547) (xy 102.675 118.836179)
- (xy 102.692142 118.750001) (xy 102.684931 118.713746) (xy 103.518766 118.713746) (xy 104.456317 119.255041) (xy 104.997612 118.31749)
- (xy 104.837056 118.180779) (xy 104.310365 118.159559) (xy 103.815646 118.34151) (xy 103.589456 118.515073) (xy 103.518766 118.713746)
- (xy 102.684931 118.713746) (xy 102.675 118.663823) (xy 102.675 117.152082) (xy 102.680387 117.125) (xy 102.680387 117.00625)
- (xy 102.775 117.00625) (xy 102.775 117.189647) (xy 102.824479 117.309098) (xy 102.915903 117.400522) (xy 103.035354 117.45)
- (xy 103.44375 117.45) (xy 103.525 117.36875) (xy 103.525 116.925) (xy 103.875 116.925) (xy 103.875 117.36875)
- (xy 103.95625 117.45) (xy 104.364646 117.45) (xy 104.484097 117.400522) (xy 104.575521 117.309098) (xy 104.625 117.189647)
- (xy 104.625 117.00625) (xy 104.54375 116.925) (xy 103.875 116.925) (xy 103.525 116.925) (xy 102.85625 116.925)
- (xy 102.775 117.00625) (xy 102.680387 117.00625) (xy 102.680387 116.375) (xy 102.675 116.347918) (xy 102.675 116.310353)
- (xy 102.775 116.310353) (xy 102.775 116.49375) (xy 102.85625 116.575) (xy 103.525 116.575) (xy 103.525 116.13125)
- (xy 103.875 116.13125) (xy 103.875 116.575) (xy 104.54375 116.575) (xy 104.625 116.49375) (xy 104.625 116.310353)
- (xy 104.575521 116.190902) (xy 104.484097 116.099478) (xy 104.364646 116.05) (xy 103.95625 116.05) (xy 103.875 116.13125)
- (xy 103.525 116.13125) (xy 103.44375 116.05) (xy 103.035354 116.05) (xy 102.915903 116.099478) (xy 102.824479 116.190902)
- (xy 102.775 116.310353) (xy 102.675 116.310353) (xy 102.675 115.213808) (xy 102.698264 115.198264) (xy 102.759044 115.107299)
- (xy 102.780387 115) (xy 102.780387 113) (xy 102.759044 112.892701) (xy 102.698264 112.801736) (xy 102.65825 112.775)
- (xy 102.687564 112.775)
+ (xy 205.719767 117.89304) (xy 202.263667 120.497574) (xy 202.233613 120.513231) (xy 202.108005 120.628406) (xy 202.101632 120.638417)
+ (xy 202.092411 120.645893) (xy 201.98824 120.789451) (xy 201.983383 120.802127) (xy 201.974883 120.812714) (xy 201.900287 120.982173)
+ (xy 201.897783 120.998421) (xy 201.890734 121.013273) (xy 201.886815 121.034649) (xy 193.677593 127.18104) (xy 193.541344 127.190989)
+ (xy 193.523452 127.197211) (xy 193.504549 127.198388) (xy 193.320577 127.260171) (xy 193.308529 127.268166) (xy 193.294638 127.272182)
+ (xy 193.141315 127.364778) (xy 193.117232 127.389831) (xy 186.478244 132.393029) (xy 183.876 132.393029) (xy 183.876 129.004918)
+ (xy 184.66241 129.004918) (xy 184.777199 128.982086) (xy 184.885033 128.910033) (xy 184.957086 128.802199) (xy 184.979918 128.68741)
+ (xy 184.979918 125.216775) (xy 185.095694 125.100999) (xy 186.431215 125.100999) (xy 186.447936 125.104737) (xy 186.566872 125.100999)
+ (xy 186.617695 125.100999) (xy 186.634525 125.098872) (xy 186.719661 125.096197) (xy 186.768869 125.081901) (xy 186.819718 125.075477)
+ (xy 186.898937 125.044113) (xy 186.984607 125.019223) (xy 187.016441 124.997588) (xy 187.072487 124.975398) (xy 187.292427 124.815603)
+ (xy 187.346732 124.74996) (xy 190.045693 122.051) (xy 200.006214 122.051) (xy 200.022935 122.054738) (xy 200.141871 122.051)
+ (xy 200.192694 122.051) (xy 200.209524 122.048873) (xy 200.29466 122.046198) (xy 200.343868 122.031902) (xy 200.394717 122.025478)
+ (xy 200.473936 121.994114) (xy 200.559606 121.969224) (xy 200.59144 121.947589) (xy 200.647486 121.925399) (xy 200.867426 121.765604)
+ (xy 200.921731 121.699961) (xy 202.70185 119.919843) (xy 202.716319 119.910661) (xy 202.797796 119.823897) (xy 202.833711 119.787982)
+ (xy 202.844105 119.774583) (xy 202.902421 119.712483) (xy 202.927107 119.667577) (xy 202.957465 119.628441) (xy 205.026846 117.55906)
+ (xy 205.041319 117.549875) (xy 205.122811 117.463095) (xy 205.15871 117.427197) (xy 205.169101 117.413802) (xy 205.227421 117.351697)
+ (xy 205.252109 117.306788) (xy 205.283518 117.266296) (xy 205.317351 117.188113) (xy 205.360336 117.109925) (xy 205.367548 117.072115)
+ (xy 205.391488 117.016794) (xy 205.434016 116.748281) (xy 205.426 116.663481) (xy 205.426 116.580668) (xy 205.461436 116.538436)
+ (xy 205.597416 116.273849) (xy 205.671619 115.984846) (xy 205.676264 115.652127) (xy 205.61016 115.361166) (xy 205.481621 115.092885)
+ (xy 205.29684 114.859748) (xy 205.064999 114.673344) (xy 204.797621 114.542934) (xy 204.507997 114.475004) (xy 204.210519 114.472926)
+ (xy 203.955745 114.528942) (xy 205.489197 112.99549) (xy 205.499365 112.990519) (xy 205.565981 112.918706) (xy 205.595109 112.889579)
+ (xy 205.601094 112.880855) (xy 205.649667 112.828492) (xy 205.658909 112.796577) (xy 205.685716 112.7575) (xy 205.719767 112.614014)
)
)
(filled_polygon
(pts
- (xy 118.431256 92.779992) (xy 119.178696 94.52402) (xy 119.627594 96.319613) (xy 117.5 96.319613) (xy 117.392701 96.340956)
- (xy 117.301736 96.401736) (xy 117.240956 96.492701) (xy 117.219613 96.6) (xy 117.219613 99.3) (xy 117.240956 99.407299)
- (xy 117.301736 99.498264) (xy 117.392701 99.559044) (xy 117.5 99.580387) (xy 118.225001 99.580387) (xy 118.225 101.386178)
- (xy 118.275768 101.641407) (xy 118.46916 101.93084) (xy 118.758592 102.124232) (xy 119.1 102.192142) (xy 119.441407 102.124232)
- (xy 119.73084 101.93084) (xy 119.830964 101.780994) (xy 119.763327 102.525) (xy 119.585353 102.525) (xy 119.465902 102.574479)
- (xy 119.374478 102.665903) (xy 119.325 102.785354) (xy 119.325 103.04375) (xy 119.40625 103.125) (xy 119.708781 103.125)
- (xy 119.676963 103.475) (xy 119.40625 103.475) (xy 119.325 103.55625) (xy 119.325 103.814646) (xy 119.374478 103.934097)
- (xy 119.465902 104.025521) (xy 119.534235 104.053826) (xy 119.427661 104.480124) (xy 118.679531 106.97389) (xy 117.683606 109.21472)
- (xy 116.438088 111.20755) (xy 114.943198 113.200736) (xy 112.951356 115.192578) (xy 111.20829 116.437625) (xy 110.347485 116.975628)
- (xy 110.32794 116.902686) (xy 110.279553 116.804566) (xy 110.197301 116.732433) (xy 110.093705 116.697267) (xy 109.984538 116.704422)
- (xy 109.280503 116.893067) (xy 104.016375 111.628939) (xy 104.036179 111.625) (xy 105.836179 111.625) (xy 106.091408 111.574232)
- (xy 106.38084 111.38084) (xy 106.574232 111.091408) (xy 106.638295 110.769338) (xy 106.889879 111.020922) (xy 106.91359 111.056408)
- (xy 107.042737 111.142701) (xy 107.054171 111.150341) (xy 107.06749 111.15299) (xy 107.040956 111.192701) (xy 107.019613 111.3)
- (xy 107.019613 112.1) (xy 107.040956 112.207299) (xy 107.101736 112.298264) (xy 107.192701 112.359044) (xy 107.3 112.380387)
- (xy 108.2 112.380387) (xy 108.307299 112.359044) (xy 108.398264 112.298264) (xy 108.447217 112.225) (xy 109.769613 112.225)
- (xy 109.769613 112.45) (xy 109.790956 112.557299) (xy 109.851736 112.648264) (xy 109.942701 112.709044) (xy 110.05 112.730387)
- (xy 110.95 112.730387) (xy 111.057299 112.709044) (xy 111.148264 112.648264) (xy 111.209044 112.557299) (xy 111.230387 112.45)
- (xy 111.230387 112.375) (xy 112.127783 112.375) (xy 112.176736 112.448264) (xy 112.267701 112.509044) (xy 112.375 112.530387)
- (xy 113.625 112.530387) (xy 113.732299 112.509044) (xy 113.823264 112.448264) (xy 113.884044 112.357299) (xy 113.905387 112.25)
- (xy 113.905387 111.25) (xy 113.884044 111.142701) (xy 113.823264 111.051736) (xy 113.732299 110.990956) (xy 113.625 110.969613)
- (xy 112.375 110.969613) (xy 112.267701 110.990956) (xy 112.176736 111.051736) (xy 112.115956 111.142701) (xy 112.094613 111.25)
- (xy 112.094613 111.325) (xy 111.230387 111.325) (xy 111.230387 111.25) (xy 111.209044 111.142701) (xy 111.148264 111.051736)
- (xy 111.057299 110.990956) (xy 110.95 110.969613) (xy 110.05 110.969613) (xy 109.942701 110.990956) (xy 109.865816 111.042328)
- (xy 109.880121 111.020919) (xy 110.370654 110.530387) (xy 110.95 110.530387) (xy 111.057299 110.509044) (xy 111.148264 110.448264)
- (xy 111.209044 110.357299) (xy 111.230387 110.25) (xy 111.230387 110.00625) (xy 112.05 110.00625) (xy 112.05 110.314646)
- (xy 112.099478 110.434097) (xy 112.190902 110.525521) (xy 112.310353 110.575) (xy 112.74375 110.575) (xy 112.825 110.49375)
- (xy 112.825 109.925) (xy 113.175 109.925) (xy 113.175 110.49375) (xy 113.25625 110.575) (xy 113.689647 110.575)
- (xy 113.809098 110.525521) (xy 113.900522 110.434097) (xy 113.95 110.314646) (xy 113.95 110.00625) (xy 113.86875 109.925)
- (xy 113.175 109.925) (xy 112.825 109.925) (xy 112.13125 109.925) (xy 112.05 110.00625) (xy 111.230387 110.00625)
- (xy 111.230387 109.185354) (xy 112.05 109.185354) (xy 112.05 109.49375) (xy 112.13125 109.575) (xy 112.825 109.575)
- (xy 112.825 109.00625) (xy 113.175 109.00625) (xy 113.175 109.575) (xy 113.86875 109.575) (xy 113.95 109.49375)
- (xy 113.95 109.185354) (xy 113.900522 109.065903) (xy 113.809098 108.974479) (xy 113.689647 108.925) (xy 113.25625 108.925)
- (xy 113.175 109.00625) (xy 112.825 109.00625) (xy 112.74375 108.925) (xy 112.310353 108.925) (xy 112.190902 108.974479)
- (xy 112.099478 109.065903) (xy 112.05 109.185354) (xy 111.230387 109.185354) (xy 111.230387 109.05) (xy 111.209044 108.942701)
- (xy 111.148264 108.851736) (xy 111.057299 108.790956) (xy 110.95 108.769613) (xy 110.05 108.769613) (xy 109.942701 108.790956)
- (xy 109.851736 108.851736) (xy 109.790956 108.942701) (xy 109.769613 109.05) (xy 109.769613 109.929346) (xy 109.37396 110.325)
- (xy 108.500001 110.325) (xy 108.525 110.264647) (xy 108.525 110.05625) (xy 108.44375 109.975) (xy 107.925 109.975)
- (xy 107.925 109.995) (xy 107.575 109.995) (xy 107.575 109.975) (xy 107.05625 109.975) (xy 107.051144 109.980106)
- (xy 106.500119 109.429081) (xy 106.476406 109.393592) (xy 106.389245 109.335353) (xy 106.975 109.335353) (xy 106.975 109.54375)
- (xy 107.05625 109.625) (xy 107.575 109.625) (xy 107.575 109.15625) (xy 107.925 109.15625) (xy 107.925 109.625)
- (xy 108.44375 109.625) (xy 108.525 109.54375) (xy 108.525 109.335353) (xy 108.475521 109.215902) (xy 108.384097 109.124478)
- (xy 108.264646 109.075) (xy 108.00625 109.075) (xy 107.925 109.15625) (xy 107.575 109.15625) (xy 107.49375 109.075)
- (xy 107.235354 109.075) (xy 107.115903 109.124478) (xy 107.024479 109.215902) (xy 106.975 109.335353) (xy 106.389245 109.335353)
- (xy 106.335825 109.299659) (xy 106.211856 109.275) (xy 106.211855 109.275) (xy 106.169998 109.266674) (xy 106.128141 109.275)
- (xy 105.101041 109.275) (xy 104.056427 108.230387) (xy 105 108.230387) (xy 105.107299 108.209044) (xy 105.198264 108.148264)
- (xy 105.259044 108.057299) (xy 105.280387 107.95) (xy 105.280387 107.05) (xy 105.719613 107.05) (xy 105.719613 107.95)
- (xy 105.740956 108.057299) (xy 105.801736 108.148264) (xy 105.892701 108.209044) (xy 106 108.230387) (xy 107.2 108.230387)
- (xy 107.307299 108.209044) (xy 107.39551 108.150104) (xy 107.517643 108.272237) (xy 107.765734 108.375) (xy 108.034266 108.375)
- (xy 108.282357 108.272237) (xy 108.472237 108.082357) (xy 108.575 107.834266) (xy 108.575 107.565734) (xy 108.472237 107.317643)
- (xy 108.282357 107.127763) (xy 108.034266 107.025) (xy 107.765734 107.025) (xy 107.584669 107.1) (xy 107.480387 107.1)
- (xy 107.480387 107.05) (xy 107.459044 106.942701) (xy 107.398264 106.851736) (xy 107.307299 106.790956) (xy 107.2 106.769613)
- (xy 106 106.769613) (xy 105.892701 106.790956) (xy 105.801736 106.851736) (xy 105.740956 106.942701) (xy 105.719613 107.05)
- (xy 105.280387 107.05) (xy 105.259044 106.942701) (xy 105.198264 106.851736) (xy 105.107299 106.790956) (xy 105 106.769613)
- (xy 104.825 106.769613) (xy 104.825 106.230387) (xy 105 106.230387) (xy 105.107299 106.209044) (xy 105.198264 106.148264)
- (xy 105.259044 106.057299) (xy 105.280387 105.95) (xy 105.280387 105.75625) (xy 105.675 105.75625) (xy 105.675 106.014647)
- (xy 105.724479 106.134098) (xy 105.815903 106.225522) (xy 105.935354 106.275) (xy 106.34375 106.275) (xy 106.425 106.19375)
- (xy 106.425 105.675) (xy 106.775 105.675) (xy 106.775 106.19375) (xy 106.85625 106.275) (xy 107.264646 106.275)
- (xy 107.384097 106.225522) (xy 107.475521 106.134098) (xy 107.525 106.014647) (xy 107.525 105.75625) (xy 107.44375 105.675)
- (xy 106.775 105.675) (xy 106.425 105.675) (xy 105.75625 105.675) (xy 105.675 105.75625) (xy 105.280387 105.75625)
- (xy 105.280387 105.45625) (xy 113.05 105.45625) (xy 113.05 105.764646) (xy 113.099478 105.884097) (xy 113.190902 105.975521)
- (xy 113.310353 106.025) (xy 113.74375 106.025) (xy 113.825 105.94375) (xy 113.825 105.375) (xy 114.175 105.375)
- (xy 114.175 105.94375) (xy 114.25625 106.025) (xy 114.689647 106.025) (xy 114.809098 105.975521) (xy 114.900522 105.884097)
- (xy 114.95 105.764646) (xy 114.95 105.45625) (xy 114.86875 105.375) (xy 114.175 105.375) (xy 113.825 105.375)
- (xy 113.13125 105.375) (xy 113.05 105.45625) (xy 105.280387 105.45625) (xy 105.280387 105.05) (xy 105.267528 104.985353)
- (xy 105.675 104.985353) (xy 105.675 105.24375) (xy 105.75625 105.325) (xy 106.425 105.325) (xy 106.425 104.80625)
- (xy 106.775 104.80625) (xy 106.775 105.325) (xy 107.44375 105.325) (xy 107.525 105.24375) (xy 107.525 104.985353)
- (xy 107.475521 104.865902) (xy 107.384097 104.774478) (xy 107.264646 104.725) (xy 106.85625 104.725) (xy 106.775 104.80625)
- (xy 106.425 104.80625) (xy 106.34375 104.725) (xy 105.935354 104.725) (xy 105.815903 104.774478) (xy 105.724479 104.865902)
- (xy 105.675 104.985353) (xy 105.267528 104.985353) (xy 105.259044 104.942701) (xy 105.198264 104.851736) (xy 105.107299 104.790956)
- (xy 105 104.769613) (xy 104.270653 104.769613) (xy 104.136394 104.635354) (xy 113.05 104.635354) (xy 113.05 104.94375)
- (xy 113.13125 105.025) (xy 113.825 105.025) (xy 113.825 104.45625) (xy 114.175 104.45625) (xy 114.175 105.025)
- (xy 114.86875 105.025) (xy 114.95 104.94375) (xy 114.95 104.635354) (xy 114.900522 104.515903) (xy 114.809098 104.424479)
- (xy 114.689647 104.375) (xy 114.25625 104.375) (xy 114.175 104.45625) (xy 113.825 104.45625) (xy 113.74375 104.375)
- (xy 113.310353 104.375) (xy 113.190902 104.424479) (xy 113.099478 104.515903) (xy 113.05 104.635354) (xy 104.136394 104.635354)
- (xy 104.130121 104.629081) (xy 104.106408 104.593592) (xy 104.003754 104.525001) (xy 110.458142 104.525001) (xy 110.499999 104.533327)
- (xy 110.541856 104.525001) (xy 110.541857 104.525001) (xy 110.665826 104.500342) (xy 110.806407 104.406409) (xy 110.83012 104.37092)
- (xy 112.50104 102.7) (xy 113.094613 102.7) (xy 113.094613 103.7) (xy 113.115956 103.807299) (xy 113.176736 103.898264)
- (xy 113.267701 103.959044) (xy 113.375 103.980387) (xy 113.809169 103.980387) (xy 113.813352 103.986648) (xy 114.003007 104.113371)
- (xy 114.036628 104.135836) (xy 114.299999 104.188224) (xy 114.36648 104.175) (xy 114.984531 104.175) (xy 114.990956 104.207299)
- (xy 115.051736 104.298264) (xy 115.142701 104.359044) (xy 115.25 104.380387) (xy 116.15 104.380387) (xy 116.257299 104.359044)
- (xy 116.348264 104.298264) (xy 116.409044 104.207299) (xy 116.430387 104.1) (xy 116.430387 104.025) (xy 117.722918 104.025)
- (xy 117.75 104.030387) (xy 117.925376 104.030387) (xy 117.95 104.035285) (xy 117.974624 104.030387) (xy 118.55 104.030387)
- (xy 118.657299 104.009044) (xy 118.748264 103.948264) (xy 118.809044 103.857299) (xy 118.830387 103.75) (xy 118.830387 102.85)
- (xy 118.809044 102.742701) (xy 118.748264 102.651736) (xy 118.657299 102.590956) (xy 118.55 102.569613) (xy 117.75 102.569613)
- (xy 117.642701 102.590956) (xy 117.551736 102.651736) (xy 117.490956 102.742701) (xy 117.469613 102.85) (xy 117.469613 102.975)
- (xy 116.430387 102.975) (xy 116.430387 102.9) (xy 116.409044 102.792701) (xy 116.348264 102.701736) (xy 116.257299 102.640956)
- (xy 116.15 102.619613) (xy 115.25 102.619613) (xy 115.142701 102.640956) (xy 115.051736 102.701736) (xy 114.990956 102.792701)
- (xy 114.984531 102.825) (xy 114.905387 102.825) (xy 114.905387 102.7) (xy 114.884044 102.592701) (xy 114.823264 102.501736)
- (xy 114.732299 102.440956) (xy 114.625 102.419613) (xy 113.375 102.419613) (xy 113.267701 102.440956) (xy 113.176736 102.501736)
- (xy 113.115956 102.592701) (xy 113.094613 102.7) (xy 112.50104 102.7) (xy 113.476041 101.725) (xy 114.969613 101.725)
- (xy 114.969613 101.9) (xy 114.990956 102.007299) (xy 115.051736 102.098264) (xy 115.142701 102.159044) (xy 115.25 102.180387)
- (xy 116.15 102.180387) (xy 116.257299 102.159044) (xy 116.348264 102.098264) (xy 116.409044 102.007299) (xy 116.430387 101.9)
- (xy 116.430387 100.7) (xy 116.409044 100.592701) (xy 116.348264 100.501736) (xy 116.257299 100.440956) (xy 116.15 100.419613)
- (xy 115.25 100.419613) (xy 115.142701 100.440956) (xy 115.051736 100.501736) (xy 114.990956 100.592701) (xy 114.969613 100.7)
- (xy 114.969613 100.875) (xy 113.341855 100.875) (xy 113.299999 100.866674) (xy 113.258143 100.875) (xy 113.258142 100.875)
- (xy 113.134173 100.899659) (xy 112.993592 100.993592) (xy 112.969881 101.029078) (xy 110.323959 103.675001) (xy 109.676039 103.675001)
- (xy 110.370923 102.980118) (xy 110.406408 102.956408) (xy 110.500341 102.815827) (xy 110.525 102.691858) (xy 110.525 102.691857)
- (xy 110.533326 102.65) (xy 110.529425 102.630387) (xy 110.85 102.630387) (xy 110.957299 102.609044) (xy 111.048264 102.548264)
- (xy 111.109044 102.457299) (xy 111.130387 102.35) (xy 111.130387 100.85) (xy 111.109044 100.742701) (xy 111.048264 100.651736)
- (xy 110.957299 100.590956) (xy 110.85 100.569613) (xy 109.35 100.569613) (xy 109.242701 100.590956) (xy 109.151736 100.651736)
- (xy 109.090956 100.742701) (xy 109.075 100.822918) (xy 109.075 100.430387) (xy 109.35 100.430387) (xy 109.457299 100.409044)
- (xy 109.548264 100.348264) (xy 109.609044 100.257299) (xy 109.630387 100.15) (xy 109.630387 98.231427) (xy 110.019613 98.620653)
- (xy 110.019613 99.2) (xy 110.040956 99.307299) (xy 110.101736 99.398264) (xy 110.192701 99.459044) (xy 110.3 99.480387)
- (xy 111.5 99.480387) (xy 111.607299 99.459044) (xy 111.698264 99.398264) (xy 111.759044 99.307299) (xy 111.780387 99.2)
- (xy 111.780387 98.3) (xy 111.76123 98.203692) (xy 112.219613 98.662075) (xy 112.219613 99.2) (xy 112.240956 99.307299)
- (xy 112.301736 99.398264) (xy 112.392701 99.459044) (xy 112.5 99.480387) (xy 113.074314 99.480387) (xy 113.192033 99.559044)
- (xy 113.245155 99.594539) (xy 113.45 99.635285) (xy 113.501706 99.625) (xy 114.869613 99.625) (xy 114.869613 99.7)
- (xy 114.890956 99.807299) (xy 114.951736 99.898264) (xy 115.042701 99.959044) (xy 115.15 99.980387) (xy 116.05 99.980387)
- (xy 116.157299 99.959044) (xy 116.248264 99.898264) (xy 116.309044 99.807299) (xy 116.330387 99.7) (xy 116.330387 98.5)
- (xy 116.309044 98.392701) (xy 116.248264 98.301736) (xy 116.157299 98.240956) (xy 116.05 98.219613) (xy 115.15 98.219613)
- (xy 115.042701 98.240956) (xy 114.951736 98.301736) (xy 114.890956 98.392701) (xy 114.869613 98.5) (xy 114.869613 98.575)
- (xy 113.980387 98.575) (xy 113.980387 98.3) (xy 113.959044 98.192701) (xy 113.898264 98.101736) (xy 113.807299 98.040956)
- (xy 113.7 98.019613) (xy 113.062075 98.019613) (xy 112.768705 97.726244) (xy 113.013821 97.775) (xy 113.013822 97.775)
- (xy 113.099999 97.792142) (xy 113.186177 97.775) (xy 115.122918 97.775) (xy 115.15 97.780387) (xy 116.05 97.780387)
- (xy 116.157299 97.759044) (xy 116.248264 97.698264) (xy 116.309044 97.607299) (xy 116.330387 97.5) (xy 116.330387 97.381857)
- (xy 116.424232 97.241408) (xy 116.492142 96.9) (xy 116.424232 96.558592) (xy 116.330387 96.418143) (xy 116.330387 96.3)
- (xy 116.309044 96.192701) (xy 116.248264 96.101736) (xy 116.157299 96.040956) (xy 116.05 96.019613) (xy 115.15 96.019613)
- (xy 115.122918 96.025) (xy 113.825 96.025) (xy 113.825 95.375) (xy 114.563822 95.375) (xy 114.65 95.392142)
- (xy 114.736178 95.375) (xy 114.736179 95.375) (xy 114.991408 95.324232) (xy 115.28084 95.13084) (xy 115.329659 95.057777)
- (xy 118.177775 92.209661)
+ (xy 171.182387 106.103917) (xy 171.168352 106.113672) (xy 171.147313 106.121308) (xy 170.908232 106.278057) (xy 170.820382 106.370793)
+ (xy 163.442176 113.749) (xy 162.961315 113.749) (xy 162.897621 113.717934) (xy 162.607997 113.650004) (xy 162.310519 113.647926)
+ (xy 162.019974 113.711807) (xy 161.750802 113.83847) (xy 161.516381 114.02162) (xy 161.328362 114.252154) (xy 161.19609 114.518614)
+ (xy 161.126139 114.807757) (xy 161.121985 115.105213) (xy 161.183836 115.396197) (xy 161.308616 115.666247) (xy 161.490125 115.901941)
+ (xy 161.71934 116.091565) (xy 161.984872 116.225693) (xy 162.273519 116.297661) (xy 162.570938 116.303891) (xy 162.862347 116.244074)
+ (xy 162.957302 116.201) (xy 163.857035 116.201) (xy 163.906923 116.208589) (xy 164.000227 116.201) (xy 164.021546 116.201)
+ (xy 164.070726 116.195266) (xy 164.191864 116.185413) (xy 164.212455 116.178743) (xy 164.233958 116.176236) (xy 164.348211 116.134764)
+ (xy 164.467611 116.096084) (xy 164.481646 116.08633) (xy 164.502688 116.078692) (xy 164.741768 115.921943) (xy 164.829636 115.829188)
+ (xy 172.207825 108.451) (xy 176.070878 108.451) (xy 176.180485 108.51935) (xy 176.269906 108.549526) (xy 176.281125 108.561832)
+ (xy 176.299002 108.574048) (xy 176.314134 108.589526) (xy 176.416791 108.65454) (xy 176.481852 108.698999) (xy 174.52835 108.698999)
+ (xy 174.528344 108.699) (xy 174.471012 108.699) (xy 174.416003 108.716873) (xy 174.358857 108.725925) (xy 174.307312 108.752189)
+ (xy 174.252292 108.770066) (xy 174.205493 108.804067) (xy 174.153948 108.830331) (xy 174.10603 108.87825) (xy 171.19329 111.790991)
+ (xy 171.193286 111.790993) (xy 171.030322 111.953958) (xy 171.004058 112.005503) (xy 170.970057 112.052302) (xy 170.95218 112.107322)
+ (xy 170.925916 112.158867) (xy 170.916864 112.216013) (xy 170.898991 112.271022) (xy 170.898991 112.328354) (xy 170.89899 112.32836)
+ (xy 170.898991 115.999) (xy 168.810657 115.999) (xy 168.810651 115.999001) (xy 168.753319 115.999001) (xy 168.698309 116.016875)
+ (xy 168.641164 116.025926) (xy 168.589617 116.052191) (xy 168.5346 116.070067) (xy 168.487801 116.104068) (xy 168.436255 116.130332)
+ (xy 168.388337 116.178251) (xy 167.503829 117.06276) (xy 167.355323 117.211265) (xy 167.329063 117.262804) (xy 167.295057 117.30961)
+ (xy 167.277182 117.364626) (xy 167.250917 117.416173) (xy 167.241867 117.473314) (xy 167.223991 117.52833) (xy 167.223991 117.596095)
+ (xy 167.22399 118.74899) (xy 160.595232 118.74899) (xy 157.145844 115.299603) (xy 157.14584 115.299597) (xy 156.818986 114.972743)
+ (xy 156.854938 114.979464) (xy 157.448004 114.979464) (xy 157.686495 114.923371) (xy 157.857031 114.794589) (xy 157.967936 114.615472)
+ (xy 158.004464 114.420062) (xy 158.004464 113.926996) (xy 157.948371 113.688505) (xy 157.926 113.658881) (xy 157.926 113.232324)
+ (xy 157.927199 113.232086) (xy 158.035033 113.160033) (xy 158.074477 113.101) (xy 158.225523 113.101) (xy 158.264967 113.160033)
+ (xy 158.372801 113.232086) (xy 158.48759 113.254918) (xy 159.41241 113.254918) (xy 159.527199 113.232086) (xy 159.635033 113.160033)
+ (xy 159.707086 113.052199) (xy 159.729918 112.93741) (xy 159.729918 112.11259) (xy 159.707086 111.997801) (xy 159.635033 111.889967)
+ (xy 159.527199 111.817914) (xy 159.432108 111.799) (xy 160.115024 111.799) (xy 160.115024 111.98741) (xy 160.142047 112.123269)
+ (xy 160.226035 112.248965) (xy 160.351731 112.332953) (xy 160.48759 112.359976) (xy 160.726 112.359976) (xy 160.726 111.799)
+ (xy 161.174 111.799) (xy 161.174 112.359976) (xy 161.41241 112.359976) (xy 161.548269 112.332953) (xy 161.673965 112.248965)
+ (xy 161.757953 112.123269) (xy 161.784976 111.98741) (xy 161.784976 111.799) (xy 161.174 111.799) (xy 160.726 111.799)
+ (xy 160.115024 111.799) (xy 159.432108 111.799) (xy 159.41241 111.795082) (xy 158.48759 111.795082) (xy 158.372801 111.817914)
+ (xy 158.264967 111.889967) (xy 158.225523 111.949) (xy 158.074477 111.949) (xy 158.035033 111.889967) (xy 157.927199 111.817914)
+ (xy 157.81241 111.795082) (xy 156.88759 111.795082) (xy 156.772801 111.817914) (xy 156.664967 111.889967) (xy 156.592914 111.997801)
+ (xy 156.570082 112.11259) (xy 156.570082 112.93741) (xy 156.592914 113.052199) (xy 156.664967 113.160033) (xy 156.772801 113.232086)
+ (xy 156.774 113.232325) (xy 156.774001 113.38888) (xy 156.613505 113.426629) (xy 156.476 113.530467) (xy 156.476 111.743786)
+ (xy 156.479738 111.727065) (xy 156.476 111.608115) (xy 156.476 111.557307) (xy 156.473874 111.540485) (xy 156.471199 111.45534)
+ (xy 156.456902 111.406131) (xy 156.450479 111.355283) (xy 156.426 111.293457) (xy 156.426 111.18382) (xy 156.559396 111.367426)
+ (xy 156.768868 111.540717) (xy 157.014855 111.65647) (xy 157.281901 111.707411) (xy 157.553224 111.690341) (xy 157.811778 111.606331)
+ (xy 158.041319 111.460661) (xy 158.22742 111.262484) (xy 158.245107 111.230311) (xy 158.264967 111.260033) (xy 158.372801 111.332086)
+ (xy 158.48759 111.354918) (xy 159.41241 111.354918) (xy 159.527199 111.332086) (xy 159.635033 111.260033) (xy 159.674477 111.201)
+ (xy 160.115024 111.201) (xy 160.115024 111.351) (xy 161.784976 111.351) (xy 161.784976 111.201) (xy 162.203701 111.201)
+ (xy 162.214402 111.204674) (xy 162.312249 111.201) (xy 162.353485 111.201) (xy 162.363895 111.199061) (xy 162.43525 111.196383)
+ (xy 162.464351 111.180352) (xy 162.510944 111.171674) (xy 162.693602 111.059082) (xy 162.735178 111.004407) (xy 162.836884 110.902701)
+ (xy 166.324553 107.415033) (xy 166.334721 107.410062) (xy 166.401337 107.338249) (xy 166.430465 107.309122) (xy 166.43645 107.300398)
+ (xy 166.485023 107.248035) (xy 166.494265 107.21612) (xy 166.521072 107.177043) (xy 166.570617 106.968269) (xy 166.561356 106.900224)
+ (xy 166.561356 106.101) (xy 171.191391 106.101)
)
)
(filled_polygon
(pts
- (xy 99.877763 105.257357) (xy 100.040578 105.420172) (xy 100.042464 105.429656) (xy 100.049659 105.465826) (xy 100.143592 105.606408)
- (xy 100.17908 105.630121) (xy 100.469881 105.920922) (xy 100.493592 105.956408) (xy 100.634173 106.050341) (xy 100.701384 106.06371)
- (xy 100.8 106.083326) (xy 100.841857 106.075) (xy 102.42396 106.075) (xy 102.675001 106.326042) (xy 102.675 108.108142)
- (xy 102.666674 108.15) (xy 102.679276 108.213353) (xy 102.699659 108.315826) (xy 102.793592 108.456408) (xy 102.829081 108.480121)
- (xy 102.87396 108.525) (xy 102.746387 108.525) (xy 102.27777 108.719107) (xy 101.919107 109.07777) (xy 101.725 109.546387)
- (xy 101.725 110.053613) (xy 101.919107 110.52223) (xy 102.27777 110.880893) (xy 102.625676 111.025) (xy 100.725 111.025)
- (xy 100.725 108.980387) (xy 101.35 108.980387) (xy 101.457299 108.959044) (xy 101.548264 108.898264) (xy 101.609044 108.807299)
- (xy 101.630387 108.7) (xy 101.630387 106.7) (xy 101.609044 106.592701) (xy 101.548264 106.501736) (xy 101.457299 106.440956)
- (xy 101.35 106.419613) (xy 99.725 106.419613) (xy 99.725 105.112437) (xy 99.790573 105.046864)
+ (xy 194.723746 99.98257) (xy 194.808616 100.166247) (xy 194.990125 100.401941) (xy 195.21934 100.591565) (xy 195.484872 100.725693)
+ (xy 195.773519 100.797661) (xy 196.070938 100.803891) (xy 196.362347 100.744074) (xy 196.633261 100.621182) (xy 196.870217 100.441323)
+ (xy 197.004002 100.281884) (xy 197.004001 102.92707) (xy 197.004002 102.927078) (xy 197.004001 105.162036) (xy 196.996412 105.211924)
+ (xy 197.004001 105.305228) (xy 197.004001 105.326547) (xy 197.009736 105.37573) (xy 197.019588 105.496865) (xy 197.02626 105.517461)
+ (xy 197.028766 105.538959) (xy 197.070234 105.653203) (xy 197.108918 105.772613) (xy 197.118673 105.786648) (xy 197.126309 105.807687)
+ (xy 197.283057 106.046769) (xy 197.375814 106.134638) (xy 198.917299 107.676123) (xy 198.773455 107.627714) (xy 198.529759 107.591729)
+ (xy 198.283543 107.599466) (xy 198.042587 107.650683) (xy 197.81451 107.743759) (xy 197.643848 107.852064) (xy 199.571953 109.780169)
+ (xy 199.66766 109.63614) (xy 199.765494 109.410058) (xy 199.822126 109.168608) (xy 199.830378 108.853469) (xy 199.78646 108.609386)
+ (xy 199.748507 108.507331) (xy 201.017349 109.776174) (xy 201.04726 109.816819) (xy 201.118613 109.877438) (xy 201.133678 109.892504)
+ (xy 201.172497 109.923216) (xy 201.265133 110.001916) (xy 201.284416 110.011762) (xy 201.301388 110.02519) (xy 201.411458 110.076633)
+ (xy 201.523282 110.133733) (xy 201.540104 110.13676) (xy 201.56038 110.146236) (xy 201.840274 110.204454) (xy 201.925469 110.202149)
+ (xy 195.346709 116.78091) (xy 186.968248 116.78091) (xy 186.796901 116.553525) (xy 186.604099 116.39309) (xy 186.394125 116.333113)
+ (xy 186.179678 116.352764) (xy 185.997447 116.44327) (xy 185.531179 116.794628) (xy 185.462823 116.803263) (xy 184.622207 115.962647)
+ (xy 184.569589 115.892969) (xy 184.464344 115.827804) (xy 184.408846 115.785679) (xy 184.284415 115.736413) (xy 184.49297 115.680531)
+ (xy 184.664166 115.536881) (xy 184.773048 115.348291) (xy 184.821413 115.074) (xy 183.450718 115.074) (xy 183.372051 114.995332)
+ (xy 183.320502 114.969066) (xy 183.273706 114.935067) (xy 183.218689 114.917191) (xy 183.167142 114.890926) (xy 183.109996 114.881875)
+ (xy 183.054986 114.864001) (xy 182.997655 114.864001) (xy 182.997649 114.864) (xy 182.856975 114.864) (xy 182.87917 114.84917)
+ (xy 182.996308 114.67386) (xy 183.005831 114.626) (xy 183.078587 114.626) (xy 183.726 114.626) (xy 184.174 114.626)
+ (xy 184.829395 114.626) (xy 184.730531 114.25703) (xy 184.586881 114.085834) (xy 184.398291 113.976952) (xy 184.174 113.937405)
+ (xy 184.174 114.626) (xy 183.726 114.626) (xy 183.726 113.934002) (xy 183.40703 114.019469) (xy 183.235834 114.163119)
+ (xy 183.126952 114.351709) (xy 183.078587 114.626) (xy 183.005831 114.626) (xy 183.032096 114.494) (xy 180.400992 114.494)
+ (xy 180.302349 114.432923) (xy 180.102346 114.395536) (xy 180.0665 114.395536) (xy 179.818455 114.342813) (xy 179.565251 114.358742)
+ (xy 179.459595 114.395536) (xy 178.9665 114.395536) (xy 178.718455 114.342813) (xy 178.465251 114.358742) (xy 178.359595 114.395536)
+ (xy 178.294712 114.395536) (xy 178.050553 114.452962) (xy 178.029918 114.468545) (xy 178.029918 114.160529) (xy 178.027028 114.146)
+ (xy 180.317904 114.146) (xy 181.501 114.146) (xy 181.501 113.635024) (xy 181.849 113.635024) (xy 181.849 114.146)
+ (xy 183.032096 114.146) (xy 182.996308 113.96614) (xy 182.87917 113.79083) (xy 182.703857 113.67369) (xy 182.509471 113.635024)
+ (xy 181.849 113.635024) (xy 181.501 113.635024) (xy 180.840529 113.635024) (xy 180.646143 113.67369) (xy 180.47083 113.79083)
+ (xy 180.353692 113.96614) (xy 180.317904 114.146) (xy 178.027028 114.146) (xy 177.995443 113.987213) (xy 177.890238 113.829762)
+ (xy 177.732787 113.724557) (xy 177.559471 113.690082) (xy 177.087869 113.690082) (xy 176.952142 113.620926) (xy 176.782137 113.594)
+ (xy 175.317889 113.594) (xy 175.321416 113.574) (xy 172.476 113.574) (xy 172.476 112.561715) (xy 172.60031 112.437405)
+ (xy 172.924 112.437405) (xy 172.924 113.126) (xy 174.226 113.126) (xy 174.226 112.489985) (xy 174.501799 112.489985)
+ (xy 174.65012 112.593835) (xy 174.674 112.605276) (xy 174.674 113.126) (xy 175.329395 113.126) (xy 175.239684 112.791192)
+ (xy 175.391904 112.809343) (xy 175.651449 112.797557) (xy 175.905584 112.74354) (xy 176.147483 112.64874) (xy 176.404027 112.495811)
+ (xy 175.45 111.541784) (xy 174.501799 112.489985) (xy 174.226 112.489985) (xy 174.226 112.434002) (xy 173.90703 112.519469)
+ (xy 173.735834 112.663119) (xy 173.626952 112.851709) (xy 173.578908 113.124181) (xy 173.480531 112.75703) (xy 173.336881 112.585834)
+ (xy 173.148291 112.476952) (xy 172.924 112.437405) (xy 172.60031 112.437405) (xy 173.870872 111.166843) (xy 173.86722 111.316263)
+ (xy 173.90338 111.573546) (xy 173.981073 111.821469) (xy 174.167562 112.190654) (xy 175.45 110.908215) (xy 176.720388 112.178604)
+ (xy 176.871296 111.927448) (xy 176.96694 111.685882) (xy 177.022172 111.43042) (xy 177.029175 111.143866) (xy 177.787159 111.90185)
+ (xy 177.796341 111.916319) (xy 177.883105 111.997796) (xy 177.919021 112.033712) (xy 177.93242 112.044106) (xy 177.994518 112.10242)
+ (xy 178.039424 112.127107) (xy 178.07992 112.158519) (xy 178.158103 112.192351) (xy 178.236291 112.235336) (xy 178.274101 112.242548)
+ (xy 178.329422 112.266488) (xy 178.597935 112.309016) (xy 178.682735 112.301) (xy 178.920082 112.301) (xy 178.920082 112.43741)
+ (xy 178.942914 112.552199) (xy 179.014967 112.660033) (xy 179.122801 112.732086) (xy 179.23759 112.754918) (xy 181.66241 112.754918)
+ (xy 181.777199 112.732086) (xy 181.885033 112.660033) (xy 181.957086 112.552199) (xy 181.979918 112.43741) (xy 181.979918 110.01259)
+ (xy 181.957086 109.897801) (xy 181.885033 109.789967) (xy 181.777199 109.717914) (xy 181.66241 109.695082) (xy 179.23759 109.695082)
+ (xy 179.122801 109.717914) (xy 179.014967 109.789967) (xy 178.942914 109.897801) (xy 178.9236 109.994905) (xy 177.958433 109.029738)
+ (xy 178.013409 109.036995) (xy 178.030272 109.034224) (xy 178.052554 109.036377) (xy 178.335807 108.997672) (xy 178.410541 108.968863)
+ (xy 178.427191 108.970966) (xy 178.54803 108.958049) (xy 178.673228 108.949073) (xy 178.689196 108.942959) (xy 178.711454 108.94058)
+ (xy 178.981104 108.845614) (xy 179.02201 108.819351) (xy 192.603438 108.819351) (xy 192.610789 108.948833) (xy 192.661637 109.054249)
+ (xy 194.181111 111.07066) (xy 194.268429 111.148594) (xy 194.390868 111.19135) (xy 194.52035 111.183999) (xy 194.527894 111.18036)
+ (xy 194.881818 111.534284) (xy 194.876139 111.557757) (xy 194.871985 111.855213) (xy 194.933836 112.146197) (xy 195.058616 112.416247)
+ (xy 195.240125 112.651941) (xy 195.46934 112.841565) (xy 195.734872 112.975693) (xy 196.023519 113.047661) (xy 196.320938 113.053891)
+ (xy 196.612347 112.994074) (xy 196.883261 112.871182) (xy 197.120217 112.691323) (xy 197.311436 112.463437) (xy 197.447416 112.198849)
+ (xy 197.521619 111.909846) (xy 197.526264 111.577127) (xy 197.46016 111.286166) (xy 197.426 111.214869) (xy 197.426 111.211606)
+ (xy 197.433589 111.161718) (xy 197.426 111.068414) (xy 197.426 111.047095) (xy 197.420265 110.997913) (xy 197.410413 110.876777)
+ (xy 197.403742 110.856183) (xy 197.401235 110.834682) (xy 197.359763 110.720427) (xy 197.321084 110.601028) (xy 197.311328 110.586991)
+ (xy 197.303692 110.565954) (xy 197.146943 110.326873) (xy 197.054206 110.239022) (xy 196.928735 110.113551) (xy 197.628233 110.113551)
+ (xy 197.973836 110.27618) (xy 198.211781 110.339937) (xy 198.457254 110.36055) (xy 198.7025 110.337368) (xy 198.939764 110.271122)
+ (xy 199.269795 110.111579) (xy 198.45 109.291784) (xy 197.628233 110.113551) (xy 196.928735 110.113551) (xy 195.753914 108.93873)
+ (xy 197.064906 108.93873) (xy 197.080374 109.184583) (xy 197.139133 109.42381) (xy 197.307115 109.801101) (xy 198.133216 108.975)
+ (xy 197.316986 108.15877) (xy 197.164419 108.4582) (xy 197.09322 108.694024) (xy 197.064906 108.93873) (xy 195.753914 108.93873)
+ (xy 195.351076 108.535892) (xy 194.626149 107.573882) (xy 194.538831 107.495948) (xy 194.416392 107.453192) (xy 194.28691 107.460543)
+ (xy 194.181494 107.511391) (xy 192.724128 108.609594) (xy 192.646194 108.696912) (xy 192.603438 108.819351) (xy 179.02201 108.819351)
+ (xy 179.088537 108.77664) (xy 179.627275 108.451) (xy 181.227033 108.451) (xy 181.276921 108.458589) (xy 181.370225 108.451)
+ (xy 181.391544 108.451) (xy 181.440724 108.445266) (xy 181.561862 108.435413) (xy 181.582453 108.428743) (xy 181.603956 108.426236)
+ (xy 181.718209 108.384764) (xy 181.837609 108.346084) (xy 181.851644 108.33633) (xy 181.872686 108.328692) (xy 182.111766 108.171943)
+ (xy 182.199634 108.079188) (xy 183.19888 107.079942) (xy 191.104949 107.079942) (xy 191.729881 107.909253) (xy 191.833224 108.00149)
+ (xy 191.975944 108.051331) (xy 192.126875 108.04276) (xy 192.251641 107.982581) (xy 192.801429 107.568285) (xy 191.952282 106.44143)
+ (xy 191.104949 107.079942) (xy 183.19888 107.079942) (xy 184.661675 105.617147) (xy 190.141655 105.617147) (xy 190.150226 105.768076)
+ (xy 190.210405 105.892842) (xy 190.835337 106.722153) (xy 191.565655 106.171817) (xy 192.310071 106.171817) (xy 193.159218 107.298673)
+ (xy 193.709007 106.884376) (xy 193.801244 106.781033) (xy 193.851085 106.638311) (xy 193.842514 106.487382) (xy 193.782335 106.362616)
+ (xy 193.157403 105.533305) (xy 192.310071 106.171817) (xy 191.565655 106.171817) (xy 191.682669 106.083641) (xy 190.833522 104.956785)
+ (xy 190.283733 105.371082) (xy 190.191496 105.474425) (xy 190.141655 105.617147) (xy 184.661675 105.617147) (xy 185.591649 104.687173)
+ (xy 191.191311 104.687173) (xy 192.040458 105.814028) (xy 192.887791 105.175516) (xy 192.262859 104.346205) (xy 192.159516 104.253968)
+ (xy 192.016796 104.204127) (xy 191.865865 104.212698) (xy 191.741099 104.272877) (xy 191.191311 104.687173) (xy 185.591649 104.687173)
+ (xy 187.077822 103.201) (xy 188.220082 103.201) (xy 188.220082 103.23741) (xy 188.242914 103.352199) (xy 188.314967 103.460033)
+ (xy 188.422801 103.532086) (xy 188.53759 103.554918) (xy 190.36241 103.554918) (xy 190.477199 103.532086) (xy 190.585033 103.460033)
+ (xy 190.657086 103.352199) (xy 190.679918 103.23741) (xy 190.679918 102.478906) (xy 190.959824 102.199) (xy 192.165024 102.199)
+ (xy 192.165024 103.23741) (xy 192.192047 103.373269) (xy 192.276035 103.498965) (xy 192.401731 103.582953) (xy 192.53759 103.609976)
+ (xy 193.226 103.609976) (xy 193.226 102.199) (xy 193.674 102.199) (xy 193.674 103.609976) (xy 194.36241 103.609976)
+ (xy 194.498269 103.582953) (xy 194.623965 103.498965) (xy 194.707953 103.373269) (xy 194.734976 103.23741) (xy 194.734976 102.199)
+ (xy 193.674 102.199) (xy 193.226 102.199) (xy 192.165024 102.199) (xy 190.959824 102.199) (xy 192.165024 100.9938)
+ (xy 192.165024 101.751) (xy 193.226 101.751) (xy 193.226 100.340024) (xy 193.674 100.340024) (xy 193.674 101.751)
+ (xy 194.734976 101.751) (xy 194.734976 100.71259) (xy 194.707953 100.576731) (xy 194.623965 100.451035) (xy 194.498269 100.367047)
+ (xy 194.36241 100.340024) (xy 193.674 100.340024) (xy 193.226 100.340024) (xy 192.8188 100.340024) (xy 193.603906 99.554918)
+ (xy 194.296094 99.554918)
)
)
(filled_polygon
(pts
- (xy 96.099478 91.565903) (xy 96.05 91.685354) (xy 96.05 92.09375) (xy 96.13125 92.175) (xy 96.575 92.175)
- (xy 96.575 92.155) (xy 96.925 92.155) (xy 96.925 92.175) (xy 97.36875 92.175) (xy 97.45 92.09375)
- (xy 97.45 91.685354) (xy 97.400522 91.565903) (xy 97.359618 91.524999) (xy 97.769613 91.524999) (xy 97.769613 92.429346)
- (xy 97.45 92.748959) (xy 97.45 92.60625) (xy 97.36875 92.525) (xy 96.925 92.525) (xy 96.925 93.19375)
- (xy 96.965105 93.233855) (xy 96.829347 93.369613) (xy 96.375 93.369613) (xy 96.267701 93.390956) (xy 96.176736 93.451736)
- (xy 96.115956 93.542701) (xy 96.094613 93.65) (xy 96.094613 94.85) (xy 96.115956 94.957299) (xy 96.176736 95.048264)
- (xy 96.267701 95.109044) (xy 96.322647 95.119973) (xy 96.316674 95.15) (xy 96.349659 95.315826) (xy 96.400462 95.391858)
- (xy 96.443593 95.456408) (xy 96.479079 95.480119) (xy 97.568572 96.569613) (xy 96.325 96.569613) (xy 96.217701 96.590956)
- (xy 96.129334 96.65) (xy 95.401041 96.65) (xy 94.925 96.17396) (xy 94.925 95.230387) (xy 94.95 95.230387)
- (xy 95.057299 95.209044) (xy 95.148264 95.148264) (xy 95.209044 95.057299) (xy 95.230387 94.95) (xy 95.230387 93.75)
- (xy 95.209044 93.642701) (xy 95.148264 93.551736) (xy 95.057299 93.490956) (xy 94.95 93.469613) (xy 94.05 93.469613)
- (xy 93.942701 93.490956) (xy 93.851736 93.551736) (xy 93.790956 93.642701) (xy 93.769613 93.75) (xy 93.769613 94.95)
- (xy 93.790956 95.057299) (xy 93.851736 95.148264) (xy 93.942701 95.209044) (xy 94.05 95.230387) (xy 94.075001 95.230387)
- (xy 94.075 96.308142) (xy 94.066674 96.35) (xy 94.075 96.391857) (xy 94.099659 96.515826) (xy 94.193592 96.656408)
- (xy 94.229081 96.680121) (xy 94.894881 97.345922) (xy 94.918592 97.381408) (xy 95.059173 97.475341) (xy 95.108552 97.485163)
- (xy 95.225 97.508326) (xy 95.266857 97.5) (xy 96.044613 97.5) (xy 96.044613 97.95) (xy 96.064504 98.05)
- (xy 96.044613 98.15) (xy 96.044613 98.6) (xy 94.801041 98.6) (xy 94.730387 98.529346) (xy 94.730387 97.8)
- (xy 94.709044 97.692701) (xy 94.648264 97.601736) (xy 94.557299 97.540956) (xy 94.45 97.519613) (xy 93.55 97.519613)
- (xy 93.442701 97.540956) (xy 93.351736 97.601736) (xy 93.290956 97.692701) (xy 93.269613 97.8) (xy 93.269613 99)
- (xy 93.290956 99.107299) (xy 93.351736 99.198264) (xy 93.442701 99.259044) (xy 93.55 99.280387) (xy 94.279346 99.280387)
- (xy 94.294881 99.295922) (xy 94.318592 99.331408) (xy 94.43786 99.4111) (xy 94.129347 99.719613) (xy 93.55 99.719613)
- (xy 93.442701 99.740956) (xy 93.351736 99.801736) (xy 93.290956 99.892701) (xy 93.269613 100) (xy 93.269613 100.025)
- (xy 93.076041 100.025) (xy 91.175 98.12396) (xy 91.175 97.880387) (xy 91.6 97.880387) (xy 91.707299 97.859044)
- (xy 91.798264 97.798264) (xy 91.859044 97.707299) (xy 91.880387 97.6) (xy 91.880387 95.9) (xy 91.859044 95.792701)
- (xy 91.798264 95.701736) (xy 91.707299 95.640956) (xy 91.6 95.619613) (xy 91.098324 95.619613) (xy 91.255379 95.462558)
- (xy 91.358142 95.214467) (xy 91.358142 94.945935) (xy 91.255379 94.697844) (xy 91.065499 94.507964) (xy 90.817408 94.405201)
- (xy 90.548876 94.405201) (xy 90.300785 94.507964) (xy 90.128655 94.680094) (xy 90.089797 94.672365) (xy 90.050403 94.680201)
- (xy 89.933725 94.70341) (xy 89.801413 94.791817) (xy 89.779096 94.825217) (xy 89.244778 95.359536) (xy 89.244174 95.359656)
- (xy 89.103593 95.453589) (xy 89.00966 95.594171) (xy 88.985001 95.71814) (xy 88.985001 95.914813) (xy 88.745954 95.755087)
- (xy 92.094791 92.40625) (xy 93.725 92.40625) (xy 93.725 92.814646) (xy 93.774478 92.934097) (xy 93.865902 93.025521)
- (xy 93.985353 93.075) (xy 94.24375 93.075) (xy 94.325 92.99375) (xy 94.325 92.325) (xy 94.675 92.325)
- (xy 94.675 92.99375) (xy 94.75625 93.075) (xy 95.014647 93.075) (xy 95.134098 93.025521) (xy 95.225522 92.934097)
- (xy 95.275 92.814646) (xy 95.275 92.60625) (xy 96.05 92.60625) (xy 96.05 93.014646) (xy 96.099478 93.134097)
- (xy 96.190902 93.225521) (xy 96.310353 93.275) (xy 96.49375 93.275) (xy 96.575 93.19375) (xy 96.575 92.525)
- (xy 96.13125 92.525) (xy 96.05 92.60625) (xy 95.275 92.60625) (xy 95.275 92.40625) (xy 95.19375 92.325)
- (xy 94.675 92.325) (xy 94.325 92.325) (xy 93.80625 92.325) (xy 93.725 92.40625) (xy 92.094791 92.40625)
- (xy 92.37602 92.125022) (xy 93.072361 92.12502) (xy 93.114223 92.133347) (xy 93.280049 92.100362) (xy 93.385145 92.030139)
- (xy 93.420631 92.006428) (xy 93.444342 91.970942) (xy 93.725 91.690285) (xy 93.725 91.89375) (xy 93.80625 91.975)
- (xy 94.325 91.975) (xy 94.325 91.955) (xy 94.675 91.955) (xy 94.675 91.975) (xy 95.19375 91.975)
- (xy 95.275 91.89375) (xy 95.275 91.524999) (xy 96.140382 91.524999)
+ (xy 165.409356 106.701058) (xy 164.884976 107.225438) (xy 164.884976 107.199) (xy 164.124 107.199) (xy 164.124 107.734976)
+ (xy 164.375438 107.734976) (xy 162.061415 110.049) (xy 159.674477 110.049) (xy 159.635033 109.989967) (xy 159.527199 109.917914)
+ (xy 159.41241 109.895082) (xy 158.497959 109.895082) (xy 158.547416 109.798849) (xy 158.621619 109.509846) (xy 158.626264 109.177127)
+ (xy 158.566242 108.912935) (xy 158.805158 109.151852) (xy 158.814339 109.166319) (xy 158.901103 109.247796) (xy 158.937018 109.283711)
+ (xy 158.950417 109.294105) (xy 159.012516 109.35242) (xy 159.057422 109.377107) (xy 159.097918 109.408519) (xy 159.176099 109.44235)
+ (xy 159.254288 109.485335) (xy 159.292102 109.492549) (xy 159.34742 109.516487) (xy 159.615932 109.559016) (xy 159.700733 109.551)
+ (xy 161.767692 109.551) (xy 161.969717 109.525478) (xy 162.222486 109.425399) (xy 162.442426 109.265604) (xy 162.615717 109.056132)
+ (xy 162.73147 108.810144) (xy 162.747319 108.727054) (xy 162.764032 108.7001) (xy 162.814321 108.633847) (xy 162.830594 108.592746)
+ (xy 162.856381 108.551155) (xy 162.867185 108.500329) (xy 162.907717 108.397957) (xy 162.934236 108.145641) (xy 162.926 108.096951)
+ (xy 162.926 107.417592) (xy 162.942047 107.498269) (xy 163.026035 107.623965) (xy 163.151731 107.707953) (xy 163.28759 107.734976)
+ (xy 163.676 107.734976) (xy 163.676 106.215024) (xy 164.124 106.215024) (xy 164.124 106.751) (xy 164.884976 106.751)
+ (xy 164.884976 106.58759) (xy 164.857953 106.451731) (xy 164.773965 106.326035) (xy 164.648269 106.242047) (xy 164.51241 106.215024)
+ (xy 164.124 106.215024) (xy 163.676 106.215024) (xy 163.28759 106.215024) (xy 163.151731 106.242047) (xy 163.026035 106.326035)
+ (xy 162.942047 106.451731) (xy 162.926 106.532408) (xy 162.926 105.417592) (xy 162.942047 105.498269) (xy 163.026035 105.623965)
+ (xy 163.151731 105.707953) (xy 163.28759 105.734976) (xy 163.676 105.734976) (xy 163.676 105.199) (xy 164.124 105.199)
+ (xy 164.124 105.734976) (xy 164.51241 105.734976) (xy 164.648269 105.707953) (xy 164.773965 105.623965) (xy 164.857953 105.498269)
+ (xy 164.884976 105.36241) (xy 164.884976 105.199) (xy 164.124 105.199) (xy 163.676 105.199) (xy 163.676 104.215024)
+ (xy 164.124 104.215024) (xy 164.124 104.751) (xy 164.884976 104.751) (xy 164.884976 104.58759) (xy 164.857953 104.451731)
+ (xy 164.773965 104.326035) (xy 164.648269 104.242047) (xy 164.51241 104.215024) (xy 164.124 104.215024) (xy 163.676 104.215024)
+ (xy 163.28759 104.215024) (xy 163.151731 104.242047) (xy 163.026035 104.326035) (xy 162.942047 104.451731) (xy 162.922471 104.55015)
+ (xy 162.907086 104.472801) (xy 162.835033 104.364967) (xy 162.727199 104.292914) (xy 162.726 104.292676) (xy 162.726 103.354918)
+ (xy 162.812409 103.354918) (xy 162.832107 103.351) (xy 164.181214 103.351) (xy 164.197935 103.354738) (xy 164.316871 103.351)
+ (xy 164.367694 103.351) (xy 164.384524 103.348873) (xy 164.46966 103.346198) (xy 164.518868 103.331902) (xy 164.569717 103.325478)
+ (xy 164.648936 103.294114) (xy 164.734606 103.269224) (xy 164.76644 103.247589) (xy 164.822486 103.225399) (xy 165.042426 103.065604)
+ (xy 165.084078 103.015256) (xy 165.09132 103.01066) (xy 165.172812 102.92388) (xy 165.208713 102.88798) (xy 165.219101 102.874588)
+ (xy 165.277421 102.812483) (xy 165.302111 102.767573) (xy 165.33352 102.727079) (xy 165.367345 102.648912) (xy 165.409356 102.572494)
)
)
(filled_polygon
(pts
- (xy 87.776849 95.689119) (xy 87.771047 95.690273) (xy 87.39892 95.93892) (xy 87.150273 96.311047) (xy 87.06296 96.75)
- (xy 87.150273 97.188953) (xy 87.39892 97.56108) (xy 87.771047 97.809727) (xy 88.099197 97.875) (xy 88.320803 97.875)
- (xy 88.648953 97.809727) (xy 88.985002 97.585187) (xy 88.985002 97.698141) (xy 88.976675 97.740003) (xy 89.00966 97.905829)
- (xy 89.068302 97.993593) (xy 89.103594 98.046411) (xy 89.13908 98.070122) (xy 89.93857 98.869613) (xy 86.7 98.869613)
- (xy 86.592701 98.890956) (xy 86.501736 98.951736) (xy 86.440956 99.042701) (xy 86.419613 99.15) (xy 86.419613 99.833353)
- (xy 86.406408 99.81359) (xy 86.370922 99.789879) (xy 84.440122 97.85908) (xy 84.416409 97.823591) (xy 84.275828 97.729658)
- (xy 84.151859 97.704999) (xy 84.151858 97.704999) (xy 84.110001 97.696673) (xy 84.068144 97.704999) (xy 83.72569 97.704999)
- (xy 83.94108 97.56108) (xy 84.189727 97.188953) (xy 84.206504 97.104609) (xy 84.54977 97.104609) (xy 84.770745 97.50631)
- (xy 85.128624 97.79287) (xy 85.315395 97.870214) (xy 85.495001 97.829659) (xy 85.495001 96.925) (xy 85.845001 96.925)
- (xy 85.845001 97.829659) (xy 86.024607 97.870214) (xy 86.211378 97.79287) (xy 86.569257 97.50631) (xy 86.790232 97.104609)
- (xy 86.750663 96.925) (xy 85.845001 96.925) (xy 85.495001 96.925) (xy 84.589339 96.925) (xy 84.54977 97.104609)
- (xy 84.206504 97.104609) (xy 84.27704 96.75) (xy 84.206505 96.395391) (xy 84.54977 96.395391) (xy 84.589339 96.575)
- (xy 85.495001 96.575) (xy 85.495001 95.670341) (xy 85.845001 95.670341) (xy 85.845001 96.575) (xy 86.750663 96.575)
- (xy 86.790232 96.395391) (xy 86.569257 95.99369) (xy 86.211378 95.70713) (xy 86.024607 95.629786) (xy 85.845001 95.670341)
- (xy 85.495001 95.670341) (xy 85.315395 95.629786) (xy 85.128624 95.70713) (xy 84.770745 95.99369) (xy 84.54977 96.395391)
- (xy 84.206505 96.395391) (xy 84.189727 96.311047) (xy 84.181823 96.299218) (xy 84.956041 95.525) (xy 87.809495 95.524998)
+ (xy 201.8824 78.896254) (xy 201.884642 78.911709) (xy 201.957859 79.082107) (xy 201.966251 79.092751) (xy 201.970987 79.105452)
+ (xy 202.073537 79.249486) (xy 202.083161 79.257434) (xy 202.089816 79.267996) (xy 202.219672 79.388188) (xy 202.250227 79.404289)
+ (xy 205.719768 82.01903) (xy 205.719767 107.726813) (xy 205.705351 107.700645) (xy 205.696675 107.654055) (xy 205.584082 107.471396)
+ (xy 205.529412 107.429824) (xy 203.397756 105.298169) (xy 203.670938 105.303891) (xy 203.962347 105.244074) (xy 204.233261 105.121182)
+ (xy 204.470217 104.941323) (xy 204.661436 104.713437) (xy 204.797416 104.448849) (xy 204.871619 104.159846) (xy 204.876264 103.827127)
+ (xy 204.81016 103.536166) (xy 204.681621 103.267884) (xy 204.626 103.197708) (xy 204.626 102.501022) (xy 204.625998 87.02706)
+ (xy 204.625999 87.027055) (xy 204.625999 83.743783) (xy 204.629736 83.727064) (xy 204.625999 83.608146) (xy 204.625999 83.557307)
+ (xy 204.623873 83.540477) (xy 204.621197 83.455338) (xy 204.606901 83.406131) (xy 204.600477 83.355281) (xy 204.569114 83.276066)
+ (xy 204.544223 83.190392) (xy 204.522588 83.158558) (xy 204.500398 83.102513) (xy 204.340603 82.882572) (xy 204.27496 82.828268)
+ (xy 195.660438 74.213746)
)
)
(filled_polygon
(pts
- (xy 101.844613 94.8) (xy 101.865956 94.907299) (xy 101.926736 94.998264) (xy 102.017701 95.059044) (xy 102.125 95.080387)
- (xy 102.800002 95.080387) (xy 102.800002 96.098958) (xy 102.67908 96.21988) (xy 102.643592 96.243592) (xy 102.555789 96.375)
- (xy 102.549659 96.384174) (xy 102.516674 96.55) (xy 102.520575 96.569613) (xy 102.225 96.569613) (xy 102.117701 96.590956)
- (xy 102.026736 96.651736) (xy 101.965956 96.742701) (xy 101.944613 96.85) (xy 101.944613 97.3) (xy 101.964504 97.4)
- (xy 101.944613 97.5) (xy 101.944613 97.95) (xy 101.964504 98.05) (xy 101.944613 98.15) (xy 101.944613 98.6)
- (xy 101.964504 98.7) (xy 101.944613 98.8) (xy 101.944613 98.982033) (xy 101.904845 98.955461) (xy 101.751706 98.925)
- (xy 100.847704 98.925) (xy 100.795998 98.914715) (xy 100.744292 98.925) (xy 100.591153 98.955461) (xy 100.417494 99.071496)
- (xy 100.388201 99.115336) (xy 100.378537 99.125) (xy 99.951705 99.125) (xy 99.899999 99.114715) (xy 99.848293 99.125)
- (xy 98.151704 99.125) (xy 98.099999 99.114715) (xy 98.055387 99.123589) (xy 98.055387 98.8) (xy 98.090263 98.8)
- (xy 98.13212 98.808326) (xy 98.173977 98.8) (xy 98.173978 98.8) (xy 98.297947 98.775341) (xy 98.438528 98.681408)
- (xy 98.462241 98.645919) (xy 100.620923 96.487238) (xy 100.656408 96.463528) (xy 100.750341 96.322947) (xy 100.775 96.198978)
- (xy 100.775 96.198977) (xy 100.783326 96.15712) (xy 100.775 96.115263) (xy 100.775 95.330387) (xy 100.95 95.330387)
- (xy 101.057299 95.309044) (xy 101.148264 95.248264) (xy 101.209044 95.157299) (xy 101.230387 95.05) (xy 101.230387 94.775)
- (xy 101.844613 94.775)
+ (xy 163.324001 94.620694) (xy 163.324002 101.199) (xy 162.832107 101.199) (xy 162.812409 101.195082) (xy 162.328635 101.195082)
+ (xy 162.376568 101.17839) (xy 162.574165 101.054918) (xy 162.81241 101.054918) (xy 162.927199 101.032086) (xy 163.035033 100.960033)
+ (xy 163.107086 100.852199) (xy 163.129918 100.73741) (xy 163.129918 100.021495) (xy 163.134351 99.984316) (xy 163.129918 99.942136)
+ (xy 163.129918 99.21259) (xy 163.107086 99.097801) (xy 163.035033 98.989967) (xy 162.927199 98.917914) (xy 162.81241 98.895082)
+ (xy 162.572232 98.895082) (xy 162.440172 98.809976) (xy 162.81241 98.809976) (xy 162.948269 98.782953) (xy 163.073965 98.698965)
+ (xy 163.157953 98.573269) (xy 163.184976 98.43741) (xy 163.184976 97.899) (xy 160.415024 97.899) (xy 160.415024 98.43741)
+ (xy 160.442047 98.573269) (xy 160.492649 98.649) (xy 160.026 98.649) (xy 160.026 97.650262) (xy 160.001223 97.42937)
+ (xy 159.903391 97.148432) (xy 159.829918 97.030851) (xy 159.829918 96.91259) (xy 160.415024 96.91259) (xy 160.415024 97.451)
+ (xy 161.576 97.451) (xy 161.576 96.540024) (xy 162.024 96.540024) (xy 162.024 97.451) (xy 163.184976 97.451)
+ (xy 163.184976 96.91259) (xy 163.157953 96.776731) (xy 163.073965 96.651035) (xy 162.948269 96.567047) (xy 162.81241 96.540024)
+ (xy 162.024 96.540024) (xy 161.576 96.540024) (xy 160.78759 96.540024) (xy 160.651731 96.567047) (xy 160.526035 96.651035)
+ (xy 160.442047 96.776731) (xy 160.415024 96.91259) (xy 159.829918 96.91259) (xy 159.807086 96.797802) (xy 159.776 96.751278)
+ (xy 159.776 96.578788) (xy 159.779738 96.562067) (xy 159.776 96.443131) (xy 159.776 96.392308) (xy 159.773873 96.375478)
+ (xy 159.771198 96.290341) (xy 159.756902 96.241134) (xy 159.750478 96.190284) (xy 159.719115 96.111069) (xy 159.694224 96.025396)
+ (xy 159.67259 95.993563) (xy 159.650399 95.937516) (xy 159.490604 95.717576) (xy 159.424967 95.663276) (xy 158.496666 94.734976)
+ (xy 158.676 94.734976) (xy 158.676 94.199) (xy 159.124 94.199) (xy 159.124 94.734976) (xy 159.51241 94.734976)
+ (xy 159.648269 94.707953) (xy 159.773965 94.623965) (xy 159.857953 94.498269) (xy 159.884976 94.36241) (xy 159.884976 94.199)
+ (xy 159.124 94.199) (xy 158.676 94.199) (xy 158.676 93.751) (xy 159.884976 93.751) (xy 159.884976 93.58759)
+ (xy 159.872725 93.526) (xy 162.229308 93.526)
)
)
(filled_polygon
(pts
- (xy 101.8 91.635354) (xy 101.8 92.04375) (xy 101.88125 92.125) (xy 102.325 92.125) (xy 102.325 92.105)
- (xy 102.675 92.105) (xy 102.675 92.125) (xy 102.695 92.125) (xy 102.695 92.475) (xy 102.675 92.475)
- (xy 102.675 93.14375) (xy 102.75625 93.225) (xy 102.800001 93.225) (xy 102.800001 93.319613) (xy 102.125 93.319613)
- (xy 102.017701 93.340956) (xy 101.926736 93.401736) (xy 101.865956 93.492701) (xy 101.844613 93.6) (xy 101.844613 93.925)
- (xy 101.230387 93.925) (xy 101.230387 93.65) (xy 101.209044 93.542701) (xy 101.148264 93.451736) (xy 101.057299 93.390956)
- (xy 100.95 93.369613) (xy 99.75 93.369613) (xy 99.642701 93.390956) (xy 99.551736 93.451736) (xy 99.531931 93.481376)
- (xy 99.525522 93.465903) (xy 99.434098 93.374479) (xy 99.314647 93.325) (xy 98.90625 93.325) (xy 98.825 93.40625)
- (xy 98.825 94.175) (xy 98.845 94.175) (xy 98.845 94.525) (xy 98.825 94.525) (xy 98.825 95.29375)
- (xy 98.90625 95.375) (xy 99.314647 95.375) (xy 99.434098 95.325521) (xy 99.525522 95.234097) (xy 99.531931 95.218624)
- (xy 99.551736 95.248264) (xy 99.642701 95.309044) (xy 99.75 95.330387) (xy 99.925 95.330387) (xy 99.925 95.391857)
- (xy 99.925001 95.391862) (xy 99.925 95.981079) (xy 98.925 96.98108) (xy 98.925 96.941857) (xy 98.933326 96.9)
- (xy 98.900341 96.734173) (xy 98.84838 96.656408) (xy 98.806408 96.593592) (xy 98.770923 96.569882) (xy 97.278928 95.077888)
- (xy 97.323264 95.048264) (xy 97.384044 94.957299) (xy 97.405387 94.85) (xy 97.405387 94.60625) (xy 97.725 94.60625)
- (xy 97.725 95.114646) (xy 97.774478 95.234097) (xy 97.865902 95.325521) (xy 97.985353 95.375) (xy 98.39375 95.375)
- (xy 98.475 95.29375) (xy 98.475 94.525) (xy 97.80625 94.525) (xy 97.725 94.60625) (xy 97.405387 94.60625)
- (xy 97.405387 93.995653) (xy 97.725 93.67604) (xy 97.725 94.09375) (xy 97.80625 94.175) (xy 98.475 94.175)
- (xy 98.475 93.40625) (xy 98.39375 93.325) (xy 98.076041 93.325) (xy 98.270654 93.130387) (xy 99.25 93.130387)
- (xy 99.357299 93.109044) (xy 99.448264 93.048264) (xy 99.468069 93.018624) (xy 99.474478 93.034097) (xy 99.565902 93.125521)
- (xy 99.685353 93.175) (xy 100.09375 93.175) (xy 100.175 93.09375) (xy 100.175 92.325) (xy 100.525 92.325)
- (xy 100.525 93.09375) (xy 100.60625 93.175) (xy 101.014647 93.175) (xy 101.134098 93.125521) (xy 101.225522 93.034097)
- (xy 101.275 92.914646) (xy 101.275 92.55625) (xy 101.8 92.55625) (xy 101.8 92.964646) (xy 101.849478 93.084097)
- (xy 101.940902 93.175521) (xy 102.060353 93.225) (xy 102.24375 93.225) (xy 102.325 93.14375) (xy 102.325 92.475)
- (xy 101.88125 92.475) (xy 101.8 92.55625) (xy 101.275 92.55625) (xy 101.275 92.40625) (xy 101.19375 92.325)
- (xy 100.525 92.325) (xy 100.175 92.325) (xy 100.155 92.325) (xy 100.155 91.975) (xy 100.175 91.975)
- (xy 100.175 91.955) (xy 100.525 91.955) (xy 100.525 91.975) (xy 101.19375 91.975) (xy 101.275 91.89375)
- (xy 101.275 91.524999) (xy 101.84571 91.524999)
- )
- )
- )
- (zone (net 2) (net_name GND) (layer B.Cu) (tstamp 5C55131C) (hatch edge 0.508)
- (priority 1)
- (connect_pads (clearance 0.15))
- (min_thickness 0.15)
- (fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.5))
- (polygon
- (pts
- (xy 80 100) (xy 80 98.75) (xy 80.5 95.5) (xy 81.5 92.25) (xy 83.25 89)
- (xy 84.75 87) (xy 86.75 85) (xy 88.25 83.75) (xy 90.75 82.25) (xy 93.75 81)
- (xy 96.5 80.25) (xy 98.75 80) (xy 101.25 80) (xy 103 80.25) (xy 105.5 80.75)
- (xy 107.5 81.5) (xy 109.25 82.25) (xy 111 83.25) (xy 112.25 84.25) (xy 114 85.75)
- (xy 115.25 87) (xy 116.5 88.75) (xy 117.5 90.5) (xy 118.5 92.75) (xy 119.25 94.5)
- (xy 119.75 96.5) (xy 120 100) (xy 120 100.75) (xy 119.75 103.5) (xy 119.5 104.5)
- (xy 118.75 107) (xy 117.75 109.25) (xy 116.5 111.25) (xy 115 113.25) (xy 114 114.25)
- (xy 113 115.25) (xy 111.25 116.5) (xy 109.25 117.75) (xy 107 118.75) (xy 105.5 119.25)
- (xy 103.5 119.75) (xy 100.5 120) (xy 99.25 120) (xy 96.75 119.75) (xy 94.5 119.25)
- (xy 92.5 118.5) (xy 89.75 117.25) (xy 88 116) (xy 86.25 114.5) (xy 84.75 113)
- (xy 83.75 111.75) (xy 82.25 109.25) (xy 81.25 107) (xy 80.5 104.5) (xy 80.25 102.75)
- (xy 80 100.75) (xy 80 100.25)
+ (xy 167.516381 85.52162) (xy 167.514901 85.523435) (xy 167.513059 85.524884) (xy 167.42094 85.638642) (xy 167.328359 85.752157)
+ (xy 167.32732 85.754251) (xy 167.325849 85.756067) (xy 167.261176 85.8875) (xy 167.196095 86.018604) (xy 167.195541 86.020893)
+ (xy 167.194502 86.023005) (xy 167.160592 86.165346) (xy 167.126141 86.307748) (xy 167.126108 86.310104) (xy 167.125564 86.312388)
+ (xy 167.124035 86.458381) (xy 167.121985 86.605205) (xy 167.122473 86.607504) (xy 167.122449 86.609854) (xy 167.153323 86.752648)
+ (xy 167.183834 86.896187) (xy 167.184822 86.898327) (xy 167.18532 86.900626) (xy 167.247104 87.033123) (xy 167.30861 87.166233)
+ (xy 167.310053 87.168107) (xy 167.311235 87.170641) (xy 167.347041 87.216142) (xy 167.490125 87.401941) (xy 167.557114 87.457359)
+ (xy 172.15562 92.055866) (xy 172.25936 92.161802) (xy 172.327922 92.205988) (xy 172.391667 92.256874) (xy 172.452564 92.286313)
+ (xy 172.509416 92.322952) (xy 172.586054 92.350845) (xy 172.659498 92.386349) (xy 172.72541 92.401567) (xy 172.789378 92.424849)
+ (xy 172.865659 92.433946) (xy 172.949358 92.453269) (xy 173.030087 92.453551) (xy 173.031586 92.453729) (xy 173.081327 92.453729)
+ (xy 173.246839 92.454307) (xy 173.249425 92.453729) (xy 191.48207 92.453729) (xy 191.361169 92.495948) (xy 191.273851 92.573882)
+ (xy 190.821915 93.173622) (xy 190.80074 93.183496) (xy 190.740369 93.231002) (xy 190.66752 93.276524) (xy 190.610256 93.333391)
+ (xy 190.609071 93.334323) (xy 190.574205 93.36919) (xy 190.456435 93.486141) (xy 190.455012 93.488383) (xy 188.521815 95.42158)
+ (xy 188.415928 95.525272) (xy 188.371744 95.593831) (xy 188.320855 95.657579) (xy 188.291414 95.71848) (xy 188.254778 95.775328)
+ (xy 188.226883 95.851971) (xy 188.19138 95.925411) (xy 188.176165 95.991315) (xy 188.152879 96.05529) (xy 188.143783 96.131574)
+ (xy 188.12446 96.215271) (xy 188.124178 96.295999) (xy 188.124 96.297498) (xy 188.124 96.34724) (xy 188.123422 96.512751)
+ (xy 188.124 96.515337) (xy 188.124 98.049737) (xy 188.148777 98.270629) (xy 188.220082 98.475389) (xy 188.220082 99.23741)
+ (xy 188.242914 99.352199) (xy 188.314967 99.460033) (xy 188.422801 99.532086) (xy 188.53759 99.554918) (xy 190.136258 99.554918)
+ (xy 189.296094 100.395082) (xy 188.53759 100.395082) (xy 188.422801 100.417914) (xy 188.314967 100.489967) (xy 188.242914 100.597801)
+ (xy 188.220082 100.71259) (xy 188.220082 100.749) (xy 186.662957 100.749) (xy 186.613075 100.741412) (xy 186.519783 100.749)
+ (xy 186.498452 100.749) (xy 186.449245 100.754737) (xy 186.331839 100.764287) (xy 186.368905 100.733977) (xy 186.370725 100.731447)
+ (xy 186.37336 100.729783) (xy 186.492826 100.60046) (xy 186.497904 100.5908) (xy 186.505831 100.583305) (xy 186.600755 100.429022)
+ (xy 186.604228 100.417375) (xy 186.611193 100.407417) (xy 186.675857 100.22949) (xy 186.67718 100.214285) (xy 186.682918 100.200142)
+ (xy 186.709265 99.988071) (xy 186.708086 99.979593) (xy 186.709851 99.971218) (xy 186.703431 99.852592) (xy 186.701023 99.845224)
+ (xy 186.7013 99.837474) (xy 186.655334 99.637617) (xy 186.648803 99.625308) (xy 186.64631 99.611598) (xy 186.567645 99.445213)
+ (xy 186.56037 99.436637) (xy 186.556236 99.426177) (xy 186.450869 99.284134) (xy 186.442715 99.277664) (xy 186.437123 99.268884)
+ (xy 186.308146 99.150671) (xy 186.278278 99.135119) (xy 178.595567 93.329549) (xy 178.581208 93.31176) (xy 178.534433 93.277664)
+ (xy 178.534303 93.277536) (xy 178.534105 93.277424) (xy 178.533944 93.277307) (xy 178.533796 93.27725) (xy 178.375763 93.188104)
+ (xy 178.363252 93.184885) (xy 178.352357 93.177948) (xy 178.165067 93.118938) (xy 178.148528 93.118219) (xy 178.132879 93.112809)
+ (xy 177.913055 93.097159) (xy 177.899044 93.099864) (xy 177.884869 93.098228) (xy 177.713583 93.12357) (xy 177.70297 93.128167)
+ (xy 177.691447 93.129136) (xy 177.511761 93.193858) (xy 177.501755 93.200814) (xy 177.490064 93.204267) (xy 177.33684 93.29799)
+ (xy 177.313399 93.32265) (xy 174.676 95.311989) (xy 174.676 94.367925) (xy 174.667797 94.295115) (xy 174.60741 94.169722)
+ (xy 174.492852 94.078364) (xy 174.364197 94.049) (xy 166.561356 94.049) (xy 166.561356 85.78252) (xy 166.842877 85.501)
+ (xy 167.542773 85.501)
)
)
(filled_polygon
(pts
- (xy 82.009645 104.762767) (xy 82.080777 104.869223) (xy 82.50252 105.151023) (xy 82.874427 105.225) (xy 82.874431 105.225)
- (xy 82.999999 105.249977) (xy 83.125567 105.225) (xy 89.201353 105.225) (xy 88.998286 105.295914) (xy 88.626635 105.627625)
- (xy 87.104077 107.648127) (xy 86.887657 108.096813) (xy 86.849878 108.762096) (xy 87.06957 109.391195) (xy 87.513284 109.888336)
- (xy 87.92807 110.088405) (xy 87.928071 112.877497) (xy 87.903093 113.00307) (xy 88.002048 113.50055) (xy 88.20184 113.799559)
- (xy 88.283848 113.922293) (xy 88.390303 113.993424) (xy 90.209645 115.812767) (xy 90.280777 115.919223) (xy 90.70252 116.201023)
- (xy 91.074427 116.275) (xy 91.074431 116.275) (xy 91.199999 116.299977) (xy 91.325567 116.275) (xy 93.773802 116.275)
- (xy 93.424904 116.40332) (xy 93.198714 116.576883) (xy 93.128024 116.775556) (xy 94.065575 117.316851) (xy 94.60687 116.3793)
- (xy 94.484378 116.275) (xy 96.171879 116.275) (xy 96.509645 116.612766) (xy 96.580777 116.719223) (xy 96.743719 116.828097)
- (xy 97.00252 117.001023) (xy 97.5 117.099977) (xy 97.750022 117.050245) (xy 108.693909 117.050245) (xy 108.052686 117.22206)
- (xy 107.954566 117.270447) (xy 107.882433 117.352699) (xy 107.847267 117.456295) (xy 107.854422 117.565462) (xy 108.027467 118.211274)
- (xy 106.972846 118.679995) (xy 105.77908 119.077917) (xy 105.672956 118.78937) (xy 105.499393 118.56318) (xy 105.30072 118.49249)
- (xy 104.808145 119.345655) (xy 104.183672 119.501773) (xy 103.343766 119.016854) (xy 103.207055 119.17741) (xy 103.185964 119.700909)
- (xy 100.49688 119.925) (xy 99.253743 119.925) (xy 96.761907 119.675816) (xy 94.521408 119.177928) (xy 93.333628 118.73251)
- (xy 93.652388 118.73251) (xy 93.812944 118.869221) (xy 94.339635 118.890441) (xy 94.820063 118.713746) (xy 103.518766 118.713746)
- (xy 104.456317 119.255041) (xy 104.997612 118.31749) (xy 104.837056 118.180779) (xy 104.310365 118.159559) (xy 103.815646 118.34151)
- (xy 103.589456 118.515073) (xy 103.518766 118.713746) (xy 94.820063 118.713746) (xy 94.834354 118.70849) (xy 95.060544 118.534927)
- (xy 95.131234 118.336254) (xy 94.193683 117.794959) (xy 93.652388 118.73251) (xy 93.333628 118.73251) (xy 92.528708 118.430665)
- (xy 91.06625 117.765911) (xy 92.795093 117.765911) (xy 92.977044 118.26063) (xy 93.150607 118.48682) (xy 93.34928 118.55751)
- (xy 93.890575 117.619959) (xy 93.668686 117.491851) (xy 94.368683 117.491851) (xy 95.306234 118.033146) (xy 95.442945 117.87259)
- (xy 95.464165 117.345899) (xy 95.282214 116.85118) (xy 95.108651 116.62499) (xy 94.909978 116.5543) (xy 94.368683 117.491851)
- (xy 93.668686 117.491851) (xy 92.953024 117.078664) (xy 92.816313 117.23922) (xy 92.795093 117.765911) (xy 91.06625 117.765911)
- (xy 89.787669 117.184738) (xy 88.563337 116.310215) (xy 87.074992 114.821871) (xy 87.074992 111.496395) (xy 87.025993 111.3781)
- (xy 87.001015 111.252528) (xy 86.929885 111.146074) (xy 86.880885 111.027778) (xy 86.790344 110.937237) (xy 86.719215 110.830785)
- (xy 86.612763 110.759656) (xy 86.522222 110.669115) (xy 86.403926 110.620115) (xy 86.297472 110.548985) (xy 86.1719 110.524007)
- (xy 86.053605 110.475008) (xy 85.925565 110.475008) (xy 85.799992 110.45003) (xy 85.674419 110.475008) (xy 85.546379 110.475008)
- (xy 85.428084 110.524007) (xy 85.302513 110.548985) (xy 85.196061 110.620114) (xy 85.077762 110.669115) (xy 84.987219 110.759658)
- (xy 84.88077 110.830785) (xy 84.809642 110.937235) (xy 84.719099 111.027778) (xy 84.670099 111.146075) (xy 84.598969 111.252528)
- (xy 84.573991 111.378101) (xy 84.524992 111.496395) (xy 84.524992 112.598682) (xy 83.811717 111.707088) (xy 82.316673 109.215347)
- (xy 81.320469 106.97389) (xy 80.573451 104.48383) (xy 80.380575 103.133696)
+ (xy 185.699001 73.61727) (xy 185.699 76.987546) (xy 185.693992 77.083096) (xy 185.714644 77.123628) (xy 185.730438 77.19793)
+ (xy 185.849378 77.361639) (xy 185.882732 77.380896) (xy 186.874001 78.372167) (xy 186.874 79.977836) (xy 186.508936 80.3429)
+ (xy 186.529918 80.23741) (xy 186.529918 78.71259) (xy 186.507086 78.597801) (xy 186.435033 78.489967) (xy 186.327199 78.417914)
+ (xy 186.21241 78.395082) (xy 185.113956 78.395082) (xy 185.083574 78.3647) (xy 185.054225 78.320775) (xy 184.880235 78.20452)
+ (xy 184.738976 78.176421) (xy 184.675 78.163695) (xy 184.623195 78.174) (xy 182.182536 78.174) (xy 182.187509 78.149)
+ (xy 181.807107 78.149) (xy 181.787409 78.145082) (xy 180.312591 78.145082) (xy 180.292893 78.149) (xy 179.912493 78.149)
+ (xy 179.967047 78.423269) (xy 179.995082 78.465226) (xy 179.995082 78.93741) (xy 180.012504 79.025) (xy 179.995082 79.11259)
+ (xy 179.995082 79.58741) (xy 180.012504 79.675) (xy 179.995082 79.76259) (xy 179.995082 80.23741) (xy 180.012504 80.325)
+ (xy 179.995082 80.41259) (xy 179.995082 80.88741) (xy 180.017914 81.002199) (xy 180.089967 81.110033) (xy 180.197801 81.182086)
+ (xy 180.31259 81.204918) (xy 180.374001 81.204918) (xy 180.374001 81.395082) (xy 180.18759 81.395082) (xy 180.072801 81.417914)
+ (xy 179.964967 81.489967) (xy 179.892914 81.597801) (xy 179.870082 81.71259) (xy 179.870082 83.23741) (xy 179.892914 83.352199)
+ (xy 179.964967 83.460033) (xy 180.072801 83.532086) (xy 180.18759 83.554918) (xy 181.71241 83.554918) (xy 181.827199 83.532086)
+ (xy 181.935033 83.460033) (xy 182.007086 83.352199) (xy 182.029918 83.23741) (xy 182.029918 81.71259) (xy 182.007086 81.597801)
+ (xy 181.935033 81.489967) (xy 181.827199 81.417914) (xy 181.71241 81.395082) (xy 181.526 81.395082) (xy 181.526 81.204918)
+ (xy 181.78741 81.204918) (xy 181.902199 81.182086) (xy 182.010033 81.110033) (xy 182.082086 81.002199) (xy 182.104918 80.88741)
+ (xy 182.104918 80.798079) (xy 186.784599 85.477762) (xy 186.848635 85.548882) (xy 186.891903 85.562941) (xy 186.955609 85.604311)
+ (xy 187.155469 85.635966) (xy 187.192665 85.626) (xy 198.047785 85.626) (xy 198.067914 85.727199) (xy 198.139967 85.835033)
+ (xy 198.247801 85.907086) (xy 198.36259 85.929918) (xy 199.18741 85.929918) (xy 199.302199 85.907086) (xy 199.410033 85.835033)
+ (xy 199.482086 85.727199) (xy 199.504918 85.61241) (xy 199.504918 84.68759) (xy 199.482086 84.572801) (xy 199.410033 84.464967)
+ (xy 199.302199 84.392914) (xy 199.18741 84.370082) (xy 198.36259 84.370082) (xy 198.247801 84.392914) (xy 198.139967 84.464967)
+ (xy 198.067914 84.572801) (xy 198.047785 84.674) (xy 187.327167 84.674) (xy 186.027167 83.374) (xy 198.940024 83.374)
+ (xy 198.940024 83.61241) (xy 198.967047 83.748269) (xy 199.051035 83.873965) (xy 199.176731 83.957953) (xy 199.31259 83.984976)
+ (xy 199.501 83.984976) (xy 199.501 83.374) (xy 199.949 83.374) (xy 199.949 83.984976) (xy 200.13741 83.984976)
+ (xy 200.273269 83.957953) (xy 200.398965 83.873965) (xy 200.482953 83.748269) (xy 200.509976 83.61241) (xy 200.509976 83.374)
+ (xy 199.949 83.374) (xy 199.501 83.374) (xy 198.940024 83.374) (xy 186.027167 83.374) (xy 185.340757 82.68759)
+ (xy 198.940024 82.68759) (xy 198.940024 82.926) (xy 199.501 82.926) (xy 199.501 82.315024) (xy 199.949 82.315024)
+ (xy 199.949 82.926) (xy 200.509976 82.926) (xy 200.509976 82.68759) (xy 200.482953 82.551731) (xy 200.398965 82.426035)
+ (xy 200.273269 82.342047) (xy 200.13741 82.315024) (xy 199.949 82.315024) (xy 199.501 82.315024) (xy 199.31259 82.315024)
+ (xy 199.176731 82.342047) (xy 199.051035 82.426035) (xy 198.967047 82.551731) (xy 198.940024 82.68759) (xy 185.340757 82.68759)
+ (xy 184.70259 82.049423) (xy 184.775468 82.060966) (xy 184.812663 82.051) (xy 185.937545 82.051) (xy 186.033097 82.056009)
+ (xy 186.073629 82.035356) (xy 186.147931 82.019563) (xy 186.311639 81.900622) (xy 186.330896 81.867268) (xy 187.677784 80.520382)
+ (xy 187.748882 80.456367) (xy 187.762941 80.413099) (xy 187.804311 80.349393) (xy 187.835966 80.149532) (xy 187.826 80.112337)
+ (xy 187.826 79.978765) (xy 188.750005 80.902772) (xy 188.814032 80.973882) (xy 188.8573 80.987941) (xy 188.921006 81.029311)
+ (xy 189.120866 81.060966) (xy 189.158062 81.051) (xy 191.137545 81.051) (xy 191.233097 81.056009) (xy 191.273629 81.035356)
+ (xy 191.347931 81.019563) (xy 191.511639 80.900622) (xy 191.530896 80.867268) (xy 192.127774 80.270392) (xy 192.198882 80.206367)
+ (xy 192.212941 80.163099) (xy 192.254311 80.099393) (xy 192.285966 79.899533) (xy 192.276 79.862337) (xy 192.276 74.901)
+ (xy 193.304308 74.901) (xy 202.473999 84.070692) (xy 202.474 85.970536) (xy 202.201996 85.970536) (xy 201.963505 86.026629)
+ (xy 201.792969 86.155411) (xy 201.682064 86.334528) (xy 201.645536 86.529938) (xy 201.645536 87.023004) (xy 201.701629 87.261495)
+ (xy 201.830411 87.432031) (xy 202.009528 87.542936) (xy 202.204938 87.579464) (xy 202.473999 87.579464) (xy 202.473999 88.159413)
+ (xy 201.553451 87.238866) (xy 201.567936 87.215472) (xy 201.604464 87.020062) (xy 201.604464 86.526996) (xy 201.548371 86.288505)
+ (xy 201.419589 86.117969) (xy 201.240472 86.007064) (xy 201.226 86.004359) (xy 201.226 85.891182) (xy 201.310033 85.835033)
+ (xy 201.382086 85.727199) (xy 201.404918 85.61241) (xy 201.404918 84.68759) (xy 201.382086 84.572801) (xy 201.310033 84.464967)
+ (xy 201.202199 84.392914) (xy 201.08741 84.370082) (xy 200.26259 84.370082) (xy 200.147801 84.392914) (xy 200.039967 84.464967)
+ (xy 199.967914 84.572801) (xy 199.945082 84.68759) (xy 199.945082 85.61241) (xy 199.967914 85.727199) (xy 200.039967 85.835033)
+ (xy 200.147801 85.907086) (xy 200.26259 85.929918) (xy 200.274001 85.929918) (xy 200.274 86.012401) (xy 200.213505 86.026629)
+ (xy 200.042969 86.155411) (xy 199.932064 86.334528) (xy 199.895536 86.529938) (xy 199.895536 87.023004) (xy 199.951629 87.261495)
+ (xy 200.080411 87.432031) (xy 200.250374 87.537267) (xy 200.315918 87.643602) (xy 200.37059 87.685175) (xy 201.773991 89.088577)
+ (xy 201.773992 97.326112) (xy 201.646943 97.132331) (xy 201.554207 97.044481) (xy 195.526936 91.017211) (xy 195.645623 90.859707)
+ (xy 195.696471 90.754291) (xy 195.703822 90.624809) (xy 195.661066 90.50237) (xy 195.583132 90.415052) (xy 194.125766 89.316849)
+ (xy 194.02035 89.266001) (xy 193.890868 89.25865) (xy 193.768429 89.301406) (xy 193.681111 89.37934) (xy 193.362819 89.801729)
+ (xy 182.001 89.801729) (xy 182.001 88.492863) (xy 181.974074 88.322858) (xy 181.869667 88.117948) (xy 181.858564 88.106846)
+ (xy 181.848371 88.063505) (xy 181.719589 87.892969) (xy 181.540472 87.782064) (xy 181.345062 87.745536) (xy 180.751996 87.745536)
+ (xy 180.513505 87.801629) (xy 180.342969 87.930411) (xy 180.232064 88.109528) (xy 180.195536 88.304938) (xy 180.195536 88.798004)
+ (xy 180.251629 89.036495) (xy 180.380411 89.207031) (xy 180.549 89.311416) (xy 180.549001 89.801729) (xy 173.651975 89.801729)
+ (xy 172.649246 88.799) (xy 173.790024 88.799) (xy 173.790024 89.08741) (xy 173.817047 89.223269) (xy 173.901035 89.348965)
+ (xy 174.026731 89.432953) (xy 174.16259 89.459976) (xy 174.451 89.459976) (xy 174.451 88.799) (xy 174.899 88.799)
+ (xy 174.899 89.459976) (xy 175.18741 89.459976) (xy 175.323269 89.432953) (xy 175.448965 89.348965) (xy 175.532953 89.223269)
+ (xy 175.559976 89.08741) (xy 175.559976 88.799) (xy 174.899 88.799) (xy 174.451 88.799) (xy 173.790024 88.799)
+ (xy 172.649246 88.799) (xy 171.912836 88.06259) (xy 173.790024 88.06259) (xy 173.790024 88.351) (xy 174.451 88.351)
+ (xy 174.451 87.690024) (xy 174.899 87.690024) (xy 174.899 88.351) (xy 175.559976 88.351) (xy 175.559976 88.06259)
+ (xy 175.532953 87.926731) (xy 175.448965 87.801035) (xy 175.323269 87.717047) (xy 175.18741 87.690024) (xy 174.899 87.690024)
+ (xy 174.451 87.690024) (xy 174.16259 87.690024) (xy 174.026731 87.717047) (xy 173.901035 87.801035) (xy 173.817047 87.926731)
+ (xy 173.790024 88.06259) (xy 171.912836 88.06259) (xy 170.009635 86.159389) (xy 170.051805 86.151) (xy 175.048195 86.151)
+ (xy 175.1 86.161305) (xy 175.163976 86.148579) (xy 175.305234 86.12048) (xy 175.479224 86.004226) (xy 175.508574 85.960301)
+ (xy 176.158616 85.31026) (xy 176.158618 85.310257) (xy 176.649 84.819876) (xy 176.649 87.747785) (xy 176.547801 87.767914)
+ (xy 176.439967 87.839967) (xy 176.367914 87.947801) (xy 176.345082 88.06259) (xy 176.345082 89.08741) (xy 176.367914 89.202199)
+ (xy 176.439967 89.310033) (xy 176.547801 89.382086) (xy 176.66259 89.404918) (xy 177.68741 89.404918) (xy 177.802199 89.382086)
+ (xy 177.910033 89.310033) (xy 177.932773 89.276) (xy 178.7418 89.276) (xy 178.809528 89.317936) (xy 179.004938 89.354464)
+ (xy 179.598004 89.354464) (xy 179.836495 89.298371) (xy 180.007031 89.169589) (xy 180.117936 88.990472) (xy 180.154464 88.795062)
+ (xy 180.154464 88.301996) (xy 180.098371 88.063505) (xy 179.969589 87.892969) (xy 179.790472 87.782064) (xy 179.595062 87.745536)
+ (xy 179.001996 87.745536) (xy 178.763505 87.801629) (xy 178.733881 87.824) (xy 177.886136 87.824) (xy 177.802199 87.767914)
+ (xy 177.701 87.747785) (xy 177.701 79.001803) (xy 177.711305 78.949999) (xy 177.693819 78.862095) (xy 177.67048 78.744765)
+ (xy 177.554225 78.570775) (xy 177.510309 78.541432) (xy 176.844875 77.876) (xy 179.927411 77.876) (xy 179.912493 77.951)
+ (xy 180.292893 77.951) (xy 180.312591 77.954918) (xy 181.787409 77.954918) (xy 181.807107 77.951) (xy 182.187509 77.951)
+ (xy 182.182536 77.926) (xy 184.698195 77.926) (xy 184.75 77.936305) (xy 184.813976 77.923579) (xy 184.955234 77.89548)
+ (xy 185.129225 77.779225) (xy 185.158573 77.735302) (xy 185.485309 77.408568) (xy 185.529225 77.379225) (xy 185.64548 77.205235)
+ (xy 185.673579 77.063976) (xy 185.686305 77.000001) (xy 185.676 76.948197) (xy 185.676 73.612695)
)
)
(filled_polygon
(pts
- (xy 94.509645 107.812767) (xy 94.580777 107.919223) (xy 95.00252 108.201023) (xy 95.374427 108.275) (xy 95.374431 108.275)
- (xy 95.499999 108.299977) (xy 95.625567 108.275) (xy 103.320865 108.275) (xy 104.883961 109.838096) (xy 104.887657 109.903187)
- (xy 105.104077 110.351873) (xy 106.626635 112.372375) (xy 106.998286 112.704086) (xy 107.344531 112.825) (xy 106.562436 112.825)
- (xy 104.133254 110.395818) (xy 104.275 110.053613) (xy 104.275 109.546387) (xy 104.080893 109.07777) (xy 103.72223 108.719107)
- (xy 103.253613 108.525) (xy 102.746387 108.525) (xy 102.27777 108.719107) (xy 101.919107 109.07777) (xy 101.725 109.546387)
- (xy 101.725 110.053613) (xy 101.919107 110.52223) (xy 102.160245 110.763368) (xy 102.175768 110.841407) (xy 102.36916 111.13084)
- (xy 102.442223 111.179659) (xy 105.520341 114.257777) (xy 105.56916 114.33084) (xy 105.822693 114.500245) (xy 98.003366 114.500245)
- (xy 97.690356 114.187235) (xy 97.619223 114.080777) (xy 97.19748 113.798977) (xy 96.825573 113.725) (xy 96.825568 113.725)
- (xy 96.7 113.700023) (xy 96.574432 113.725) (xy 91.728122 113.725) (xy 90.47807 112.474949) (xy 90.47807 111.568575)
- (xy 90.66343 112.099363) (xy 91.107144 112.596504) (xy 91.707331 112.885999) (xy 92.372614 112.923778) (xy 93.001713 112.704086)
- (xy 93.373364 112.372376) (xy 94.895923 110.351874) (xy 95.112343 109.903189) (xy 95.150122 109.237905) (xy 94.93043 108.608805)
- (xy 94.486715 108.111665) (xy 93.886529 107.822169) (xy 93.861059 107.820723) (xy 93.969365 107.559248) (xy 93.969365 107.272487)
+ (xy 165.409357 93.792477) (xy 165.394225 93.740395) (xy 165.372591 93.708562) (xy 165.3504 93.652515) (xy 165.190605 93.432574)
+ (xy 165.124968 93.378275) (xy 163.519846 91.773154) (xy 163.510661 91.758681) (xy 163.423881 91.677189) (xy 163.387981 91.641288)
+ (xy 163.374589 91.6309) (xy 163.312484 91.57258) (xy 163.267574 91.54789) (xy 163.22708 91.516481) (xy 163.148913 91.482656)
+ (xy 163.070711 91.439664) (xy 163.032894 91.43245) (xy 162.977579 91.408513) (xy 162.709066 91.365984) (xy 162.624268 91.374)
+ (xy 159.132308 91.374) (xy 158.930283 91.399522) (xy 158.751309 91.470383) (xy 159.058713 91.16298) (xy 159.183519 91.002081)
+ (xy 159.291488 90.75258) (xy 159.334016 90.484067) (xy 159.308432 90.213413) (xy 159.216342 89.957624) (xy 159.063533 89.732774)
+ (xy 158.910106 89.597509) (xy 158.957086 89.527199) (xy 158.979918 89.41241) (xy 158.979918 88.48759) (xy 158.97264 88.451)
+ (xy 159.42736 88.451) (xy 159.420082 88.48759) (xy 159.420082 89.41241) (xy 159.442914 89.527199) (xy 159.514967 89.635033)
+ (xy 159.612196 89.7) (xy 159.514967 89.764967) (xy 159.442914 89.872801) (xy 159.420082 89.98759) (xy 159.420082 90.91241)
+ (xy 159.442914 91.027199) (xy 159.514967 91.135033) (xy 159.622801 91.207086) (xy 159.73759 91.229918) (xy 160.56241 91.229918)
+ (xy 160.677199 91.207086) (xy 160.785033 91.135033) (xy 160.857086 91.027199) (xy 160.86727 90.976) (xy 161.187401 90.976)
+ (xy 161.201629 91.036495) (xy 161.330411 91.207031) (xy 161.509528 91.317936) (xy 161.704938 91.354464) (xy 162.198004 91.354464)
+ (xy 162.436495 91.298371) (xy 162.607031 91.169589) (xy 162.717936 90.990472) (xy 162.754464 90.795062) (xy 162.754464 90.201996)
+ (xy 162.698371 89.963505) (xy 162.569589 89.792969) (xy 162.390472 89.682064) (xy 162.195062 89.645536) (xy 161.701996 89.645536)
+ (xy 161.463505 89.701629) (xy 161.292969 89.830411) (xy 161.182064 90.009528) (xy 161.179359 90.024) (xy 160.879918 90.024)
+ (xy 160.879918 89.98759) (xy 160.857086 89.872801) (xy 160.785033 89.764967) (xy 160.687804 89.7) (xy 160.785033 89.635033)
+ (xy 160.857086 89.527199) (xy 160.879918 89.41241) (xy 160.879918 88.48759) (xy 160.87264 88.451) (xy 162.834715 88.451)
+ (xy 162.930267 88.456009) (xy 162.970799 88.435356) (xy 163.045101 88.419563) (xy 163.208809 88.300622) (xy 163.228067 88.267266)
+ (xy 165.409357 86.085977)
)
)
(filled_polygon
(pts
- (xy 102.987321 80.32395) (xy 105.479346 80.822355) (xy 107.472047 81.569618) (xy 109.216518 82.317248) (xy 110.957712 83.312217)
- (xy 112.20216 84.307775) (xy 112.835712 84.850819) (xy 112.76443 84.864998) (xy 111.496387 84.864998) (xy 111.378093 84.913997)
- (xy 111.25252 84.938975) (xy 111.146066 85.010105) (xy 111.02777 85.059105) (xy 110.937229 85.149646) (xy 110.830777 85.220775)
- (xy 110.759648 85.327227) (xy 110.669107 85.417768) (xy 110.620107 85.536064) (xy 110.548977 85.642518) (xy 110.523999 85.76809)
- (xy 110.475 85.886385) (xy 110.475 86.014425) (xy 110.450022 86.139998) (xy 110.475 86.265571) (xy 110.475 86.393611)
- (xy 110.523999 86.511906) (xy 110.548977 86.637478) (xy 110.620107 86.743932) (xy 110.669107 86.862228) (xy 110.759648 86.952769)
- (xy 110.830777 87.059221) (xy 110.937229 87.13035) (xy 111.02777 87.220891) (xy 111.146066 87.269891) (xy 111.25252 87.341021)
- (xy 111.378093 87.365999) (xy 111.496387 87.414998) (xy 112.361877 87.414998) (xy 113.147385 88.200507) (xy 111.545111 89.802782)
- (xy 111.221245 89.784391) (xy 110.592146 90.004082) (xy 110.220495 90.335793) (xy 108.697937 92.356295) (xy 108.481517 92.804981)
- (xy 108.443738 93.470264) (xy 108.597229 93.909793) (xy 108.07807 93.390634) (xy 108.07807 91.267998) (xy 109.302063 89.643706)
- (xy 109.518483 89.195021) (xy 109.556262 88.529737) (xy 109.33657 87.900637) (xy 108.892855 87.403497) (xy 108.292669 87.114001)
- (xy 107.627385 87.076223) (xy 106.998286 87.295914) (xy 106.626635 87.627625) (xy 105.104077 89.648127) (xy 104.887657 90.096813)
- (xy 104.849878 90.762096) (xy 105.06957 91.391195) (xy 105.513284 91.888336) (xy 106.113471 92.177831) (xy 106.328071 92.190017)
- (xy 106.32807 93.666892) (xy 106.310928 93.75307) (xy 106.32807 93.839248) (xy 106.378838 94.094477) (xy 106.57223 94.38391)
- (xy 106.645293 94.432729) (xy 108.370341 96.157777) (xy 108.41916 96.23084) (xy 108.708592 96.424232) (xy 108.963821 96.475)
- (xy 109.049999 96.492142) (xy 109.136177 96.475) (xy 111.263822 96.475) (xy 111.35 96.492142) (xy 111.436178 96.475)
- (xy 111.436179 96.475) (xy 111.691408 96.424232) (xy 111.98084 96.23084) (xy 112.029659 96.157777) (xy 115.324742 92.862695)
- (xy 115.52223 92.780893) (xy 115.880893 92.42223) (xy 116.075 91.953613) (xy 116.075 91.446387) (xy 115.880893 90.97777)
- (xy 115.52223 90.619107) (xy 115.053613 90.425) (xy 114.546387 90.425) (xy 114.516937 90.437198) (xy 114.950507 90.003629)
- (xy 117.725001 92.778123) (xy 117.725 106.271878) (xy 111.558258 112.438621) (xy 111.621162 112.344478) (xy 111.67193 112.089249)
- (xy 111.689072 112.003071) (xy 111.67193 111.916893) (xy 111.67193 110.190017) (xy 111.886529 110.177831) (xy 112.486715 109.888335)
- (xy 112.93043 109.391195) (xy 113.150122 108.762095) (xy 113.112343 108.096811) (xy 112.895923 107.648126) (xy 111.373364 105.627624)
- (xy 111.001713 105.295914) (xy 110.372614 105.076222) (xy 109.707331 105.114001) (xy 109.107144 105.403496) (xy 108.66343 105.900637)
- (xy 108.443738 106.529736) (xy 108.481517 107.195019) (xy 108.697937 107.643705) (xy 109.92193 109.267999) (xy 109.921931 111.640633)
- (xy 108.737564 112.825) (xy 108.419133 112.825) (xy 108.892855 112.596503) (xy 109.33657 112.099363) (xy 109.556262 111.470263)
- (xy 109.518483 110.804979) (xy 109.302063 110.356294) (xy 107.809049 108.375) (xy 108.034266 108.375) (xy 108.282357 108.272237)
- (xy 108.472237 108.082357) (xy 108.575 107.834266) (xy 108.575 107.565734) (xy 108.472237 107.317643) (xy 108.282357 107.127763)
- (xy 108.034266 107.025) (xy 107.765734 107.025) (xy 107.517643 107.127763) (xy 107.327763 107.317643) (xy 107.225 107.565734)
- (xy 107.225 107.809316) (xy 107.127973 107.906343) (xy 106.778754 107.78439) (xy 106.454889 107.802781) (xy 104.839342 106.187235)
- (xy 104.768209 106.080777) (xy 104.346466 105.798977) (xy 103.974559 105.725) (xy 103.974554 105.725) (xy 103.848986 105.700023)
- (xy 103.723418 105.725) (xy 96.028122 105.725) (xy 94.703122 104.4) (xy 98.307858 104.4) (xy 98.325 104.486178)
- (xy 98.325 104.574048) (xy 98.358627 104.655231) (xy 98.375769 104.741408) (xy 98.424583 104.814464) (xy 98.458211 104.895648)
- (xy 98.520347 104.957784) (xy 98.569161 105.030839) (xy 98.642216 105.079653) (xy 98.704352 105.141789) (xy 98.785536 105.175417)
- (xy 98.858592 105.224231) (xy 98.944769 105.241373) (xy 99.025952 105.275) (xy 99.113822 105.275) (xy 99.2 105.292142)
- (xy 99.286178 105.275) (xy 99.374048 105.275) (xy 99.455231 105.241373) (xy 99.541408 105.224231) (xy 99.614464 105.175416)
- (xy 99.695648 105.141789) (xy 99.790573 105.046864) (xy 99.877763 105.257357) (xy 100.067643 105.447237) (xy 100.315734 105.55)
- (xy 100.584266 105.55) (xy 100.832357 105.447237) (xy 100.9625 105.317094) (xy 101.117643 105.472237) (xy 101.365734 105.575)
- (xy 101.634266 105.575) (xy 101.882357 105.472237) (xy 102.072237 105.282357) (xy 102.175 105.034266) (xy 102.175 104.765734)
- (xy 102.072237 104.517643) (xy 101.925 104.370406) (xy 101.925 103.679615) (xy 102.022258 103.582357) (xy 102.125021 103.334266)
- (xy 102.125021 103.065734) (xy 102.022258 102.817643) (xy 101.832378 102.627763) (xy 101.704712 102.574882) (xy 101.797237 102.482357)
- (xy 101.9 102.234266) (xy 101.9 101.965734) (xy 101.797237 101.717643) (xy 101.607357 101.527763) (xy 101.359266 101.425)
- (xy 101.090734 101.425) (xy 100.842643 101.527763) (xy 100.652763 101.717643) (xy 100.61645 101.80531) (xy 100.591374 101.744772)
- (xy 100.574232 101.658592) (xy 100.525415 101.585532) (xy 100.491789 101.504352) (xy 100.429657 101.44222) (xy 100.38084 101.36916)
- (xy 100.30778 101.320343) (xy 100.245648 101.258211) (xy 100.164468 101.224585) (xy 100.091408 101.175768) (xy 100.005228 101.158626)
- (xy 99.924048 101.125) (xy 99.836179 101.125) (xy 99.75 101.107858) (xy 99.663821 101.125) (xy 99.575952 101.125)
- (xy 99.494771 101.158626) (xy 99.408593 101.175768) (xy 99.335534 101.224584) (xy 99.254352 101.258211) (xy 99.192218 101.320345)
- (xy 99.119161 101.36916) (xy 99.070346 101.442217) (xy 99.008211 101.504352) (xy 98.974584 101.585536) (xy 98.925769 101.658592)
- (xy 98.908628 101.744768) (xy 98.875 101.825952) (xy 98.875 102.174048) (xy 98.875001 102.17405) (xy 98.875 103.487564)
- (xy 98.704354 103.65821) (xy 98.704352 103.658211) (xy 98.458211 103.904352) (xy 98.424584 103.985536) (xy 98.375769 104.058592)
- (xy 98.358627 104.144769) (xy 98.325 104.225952) (xy 98.325 104.313822) (xy 98.307858 104.4) (xy 94.703122 104.4)
- (xy 93.440356 103.137235) (xy 93.369223 103.030777) (xy 92.94748 102.748977) (xy 92.575573 102.675) (xy 92.575568 102.675)
- (xy 92.45 102.650023) (xy 92.324432 102.675) (xy 83.528122 102.675) (xy 81.924999 101.071878) (xy 81.924999 96.75)
- (xy 81.98296 96.75) (xy 82.070273 97.188953) (xy 82.31892 97.56108) (xy 82.691047 97.809727) (xy 83.019197 97.875)
- (xy 83.240803 97.875) (xy 83.568953 97.809727) (xy 83.94108 97.56108) (xy 84.189727 97.188953) (xy 84.206504 97.104609)
- (xy 84.54977 97.104609) (xy 84.770745 97.50631) (xy 85.128624 97.79287) (xy 85.315395 97.870214) (xy 85.495001 97.829659)
- (xy 85.495001 96.925) (xy 85.845001 96.925) (xy 85.845001 97.829659) (xy 86.024607 97.870214) (xy 86.211378 97.79287)
- (xy 86.569257 97.50631) (xy 86.790232 97.104609) (xy 86.750663 96.925) (xy 85.845001 96.925) (xy 85.495001 96.925)
- (xy 84.589339 96.925) (xy 84.54977 97.104609) (xy 84.206504 97.104609) (xy 84.27704 96.75) (xy 87.06296 96.75)
- (xy 87.150273 97.188953) (xy 87.39892 97.56108) (xy 87.771047 97.809727) (xy 88.099197 97.875) (xy 88.320803 97.875)
- (xy 88.648953 97.809727) (xy 89.02108 97.56108) (xy 89.269727 97.188953) (xy 89.35704 96.75) (xy 89.269727 96.311047)
- (xy 89.02108 95.93892) (xy 88.648953 95.690273) (xy 88.320803 95.625) (xy 88.099197 95.625) (xy 87.771047 95.690273)
- (xy 87.39892 95.93892) (xy 87.150273 96.311047) (xy 87.06296 96.75) (xy 84.27704 96.75) (xy 84.206505 96.395391)
- (xy 84.54977 96.395391) (xy 84.589339 96.575) (xy 85.495001 96.575) (xy 85.495001 95.670341) (xy 85.845001 95.670341)
- (xy 85.845001 96.575) (xy 86.750663 96.575) (xy 86.790232 96.395391) (xy 86.569257 95.99369) (xy 86.211378 95.70713)
- (xy 86.024607 95.629786) (xy 85.845001 95.670341) (xy 85.495001 95.670341) (xy 85.315395 95.629786) (xy 85.128624 95.70713)
- (xy 84.770745 95.99369) (xy 84.54977 96.395391) (xy 84.206505 96.395391) (xy 84.189727 96.311047) (xy 83.94108 95.93892)
- (xy 83.568953 95.690273) (xy 83.240803 95.625) (xy 83.019197 95.625) (xy 82.691047 95.690273) (xy 82.31892 95.93892)
- (xy 82.070273 96.311047) (xy 81.98296 96.75) (xy 81.924999 96.75) (xy 81.924999 95.31812) (xy 89.295506 87.947614)
- (xy 90.477821 89.129928) (xy 90.481517 89.195019) (xy 90.697937 89.643705) (xy 92.220495 91.664207) (xy 92.592146 91.995918)
- (xy 93.221245 92.215609) (xy 93.886529 92.177831) (xy 94.486715 91.888335) (xy 94.93043 91.391195) (xy 95.150122 90.762095)
- (xy 95.112343 90.096811) (xy 94.895923 89.648126) (xy 94.124608 88.624558) (xy 94.246387 88.675) (xy 94.537564 88.675)
- (xy 95.225 89.362437) (xy 95.225001 92.337562) (xy 93.937563 93.625001) (xy 92.162436 93.625001) (xy 91.529083 92.991649)
- (xy 91.518483 92.804979) (xy 91.302063 92.356294) (xy 89.779504 90.335792) (xy 89.407853 90.004082) (xy 88.778754 89.78439)
- (xy 88.113471 89.822169) (xy 87.513284 90.111664) (xy 87.06957 90.608805) (xy 86.849878 91.237904) (xy 86.887657 91.903187)
- (xy 87.104077 92.351873) (xy 88.626635 94.372375) (xy 88.998286 94.704086) (xy 89.627385 94.923777) (xy 90.026713 94.901101)
- (xy 90.008142 94.945935) (xy 90.008142 95.214467) (xy 90.110905 95.462558) (xy 90.26796 95.619613) (xy 89.9 95.619613)
- (xy 89.792701 95.640956) (xy 89.701736 95.701736) (xy 89.640956 95.792701) (xy 89.619613 95.9) (xy 89.619613 97.6)
- (xy 89.640956 97.707299) (xy 89.701736 97.798264) (xy 89.792701 97.859044) (xy 89.9 97.880387) (xy 91.6 97.880387)
- (xy 91.707299 97.859044) (xy 91.798264 97.798264) (xy 91.859044 97.707299) (xy 91.880387 97.6) (xy 91.880387 95.9)
- (xy 91.876449 95.8802) (xy 94.846091 95.8802) (xy 94.885485 95.888036) (xy 94.924879 95.8802) (xy 95.041557 95.856991)
- (xy 95.173869 95.768584) (xy 95.196188 95.735181) (xy 97.354988 93.576383) (xy 97.388384 93.554069) (xy 97.476791 93.421757)
- (xy 97.5 93.305079) (xy 97.5 93.305078) (xy 97.507836 93.265686) (xy 97.5 93.226293) (xy 97.5 90.890737)
- (xy 97.86576 90.524978) (xy 98.109341 90.524978) (xy 98.357432 90.422215) (xy 98.547312 90.232335) (xy 98.650075 89.984244)
- (xy 98.650075 89.715712) (xy 98.547312 89.467621) (xy 98.357432 89.277741) (xy 98.109341 89.174978) (xy 97.840809 89.174978)
- (xy 97.592718 89.277741) (xy 97.402838 89.467621) (xy 97.300075 89.715712) (xy 97.300075 89.959293) (xy 96.975 90.284369)
- (xy 96.975 89.086179) (xy 96.992142 89) (xy 96.924232 88.658592) (xy 96.805497 88.480893) (xy 96.73084 88.36916)
- (xy 96.65778 88.320343) (xy 95.775 87.437564) (xy 95.775 87.146387) (xy 95.580893 86.67777) (xy 95.22223 86.319107)
- (xy 94.753613 86.125) (xy 94.246387 86.125) (xy 93.77777 86.319107) (xy 93.419107 86.67777) (xy 93.225 87.146387)
- (xy 93.225 87.495205) (xy 93.001713 87.295914) (xy 92.372614 87.076222) (xy 92.048749 87.094613) (xy 90.44137 85.487235)
- (xy 90.370237 85.380777) (xy 90.310291 85.340723) (xy 90.270237 85.280777) (xy 90.264856 85.277182) (xy 90.261261 85.271801)
- (xy 89.839518 84.990001) (xy 89.467611 84.916024) (xy 89.467606 84.916024) (xy 89.342038 84.891047) (xy 89.21647 84.916024)
- (xy 87.696387 84.916024) (xy 87.578093 84.965023) (xy 87.45252 84.990001) (xy 87.346066 85.061131) (xy 87.22777 85.110131)
- (xy 87.137229 85.200672) (xy 87.030777 85.271801) (xy 86.959648 85.378253) (xy 86.869107 85.468794) (xy 86.820107 85.58709)
- (xy 86.748977 85.693544) (xy 86.723999 85.819116) (xy 86.675 85.937411) (xy 86.675 86.065451) (xy 86.650022 86.191024)
- (xy 86.675 86.316597) (xy 86.675 86.444637) (xy 86.723999 86.562932) (xy 86.748977 86.688504) (xy 86.820107 86.794958)
- (xy 86.826496 86.810381) (xy 81.816537 91.82034) (xy 83.313369 89.040508) (xy 84.806802 87.049264) (xy 86.800624 85.055442)
- (xy 88.293562 83.811327) (xy 90.783895 82.317127) (xy 93.774393 81.071086) (xy 96.514094 80.323895) (xy 98.754151 80.075)
- (xy 101.244671 80.075)
+ (xy 173.274001 81.052526) (xy 173.268992 81.148093) (xy 173.289646 81.188629) (xy 173.305439 81.26293) (xy 173.424379 81.426637)
+ (xy 173.45773 81.445892) (xy 174.22686 82.215024) (xy 174.124 82.215024) (xy 174.124 83.734976) (xy 174.51241 83.734976)
+ (xy 174.648269 83.707953) (xy 174.674001 83.690759) (xy 174.674001 83.777833) (xy 174.452845 83.99899) (xy 170.532107 83.99899)
+ (xy 170.130335 83.597219) (xy 170.066299 83.526099) (xy 170.023031 83.512041) (xy 169.959326 83.470671) (xy 169.759465 83.439016)
+ (xy 169.72227 83.448982) (xy 158.217266 83.448982) (xy 158.207086 83.397801) (xy 158.135033 83.289967) (xy 158.027199 83.217914)
+ (xy 157.91241 83.195082) (xy 157.08759 83.195082) (xy 156.972801 83.217914) (xy 156.864967 83.289967) (xy 156.792914 83.397801)
+ (xy 156.770082 83.51259) (xy 156.770082 84.43741) (xy 156.792914 84.552199) (xy 156.864967 84.660033) (xy 156.972801 84.732086)
+ (xy 157.08759 84.754918) (xy 157.91241 84.754918) (xy 158.027199 84.732086) (xy 158.135033 84.660033) (xy 158.207086 84.552199)
+ (xy 158.229918 84.43741) (xy 158.229918 84.400982) (xy 165.748022 84.400982) (xy 162.650006 87.499) (xy 159.967753 87.499)
+ (xy 159.984976 87.41241) (xy 159.984976 87.174) (xy 158.415024 87.174) (xy 158.415024 87.41241) (xy 158.431665 87.496076)
+ (xy 158.391903 87.493991) (xy 158.35137 87.514644) (xy 158.277068 87.530438) (xy 158.113361 87.649378) (xy 158.094104 87.682731)
+ (xy 157.92223 87.854605) (xy 157.851118 87.918634) (xy 157.837061 87.961899) (xy 157.795689 88.025607) (xy 157.770699 88.183387)
+ (xy 157.722801 88.192914) (xy 157.614967 88.264967) (xy 157.542914 88.372801) (xy 157.520082 88.48759) (xy 157.520082 88.904605)
+ (xy 157.347498 88.765845) (xy 157.08012 88.635435) (xy 156.790496 88.567505) (xy 156.493018 88.565427) (xy 156.202473 88.629308)
+ (xy 155.933301 88.755971) (xy 155.69888 88.939121) (xy 155.510861 89.169655) (xy 155.378589 89.436115) (xy 155.308638 89.725258)
+ (xy 155.304484 90.022714) (xy 155.366335 90.313698) (xy 155.491115 90.583748) (xy 155.672624 90.819442) (xy 155.901839 91.009066)
+ (xy 156.167371 91.143194) (xy 156.425977 91.207672) (xy 156.787656 91.569352) (xy 156.796837 91.583819) (xy 156.883601 91.665296)
+ (xy 156.919516 91.701211) (xy 156.932915 91.711605) (xy 156.995014 91.76992) (xy 157.03992 91.794607) (xy 157.080416 91.826019)
+ (xy 157.158597 91.85985) (xy 157.236786 91.902835) (xy 157.2746 91.910049) (xy 157.329918 91.933987) (xy 157.598431 91.976516)
+ (xy 157.68323 91.9685) (xy 157.688714 91.9685) (xy 157.705435 91.972238) (xy 157.824371 91.9685) (xy 157.875194 91.9685)
+ (xy 157.892024 91.966373) (xy 157.97716 91.963698) (xy 158.026368 91.949402) (xy 158.077217 91.942978) (xy 158.156436 91.911614)
+ (xy 158.242106 91.886724) (xy 158.27394 91.865089) (xy 158.29397 91.857159) (xy 158.284283 91.868868) (xy 158.16853 92.114855)
+ (xy 158.117589 92.381901) (xy 158.134659 92.653225) (xy 158.218669 92.91178) (xy 158.364339 93.141319) (xy 158.442827 93.215024)
+ (xy 158.28759 93.215024) (xy 158.151731 93.242047) (xy 158.026035 93.326035) (xy 157.945776 93.44615) (xy 157.890384 93.369909)
+ (xy 157.835661 93.283681) (xy 157.810717 93.260257) (xy 157.790604 93.232574) (xy 157.711923 93.167483) (xy 157.637484 93.09758)
+ (xy 157.607497 93.081094) (xy 157.581131 93.059283) (xy 157.488743 93.015809) (xy 157.39571 92.964664) (xy 157.369382 92.959642)
+ (xy 157.335145 92.943531) (xy 157.068099 92.892589) (xy 156.966206 92.899) (xy 155.345694 92.899) (xy 155.275999 92.829306)
+ (xy 155.275999 87.885542) (xy 155.345467 88.0508) (xy 155.503056 88.249628) (xy 155.708308 88.398752) (xy 155.946102 88.487187)
+ (xy 156.198918 88.508416) (xy 156.44813 88.460877) (xy 156.675378 88.34807) (xy 156.863918 88.178308) (xy 156.999861 87.964096)
+ (xy 157.073384 87.720575) (xy 157.076231 87.448795) (xy 157.071752 87.432754) (xy 157.085716 87.412399) (xy 157.135261 87.203625)
+ (xy 157.126 87.13558) (xy 157.126 86.699477) (xy 157.185033 86.660033) (xy 157.257086 86.552199) (xy 157.269936 86.48759)
+ (xy 158.415024 86.48759) (xy 158.415024 86.726) (xy 158.976 86.726) (xy 158.976 86.115024) (xy 159.424 86.115024)
+ (xy 159.424 86.726) (xy 159.984976 86.726) (xy 159.984976 86.48759) (xy 159.957953 86.351731) (xy 159.873965 86.226035)
+ (xy 159.748269 86.142047) (xy 159.61241 86.115024) (xy 159.424 86.115024) (xy 158.976 86.115024) (xy 158.78759 86.115024)
+ (xy 158.651731 86.142047) (xy 158.526035 86.226035) (xy 158.442047 86.351731) (xy 158.415024 86.48759) (xy 157.269936 86.48759)
+ (xy 157.279918 86.43741) (xy 157.279918 85.51259) (xy 157.257086 85.397801) (xy 157.185033 85.289967) (xy 157.077199 85.217914)
+ (xy 156.96241 85.195082) (xy 156.13759 85.195082) (xy 156.022801 85.217914) (xy 155.914967 85.289967) (xy 155.842914 85.397801)
+ (xy 155.820082 85.51259) (xy 155.820082 86.43741) (xy 155.842914 86.552199) (xy 155.914967 86.660033) (xy 155.930925 86.670696)
+ (xy 155.725656 86.742179) (xy 155.517325 86.886972) (xy 155.355607 87.082456) (xy 155.275999 87.261259) (xy 155.275999 84.809976)
+ (xy 155.376 84.809976) (xy 155.376 84.199) (xy 155.824 84.199) (xy 155.824 84.809976) (xy 156.01241 84.809976)
+ (xy 156.148269 84.782953) (xy 156.273965 84.698965) (xy 156.357953 84.573269) (xy 156.384976 84.43741) (xy 156.384976 84.199)
+ (xy 155.824 84.199) (xy 155.376 84.199) (xy 155.376 83.140024) (xy 155.824 83.140024) (xy 155.824 83.751)
+ (xy 156.384976 83.751) (xy 156.384976 83.51259) (xy 156.357953 83.376731) (xy 156.273965 83.251035) (xy 156.148269 83.167047)
+ (xy 156.01241 83.140024) (xy 155.824 83.140024) (xy 155.376 83.140024) (xy 155.275999 83.140024) (xy 155.275999 81.684691)
+ (xy 155.659691 81.300999) (xy 170.963315 81.300999) (xy 171.001087 81.317344) (xy 171.079289 81.360336) (xy 171.117106 81.36755)
+ (xy 171.172421 81.391487) (xy 171.274001 81.407576) (xy 171.274 82.292675) (xy 171.272801 82.292914) (xy 171.164967 82.364967)
+ (xy 171.092914 82.472801) (xy 171.070082 82.58759) (xy 171.070082 83.36241) (xy 171.092914 83.477199) (xy 171.164967 83.585033)
+ (xy 171.272801 83.657086) (xy 171.38759 83.679918) (xy 171.809754 83.679918) (xy 172 83.71005) (xy 172.190245 83.679918)
+ (xy 172.61241 83.679918) (xy 172.727199 83.657086) (xy 172.835033 83.585033) (xy 172.907086 83.477199) (xy 172.922471 83.39985)
+ (xy 172.942047 83.498269) (xy 173.026035 83.623965) (xy 173.151731 83.707953) (xy 173.28759 83.734976) (xy 173.676 83.734976)
+ (xy 173.676 82.215024) (xy 173.28759 82.215024) (xy 173.151731 82.242047) (xy 173.026035 82.326035) (xy 172.942047 82.451731)
+ (xy 172.922471 82.55015) (xy 172.907086 82.472801) (xy 172.835033 82.364967) (xy 172.727199 82.292914) (xy 172.726 82.292676)
+ (xy 172.726 81.101) (xy 172.748195 81.101) (xy 172.8 81.111305) (xy 172.863976 81.098579) (xy 173.005234 81.07048)
+ (xy 173.179225 80.954225) (xy 173.208574 80.9103) (xy 173.274001 80.844873)
)
)
)
- (zone (net 1) (net_name +12V) (layer F.Cu) (tstamp 5C551319) (hatch edge 0.508)
+ (zone (net 2) (net_name "GND") (layer "B.Cu") (tstamp 5DE87C9F) (hatch edge 0.508)
(connect_pads (clearance 0.15))
- (min_thickness 0.15)
- (fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.8))
+ (min_thickness 0.254)
+ (fill yes (thermal_gap 0.254) (thermal_bridge_width 0.7))
(polygon
(pts
- (xy 75 75) (xy 125 75) (xy 125 119) (xy 125 125) (xy 75 125)
+ (xy 169.019277 67.275) (xy 186.419277 67.275) (xy 205.819277 81.875) (xy 205.819277 118.075) (xy 186.319277 132.675)
+ (xy 169.019277 132.675) (xy 149.619277 117.975) (xy 149.619277 81.875)
)
)
(filled_polygon
(pts
- (xy 103.227907 75.576836) (xy 106.381157 76.209398) (xy 109.425348 77.246699) (xy 112.283273 78.659544) (xy 112.284359 78.66076)
- (xy 112.341112 78.688137) (xy 112.368455 78.701654) (xy 112.36999 78.702067) (xy 112.400812 78.716935) (xy 112.431813 78.718697)
- (xy 112.461794 78.726761) (xy 112.495722 78.722328) (xy 112.529895 78.72427) (xy 112.559211 78.714033) (xy 112.589997 78.710011)
- (xy 112.619652 78.692929) (xy 112.65196 78.681647) (xy 112.675125 78.660973) (xy 112.702031 78.645474) (xy 112.722891 78.618344)
- (xy 112.724075 78.617287) (xy 112.742424 78.592938) (xy 112.746726 78.587344) (xy 115.633561 80.539135) (xy 117.466402 82.202638)
- (xy 119.757864 84.820158) (xy 119.741917 84.841318) (xy 119.710998 84.87319) (xy 119.69148 84.92241) (xy 119.668473 84.9701)
- (xy 119.667788 84.982154) (xy 119.663337 84.993378) (xy 119.66414 85.046315) (xy 119.661135 85.099183) (xy 119.665116 85.110584)
- (xy 119.665299 85.122654) (xy 119.6863 85.171258) (xy 119.703755 85.221249) (xy 119.733318 85.254376) (xy 120.862002 86.889326)
- (xy 121.854001 88.607578) (xy 122.70226 90.394218) (xy 123.403745 92.240178) (xy 123.955383 94.136291) (xy 124.354132 96.073469)
- (xy 124.596943 98.042593) (xy 124.680736 100.033912) (xy 124.593545 102.055934) (xy 124.342503 104.05442) (xy 123.930869 106.019431)
- (xy 123.361885 107.941445) (xy 122.638795 109.810944) (xy 121.764842 111.618408) (xy 120.743269 113.354322) (xy 119.580364 115.004855)
- (xy 119.549761 115.039144) (xy 119.532815 115.087672) (xy 119.511979 115.134654) (xy 119.511641 115.148309) (xy 119.507137 115.161208)
- (xy 119.510052 115.212526) (xy 119.508781 115.263906) (xy 119.513695 115.276653) (xy 119.51447 115.290292) (xy 119.536802 115.336588)
- (xy 119.55529 115.384544) (xy 119.566786 115.396623) (xy 117.716756 117.56685) (xy 115.02459 119.870147) (xy 112.506034 121.558212)
- (xy 112.490039 121.537068) (xy 112.462151 121.520711) (xy 112.438034 121.499185) (xy 112.406933 121.488324) (xy 112.378514 121.471655)
- (xy 112.346491 121.467215) (xy 112.315971 121.456557) (xy 112.28308 121.458424) (xy 112.250447 121.4539) (xy 112.219164 121.462053)
- (xy 112.186887 121.463885) (xy 112.157211 121.478198) (xy 112.156913 121.478276) (xy 112.128398 121.492096) (xy 112.070432 121.520054)
- (xy 112.07022 121.520291) (xy 109.257224 122.883593) (xy 106.264067 123.885502) (xy 103.167511 124.497114) (xy 100.005651 124.708407)
- (xy 96.867878 124.505411) (xy 93.793094 123.90802) (xy 90.818763 122.925911) (xy 87.963499 121.559964) (xy 87.905702 121.532091)
- (xy 87.872603 121.530214) (xy 87.840481 121.522016) (xy 87.808688 121.526588) (xy 87.776616 121.524769) (xy 87.745319 121.535701)
- (xy 87.712506 121.54042) (xy 87.684879 121.556813) (xy 87.654556 121.567405) (xy 87.629826 121.58948) (xy 87.601315 121.606398)
- (xy 87.599113 121.60934) (xy 84.945809 119.87035) (xy 84.62714 119.585343) (xy 88.125294 119.585343) (xy 88.262236 120.096418)
- (xy 88.295699 120.221305) (xy 88.374408 120.32388) (xy 88.48638 120.388526) (xy 88.614566 120.405402) (xy 89.250528 120.234997)
- (xy 89.30798 120.135486) (xy 89.07019 119.248042) (xy 88.182746 119.485832) (xy 88.125294 119.585343) (xy 84.62714 119.585343)
- (xy 84.061899 119.07981) (xy 89.698042 119.07981) (xy 89.935832 119.967254) (xy 90.035343 120.024706) (xy 90.671304 119.854301)
- (xy 90.773879 119.775593) (xy 90.838526 119.663622) (xy 90.855403 119.535435) (xy 90.821939 119.410547) (xy 90.684997 118.899472)
- (xy 90.585486 118.84202) (xy 89.698042 119.07981) (xy 84.061899 119.07981) (xy 83.038553 118.164565) (xy 87.744597 118.164565)
- (xy 87.778061 118.289453) (xy 87.915003 118.800528) (xy 88.014514 118.85798) (xy 88.901958 118.62019) (xy 88.664168 117.732746)
- (xy 88.564657 117.675294) (xy 87.928696 117.845699) (xy 87.826121 117.924407) (xy 87.761474 118.036378) (xy 87.744597 118.164565)
- (xy 83.038553 118.164565) (xy 82.113501 117.33723) (xy 80.507124 115.491227) (xy 80.513109 115.484896) (xy 80.531244 115.437382)
- (xy 80.553339 115.391575) (xy 80.554145 115.377384) (xy 80.559214 115.364103) (xy 80.557786 115.313259) (xy 80.560668 115.26249)
- (xy 80.555982 115.249072) (xy 80.555583 115.234862) (xy 80.534807 115.188437) (xy 80.518041 115.140426) (xy 80.487079 115.105736)
- (xy 79.309558 113.446289) (xy 78.27566 111.700396) (xy 77.391408 109.881576) (xy 76.66012 107.999532) (xy 76.085139 106.064024)
- (xy 75.669783 104.084762) (xy 75.417379 102.07146) (xy 75.33127 100.034159) (xy 75.386152 98.75) (xy 79.725 98.75)
- (xy 79.725 100.75) (xy 79.727124 100.78411) (xy 79.977124 102.78411) (xy 79.977764 102.788891) (xy 80.227764 104.538891)
- (xy 80.236598 104.579021) (xy 80.986598 107.079021) (xy 80.998702 107.111688) (xy 81.469613 108.171238) (xy 81.469613 108.7)
- (xy 81.490956 108.807299) (xy 81.550992 108.89715) (xy 81.564164 108.963372) (xy 81.713353 109.186648) (xy 81.769714 109.224307)
- (xy 82.130133 109.584727) (xy 82.724729 110.575719) (xy 82.740956 110.657299) (xy 82.801736 110.748264) (xy 82.846002 110.777841)
- (xy 83.514189 111.891486) (xy 83.535261 111.921791) (xy 84.535261 113.171791) (xy 84.555546 113.194454) (xy 86.055546 114.694454)
- (xy 86.071032 114.708796) (xy 87.821032 116.208796) (xy 87.840159 116.223777) (xy 89.515568 117.420498) (xy 89.349472 117.465003)
- (xy 89.29202 117.564514) (xy 89.52981 118.451958) (xy 90.417254 118.214168) (xy 90.474706 118.114657) (xy 90.403564 117.849151)
- (xy 92.386204 118.750351) (xy 92.403441 118.757491) (xy 94.403441 119.507491) (xy 94.440344 119.518451) (xy 96.690344 120.018451)
- (xy 96.722636 120.023635) (xy 99.222636 120.273635) (xy 99.25 120.275) (xy 100.5 120.275) (xy 100.522838 120.27405)
- (xy 103.365274 120.03718) (xy 103.439478 120.216325) (xy 103.798141 120.574988) (xy 104.266758 120.769095) (xy 104.773984 120.769095)
- (xy 105.242601 120.574988) (xy 105.601264 120.216325) (xy 105.795371 119.747708) (xy 105.795371 119.441419) (xy 107.086963 119.010888)
- (xy 107.111688 119.001298) (xy 108.119173 118.553527) (xy 108.37206 119.497314) (xy 108.420447 119.595434) (xy 108.502699 119.667567)
- (xy 108.606295 119.702733) (xy 108.715462 119.695578) (xy 110.647314 119.17794) (xy 110.745434 119.129553) (xy 110.817567 119.047301)
- (xy 110.852733 118.943705) (xy 110.845578 118.834538) (xy 110.442213 117.32916) (xy 111.39575 116.7332) (xy 111.409841 116.723777)
- (xy 113.159841 115.473777) (xy 113.194454 115.444454) (xy 115.194454 113.444454) (xy 115.22 113.415) (xy 116.72 111.415)
- (xy 116.7332 111.39575) (xy 117.9832 109.39575) (xy 118.001298 109.361688) (xy 119.001298 107.111688) (xy 119.013402 107.079021)
- (xy 119.672472 104.882121) (xy 120.480286 104.074308) (xy 120.536648 104.036648) (xy 120.554754 104.00955) (xy 120.557299 104.009044)
- (xy 120.648264 103.948264) (xy 120.709044 103.857299) (xy 120.730387 103.75) (xy 120.730387 103.589399) (xy 120.738224 103.55)
- (xy 120.730387 103.510601) (xy 120.730387 102.85) (xy 120.709044 102.742701) (xy 120.648264 102.651736) (xy 120.557299 102.590956)
- (xy 120.45 102.569613) (xy 120.110715 102.569613) (xy 120.273871 100.774897) (xy 120.275 100.75) (xy 120.275 100)
- (xy 120.274301 99.980407) (xy 120.245728 99.580387) (xy 120.7 99.580387) (xy 120.807299 99.559044) (xy 120.898264 99.498264)
- (xy 120.959044 99.407299) (xy 120.980387 99.3) (xy 120.980387 96.6) (xy 120.959044 96.492701) (xy 120.898264 96.401736)
- (xy 120.807299 96.340956) (xy 120.7 96.319613) (xy 119.988366 96.319613) (xy 119.516789 94.433303) (xy 119.502765 94.391672)
- (xy 118.752765 92.641672) (xy 118.751298 92.638312) (xy 118.345382 91.725) (xy 118.69375 91.725) (xy 118.775 91.64375)
- (xy 118.775 90.375) (xy 119.425 90.375) (xy 119.425 91.64375) (xy 119.50625 91.725) (xy 120.764647 91.725)
- (xy 120.884098 91.675521) (xy 120.975522 91.584097) (xy 121.025 91.464646) (xy 121.025 90.45625) (xy 120.94375 90.375)
- (xy 119.425 90.375) (xy 118.775 90.375) (xy 118.755 90.375) (xy 118.755 89.725) (xy 118.775 89.725)
- (xy 118.775 88.45625) (xy 119.425 88.45625) (xy 119.425 89.725) (xy 120.94375 89.725) (xy 121.025 89.64375)
- (xy 121.025 88.635354) (xy 120.975522 88.515903) (xy 120.884098 88.424479) (xy 120.764647 88.375) (xy 119.50625 88.375)
- (xy 119.425 88.45625) (xy 118.775 88.45625) (xy 118.69375 88.375) (xy 117.435353 88.375) (xy 117.315902 88.424479)
- (xy 117.224478 88.515903) (xy 117.175 88.635354) (xy 117.175 89.37697) (xy 116.738767 88.613562) (xy 116.730387 88.600479)
- (xy 116.730387 87.6) (xy 116.709044 87.492701) (xy 116.648264 87.401736) (xy 116.557299 87.340956) (xy 116.45 87.319613)
- (xy 115.816244 87.319613) (xy 115.473777 86.840159) (xy 115.444454 86.805546) (xy 114.194454 85.555546) (xy 114.178968 85.541204)
- (xy 113.810063 85.225) (xy 114.29375 85.225) (xy 114.375 85.14375) (xy 114.375 84.075) (xy 115.025 84.075)
- (xy 115.025 85.14375) (xy 115.10625 85.225) (xy 116.514646 85.225) (xy 116.634097 85.175522) (xy 116.725521 85.084098)
- (xy 116.775 84.964647) (xy 116.775 84.15625) (xy 116.69375 84.075) (xy 115.025 84.075) (xy 114.375 84.075)
- (xy 112.70625 84.075) (xy 112.625 84.15625) (xy 112.625 84.209231) (xy 112.428968 84.041204) (xy 112.421791 84.035261)
- (xy 111.330387 83.162138) (xy 111.330387 82.6) (xy 111.317528 82.535353) (xy 112.625 82.535353) (xy 112.625 83.34375)
- (xy 112.70625 83.425) (xy 114.375 83.425) (xy 114.375 82.35625) (xy 115.025 82.35625) (xy 115.025 83.425)
- (xy 116.69375 83.425) (xy 116.775 83.34375) (xy 116.775 82.535353) (xy 116.725521 82.415902) (xy 116.634097 82.324478)
- (xy 116.514646 82.275) (xy 115.10625 82.275) (xy 115.025 82.35625) (xy 114.375 82.35625) (xy 114.29375 82.275)
- (xy 112.885354 82.275) (xy 112.765903 82.324478) (xy 112.674479 82.415902) (xy 112.625 82.535353) (xy 111.317528 82.535353)
- (xy 111.309044 82.492701) (xy 111.248264 82.401736) (xy 111.157299 82.340956) (xy 111.05 82.319613) (xy 109.926103 82.319613)
- (xy 109.386438 82.011233) (xy 109.358328 81.997235) (xy 107.608328 81.247235) (xy 107.596559 81.242509) (xy 105.596559 80.492509)
- (xy 105.553932 80.48034) (xy 103.053932 79.98034) (xy 103.038891 79.977764) (xy 101.288891 79.727764) (xy 101.25 79.725)
- (xy 98.75 79.725) (xy 98.719631 79.726682) (xy 96.469631 79.976682) (xy 96.427643 79.98469) (xy 93.677643 80.73469)
- (xy 93.644231 80.746154) (xy 90.644231 81.996154) (xy 90.608514 82.014189) (xy 90.245341 82.232093) (xy 89.128544 82.232093)
- (xy 89.021245 82.253436) (xy 88.93028 82.314216) (xy 88.8695 82.405181) (xy 88.848157 82.51248) (xy 88.848157 83.070403)
- (xy 88.108514 83.514189) (xy 88.073949 83.538739) (xy 87.501006 84.016192) (xy 87.472294 83.98748) (xy 85.803544 83.98748)
- (xy 85.803544 85.05623) (xy 85.884794 85.13748) (xy 86.223612 85.13748) (xy 84.555546 86.805546) (xy 84.53 86.835)
- (xy 84.23218 87.232093) (xy 83.728544 87.232093) (xy 83.621245 87.253436) (xy 83.53028 87.314216) (xy 83.4695 87.405181)
- (xy 83.448157 87.51248) (xy 83.448157 88.277457) (xy 83.03 88.835) (xy 83.00787 88.869623) (xy 81.25787 92.119623)
- (xy 81.237161 92.169126) (xy 80.237161 95.419126) (xy 80.228198 95.458184) (xy 79.728198 98.708184) (xy 79.725 98.75)
- (xy 75.386152 98.75) (xy 75.416945 98.029495) (xy 75.663723 96.047647) (xy 76.068448 94.098449) (xy 76.627987 92.19117)
- (xy 77.339202 90.335113) (xy 78.198964 88.539547) (xy 79.204116 86.813797) (xy 80.347973 85.172231) (xy 80.378006 85.13858)
- (xy 80.395229 85.089258) (xy 80.416162 85.041387) (xy 80.416412 85.028596) (xy 80.42063 85.016516) (xy 80.417667 84.964352)
- (xy 80.418687 84.912119) (xy 80.414023 84.900205) (xy 80.413297 84.887431) (xy 80.390596 84.840369) (xy 80.371551 84.791725)
- (xy 80.340287 84.759215) (xy 80.327077 84.741685) (xy 80.92003 84.06873) (xy 83.403544 84.06873) (xy 83.403544 84.877127)
- (xy 83.453023 84.996578) (xy 83.544447 85.088002) (xy 83.663898 85.13748) (xy 85.072294 85.13748) (xy 85.153544 85.05623)
- (xy 85.153544 83.98748) (xy 83.484794 83.98748) (xy 83.403544 84.06873) (xy 80.92003 84.06873) (xy 82.348233 82.447833)
- (xy 83.403544 82.447833) (xy 83.403544 83.25623) (xy 83.484794 83.33748) (xy 85.153544 83.33748) (xy 85.153544 82.26873)
- (xy 85.803544 82.26873) (xy 85.803544 83.33748) (xy 87.472294 83.33748) (xy 87.553544 83.25623) (xy 87.553544 82.447833)
- (xy 87.504065 82.328382) (xy 87.412641 82.236958) (xy 87.29319 82.18748) (xy 85.884794 82.18748) (xy 85.803544 82.26873)
- (xy 85.153544 82.26873) (xy 85.072294 82.18748) (xy 83.663898 82.18748) (xy 83.544447 82.236958) (xy 83.453023 82.328382)
- (xy 83.403544 82.447833) (xy 82.348233 82.447833) (xy 82.642506 82.113857) (xy 85.454451 79.760598) (xy 87.361925 78.540502)
- (xy 87.366923 78.547045) (xy 87.385575 78.571799) (xy 87.386391 78.572528) (xy 87.406867 78.599329) (xy 87.434149 78.615157)
- (xy 87.457684 78.636165) (xy 87.489528 78.647287) (xy 87.518701 78.664212) (xy 87.549966 78.668395) (xy 87.579746 78.678796)
- (xy 87.613421 78.676885) (xy 87.646851 78.681358) (xy 87.677337 78.673258) (xy 87.708831 78.671471) (xy 87.739213 78.656818)
- (xy 87.740267 78.656538) (xy 87.767987 78.642941) (xy 87.825288 78.615305) (xy 87.826037 78.614466) (xy 90.663271 77.222734)
- (xy 93.684074 76.200106) (xy 96.811536 75.575637) (xy 100.006028 75.3598)
+ (xy 193.103638 72.511921) (xy 193.126973 72.536494) (xy 193.272302 72.625486) (xy 193.285018 72.629248) (xy 193.295961 72.636742)
+ (xy 193.36792 72.662087) (xy 194.658266 73.633171) (xy 193.204653 75.562183) (xy 192.05013 75.562183) (xy 191.901968 75.560631)
+ (xy 191.822249 75.577867) (xy 191.741187 75.58696) (xy 191.677304 75.609205) (xy 191.611202 75.623497) (xy 191.53728 75.657967)
+ (xy 191.460248 75.684793) (xy 191.402884 75.720638) (xy 191.341187 75.749408) (xy 191.280816 75.796914) (xy 191.207967 75.842436)
+ (xy 191.150703 75.899303) (xy 191.149518 75.900235) (xy 191.114652 75.935102) (xy 190.996882 76.052053) (xy 190.995459 76.054295)
+ (xy 189.07012 77.979634) (xy 189.016381 78.02162) (xy 188.964403 78.08535) (xy 188.959528 78.090225) (xy 188.918015 78.142228)
+ (xy 188.828362 78.252154) (xy 188.825238 78.258448) (xy 188.820855 78.263938) (xy 188.759168 78.391546) (xy 188.696089 78.518617)
+ (xy 188.694438 78.525444) (xy 188.691381 78.531766) (xy 188.659476 78.66996) (xy 188.626139 78.807755) (xy 188.626041 78.814785)
+ (xy 188.62446 78.821633) (xy 188.623966 78.963315) (xy 188.621985 79.105211) (xy 188.623447 79.112091) (xy 188.623423 79.119114)
+ (xy 188.654317 79.257323) (xy 188.683836 79.396198) (xy 188.686785 79.402579) (xy 188.688316 79.409431) (xy 188.749096 79.537432)
+ (xy 188.808616 79.666246) (xy 188.812905 79.671816) (xy 188.815918 79.678161) (xy 188.90355 79.789521) (xy 188.990127 79.901944)
+ (xy 188.995539 79.906421) (xy 188.999881 79.911939) (xy 189.110066 80.001164) (xy 189.219341 80.091565) (xy 189.225616 80.094734)
+ (xy 189.231071 80.099152) (xy 189.358237 80.161727) (xy 189.484875 80.225695) (xy 189.49169 80.227394) (xy 189.497992 80.230495)
+ (xy 189.635935 80.263357) (xy 189.773522 80.297662) (xy 189.780548 80.297809) (xy 189.787378 80.299436) (xy 189.929159 80.300921)
+ (xy 189.96629 80.301699) (xy 189.953655 80.411741) (xy 189.964077 80.689359) (xy 190.016373 80.962206) (xy 190.109338 81.224004)
+ (xy 190.240832 81.468729) (xy 190.407831 81.690748) (xy 190.606492 81.88495) (xy 190.832241 82.046869) (xy 191.079886 82.172779)
+ (xy 191.343727 82.25978) (xy 191.61769 82.305872) (xy 191.895473 82.309992) (xy 192.170684 82.272048) (xy 192.436989 82.192914)
+ (xy 192.688259 82.074406) (xy 192.92147 81.917399) (xy 193.213772 81.61839) (xy 197.576253 75.829182) (xy 197.854309 76.03844)
+ (xy 193.477452 81.846727) (xy 193.365737 82.021074) (xy 193.252962 82.274969) (xy 193.179888 82.543) (xy 193.148197 82.819001)
+ (xy 193.158619 83.096619) (xy 193.210915 83.369466) (xy 193.30388 83.631264) (xy 193.435374 83.875989) (xy 193.602373 84.098008)
+ (xy 193.801034 84.29221) (xy 194.026783 84.454129) (xy 194.274428 84.580039) (xy 194.538269 84.66704) (xy 194.724001 84.698288)
+ (xy 194.724001 84.933383) (xy 194.724 98.962389) (xy 194.69609 99.018614) (xy 194.626139 99.307757) (xy 194.621985 99.605213)
+ (xy 194.683836 99.896197) (xy 194.808616 100.166247) (xy 194.990125 100.401941) (xy 195.21934 100.591565) (xy 195.484872 100.725693)
+ (xy 195.773519 100.797661) (xy 196.070938 100.803891) (xy 196.362347 100.744074) (xy 196.633261 100.621182) (xy 196.870217 100.441323)
+ (xy 197.061436 100.213437) (xy 197.197416 99.948849) (xy 197.271619 99.659846) (xy 197.276264 99.327127) (xy 197.21016 99.036166)
+ (xy 197.176 98.964869) (xy 197.176 83.006896) (xy 200.772296 78.234451) (xy 202.018702 79.172468) (xy 202.073537 79.249486)
+ (xy 202.083161 79.257434) (xy 202.089816 79.267996) (xy 202.219672 79.388188) (xy 202.250227 79.404289) (xy 205.693277 81.999066)
+ (xy 205.693277 117.913003) (xy 202.263667 120.497574) (xy 202.233613 120.513231) (xy 202.108005 120.628406) (xy 202.101632 120.638417)
+ (xy 202.092411 120.645893) (xy 201.993783 120.781813) (xy 200.771158 121.697215) (xy 199.710323 120.28944) (xy 200.092188 119.907575)
+ (xy 200.132833 119.877664) (xy 200.193452 119.806311) (xy 200.208518 119.791246) (xy 200.23923 119.752427) (xy 200.31793 119.659791)
+ (xy 200.327776 119.640508) (xy 200.341204 119.623536) (xy 200.392647 119.513466) (xy 200.449747 119.401642) (xy 200.452774 119.38482)
+ (xy 200.46225 119.364544) (xy 200.520468 119.08465) (xy 200.517014 118.957003) (xy 200.517014 117.631533) (xy 200.53843 117.589863)
+ (xy 200.612633 117.30086) (xy 200.617278 116.968141) (xy 200.551174 116.67718) (xy 200.422635 116.408899) (xy 200.237854 116.175762)
+ (xy 200.006013 115.989358) (xy 199.738635 115.858948) (xy 199.449011 115.791018) (xy 199.151533 115.78894) (xy 198.860988 115.852821)
+ (xy 198.591816 115.979484) (xy 198.357395 116.162634) (xy 198.169376 116.393168) (xy 198.037104 116.659628) (xy 197.967153 116.948771)
+ (xy 197.962999 117.246227) (xy 198.02485 117.537211) (xy 198.065014 117.624135) (xy 198.065015 118.106043) (xy 196.369067 115.855446)
+ (xy 196.232267 115.700002) (xy 196.019293 115.521612) (xy 195.781786 115.37749) (xy 195.525212 115.270951) (xy 195.255475 115.204447)
+ (xy 194.978782 115.179509) (xy 194.701503 115.196712) (xy 194.430013 115.255657) (xy 194.386512 115.272312) (xy 196.708259 112.950566)
+ (xy 196.883261 112.871182) (xy 197.120217 112.691323) (xy 197.311436 112.463437) (xy 197.447416 112.198849) (xy 197.521619 111.909846)
+ (xy 197.526264 111.577127) (xy 197.46016 111.286166) (xy 197.426 111.214869) (xy 197.426 110.113551) (xy 197.628233 110.113551)
+ (xy 197.973836 110.27618) (xy 198.211781 110.339937) (xy 198.457254 110.36055) (xy 198.7025 110.337368) (xy 198.939764 110.271122)
+ (xy 199.269795 110.111579) (xy 198.45 109.291784) (xy 197.628233 110.113551) (xy 197.426 110.113551) (xy 197.426 109.682216)
+ (xy 198.133216 108.975) (xy 198.766784 108.975) (xy 199.571953 109.780169) (xy 199.66766 109.63614) (xy 199.765494 109.410058)
+ (xy 199.822126 109.168608) (xy 199.830378 108.853469) (xy 199.78646 108.609386) (xy 199.700594 108.378496) (xy 199.575242 108.166543)
+ (xy 198.766784 108.975) (xy 198.133216 108.975) (xy 197.426 108.267784) (xy 197.426 107.852064) (xy 197.643848 107.852064)
+ (xy 198.45 108.658216) (xy 199.261808 107.846408) (xy 199.006927 107.706287) (xy 198.773455 107.627714) (xy 198.529759 107.591729)
+ (xy 198.283543 107.599466) (xy 198.042587 107.650683) (xy 197.81451 107.743759) (xy 197.643848 107.852064) (xy 197.426 107.852064)
+ (xy 197.426 106.340933) (xy 200.065985 106.340933) (xy 200.074002 106.425742) (xy 200.074001 112.481215) (xy 200.070263 112.497936)
+ (xy 200.074001 112.616872) (xy 200.074001 112.667694) (xy 200.076128 112.684524) (xy 200.078803 112.769661) (xy 200.093099 112.818868)
+ (xy 200.099523 112.869718) (xy 200.130886 112.948933) (xy 200.155777 113.034606) (xy 200.177411 113.066439) (xy 200.199602 113.122486)
+ (xy 200.359397 113.342427) (xy 200.42504 113.396732) (xy 203.039116 116.010809) (xy 203.083836 116.221197) (xy 203.208616 116.491247)
+ (xy 203.390125 116.726941) (xy 203.61934 116.916565) (xy 203.884872 117.050693) (xy 204.173519 117.122661) (xy 204.470938 117.128891)
+ (xy 204.762347 117.069074) (xy 205.033261 116.946182) (xy 205.270217 116.766323) (xy 205.461436 116.538437) (xy 205.597416 116.273849)
+ (xy 205.671619 115.984846) (xy 205.676264 115.652127) (xy 205.61016 115.361166) (xy 205.481621 115.092885) (xy 205.29684 114.859748)
+ (xy 205.064999 114.673344) (xy 204.797621 114.542934) (xy 204.558555 114.486862) (xy 202.226001 112.154309) (xy 202.226001 110.217406)
+ (xy 202.322801 110.282086) (xy 202.43759 110.304918) (xy 204.46241 110.304918) (xy 204.577199 110.282086) (xy 204.685033 110.210033)
+ (xy 204.757086 110.102199) (xy 204.779918 109.98741) (xy 204.779918 107.96259) (xy 204.757086 107.847801) (xy 204.685033 107.739967)
+ (xy 204.577199 107.667914) (xy 204.46241 107.645082) (xy 202.43759 107.645082) (xy 202.322801 107.667914) (xy 202.226001 107.732594)
+ (xy 202.226001 106.820691) (xy 203.359439 105.687255) (xy 203.359445 105.687247) (xy 203.761362 105.28533) (xy 203.962347 105.244074)
+ (xy 204.233261 105.121182) (xy 204.470217 104.941323) (xy 204.661436 104.713437) (xy 204.797416 104.448849) (xy 204.871619 104.159846)
+ (xy 204.876264 103.827127) (xy 204.81016 103.536166) (xy 204.681621 103.267885) (xy 204.49684 103.034748) (xy 204.264999 102.848344)
+ (xy 203.997621 102.717934) (xy 203.707997 102.650004) (xy 203.410519 102.647926) (xy 203.119974 102.711807) (xy 202.850802 102.83847)
+ (xy 202.616381 103.02162) (xy 202.428362 103.252154) (xy 202.29609 103.518614) (xy 202.235901 103.767405) (xy 201.825965 104.177342)
+ (xy 201.825962 104.177344) (xy 200.473152 105.530156) (xy 200.458683 105.539338) (xy 200.377207 105.626101) (xy 200.34129 105.662018)
+ (xy 200.330896 105.675417) (xy 200.272581 105.737516) (xy 200.247894 105.782422) (xy 200.216482 105.822918) (xy 200.18265 105.901103)
+ (xy 200.139666 105.979288) (xy 200.132454 106.017099) (xy 200.108514 106.07242) (xy 200.065985 106.340933) (xy 197.426 106.340933)
+ (xy 197.426 105.932824) (xy 200.617263 102.741563) (xy 200.617271 102.741553) (xy 201.208258 102.150566) (xy 201.383261 102.071182)
+ (xy 201.620217 101.891323) (xy 201.811436 101.663437) (xy 201.947416 101.398849) (xy 202.021619 101.109846) (xy 202.026264 100.777127)
+ (xy 201.96016 100.486166) (xy 201.831621 100.217885) (xy 201.64684 99.984748) (xy 201.414999 99.798344) (xy 201.147621 99.667934)
+ (xy 200.857997 99.600004) (xy 200.560519 99.597926) (xy 200.269974 99.661807) (xy 200.000802 99.78847) (xy 199.766381 99.97162)
+ (xy 199.578362 100.202154) (xy 199.469283 100.421893) (xy 198.871344 101.019832) (xy 198.871338 101.019836) (xy 195.398827 104.492349)
+ (xy 195.358182 104.52226) (xy 195.297563 104.593613) (xy 195.282496 104.608679) (xy 195.251771 104.647514) (xy 195.173086 104.740132)
+ (xy 195.163241 104.759412) (xy 195.149809 104.77639) (xy 195.098355 104.886482) (xy 195.041268 104.99828) (xy 195.038241 105.015103)
+ (xy 195.028764 105.035382) (xy 194.970546 105.315275) (xy 194.974001 105.442958) (xy 194.974 111.21239) (xy 194.969283 111.221892)
+ (xy 190.64883 115.542346) (xy 190.608181 115.57226) (xy 190.547556 115.64362) (xy 190.532496 115.658679) (xy 190.501807 115.69747)
+ (xy 190.423085 115.790132) (xy 190.413238 115.809416) (xy 190.39981 115.826389) (xy 190.348363 115.936465) (xy 190.291267 116.048283)
+ (xy 190.28824 116.065105) (xy 190.278764 116.085381) (xy 190.220546 116.365275) (xy 190.224 116.492922) (xy 190.224001 118.427301)
+ (xy 190.171067 118.505045) (xy 190.051245 118.755691) (xy 189.970716 119.021577) (xy 189.931332 119.296586) (xy 189.933998 119.574387)
+ (xy 189.978655 119.848588) (xy 190.065299 120.116043) (xy 190.235351 120.414782) (xy 190.239587 120.466863) (xy 190.24626 120.487463)
+ (xy 190.248766 120.50896) (xy 190.290231 120.623193) (xy 190.328916 120.742611) (xy 190.338672 120.756648) (xy 190.346309 120.777688)
+ (xy 190.503058 121.016768) (xy 190.595794 121.104618) (xy 191.194173 121.702997) (xy 194.645761 126.283409) (xy 193.357941 127.247623)
+ (xy 193.320577 127.260171) (xy 193.308529 127.268166) (xy 193.294638 127.272182) (xy 193.141315 127.364778) (xy 193.117232 127.389831)
+ (xy 186.478244 132.393029) (xy 183.876 132.393029) (xy 183.876 122.101) (xy 184.336686 122.101) (xy 184.484848 122.102552)
+ (xy 184.564565 122.085316) (xy 184.64563 122.076224) (xy 184.70951 122.053978) (xy 184.775614 122.039686) (xy 184.849535 122.005216)
+ (xy 184.926568 121.978391) (xy 184.983942 121.94254) (xy 185.04563 121.913774) (xy 185.105991 121.866275) (xy 185.17885 121.820747)
+ (xy 185.23612 121.763875) (xy 185.237296 121.762949) (xy 185.272024 121.728221) (xy 185.389924 121.611141) (xy 185.391348 121.608898)
+ (xy 187.27816 119.722086) (xy 187.384073 119.618369) (xy 187.428259 119.549807) (xy 187.479145 119.486062) (xy 187.508584 119.425165)
+ (xy 187.545223 119.368313) (xy 187.573116 119.291675) (xy 187.60862 119.218231) (xy 187.623838 119.152319) (xy 187.64712 119.088351)
+ (xy 187.656217 119.01207) (xy 187.67554 118.928371) (xy 187.675822 118.847642) (xy 187.676 118.846143) (xy 187.676 118.796402)
+ (xy 187.676578 118.63089) (xy 187.676 118.628304) (xy 187.676 117.188313) (xy 187.677552 117.040151) (xy 187.660316 116.960432)
+ (xy 187.651223 116.87937) (xy 187.628978 116.815487) (xy 187.614686 116.749385) (xy 187.580216 116.675463) (xy 187.55339 116.598431)
+ (xy 187.517545 116.541067) (xy 187.488775 116.47937) (xy 187.441269 116.418999) (xy 187.395747 116.34615) (xy 187.33888 116.288886)
+ (xy 187.337948 116.287701) (xy 187.30308 116.252834) (xy 187.18613 116.135065) (xy 187.183888 116.133642) (xy 181.979918 110.929672)
+ (xy 181.979918 110.01259) (xy 181.957086 109.897801) (xy 181.885033 109.789967) (xy 181.777199 109.717914) (xy 181.66241 109.695082)
+ (xy 179.23759 109.695082) (xy 179.122801 109.717914) (xy 179.014967 109.789967) (xy 178.942914 109.897801) (xy 178.920082 110.01259)
+ (xy 178.920082 112.43741) (xy 178.942914 112.552199) (xy 179.014967 112.660033) (xy 179.122801 112.732086) (xy 179.23759 112.754918)
+ (xy 180.054673 112.754918) (xy 180.624 113.324245) (xy 180.624 113.839968) (xy 180.314968 114.149) (xy 176.585032 114.149)
+ (xy 175.225537 112.789505) (xy 175.391904 112.809343) (xy 175.651449 112.797557) (xy 175.905584 112.74354) (xy 176.147483 112.64874)
+ (xy 176.404027 112.495811) (xy 175.133216 111.225) (xy 175.766784 111.225) (xy 176.720388 112.178604) (xy 176.871296 111.927448)
+ (xy 176.96694 111.685882) (xy 177.022172 111.43042) (xy 177.03033 111.096625) (xy 176.987643 110.838771) (xy 176.903914 110.59282)
+ (xy 176.727665 110.264119) (xy 175.766784 111.225) (xy 175.133216 111.225) (xy 174.176344 110.268128) (xy 174.011965 110.557486)
+ (xy 173.922253 110.801318) (xy 173.873569 111.056528) (xy 173.86722 111.316263) (xy 173.90338 111.573546) (xy 173.981073 111.821469)
+ (xy 174.098217 112.053374) (xy 174.168205 112.149) (xy 166.245694 112.149) (xy 165.394845 111.298151) (xy 165.385661 111.283681)
+ (xy 165.298881 111.202189) (xy 165.262981 111.166288) (xy 165.249589 111.1559) (xy 165.187484 111.09758) (xy 165.142574 111.07289)
+ (xy 165.10208 111.041481) (xy 165.023913 111.007656) (xy 164.945711 110.964664) (xy 164.907894 110.95745) (xy 164.852579 110.933513)
+ (xy 164.584066 110.890984) (xy 164.499268 110.899) (xy 162.781695 110.899) (xy 161.839532 109.956837) (xy 174.498621 109.956837)
+ (xy 175.45 110.908216) (xy 176.399439 109.958777) (xy 176.216201 109.837032) (xy 175.97922 109.730528) (xy 175.728028 109.664161)
+ (xy 175.469369 109.63971) (xy 175.21019 109.657834) (xy 174.957451 109.718045) (xy 174.717941 109.818725) (xy 174.498621 109.956837)
+ (xy 161.839532 109.956837) (xy 160.530848 108.648154) (xy 160.521663 108.633681) (xy 160.434883 108.552189) (xy 160.398983 108.516288)
+ (xy 160.385591 108.5059) (xy 160.342263 108.465212) (xy 160.765353 108.465212) (xy 160.797152 108.716918) (xy 160.895467 108.9508)
+ (xy 161.053056 109.149628) (xy 161.258308 109.298752) (xy 161.496102 109.387187) (xy 161.748918 109.408416) (xy 161.99813 109.360877)
+ (xy 162.225378 109.24807) (xy 162.413918 109.078308) (xy 162.549861 108.864096) (xy 162.623384 108.620575) (xy 162.626231 108.348795)
+ (xy 162.557823 108.103787) (xy 162.426397 107.886776) (xy 162.241453 107.713102) (xy 162.016617 107.595561) (xy 161.768455 107.542813)
+ (xy 161.515251 107.558742) (xy 161.275656 107.642179) (xy 161.067325 107.786972) (xy 160.905607 107.982456) (xy 160.802416 108.214228)
+ (xy 160.765353 108.465212) (xy 160.342263 108.465212) (xy 160.323486 108.44758) (xy 160.278576 108.42289) (xy 160.238082 108.391481)
+ (xy 160.159915 108.357656) (xy 160.081713 108.314664) (xy 160.043896 108.30745) (xy 159.988581 108.283513) (xy 159.720068 108.240984)
+ (xy 159.63527 108.249) (xy 158.078003 108.249) (xy 158.014999 108.198344) (xy 157.747621 108.067934) (xy 157.457997 108.000004)
+ (xy 157.160519 107.997926) (xy 156.869974 108.061807) (xy 156.600802 108.18847) (xy 156.366381 108.37162) (xy 156.178362 108.602154)
+ (xy 156.04609 108.868614) (xy 155.976139 109.157757) (xy 155.971985 109.455213) (xy 156.033836 109.746197) (xy 156.158616 110.016247)
+ (xy 156.340125 110.251941) (xy 156.56934 110.441565) (xy 156.834872 110.575693) (xy 157.123519 110.647661) (xy 157.420938 110.653891)
+ (xy 157.712347 110.594074) (xy 157.983261 110.471182) (xy 158.075722 110.401) (xy 159.24031 110.401) (xy 161.491159 112.65185)
+ (xy 161.500341 112.666319) (xy 161.587105 112.747796) (xy 161.62302 112.783711) (xy 161.636419 112.794105) (xy 161.698518 112.85242)
+ (xy 161.743424 112.877107) (xy 161.78392 112.908519) (xy 161.862101 112.94235) (xy 161.94029 112.985335) (xy 161.978104 112.992549)
+ (xy 162.033422 113.016487) (xy 162.301934 113.059016) (xy 162.386735 113.051) (xy 164.104308 113.051) (xy 164.661987 113.608679)
+ (xy 163.763585 114.771317) (xy 163.71016 114.536166) (xy 163.581621 114.267885) (xy 163.39684 114.034748) (xy 163.164999 113.848344)
+ (xy 162.897621 113.717934) (xy 162.607997 113.650004) (xy 162.310519 113.647926) (xy 162.019974 113.711807) (xy 161.750802 113.83847)
+ (xy 161.516381 114.02162) (xy 161.328362 114.252154) (xy 161.19609 114.518614) (xy 161.126139 114.807757) (xy 161.121985 115.105213)
+ (xy 161.134816 115.165577) (xy 161.112768 115.161868) (xy 160.834984 115.157747) (xy 160.559773 115.195692) (xy 160.293469 115.274826)
+ (xy 160.042198 115.393334) (xy 159.808987 115.550341) (xy 159.516685 115.84935) (xy 154.975602 121.875572) (xy 154.019012 121.150734)
+ (xy 153.999395 121.034862) (xy 153.992452 121.019706) (xy 153.990111 121.003198) (xy 153.917195 120.832022) (xy 153.908684 120.821157)
+ (xy 153.903882 120.808224) (xy 153.800712 120.662886) (xy 153.790956 120.654807) (xy 153.784205 120.64409) (xy 153.654381 120.523904)
+ (xy 153.623815 120.507793) (xy 150.153747 117.893031) (xy 150.153747 82.019042) (xy 153.6101 79.414697) (xy 153.639906 79.399258)
+ (xy 153.76316 79.286775) (xy 153.769333 79.277129) (xy 153.778267 79.269963) (xy 153.882758 79.127552) (xy 153.887682 79.114902)
+ (xy 153.896236 79.104363) (xy 153.971843 78.935045) (xy 153.974408 78.918966) (xy 153.981484 78.9043) (xy 154.015737 78.724018)
+ (xy 154.972737 78.003802) (xy 159.474001 83.97718) (xy 159.474001 85.529306) (xy 156.931514 88.071794) (xy 156.999861 87.964096)
+ (xy 157.073384 87.720575) (xy 157.076231 87.448795) (xy 157.007823 87.203787) (xy 156.876397 86.986776) (xy 156.691453 86.813102)
+ (xy 156.466617 86.695561) (xy 156.218455 86.642813) (xy 155.965251 86.658742) (xy 155.725656 86.742179) (xy 155.517325 86.886972)
+ (xy 155.355607 87.082456) (xy 155.252416 87.314228) (xy 155.215353 87.565212) (xy 155.229917 87.680497) (xy 152.010801 90.899613)
+ (xy 152.000634 90.904584) (xy 151.93402 90.976395) (xy 151.904888 91.005526) (xy 151.898905 91.014249) (xy 151.850335 91.066607)
+ (xy 151.841093 91.098522) (xy 151.814283 91.137603) (xy 151.764739 91.346377) (xy 151.774001 91.414429) (xy 151.774 98.145082)
+ (xy 151.23759 98.145082) (xy 151.122801 98.167914) (xy 151.014967 98.239967) (xy 150.942914 98.347801) (xy 150.920082 98.46259)
+ (xy 150.920082 100.98741) (xy 150.942914 101.102199) (xy 151.014967 101.210033) (xy 151.074781 101.25) (xy 151.014967 101.289967)
+ (xy 150.942914 101.397801) (xy 150.920082 101.51259) (xy 150.920082 103.08741) (xy 150.942914 103.202199) (xy 151.014967 103.310033)
+ (xy 151.122801 103.382086) (xy 151.23759 103.404918) (xy 153.46241 103.404918) (xy 153.577199 103.382086) (xy 153.685033 103.310033)
+ (xy 153.757086 103.202199) (xy 153.779918 103.08741) (xy 153.779918 102.860548) (xy 153.789351 102.855352) (xy 153.835944 102.846674)
+ (xy 154.018602 102.734082) (xy 154.060178 102.679407) (xy 154.844164 101.895421) (xy 154.998918 101.908416) (xy 155.24813 101.860877)
+ (xy 155.475378 101.74807) (xy 155.663918 101.578308) (xy 155.799861 101.364096) (xy 155.873384 101.120575) (xy 155.876231 100.848795)
+ (xy 155.807823 100.603787) (xy 155.676397 100.386776) (xy 155.491453 100.213102) (xy 155.266617 100.095561) (xy 155.018455 100.042813)
+ (xy 154.765251 100.058742) (xy 154.525656 100.142179) (xy 154.317325 100.286972) (xy 154.155607 100.482456) (xy 154.052416 100.714228)
+ (xy 154.015353 100.965212) (xy 154.029917 101.080496) (xy 153.739272 101.371141) (xy 153.685033 101.289967) (xy 153.625219 101.25)
+ (xy 153.685033 101.210033) (xy 153.757086 101.102199) (xy 153.779918 100.98741) (xy 153.779918 99.956) (xy 169.19114 99.956)
+ (xy 169.198276 100.077255) (xy 169.200663 100.084443) (xy 169.200418 100.092014) (xy 169.24602 100.287194) (xy 169.252371 100.299063)
+ (xy 169.254779 100.3123) (xy 169.333301 100.478348) (xy 169.340577 100.486924) (xy 169.344711 100.497381) (xy 169.450275 100.639666)
+ (xy 169.458476 100.646173) (xy 169.464105 100.655) (xy 169.587066 100.767587) (xy 169.588224 100.769075) (xy 169.5909 100.771098)
+ (xy 169.593381 100.77337) (xy 169.595058 100.774242) (xy 177.302834 106.602069) (xy 177.304151 106.603469) (xy 177.307093 106.605289)
+ (xy 177.309845 106.60737) (xy 177.311621 106.608091) (xy 177.454845 106.696705) (xy 177.466292 106.700149) (xy 177.476056 106.707036)
+ (xy 177.654774 106.77278) (xy 177.669997 106.774165) (xy 177.684141 106.779965) (xy 177.895108 106.806978) (xy 177.912508 106.804625)
+ (xy 177.929877 106.807212) (xy 178.143926 106.782721) (xy 178.158384 106.777018) (xy 178.173878 106.77579) (xy 178.353318 106.712138)
+ (xy 178.363309 106.705263) (xy 178.374955 106.701891) (xy 178.528236 106.60924) (xy 178.551968 106.584535) (xy 186.267839 100.807783)
+ (xy 186.291938 100.796915) (xy 186.368905 100.733977) (xy 186.370725 100.731447) (xy 186.37336 100.729783) (xy 186.492826 100.60046)
+ (xy 186.497904 100.5908) (xy 186.505831 100.583305) (xy 186.600755 100.429022) (xy 186.604228 100.417375) (xy 186.611193 100.407417)
+ (xy 186.675857 100.22949) (xy 186.67718 100.214285) (xy 186.682918 100.200142) (xy 186.709265 99.988071) (xy 186.708086 99.979593)
+ (xy 186.709851 99.971218) (xy 186.703431 99.852592) (xy 186.701023 99.845224) (xy 186.7013 99.837474) (xy 186.655334 99.637617)
+ (xy 186.648803 99.625308) (xy 186.64631 99.611598) (xy 186.567645 99.445213) (xy 186.56037 99.436637) (xy 186.556236 99.426177)
+ (xy 186.450869 99.284134) (xy 186.442715 99.277664) (xy 186.437123 99.268884) (xy 186.308146 99.150671) (xy 186.278278 99.135119)
+ (xy 178.595567 93.329549) (xy 178.581208 93.31176) (xy 178.534433 93.277664) (xy 178.534303 93.277536) (xy 178.534105 93.277424)
+ (xy 178.533944 93.277307) (xy 178.533796 93.27725) (xy 178.375763 93.188104) (xy 178.363252 93.184885) (xy 178.352357 93.177948)
+ (xy 178.165067 93.118938) (xy 178.148528 93.118219) (xy 178.132879 93.112809) (xy 177.913055 93.097159) (xy 177.899044 93.099864)
+ (xy 177.884869 93.098228) (xy 177.713583 93.12357) (xy 177.70297 93.128167) (xy 177.691447 93.129136) (xy 177.511761 93.193858)
+ (xy 177.501755 93.200814) (xy 177.490064 93.204267) (xy 177.33684 93.29799) (xy 177.313399 93.32265) (xy 169.629037 99.118813)
+ (xy 169.604878 99.129836) (xy 169.529042 99.192407) (xy 169.527321 99.194823) (xy 169.524825 99.19641) (xy 169.406303 99.325565)
+ (xy 169.401341 99.335081) (xy 169.393561 99.342467) (xy 169.29957 99.495924) (xy 169.296141 99.507511) (xy 169.289234 99.517417)
+ (xy 169.224686 99.695865) (xy 169.223376 99.711203) (xy 169.217625 99.725477) (xy 169.191687 99.938501) (xy 169.192932 99.947295)
+ (xy 169.19114 99.956) (xy 153.779918 99.956) (xy 153.779918 98.717525) (xy 156.024259 98.717525) (xy 156.333597 98.852671)
+ (xy 156.559607 98.902363) (xy 156.790966 98.907209) (xy 157.018859 98.867025) (xy 157.38372 98.725504) (xy 156.7 98.041784)
+ (xy 156.024259 98.717525) (xy 153.779918 98.717525) (xy 153.779918 98.46259) (xy 153.757086 98.347801) (xy 153.685033 98.239967)
+ (xy 153.577199 98.167914) (xy 153.46241 98.145082) (xy 152.926 98.145082) (xy 152.926 97.824217) (xy 155.518455 97.824217)
+ (xy 155.560229 98.051824) (xy 155.700955 98.407261) (xy 156.383216 97.725) (xy 157.016784 97.725) (xy 157.570082 98.278298)
+ (xy 157.570082 98.53741) (xy 157.592914 98.652199) (xy 157.664967 98.760033) (xy 157.772801 98.832086) (xy 157.88759 98.854918)
+ (xy 159.51241 98.854918) (xy 159.627199 98.832086) (xy 159.735033 98.760033) (xy 159.807086 98.652199) (xy 159.829918 98.53741)
+ (xy 159.829918 96.91259) (xy 159.807086 96.797801) (xy 159.735033 96.689967) (xy 159.627199 96.617914) (xy 159.51241 96.595082)
+ (xy 157.88759 96.595082) (xy 157.772801 96.617914) (xy 157.664967 96.689967) (xy 157.592914 96.797801) (xy 157.570082 96.91259)
+ (xy 157.570082 97.171702) (xy 157.016784 97.725) (xy 156.383216 97.725) (xy 155.706274 97.048058) (xy 155.569799 97.366479)
+ (xy 155.521686 97.59283) (xy 155.518455 97.824217) (xy 152.926 97.824217) (xy 152.926 96.727364) (xy 156.019148 96.727364)
+ (xy 156.7 97.408216) (xy 157.378193 96.730023) (xy 157.050622 96.592324) (xy 156.82394 96.545792) (xy 156.592536 96.544177)
+ (xy 156.365226 96.587539) (xy 156.019148 96.727364) (xy 152.926 96.727364) (xy 152.926 91.613585) (xy 156.044165 88.495421)
+ (xy 156.198918 88.508416) (xy 156.44813 88.460877) (xy 156.635385 88.367923) (xy 156.422341 88.580967) (xy 156.202473 88.629308)
+ (xy 155.933301 88.755971) (xy 155.69888 88.939121) (xy 155.510861 89.169655) (xy 155.378589 89.436115) (xy 155.308638 89.725258)
+ (xy 155.304484 90.022714) (xy 155.366335 90.313698) (xy 155.491115 90.583748) (xy 155.672624 90.819442) (xy 155.901839 91.009066)
+ (xy 156.167371 91.143194) (xy 156.456018 91.215162) (xy 156.753437 91.221392) (xy 157.044846 91.161575) (xy 157.31576 91.038683)
+ (xy 157.552716 90.858824) (xy 157.743935 90.630938) (xy 157.879915 90.36635) (xy 157.948858 90.097834) (xy 161.22685 86.819843)
+ (xy 161.241319 86.810661) (xy 161.322796 86.723897) (xy 161.358711 86.687982) (xy 161.369105 86.674583) (xy 161.42742 86.612484)
+ (xy 161.452107 86.567578) (xy 161.483519 86.527082) (xy 161.51735 86.448901) (xy 161.560335 86.370712) (xy 161.567549 86.332898)
+ (xy 161.591487 86.27758) (xy 161.634016 86.009067) (xy 161.626 85.924268) (xy 161.626 84.550039) (xy 161.704436 84.520008)
+ (xy 161.945873 84.382574) (xy 162.163745 84.210201) (xy 162.353037 84.006854) (xy 162.509392 83.777216) (xy 162.629213 83.526569)
+ (xy 162.709742 83.260683) (xy 162.749126 82.985674) (xy 162.74646 82.707873) (xy 162.701803 82.433671) (xy 162.615159 82.166217)
+ (xy 162.408302 81.802822) (xy 157.890723 75.807792) (xy 158.168779 75.598533) (xy 162.700474 81.612294) (xy 162.837274 81.767738)
+ (xy 163.050248 81.946128) (xy 163.287755 82.09025) (xy 163.544329 82.196789) (xy 163.814066 82.263293) (xy 164.090759 82.288231)
+ (xy 164.36804 82.271028) (xy 164.639529 82.212083) (xy 164.814735 82.145002) (xy 167.124001 84.454269) (xy 167.124 86.460887)
+ (xy 167.121985 86.605213) (xy 167.139426 86.687269) (xy 167.148777 86.77063) (xy 167.170256 86.832308) (xy 167.183836 86.896197)
+ (xy 167.219024 86.972352) (xy 167.24661 87.051568) (xy 167.281222 87.106958) (xy 167.308616 87.166246) (xy 167.3598 87.232711)
+ (xy 167.404252 87.303849) (xy 167.450274 87.350194) (xy 167.490125 87.401941) (xy 167.554764 87.455415) (xy 167.613871 87.514935)
+ (xy 167.669011 87.549929) (xy 167.719341 87.591565) (xy 167.79422 87.629388) (xy 167.865045 87.674335) (xy 167.926568 87.696242)
+ (xy 167.984871 87.725693) (xy 168.066268 87.745988) (xy 168.145292 87.774127) (xy 168.210152 87.781862) (xy 168.273519 87.797661)
+ (xy 168.357377 87.799418) (xy 168.440684 87.809351) (xy 168.505648 87.802523) (xy 168.570938 87.803891) (xy 168.653109 87.787025)
+ (xy 168.736539 87.778255) (xy 168.798374 87.757205) (xy 168.862347 87.744074) (xy 168.938737 87.709422) (xy 169.018152 87.682386)
+ (xy 169.073781 87.648163) (xy 169.133261 87.621182) (xy 169.200088 87.570457) (xy 169.271527 87.526508) (xy 169.318185 87.480817)
+ (xy 169.370217 87.441323) (xy 169.424143 87.377056) (xy 169.484073 87.318369) (xy 169.519452 87.263471) (xy 169.561436 87.213437)
+ (xy 169.599786 87.138816) (xy 169.645223 87.068313) (xy 169.667558 87.006946) (xy 169.697416 86.948849) (xy 169.718277 86.8676)
+ (xy 169.74712 86.788351) (xy 169.754489 86.726563) (xy 169.771619 86.659846) (xy 169.772836 86.572699) (xy 169.776 86.546162)
+ (xy 169.776 86.346087) (xy 169.776264 86.327132) (xy 169.776 86.325969) (xy 169.776 83.918335) (xy 169.777552 83.770173)
+ (xy 169.760317 83.69046) (xy 169.751223 83.609392) (xy 169.728975 83.545503) (xy 169.714684 83.479407) (xy 169.680219 83.405496)
+ (xy 169.65339 83.328454) (xy 169.617547 83.271092) (xy 169.588775 83.209392) (xy 169.541269 83.149021) (xy 169.495747 83.076172)
+ (xy 169.43888 83.018908) (xy 169.437948 83.017723) (xy 169.40308 82.982856) (xy 169.28613 82.865087) (xy 169.283888 82.863664)
+ (xy 164.951738 78.531514) (xy 161.086765 73.402523) (xy 161.993639 72.72003) (xy 162.070222 72.734593) (xy 162.090168 72.733116)
+ (xy 162.109799 72.736914) (xy 162.330516 72.721279) (xy 162.348447 72.715087) (xy 162.367378 72.713945) (xy 162.551419 72.652546)
+ (xy 162.563607 72.644495) (xy 162.577641 72.640451) (xy 162.731969 72.547454) (xy 162.756172 72.522328) (xy 165.484028 70.46675)
+ (xy 172.898345 70.46675) (xy 172.919246 70.696425) (xy 172.984362 70.917667) (xy 173.091209 71.122047) (xy 173.235719 71.301781)
+ (xy 173.412388 71.450024) (xy 173.614485 71.561127) (xy 173.834738 71.630996) (xy 174.025064 71.651) (xy 174.137971 71.651)
+ (xy 174.309524 71.634179) (xy 174.530305 71.567521) (xy 174.733934 71.45925) (xy 174.912655 71.313489) (xy 175.059661 71.135789)
+ (xy 175.169351 70.932921) (xy 175.237548 70.71261) (xy 175.238978 70.699) (xy 175.408761 70.699) (xy 175.451725 70.87727)
+ (xy 175.548869 71.090928) (xy 175.684664 71.282362) (xy 175.854206 71.444664) (xy 176.051382 71.571979) (xy 176.396001 71.710864)
+ (xy 176.396001 70.699) (xy 176.844001 70.699) (xy 176.844001 71.69113) (xy 177.078861 71.622231) (xy 177.287518 71.514765)
+ (xy 177.472091 71.369782) (xy 177.625916 71.192513) (xy 177.743447 70.989353) (xy 177.844274 70.699) (xy 176.844001 70.699)
+ (xy 176.396001 70.699) (xy 175.408761 70.699) (xy 175.238978 70.699) (xy 175.261655 70.48325) (xy 175.260154 70.46675)
+ (xy 177.978345 70.46675) (xy 177.999246 70.696425) (xy 178.064362 70.917667) (xy 178.171209 71.122047) (xy 178.315719 71.301781)
+ (xy 178.492388 71.450024) (xy 178.694485 71.561127) (xy 178.914738 71.630996) (xy 179.105064 71.651) (xy 179.217971 71.651)
+ (xy 179.389524 71.634179) (xy 179.610305 71.567521) (xy 179.813934 71.45925) (xy 179.992655 71.313489) (xy 180.139661 71.135789)
+ (xy 180.249351 70.932921) (xy 180.317548 70.71261) (xy 180.341655 70.48325) (xy 180.320754 70.253575) (xy 180.255638 70.032333)
+ (xy 180.148791 69.827953) (xy 180.004281 69.648219) (xy 179.961821 69.61259) (xy 180.520082 69.61259) (xy 180.520082 71.33741)
+ (xy 180.542914 71.452199) (xy 180.614967 71.560033) (xy 180.722801 71.632086) (xy 180.83759 71.654918) (xy 182.56241 71.654918)
+ (xy 182.677199 71.632086) (xy 182.785033 71.560033) (xy 182.857086 71.452199) (xy 182.879918 71.33741) (xy 182.879918 69.61259)
+ (xy 182.857086 69.497801) (xy 182.785033 69.389967) (xy 182.677199 69.317914) (xy 182.56241 69.295082) (xy 180.83759 69.295082)
+ (xy 180.722801 69.317914) (xy 180.614967 69.389967) (xy 180.542914 69.497801) (xy 180.520082 69.61259) (xy 179.961821 69.61259)
+ (xy 179.827612 69.499976) (xy 179.625515 69.388873) (xy 179.405262 69.319004) (xy 179.214936 69.299) (xy 179.102029 69.299)
+ (xy 178.930476 69.315821) (xy 178.709695 69.382479) (xy 178.506066 69.49075) (xy 178.327345 69.636511) (xy 178.180339 69.814211)
+ (xy 178.070649 70.017079) (xy 178.002452 70.23739) (xy 177.978345 70.46675) (xy 175.260154 70.46675) (xy 175.240754 70.253575)
+ (xy 175.239997 70.251) (xy 175.395728 70.251) (xy 176.396001 70.251) (xy 176.844001 70.251) (xy 177.831241 70.251)
+ (xy 177.788277 70.07273) (xy 177.691133 69.859072) (xy 177.555338 69.667638) (xy 177.385796 69.505336) (xy 177.18862 69.378021)
+ (xy 176.844001 69.239136) (xy 176.844001 70.251) (xy 176.396001 70.251) (xy 176.396001 69.25887) (xy 176.161141 69.327769)
+ (xy 175.952484 69.435235) (xy 175.767911 69.580218) (xy 175.614086 69.757487) (xy 175.496555 69.960647) (xy 175.395728 70.251)
+ (xy 175.239997 70.251) (xy 175.175638 70.032333) (xy 175.068791 69.827953) (xy 174.924281 69.648219) (xy 174.747612 69.499976)
+ (xy 174.545515 69.388873) (xy 174.325262 69.319004) (xy 174.134936 69.299) (xy 174.022029 69.299) (xy 173.850476 69.315821)
+ (xy 173.629695 69.382479) (xy 173.426066 69.49075) (xy 173.247345 69.636511) (xy 173.100339 69.814211) (xy 172.990649 70.017079)
+ (xy 172.922452 70.23739) (xy 172.898345 70.46675) (xy 165.484028 70.46675) (xy 169.395782 67.519046) (xy 186.477731 67.519046)
)
)
)
- (zone (net 1) (net_name +12V) (layer B.Cu) (tstamp 5C551316) (hatch edge 0.508)
+ (zone (net 0) (net_name "") (layers F&B.Cu) (tstamp 0) (hatch edge 0.508)
(connect_pads (clearance 0.15))
- (min_thickness 0.15)
- (fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.8))
+ (min_thickness 0.254)
+ (keepout (tracks allowed) (vias allowed) (copperpour not_allowed))
+ (fill (thermal_gap 0.254) (thermal_bridge_width 0.7))
(polygon
(pts
- (xy 75 75) (xy 125 75) (xy 125 119) (xy 125 125) (xy 75 125)
- )
- )
- (filled_polygon
- (pts
- (xy 103.227907 75.576836) (xy 106.381157 76.209398) (xy 109.425348 77.246699) (xy 112.283273 78.659544) (xy 112.284359 78.66076)
- (xy 112.341112 78.688137) (xy 112.368455 78.701654) (xy 112.36999 78.702067) (xy 112.400812 78.716935) (xy 112.431813 78.718697)
- (xy 112.461794 78.726761) (xy 112.495722 78.722328) (xy 112.529895 78.72427) (xy 112.559211 78.714033) (xy 112.589997 78.710011)
- (xy 112.619652 78.692929) (xy 112.65196 78.681647) (xy 112.675125 78.660973) (xy 112.702031 78.645474) (xy 112.722891 78.618344)
- (xy 112.724075 78.617287) (xy 112.742424 78.592938) (xy 112.746726 78.587344) (xy 115.633561 80.539135) (xy 117.466402 82.202638)
- (xy 119.757864 84.820158) (xy 119.741917 84.841318) (xy 119.710998 84.87319) (xy 119.69148 84.92241) (xy 119.668473 84.9701)
- (xy 119.667788 84.982154) (xy 119.663337 84.993378) (xy 119.66414 85.046315) (xy 119.661135 85.099183) (xy 119.665116 85.110584)
- (xy 119.665299 85.122654) (xy 119.6863 85.171258) (xy 119.703755 85.221249) (xy 119.733318 85.254376) (xy 120.862002 86.889326)
- (xy 121.854001 88.607578) (xy 122.70226 90.394218) (xy 123.403745 92.240178) (xy 123.955383 94.136291) (xy 124.354132 96.073469)
- (xy 124.596943 98.042593) (xy 124.680736 100.033912) (xy 124.593545 102.055934) (xy 124.342503 104.05442) (xy 123.930869 106.019431)
- (xy 123.361885 107.941445) (xy 122.638795 109.810944) (xy 121.764842 111.618408) (xy 120.743269 113.354322) (xy 119.580364 115.004855)
- (xy 119.549761 115.039144) (xy 119.532815 115.087672) (xy 119.511979 115.134654) (xy 119.511641 115.148309) (xy 119.507137 115.161208)
- (xy 119.510052 115.212526) (xy 119.508781 115.263906) (xy 119.513695 115.276653) (xy 119.51447 115.290292) (xy 119.536802 115.336588)
- (xy 119.55529 115.384544) (xy 119.566786 115.396623) (xy 117.716756 117.56685) (xy 115.02459 119.870147) (xy 112.506034 121.558212)
- (xy 112.490039 121.537068) (xy 112.462151 121.520711) (xy 112.438034 121.499185) (xy 112.406933 121.488324) (xy 112.378514 121.471655)
- (xy 112.346491 121.467215) (xy 112.315971 121.456557) (xy 112.28308 121.458424) (xy 112.250447 121.4539) (xy 112.219164 121.462053)
- (xy 112.186887 121.463885) (xy 112.157211 121.478198) (xy 112.156913 121.478276) (xy 112.128398 121.492096) (xy 112.070432 121.520054)
- (xy 112.07022 121.520291) (xy 109.257224 122.883593) (xy 106.264067 123.885502) (xy 103.167511 124.497114) (xy 100.005651 124.708407)
- (xy 96.867878 124.505411) (xy 93.793094 123.90802) (xy 90.818763 122.925911) (xy 87.963499 121.559964) (xy 87.905702 121.532091)
- (xy 87.872603 121.530214) (xy 87.840481 121.522016) (xy 87.808688 121.526588) (xy 87.776616 121.524769) (xy 87.745319 121.535701)
- (xy 87.712506 121.54042) (xy 87.684879 121.556813) (xy 87.654556 121.567405) (xy 87.629826 121.58948) (xy 87.601315 121.606398)
- (xy 87.599113 121.60934) (xy 84.945809 119.87035) (xy 84.62714 119.585343) (xy 88.125294 119.585343) (xy 88.262236 120.096418)
- (xy 88.295699 120.221305) (xy 88.374408 120.32388) (xy 88.48638 120.388526) (xy 88.614566 120.405402) (xy 89.250528 120.234997)
- (xy 89.30798 120.135486) (xy 89.07019 119.248042) (xy 88.182746 119.485832) (xy 88.125294 119.585343) (xy 84.62714 119.585343)
- (xy 84.061899 119.07981) (xy 89.698042 119.07981) (xy 89.935832 119.967254) (xy 90.035343 120.024706) (xy 90.671304 119.854301)
- (xy 90.773879 119.775593) (xy 90.838526 119.663622) (xy 90.855403 119.535435) (xy 90.821939 119.410547) (xy 90.684997 118.899472)
- (xy 90.585486 118.84202) (xy 89.698042 119.07981) (xy 84.061899 119.07981) (xy 83.038553 118.164565) (xy 87.744597 118.164565)
- (xy 87.778061 118.289453) (xy 87.915003 118.800528) (xy 88.014514 118.85798) (xy 88.901958 118.62019) (xy 88.664168 117.732746)
- (xy 88.564657 117.675294) (xy 87.928696 117.845699) (xy 87.826121 117.924407) (xy 87.761474 118.036378) (xy 87.744597 118.164565)
- (xy 83.038553 118.164565) (xy 82.113501 117.33723) (xy 80.507124 115.491227) (xy 80.513109 115.484896) (xy 80.531244 115.437382)
- (xy 80.553339 115.391575) (xy 80.554145 115.377384) (xy 80.559214 115.364103) (xy 80.557786 115.313259) (xy 80.560668 115.26249)
- (xy 80.555982 115.249072) (xy 80.555583 115.234862) (xy 80.534807 115.188437) (xy 80.518041 115.140426) (xy 80.487079 115.105736)
- (xy 79.309558 113.446289) (xy 78.27566 111.700396) (xy 77.391408 109.881576) (xy 76.66012 107.999532) (xy 76.085139 106.064024)
- (xy 75.669783 104.084762) (xy 75.417379 102.07146) (xy 75.33127 100.034159) (xy 75.416945 98.029495) (xy 75.663723 96.047647)
- (xy 75.924856 94.789999) (xy 79.350022 94.789999) (xy 79.375 94.915572) (xy 79.374999 101.474431) (xy 79.350022 101.599999)
- (xy 79.374999 101.725567) (xy 79.374999 101.725571) (xy 79.448976 102.097478) (xy 79.730776 102.519222) (xy 79.837234 102.590355)
- (xy 79.969429 102.72255) (xy 79.977124 102.78411) (xy 79.977764 102.788891) (xy 80.227764 104.538891) (xy 80.236598 104.579021)
- (xy 80.986598 107.079021) (xy 80.998702 107.111688) (xy 81.998702 109.361688) (xy 82.014189 109.391486) (xy 83.514189 111.891486)
- (xy 83.535261 111.921791) (xy 84.535261 113.171791) (xy 84.555546 113.194454) (xy 86.055546 114.694454) (xy 86.071032 114.708796)
- (xy 87.821032 116.208796) (xy 87.840159 116.223777) (xy 89.515568 117.420498) (xy 89.349472 117.465003) (xy 89.29202 117.564514)
- (xy 89.52981 118.451958) (xy 90.417254 118.214168) (xy 90.474706 118.114657) (xy 90.403564 117.849151) (xy 92.386204 118.750351)
- (xy 92.403441 118.757491) (xy 94.403441 119.507491) (xy 94.440344 119.518451) (xy 96.690344 120.018451) (xy 96.722636 120.023635)
- (xy 99.222636 120.273635) (xy 99.25 120.275) (xy 100.5 120.275) (xy 100.522838 120.27405) (xy 103.365274 120.03718)
- (xy 103.439478 120.216325) (xy 103.798141 120.574988) (xy 104.266758 120.769095) (xy 104.773984 120.769095) (xy 105.242601 120.574988)
- (xy 105.601264 120.216325) (xy 105.795371 119.747708) (xy 105.795371 119.441419) (xy 107.086963 119.010888) (xy 107.111688 119.001298)
- (xy 108.119173 118.553527) (xy 108.37206 119.497314) (xy 108.420447 119.595434) (xy 108.502699 119.667567) (xy 108.606295 119.702733)
- (xy 108.715462 119.695578) (xy 110.647314 119.17794) (xy 110.745434 119.129553) (xy 110.817567 119.047301) (xy 110.852733 118.943705)
- (xy 110.845578 118.834538) (xy 110.442213 117.32916) (xy 111.39575 116.7332) (xy 111.409841 116.723777) (xy 113.159841 115.473777)
- (xy 113.194454 115.444454) (xy 115.194454 113.444454) (xy 115.22 113.415) (xy 116.72 111.415) (xy 116.7332 111.39575)
- (xy 117.60958 109.993541) (xy 119.812768 107.790354) (xy 119.919223 107.719223) (xy 120.201023 107.29748) (xy 120.275 106.925573)
- (xy 120.275 106.925569) (xy 120.299977 106.800001) (xy 120.275 106.674433) (xy 120.275 92.375567) (xy 120.299977 92.249999)
- (xy 120.275 92.124431) (xy 120.275 92.124427) (xy 120.201023 91.75252) (xy 119.919223 91.330777) (xy 119.812768 91.259646)
- (xy 116.140356 87.587235) (xy 116.069223 87.480777) (xy 115.962768 87.409646) (xy 115.675017 87.121896) (xy 115.473777 86.840159)
- (xy 115.444454 86.805546) (xy 114.194454 85.555546) (xy 114.178968 85.541204) (xy 113.814699 85.228973) (xy 113.809221 85.220775)
- (xy 113.790683 85.208388) (xy 112.428968 84.041204) (xy 112.421791 84.035261) (xy 111.171791 83.035261) (xy 111.136438 83.011233)
- (xy 109.386438 82.011233) (xy 109.358328 81.997235) (xy 107.608328 81.247235) (xy 107.596559 81.242509) (xy 105.596559 80.492509)
- (xy 105.553932 80.48034) (xy 103.053932 79.98034) (xy 103.038891 79.977764) (xy 101.288891 79.727764) (xy 101.25 79.725)
- (xy 98.75 79.725) (xy 98.719631 79.726682) (xy 96.469631 79.976682) (xy 96.427643 79.98469) (xy 93.677643 80.73469)
- (xy 93.644231 80.746154) (xy 90.644231 81.996154) (xy 90.608514 82.014189) (xy 88.108514 83.514189) (xy 88.073949 83.538739)
- (xy 86.573949 84.788739) (xy 86.555546 84.805546) (xy 84.555546 86.805546) (xy 84.53 86.835) (xy 83.03 88.835)
- (xy 83.00787 88.869623) (xy 81.25787 92.119623) (xy 81.237161 92.169126) (xy 81.134677 92.5022) (xy 79.837232 93.799645)
- (xy 79.730777 93.870776) (xy 79.659646 93.977231) (xy 79.659645 93.977232) (xy 79.448977 94.292519) (xy 79.350022 94.789999)
- (xy 75.924856 94.789999) (xy 76.068448 94.098449) (xy 76.627987 92.19117) (xy 77.339202 90.335113) (xy 78.198964 88.539547)
- (xy 79.204116 86.813797) (xy 80.347973 85.172231) (xy 80.378006 85.13858) (xy 80.395229 85.089258) (xy 80.416162 85.041387)
- (xy 80.416412 85.028596) (xy 80.42063 85.016516) (xy 80.417667 84.964352) (xy 80.418687 84.912119) (xy 80.414023 84.900205)
- (xy 80.413297 84.887431) (xy 80.390596 84.840369) (xy 80.371551 84.791725) (xy 80.340287 84.759215) (xy 80.327077 84.741685)
- (xy 82.642506 82.113857) (xy 85.454451 79.760598) (xy 87.361925 78.540502) (xy 87.366923 78.547045) (xy 87.385575 78.571799)
- (xy 87.386391 78.572528) (xy 87.406867 78.599329) (xy 87.434149 78.615157) (xy 87.457684 78.636165) (xy 87.489528 78.647287)
- (xy 87.518701 78.664212) (xy 87.549966 78.668395) (xy 87.579746 78.678796) (xy 87.613421 78.676885) (xy 87.646851 78.681358)
- (xy 87.677337 78.673258) (xy 87.708831 78.671471) (xy 87.739213 78.656818) (xy 87.740267 78.656538) (xy 87.767987 78.642941)
- (xy 87.825288 78.615305) (xy 87.826037 78.614466) (xy 90.663271 77.222734) (xy 93.684074 76.200106) (xy 96.811536 75.575637)
- (xy 100.006028 75.3598)
+ (xy 183.75 132.575) (xy 183.75 119.175) (xy 170.75 119.175) (xy 170.75 132.575)
)
)
)
- (zone (net 0) (net_name "") (layers F&B.Cu) (tstamp 0) (hatch edge 0.508)
- (connect_pads (clearance 0.15))
- (min_thickness 0.15)
- (keepout (tracks not_allowed) (vias not_allowed) (copperpour not_allowed))
- (fill (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.8))
+ (zone (net 32) (net_name "/PAD") (layer "F.Cu") (tstamp 0) (hatch edge 0.508)
+ (priority 1)
+ (connect_pads yes (clearance 0.15))
+ (min_thickness 0.254)
+ (fill yes (thermal_gap 0.254) (thermal_bridge_width 0.7))
(polygon
(pts
- (xy 111.54 123.35) (xy 112.28 121.8) (xy 115.07 119.93) (xy 117.77 117.62) (xy 119.85 115.18)
- (xy 126.74 115.07) (xy 130.78 122.26) (xy 116.1 134.5) (xy 111.07 126.55)
+ (xy 165.75 98.775) (xy 165.75 94.375) (xy 174.35 94.375) (xy 174.35 105.775) (xy 165.75 105.775)
)
)
- )
- (zone (net 0) (net_name "") (layers F&B.Cu) (tstamp 0) (hatch edge 0.508)
- (connect_pads (clearance 0.15))
- (min_thickness 0.15)
- (keepout (tracks not_allowed) (vias not_allowed) (copperpour not_allowed))
- (fill (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.8))
- (polygon
+ (filled_polygon
(pts
- (xy 80.25 115.31) (xy 82.06 117.39) (xy 84.9 119.93) (xy 87.86 121.87) (xy 88.55 127.6)
- (xy 84.63 133.85) (xy 69.25 123.6) (xy 74.99 115.87)
+ (xy 174.224 95.652923) (xy 169.629037 99.118813) (xy 169.604878 99.129836) (xy 169.529042 99.192407) (xy 169.527321 99.194823)
+ (xy 169.524825 99.19641) (xy 169.406303 99.325565) (xy 169.401341 99.335081) (xy 169.393561 99.342467) (xy 169.29957 99.495924)
+ (xy 169.296141 99.507511) (xy 169.289234 99.517417) (xy 169.224686 99.695865) (xy 169.223376 99.711203) (xy 169.217625 99.725477)
+ (xy 169.191687 99.938501) (xy 169.192932 99.947295) (xy 169.19114 99.956) (xy 169.198276 100.077255) (xy 169.200663 100.084443)
+ (xy 169.200418 100.092014) (xy 169.24602 100.287194) (xy 169.252371 100.299063) (xy 169.254779 100.3123) (xy 169.333301 100.478348)
+ (xy 169.340577 100.486924) (xy 169.344711 100.497381) (xy 169.450275 100.639666) (xy 169.458476 100.646173) (xy 169.464105 100.655)
+ (xy 169.587066 100.767587) (xy 169.588224 100.769075) (xy 169.5909 100.771098) (xy 169.593381 100.77337) (xy 169.595058 100.774242)
+ (xy 174.224 104.274171) (xy 174.224 105.649) (xy 166.561356 105.649) (xy 166.561356 94.501) (xy 174.224 94.501)
)
)
)
- (zone (net 0) (net_name "") (layers F&B.Cu) (tstamp 0) (hatch edge 0.508)
+ (zone (net 31) (net_name "/ILIM") (layer "B.Cu") (tstamp 0) (hatch edge 0.508)
+ (priority 1)
(connect_pads (clearance 0.15))
- (min_thickness 0.15)
- (keepout (tracks not_allowed) (vias not_allowed) (copperpour not_allowed))
- (fill (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.8))
+ (min_thickness 0.254)
+ (fill yes (thermal_gap 0.254) (thermal_bridge_width 0.7))
(polygon
(pts
- (xy 80.07 84.92) (xy 82.59 82.06) (xy 85.41 79.7) (xy 87.63 78.28) (xy 88.47 71.92)
- (xy 84.21 67.25) (xy 69 78.07) (xy 75.39 84.5)
+ (xy 183.45 132.475) (xy 183.45 119.475) (xy 185.95 119.475) (xy 185.95 116.475) (xy 180.95 111.475)
+ (xy 180.95 113.975) (xy 180.45 114.475) (xy 176.45 114.475) (xy 174.45 112.475) (xy 170.95 112.475)
+ (xy 165.95 112.475) (xy 157.45 123.475) (xy 169.45 132.975)
)
)
- )
- (zone (net 0) (net_name "") (layers F&B.Cu) (tstamp 0) (hatch edge 0.508)
- (connect_pads (clearance 0.15))
- (min_thickness 0.15)
- (keepout (tracks not_allowed) (vias not_allowed) (copperpour not_allowed))
- (fill (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.8))
- (polygon
+ (filled_polygon
(pts
- (xy 112.5 78.33) (xy 115.68 80.48) (xy 117.52 82.15) (xy 120.05 85.04) (xy 126.55 85.04)
- (xy 133.06 78.53) (xy 120.45 65.92) (xy 114.71 65.92) (xy 110.32 72.09)
+ (xy 176.397809 114.601) (xy 180.502191 114.601) (xy 181.076 114.027191) (xy 181.076 113.776245) (xy 185.024 117.724247)
+ (xy 185.024 118.225754) (xy 183.900754 119.349) (xy 183.876 119.349) (xy 183.876 119.049) (xy 170.624 119.049)
+ (xy 170.624 132.393029) (xy 169.395783 132.393029) (xy 162.770219 127.400407) (xy 162.747258 127.376076) (xy 162.605903 127.288912)
+ (xy 162.593202 127.285111) (xy 162.582289 127.277588) (xy 162.39812 127.212072) (xy 162.379288 127.210563) (xy 162.364918 127.205271)
+ (xy 161.222345 126.300734) (xy 165.64209 120.435534) (xy 165.753805 120.261187) (xy 165.866581 120.007292) (xy 165.939654 119.739261)
+ (xy 165.971345 119.46326) (xy 165.960923 119.185641) (xy 165.94486 119.101832) (xy 166.826846 118.219846) (xy 166.841319 118.210661)
+ (xy 166.922811 118.123881) (xy 166.95871 118.087983) (xy 166.969101 118.074588) (xy 167.027421 118.012483) (xy 167.052109 117.967575)
+ (xy 167.083518 117.927083) (xy 167.11735 117.848902) (xy 167.160335 117.770712) (xy 167.167549 117.732898) (xy 167.191487 117.67758)
+ (xy 167.234016 117.409068) (xy 167.226 117.324267) (xy 167.226 113.693786) (xy 167.229738 113.677065) (xy 167.226 113.558115)
+ (xy 167.226 113.507307) (xy 167.223874 113.490485) (xy 167.221199 113.405339) (xy 167.206901 113.356124) (xy 167.200478 113.305283)
+ (xy 167.169119 113.226077) (xy 167.144225 113.140394) (xy 167.122589 113.108558) (xy 167.100399 113.052514) (xy 166.940604 112.832573)
+ (xy 166.874967 112.778274) (xy 166.697693 112.601) (xy 174.39781 112.601)
)
)
)
diff --git a/center/center.kicad_pcb-bak b/center/center.kicad_pcb-bak
index 1c69bc8..8915f80 100644
--- a/center/center.kicad_pcb-bak
+++ b/center/center.kicad_pcb-bak
@@ -1,54 +1,54 @@
-(kicad_pcb (version 20171130) (host pcbnew "(5.0.1)")
+(kicad_pcb (version 20190605) (host pcbnew "(5.99.0-52-gefbc802f4)")
(general
(thickness 1.6)
- (drawings 102)
- (tracks 438)
- (zones 0)
- (modules 52)
- (nets 35)
+ (drawings 113)
+ (tracks 408)
+ (modules 56)
+ (nets 43)
)
- (page A4)
+ (page "A4")
(layers
- (0 F.Cu signal)
- (31 B.Cu signal)
- (32 B.Adhes user)
- (33 F.Adhes user)
- (34 B.Paste user)
- (35 F.Paste user)
- (36 B.SilkS user)
- (37 F.SilkS user)
- (38 B.Mask user)
- (39 F.Mask user)
- (40 Dwgs.User user)
- (41 Cmts.User user)
- (42 Eco1.User user)
- (43 Eco2.User user)
- (44 Edge.Cuts user)
- (45 Margin user)
- (46 B.CrtYd user)
- (47 F.CrtYd user)
- (48 B.Fab user)
- (49 F.Fab user)
+ (0 "F.Cu" signal)
+ (31 "B.Cu" signal)
+ (32 "B.Adhes" user)
+ (33 "F.Adhes" user)
+ (34 "B.Paste" user)
+ (35 "F.Paste" user)
+ (36 "B.SilkS" user)
+ (37 "F.SilkS" user)
+ (38 "B.Mask" user)
+ (39 "F.Mask" user)
+ (40 "Dwgs.User" user)
+ (41 "Cmts.User" user)
+ (42 "Eco1.User" user)
+ (43 "Eco2.User" user)
+ (44 "Edge.Cuts" user)
+ (45 "Margin" user)
+ (46 "B.CrtYd" user)
+ (47 "F.CrtYd" user)
+ (48 "B.Fab" user)
+ (49 "F.Fab" user)
)
(setup
- (last_trace_width 0.8)
+ (last_trace_width 0.5)
(user_trace_width 0.1)
(user_trace_width 0.15)
(user_trace_width 0.2)
(user_trace_width 0.3)
+ (user_trace_width 0.4)
(user_trace_width 0.5)
(user_trace_width 0.8)
(user_trace_width 1.2)
+ (user_trace_width 1.5)
+ (user_trace_width 1.8)
(user_trace_width 2)
(trace_clearance 0.2)
(zone_clearance 0.15)
(zone_45_only no)
(trace_min 0.1)
- (segment_width 0.15)
- (edge_width 0.15)
(via_size 0.8)
(via_drill 0.4)
(via_min_size 0.4)
@@ -64,17 +64,25 @@
(uvias_allowed no)
(uvia_min_size 0.2)
(uvia_min_drill 0.1)
- (pcb_text_width 0.3)
- (pcb_text_size 1.5 1.5)
- (mod_edge_width 0.15)
- (mod_text_size 1 1)
- (mod_text_width 0.15)
+ (max_error 0.005)
+ (defaults
+ (edge_clearance 0.01)
+ (edge_cuts_line_width 0.15)
+ (courtyard_line_width 0.05)
+ (copper_line_width 0.15)
+ (copper_text_dims (size 1.5 1.5) (thickness 0.3) keep_upright)
+ (silk_line_width 0.15)
+ (silk_text_dims (size 1 1) (thickness 0.15) keep_upright)
+ (other_layers_line_width 0.1)
+ (other_layers_text_dims (size 1 1) (thickness 0.15) keep_upright)
+ )
(pad_size 1.524 1.524)
(pad_drill 0.762)
(pad_to_mask_clearance 0.051)
(solder_mask_min_width 0.25)
(aux_axis_origin 0 0)
- (visible_elements FFFFFFFF)
+ (grid_origin 174.95 76.975)
+ (visible_elements FFFFF7FF)
(pcbplotparams
(layerselection 0x010fc_ffffffff)
(usegerberextensions false)
@@ -105,3962 +113,4307 @@
)
(net 0 "")
- (net 1 +12V)
- (net 2 GND)
- (net 3 +3V3)
- (net 4 "Net-(C7-Pad2)")
- (net 5 "Net-(C8-Pad1)")
- (net 6 "Net-(C9-Pad1)")
- (net 7 "Net-(C10-Pad1)")
- (net 8 "Net-(C11-Pad2)")
- (net 9 "Net-(C12-Pad1)")
- (net 10 "Net-(C13-Pad1)")
- (net 11 "Net-(D1-Pad2)")
- (net 12 "Net-(D2-Pad2)")
- (net 13 /Vmeas_A)
- (net 14 /Vmeas_B)
- (net 15 "Net-(D7-Pad1)")
- (net 16 /CH2)
- (net 17 /CH3)
- (net 18 "Net-(D8-Pad1)")
- (net 19 /Q2)
- (net 20 /Q0)
- (net 21 /Q3)
- (net 22 /Q1)
- (net 23 /SWCLK)
- (net 24 /SWDIO)
- (net 25 "Net-(R5-Pad1)")
- (net 26 /CH0)
- (net 27 "Net-(R8-Pad1)")
- (net 28 /LOAD)
- (net 29 /CH1)
- (net 30 "Net-(TP1-Pad1)")
- (net 31 "Net-(TP2-Pad1)")
- (net 32 "Net-(TP3-Pad1)")
- (net 33 "Net-(TP4-Pad1)")
- (net 34 "Net-(Q3-Pad3)")
-
- (net_class Default "This is the default net class."
+ (net 1 "+12V")
+ (net 2 "GND")
+ (net 3 "+3V3")
+ (net 4 "Net-(C12-Pad1)")
+ (net 5 "Net-(D1-Pad2)")
+ (net 6 "Net-(D2-Pad2)")
+ (net 7 "/Vmeas_A")
+ (net 8 "/Vmeas_B")
+ (net 9 "Net-(D7-Pad1)")
+ (net 10 "/CH2")
+ (net 11 "/CH3")
+ (net 12 "/Q2")
+ (net 13 "/Q0")
+ (net 14 "/Q3")
+ (net 15 "/Q1")
+ (net 16 "/SWCLK")
+ (net 17 "/SWDIO")
+ (net 18 "/CH0")
+ (net 19 "Net-(R8-Pad1)")
+ (net 20 "/LOAD")
+ (net 21 "/CH1")
+ (net 22 "Net-(TP1-Pad1)")
+ (net 23 "Net-(TP2-Pad1)")
+ (net 24 "Net-(TP3-Pad1)")
+ (net 25 "Net-(TP4-Pad1)")
+ (net 26 "+VSW")
+ (net 27 "Net-(C11-Pad1)")
+ (net 28 "Net-(D7-Pad2)")
+ (net 29 "Net-(U2-Pad7)")
+ (net 30 "Net-(U2-Pad6)")
+ (net 31 "/ILIM")
+ (net 32 "/PAD")
+ (net 33 "Net-(C3-Pad2)")
+ (net 34 "Net-(C3-Pad1)")
+ (net 35 "Net-(C5-Pad1)")
+ (net 36 "Net-(Q1-Pad1)")
+ (net 37 "Net-(Q2-Pad1)")
+ (net 38 "Net-(Q4-Pad1)")
+ (net 39 "Net-(Q5-Pad1)")
+ (net 40 "Net-(R2-Pad2)")
+ (net 41 "Net-(R7-Pad1)")
+ (net 42 "Net-(D8-Pad2)")
+
+ (net_class "Default" "This is the default net class."
(clearance 0.2)
(trace_width 0.25)
(via_dia 0.8)
(via_drill 0.4)
(uvia_dia 0.3)
(uvia_drill 0.1)
- (add_net +12V)
- (add_net +3V3)
- (add_net /CH0)
- (add_net /CH1)
- (add_net /CH2)
- (add_net /CH3)
- (add_net /LOAD)
- (add_net /Q0)
- (add_net /Q1)
- (add_net /Q2)
- (add_net /Q3)
- (add_net /SWCLK)
- (add_net /SWDIO)
- (add_net /Vmeas_A)
- (add_net /Vmeas_B)
- (add_net GND)
- (add_net "Net-(C10-Pad1)")
- (add_net "Net-(C11-Pad2)")
+ (add_net "+12V")
+ (add_net "+3V3")
+ (add_net "+VSW")
+ (add_net "/CH0")
+ (add_net "/CH1")
+ (add_net "/CH2")
+ (add_net "/CH3")
+ (add_net "/ILIM")
+ (add_net "/LOAD")
+ (add_net "/PAD")
+ (add_net "/Q0")
+ (add_net "/Q1")
+ (add_net "/Q2")
+ (add_net "/Q3")
+ (add_net "/SWCLK")
+ (add_net "/SWDIO")
+ (add_net "/Vmeas_A")
+ (add_net "/Vmeas_B")
+ (add_net "GND")
+ (add_net "Net-(C11-Pad1)")
(add_net "Net-(C12-Pad1)")
- (add_net "Net-(C13-Pad1)")
- (add_net "Net-(C7-Pad2)")
- (add_net "Net-(C8-Pad1)")
- (add_net "Net-(C9-Pad1)")
+ (add_net "Net-(C3-Pad1)")
+ (add_net "Net-(C3-Pad2)")
+ (add_net "Net-(C5-Pad1)")
(add_net "Net-(D1-Pad2)")
(add_net "Net-(D2-Pad2)")
(add_net "Net-(D7-Pad1)")
- (add_net "Net-(D8-Pad1)")
- (add_net "Net-(Q3-Pad3)")
- (add_net "Net-(R5-Pad1)")
+ (add_net "Net-(D7-Pad2)")
+ (add_net "Net-(D8-Pad2)")
+ (add_net "Net-(Q1-Pad1)")
+ (add_net "Net-(Q2-Pad1)")
+ (add_net "Net-(Q4-Pad1)")
+ (add_net "Net-(Q5-Pad1)")
+ (add_net "Net-(R2-Pad2)")
+ (add_net "Net-(R7-Pad1)")
(add_net "Net-(R8-Pad1)")
(add_net "Net-(TP1-Pad1)")
(add_net "Net-(TP2-Pad1)")
(add_net "Net-(TP3-Pad1)")
(add_net "Net-(TP4-Pad1)")
+ (add_net "Net-(U2-Pad6)")
+ (add_net "Net-(U2-Pad7)")
)
- (module TO_SOT_Packages_SMD:SOT-23 (layer F.Cu) (tedit 58CE4E7E) (tstamp 5C29A060)
- (at 119.1 102.3 90)
- (descr "SOT-23, Standard")
- (tags SOT-23)
- (path /5C57CF20)
+ (module "LED_SMD:LED_PLCC_2835_Handsoldering" (layer "F.Cu") (tedit 5C65228D) (tstamp 5DF50ED7)
+ (at 152.35 100.775 270)
+ (descr "https://www.luckylight.cn/media/component/data-sheet/R2835BC-B2M-M10.pdf")
+ (tags "LED")
+ (path "/5DF69147")
(attr smd)
- (fp_text reference Q3 (at 2 -1.31 90) (layer F.SilkS)
+ (fp_text reference "D8" (at 0 -2.4 90) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value AO3400 (at 0 2.5 90) (layer F.Fab)
+ (fp_text value "LED_ALT" (at 0 2.475 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text user %R (at 0.25 -0.25 270) (layer F.Fab)
- (effects (font (size 0.5 0.5) (thickness 0.075)))
- )
- (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer F.Fab) (width 0.1))
- (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer F.Fab) (width 0.1))
- (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer F.Fab) (width 0.1))
- (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
- (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
- (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer F.SilkS) (width 0.12))
- (pad 1 smd rect (at -1 -0.95 90) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 9 "Net-(C12-Pad1)"))
- (pad 2 smd rect (at -1 0.95 90) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 3 smd rect (at 1 0 90) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 34 "Net-(Q3-Pad3)"))
- (model ${KISYS3DMOD}/TO_SOT_Packages_SMD.3dshapes/SOT-23.wrl
+ (fp_line (start 2.55 -1.65) (end 2.55 1.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -2.55 -1.65) (end 2.55 -1.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -2.55 1.65) (end -2.55 -1.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 2.55 1.65) (end -2.55 1.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.4 -1.6) (end -2.5 -1.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.4 1.6) (end -2.5 1.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.75 -1.4) (end 1.75 1.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -1.05 -1.4) (end 1.75 -1.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -1.75 1.4) (end -1.75 -0.7) (layer "F.Fab") (width 0.1))
+ (fp_line (start 1.75 1.4) (end -1.75 1.4) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.9 0.9) (thickness 0.135)))
+ )
+ (fp_line (start -2.5 -1.6) (end -2.5 1.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.05 -1.4) (end -1.75 -0.7) (layer "F.Fab") (width 0.1))
+ (pad "2" smd rect (at 1.525 0 270) (size 1.55 2.2) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 42 "Net-(D8-Pad2)"))
+ (pad "1" smd rect (at -1.05 0 270) (size 2.5 2.2) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 28 "Net-(D7-Pad2)"))
+ (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_PLCC_2835.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5C21D147)
- (at 100.4 119.2 180)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path /5C1AAFA9)
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5DF3CB45)
+ (at 161.95 91.375 90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path "/5DF76EB9")
(attr smd)
- (fp_text reference C2 (at 0 -1.25 180) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 10u (at 0 1.5 180) (layer F.Fab)
+ (fp_text reference "R14" (at -0.6 1.4 90) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text user %R (at 0 -1.25 180) (layer F.Fab)
+ (fp_text value "47k" (at 0 1.43 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -0.95 0 180) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 1 +12V))
- (pad 2 smd rect (at 0.95 0 180) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (pad "2" smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 39 "Net-(Q5-Pad1)"))
+ (pad "1" smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "+12V"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5C21D158)
- (at 102.75 116.75)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path /5C1AF3BD)
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5DF3CB34)
+ (at 201.625 86.775 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path "/5DF76EDE")
(attr smd)
- (fp_text reference C3 (at -2.04 1.21) (layer F.SilkS)
+ (fp_text reference "R13" (at 0 -1.43) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value 100n (at 0 1.5) (layer F.Fab)
+ (fp_text value "47k" (at 0 1.43) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text user %R (at 0 -1.25) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -0.95 0) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 1 +12V))
- (pad 2 smd rect (at 0.95 0) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 38 "Net-(Q4-Pad1)"))
+ (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "+12V"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5C21D23E)
- (at 98.45 116.5 180)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path /5C1AFB91)
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5DF3CB23)
+ (at 187.95 75.5 90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path "/5DF6A371")
(attr smd)
- (fp_text reference C5 (at 0 -1.25 180) (layer F.SilkS)
+ (fp_text reference "R12" (at 0 -1.43 90) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value 10u (at 0 1.5 180) (layer F.Fab)
+ (fp_text value "47k" (at 0 1.43 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 -1.25 180) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad 2 smd rect (at 0.95 0 180) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 1 smd rect (at -0.95 0 180) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 3 +3V3))
- (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (pad "2" smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 37 "Net-(Q2-Pad1)"))
+ (pad "1" smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "+12V"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5C21D24F)
- (at 105.5 100.3 270)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path /5C1B07A6)
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5DF43E93)
+ (at 156.275 114.175)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path "/5DF2C541")
(attr smd)
- (fp_text reference C6 (at 2.56 0.35) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 100n (at 0 1.5 270) (layer F.Fab)
+ (fp_text reference "R11" (at 0 1.6) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 -1.25 270) (layer F.Fab)
+ (fp_text value "47k" (at 0 1.43) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (pad 2 smd rect (at 0.95 0 270) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 1 smd rect (at -0.95 0 270) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 3 +3V3))
- (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (pad "2" smd roundrect (at 0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 36 "Net-(Q1-Pad1)"))
+ (pad "1" smd roundrect (at -0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "+12V"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5C2A006D)
- (at 112 96.75 180)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path /5C27E2DD)
+ (module "Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5DE86E0E)
+ (at 152.35 110.975 90)
+ (descr "Resistor SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path "/5C1F9EE6")
(attr smd)
- (fp_text reference C7 (at -2.3 0.6 270) (layer F.SilkS)
+ (fp_text reference "R9" (at 5 1.4 90) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value 0 (at 0 1.5 180) (layer F.Fab)
+ (fp_text value "180" (at 0 2.62 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 -1.25 180) (layer F.Fab)
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (pad 2 smd rect (at 0.95 0 180) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 4 "Net-(C7-Pad2)"))
- (pad 1 smd rect (at -0.95 0 180) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 1 +12V))
- (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (fp_line (start 4 1.92) (end -4 1.92) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 4 -1.92) (end 4 1.92) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -4 -1.92) (end 4 -1.92) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -4 1.92) (end -4 -1.92) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -2.052064 1.71) (end 2.052064 1.71) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -2.052064 -1.71) (end 2.052064 -1.71) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.15 1.6) (end -3.15 1.6) (layer "F.Fab") (width 0.1))
+ (fp_line (start 3.15 -1.6) (end 3.15 1.6) (layer "F.Fab") (width 0.1))
+ (fp_line (start -3.15 -1.6) (end 3.15 -1.6) (layer "F.Fab") (width 0.1))
+ (fp_line (start -3.15 1.6) (end -3.15 -1.6) (layer "F.Fab") (width 0.1))
+ (pad "2" smd roundrect (at 2.9875 0 90) (size 1.525 3.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.163934)
+ (net 42 "Net-(D8-Pad2)"))
+ (pad "1" smd roundrect (at -2.9875 0 90) (size 1.525 3.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.163934)
+ (net 1 "+12V"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_2512_6332Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5C21D271)
- (at 99 85.25 90)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path /5C265A6E)
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5C21D466)
+ (at 169.95 69.975 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path "/5C382D39")
(attr smd)
- (fp_text reference C8 (at 0 -1.25 90) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 0 (at 0 1.5 90) (layer F.Fab)
+ (fp_text reference "R8" (at -0.2 1.4) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text user %R (at 0 -1.25 90) (layer F.Fab)
+ (fp_text value "0" (at 0 1.43) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 5 "Net-(C8-Pad1)"))
- (pad 2 smd rect (at 0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "GND"))
+ (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 19 "Net-(R8-Pad1)"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5C21D282)
- (at 102.5 93.25 90)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path /5C31388F)
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5C21D433)
+ (at 171.95 79.475 270)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path "/5C36FD60")
(attr smd)
- (fp_text reference C9 (at 2.52 0.12 180) (layer F.SilkS)
+ (fp_text reference "R7" (at 0 -1.43 90) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value 12p (at 0 1.5 90) (layer F.Fab)
+ (fp_text value "0" (at 0 1.43 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 -1.25 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad 2 smd rect (at 0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 1 smd rect (at -0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 6 "Net-(C9-Pad1)"))
- (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (pad "2" smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 3 "+3V3"))
+ (pad "1" smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 41 "Net-(R7-Pad1)"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5C21D293)
- (at 96.75 93.3 90)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path /5C310CD7)
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5C21D3EF)
+ (at 180.175 88.55 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path "/5C1B72F8")
(attr smd)
- (fp_text reference C10 (at 2.54 -0.2) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 12p (at 0 1.5 90) (layer F.Fab)
+ (fp_text reference "R5" (at 0 -1.43) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text user %R (at 0 -1.25 90) (layer F.Fab)
+ (fp_text value "10k" (at 0 1.43) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 7 "Net-(C10-Pad1)"))
- (pad 2 smd rect (at 0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 7 "/Vmeas_A"))
+ (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 5 "Net-(D1-Pad2)"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitors_SMD:C_0805 (layer F.Cu) (tedit 58AA8463) (tstamp 5C21D2A4)
- (at 84.6 107 90)
- (descr "Capacitor SMD 0805, reflow soldering, AVX (see smccp.pdf)")
- (tags "capacitor 0805")
- (path /5C2DA813)
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") (tedit 5A02FF57) (tstamp 5DF3B02E)
+ (at 159.2 87.95 90)
+ (descr "SOT-23, Standard")
+ (tags "SOT-23")
+ (path "/5DF76EFD")
(attr smd)
- (fp_text reference C11 (at 2.21 -0.28 180) (layer F.SilkS)
+ (fp_text reference "Q9" (at 0 -2.5 90) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value 0 (at 0 1.75 90) (layer F.Fab)
+ (fp_text value "AO3400" (at 0 2.5 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text user %R (at 0 -1.5 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
+ (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.075)))
)
- (fp_line (start -1 0.62) (end -1 -0.62) (layer F.Fab) (width 0.1))
- (fp_line (start 1 0.62) (end -1 0.62) (layer F.Fab) (width 0.1))
- (fp_line (start 1 -0.62) (end 1 0.62) (layer F.Fab) (width 0.1))
- (fp_line (start -1 -0.62) (end 1 -0.62) (layer F.Fab) (width 0.1))
- (fp_line (start 0.5 -0.85) (end -0.5 -0.85) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.5 0.85) (end 0.5 0.85) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.75 -0.88) (end 1.75 -0.88) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.75 -0.88) (end -1.75 0.87) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.75 0.87) (end 1.75 -0.88) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.75 0.87) (end -1.75 0.87) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -1 0 90) (size 1 1.25) (layers F.Cu F.Paste F.Mask)
- (net 1 +12V))
- (pad 2 smd rect (at 1 0 90) (size 1 1.25) (layers F.Cu F.Paste F.Mask)
- (net 8 "Net-(C11-Pad2)"))
- (model Capacitors_SMD.3dshapes/C_0805.wrl
+ (pad "3" smd rect (at 1 0 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "2" smd rect (at -1 0.95 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 39 "Net-(Q5-Pad1)"))
+ (pad "1" smd rect (at -1 -0.95 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 11 "/CH3"))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitors_SMD:C_0805 (layer F.Cu) (tedit 5BFC95A3) (tstamp 5C5512B3)
- (at 114 104.2 270)
- (descr "Capacitor SMD 0805, reflow soldering, AVX (see smccp.pdf)")
- (tags "capacitor 0805")
- (path /5C23632F)
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") (tedit 5A02FF57) (tstamp 5DF3B019)
+ (at 199.725 84.15 90)
+ (descr "SOT-23, Standard")
+ (tags "SOT-23")
+ (path "/5DF76EED")
(attr smd)
- (fp_text reference C12 (at -0.27 1.65 270) (layer F.SilkS)
+ (fp_text reference "Q8" (at -1.425 -2.5 90) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value 0 (at 0 1.75 270) (layer F.Fab)
+ (fp_text value "AO3400" (at 0 2.5 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text user %R (at 0 -1.5 270) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
+ (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.075)))
)
- (fp_line (start -1 0.62) (end -1 -0.62) (layer F.Fab) (width 0.1))
- (fp_line (start 1 0.62) (end -1 0.62) (layer F.Fab) (width 0.1))
- (fp_line (start 1 -0.62) (end 1 0.62) (layer F.Fab) (width 0.1))
- (fp_line (start -1 -0.62) (end 1 -0.62) (layer F.Fab) (width 0.1))
- (fp_line (start 0.5 -0.85) (end -0.5 -0.85) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.5 0.85) (end 0.5 0.85) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.75 -0.88) (end 1.75 -0.88) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.75 -0.88) (end -1.75 0.87) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.75 0.87) (end 1.75 -0.88) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.75 0.87) (end -1.75 0.87) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -1 0 270) (size 1 1.25) (layers F.Cu F.Paste F.Mask)
- (net 9 "Net-(C12-Pad1)"))
- (pad 2 smd rect (at 1 0 270) (size 1 1.25) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (model Capacitors_SMD.3dshapes/C_0805.wrl
+ (pad "3" smd rect (at 1 0 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "2" smd rect (at -1 0.95 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 38 "Net-(Q4-Pad1)"))
+ (pad "1" smd rect (at -1 -0.95 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 10 "/CH2"))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitors_SMD:C_0805 (layer F.Cu) (tedit 58AA8463) (tstamp 5C2A071B)
- (at 113 110.75 90)
- (descr "Capacitor SMD 0805, reflow soldering, AVX (see smccp.pdf)")
- (tags "capacitor 0805")
- (path /5C24FD51)
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") (tedit 5A02FF57) (tstamp 5DF3B004)
+ (at 187.125 71.85 90)
+ (descr "SOT-23, Standard")
+ (tags "SOT-23")
+ (path "/5DF6A380")
(attr smd)
- (fp_text reference C13 (at 2.6 -0.1 180) (layer F.SilkS)
+ (fp_text reference "Q7" (at 0 -2.5 90) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value 0 (at 0 1.75 90) (layer F.Fab)
+ (fp_text value "AO3400" (at 0 2.5 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start 1.75 0.87) (end -1.75 0.87) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.75 0.87) (end 1.75 -0.88) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.75 -0.88) (end -1.75 0.87) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.75 -0.88) (end 1.75 -0.88) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.5 0.85) (end 0.5 0.85) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.5 -0.85) (end -0.5 -0.85) (layer F.SilkS) (width 0.12))
- (fp_line (start -1 -0.62) (end 1 -0.62) (layer F.Fab) (width 0.1))
- (fp_line (start 1 -0.62) (end 1 0.62) (layer F.Fab) (width 0.1))
- (fp_line (start 1 0.62) (end -1 0.62) (layer F.Fab) (width 0.1))
- (fp_line (start -1 0.62) (end -1 -0.62) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 -1.5 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
+ (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.075)))
)
- (pad 2 smd rect (at 1 0 90) (size 1 1.25) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 1 smd rect (at -1 0 90) (size 1 1.25) (layers F.Cu F.Paste F.Mask)
- (net 10 "Net-(C13-Pad1)"))
- (model Capacitors_SMD.3dshapes/C_0805.wrl
+ (pad "3" smd rect (at 1 0 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "2" smd rect (at -1 0.95 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 37 "Net-(Q2-Pad1)"))
+ (pad "1" smd rect (at -1 -0.95 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 21 "/CH1"))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Diodes_SMD:D_SMB_Handsoldering (layer F.Cu) (tedit 590B3D55) (tstamp 5C21D2DE)
- (at 112 83.75 180)
- (descr "Diode SMB (DO-214AA) Handsoldering")
- (tags "Diode SMB (DO-214AA) Handsoldering")
- (path /5C1985B1)
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") (tedit 5A02FF57) (tstamp 5DF438CC)
+ (at 159.95 111.575)
+ (descr "SOT-23, Standard")
+ (tags "SOT-23")
+ (path "/5DF1D708")
(attr smd)
- (fp_text reference D1 (at 4.54 2.17 180) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value SS510 (at 0 3 180) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at 0 -3 180) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -4.6 -2.15) (end -4.6 2.15) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.3 2) (end -2.3 2) (layer F.Fab) (width 0.1))
- (fp_line (start -2.3 2) (end -2.3 -2) (layer F.Fab) (width 0.1))
- (fp_line (start 2.3 -2) (end 2.3 2) (layer F.Fab) (width 0.1))
- (fp_line (start 2.3 -2) (end -2.3 -2) (layer F.Fab) (width 0.1))
- (fp_line (start -4.7 -2.25) (end 4.7 -2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.7 -2.25) (end 4.7 2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.7 2.25) (end -4.7 2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start -4.7 2.25) (end -4.7 -2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.64944 0.00102) (end -1.55114 0.00102) (layer F.Fab) (width 0.1))
- (fp_line (start 0.50118 0.00102) (end 1.4994 0.00102) (layer F.Fab) (width 0.1))
- (fp_line (start -0.64944 -0.799079) (end -0.64944 0.80112) (layer F.Fab) (width 0.1))
- (fp_line (start 0.50118 0.75032) (end 0.50118 -0.79908) (layer F.Fab) (width 0.1))
- (fp_line (start -0.64944 0.00102) (end 0.50118 0.75032) (layer F.Fab) (width 0.1))
- (fp_line (start -0.64944 0.00102) (end 0.50118 -0.79908) (layer F.Fab) (width 0.1))
- (fp_line (start -4.6 2.15) (end 2.7 2.15) (layer F.SilkS) (width 0.12))
- (fp_line (start -4.6 -2.15) (end 2.7 -2.15) (layer F.SilkS) (width 0.12))
- (pad 1 smd rect (at -2.7 0 180) (size 3.5 2.3) (layers F.Cu F.Paste F.Mask)
- (net 1 +12V))
- (pad 2 smd rect (at 2.7 0 180) (size 3.5 2.3) (layers F.Cu F.Paste F.Mask)
- (net 11 "Net-(D1-Pad2)"))
- (model ${KISYS3DMOD}/Diodes_SMD.3dshapes/D_SMB.wrl
+ (fp_text reference "Q6" (at 0 -2.5) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "AO3400" (at 0 2.5) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.075)))
+ )
+ (pad "3" smd rect (at 1 0) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "2" smd rect (at -1 0.95) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 36 "Net-(Q1-Pad1)"))
+ (pad "1" smd rect (at -1 -0.95) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 18 "/CH0"))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Diodes_SMD:D_SMB_Handsoldering (layer F.Cu) (tedit 590B3D55) (tstamp 5C21D2F6)
- (at 88.178544 83.66248)
- (descr "Diode SMB (DO-214AA) Handsoldering")
- (tags "Diode SMB (DO-214AA) Handsoldering")
- (path /5C199CCC)
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") (tedit 5A02FF57) (tstamp 5DF3AFDA)
+ (at 159.2 91.45 270)
+ (descr "SOT-23, Standard")
+ (tags "SOT-23")
+ (path "/5DF76EC9")
(attr smd)
- (fp_text reference D2 (at 4.611456 -2.31248) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value SS510 (at 0 3) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at 0 -3) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -4.6 -2.15) (end -4.6 2.15) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.3 2) (end -2.3 2) (layer F.Fab) (width 0.1))
- (fp_line (start -2.3 2) (end -2.3 -2) (layer F.Fab) (width 0.1))
- (fp_line (start 2.3 -2) (end 2.3 2) (layer F.Fab) (width 0.1))
- (fp_line (start 2.3 -2) (end -2.3 -2) (layer F.Fab) (width 0.1))
- (fp_line (start -4.7 -2.25) (end 4.7 -2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.7 -2.25) (end 4.7 2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.7 2.25) (end -4.7 2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start -4.7 2.25) (end -4.7 -2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.64944 0.00102) (end -1.55114 0.00102) (layer F.Fab) (width 0.1))
- (fp_line (start 0.50118 0.00102) (end 1.4994 0.00102) (layer F.Fab) (width 0.1))
- (fp_line (start -0.64944 -0.799079) (end -0.64944 0.80112) (layer F.Fab) (width 0.1))
- (fp_line (start 0.50118 0.75032) (end 0.50118 -0.79908) (layer F.Fab) (width 0.1))
- (fp_line (start -0.64944 0.00102) (end 0.50118 0.75032) (layer F.Fab) (width 0.1))
- (fp_line (start -0.64944 0.00102) (end 0.50118 -0.79908) (layer F.Fab) (width 0.1))
- (fp_line (start -4.6 2.15) (end 2.7 2.15) (layer F.SilkS) (width 0.12))
- (fp_line (start -4.6 -2.15) (end 2.7 -2.15) (layer F.SilkS) (width 0.12))
- (pad 1 smd rect (at -2.7 0) (size 3.5 2.3) (layers F.Cu F.Paste F.Mask)
- (net 1 +12V))
- (pad 2 smd rect (at 2.7 0) (size 3.5 2.3) (layers F.Cu F.Paste F.Mask)
- (net 12 "Net-(D2-Pad2)"))
- (model ${KISYS3DMOD}/Diodes_SMD.3dshapes/D_SMB.wrl
+ (fp_text reference "Q5" (at 0.725 2.45 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "AO3401" (at 0 2.5 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.075)))
+ )
+ (pad "3" smd rect (at 1 0 270) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 1 "+12V"))
+ (pad "2" smd rect (at -1 0.95 270) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 14 "/Q3"))
+ (pad "1" smd rect (at -1 -0.95 270) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 39 "Net-(Q5-Pad1)"))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Diodes_SMD:D_SMB_Handsoldering (layer F.Cu) (tedit 590B3D55) (tstamp 5C2A5BE3)
- (at 112 88.75 180)
- (descr "Diode SMB (DO-214AA) Handsoldering")
- (tags "Diode SMB (DO-214AA) Handsoldering")
- (path /5C19926C)
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") (tedit 5A02FF57) (tstamp 5DF3AFC5)
+ (at 201.925 117.725 315)
+ (descr "SOT-23, Standard")
+ (tags "SOT-23")
+ (path "/5DF76E9C")
(attr smd)
- (fp_text reference D3 (at 5.79 -1.66 180) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value SS510 (at 0 3 180) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -4.6 -2.15) (end 2.7 -2.15) (layer F.SilkS) (width 0.12))
- (fp_line (start -4.6 2.15) (end 2.7 2.15) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.64944 0.00102) (end 0.50118 -0.79908) (layer F.Fab) (width 0.1))
- (fp_line (start -0.64944 0.00102) (end 0.50118 0.75032) (layer F.Fab) (width 0.1))
- (fp_line (start 0.50118 0.75032) (end 0.50118 -0.79908) (layer F.Fab) (width 0.1))
- (fp_line (start -0.64944 -0.799079) (end -0.64944 0.80112) (layer F.Fab) (width 0.1))
- (fp_line (start 0.50118 0.00102) (end 1.4994 0.00102) (layer F.Fab) (width 0.1))
- (fp_line (start -0.64944 0.00102) (end -1.55114 0.00102) (layer F.Fab) (width 0.1))
- (fp_line (start -4.7 2.25) (end -4.7 -2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.7 2.25) (end -4.7 2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.7 -2.25) (end 4.7 2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start -4.7 -2.25) (end 4.7 -2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start 2.3 -2) (end -2.3 -2) (layer F.Fab) (width 0.1))
- (fp_line (start 2.3 -2) (end 2.3 2) (layer F.Fab) (width 0.1))
- (fp_line (start -2.3 2) (end -2.3 -2) (layer F.Fab) (width 0.1))
- (fp_line (start 2.3 2) (end -2.3 2) (layer F.Fab) (width 0.1))
- (fp_line (start -4.6 -2.15) (end -4.6 2.15) (layer F.SilkS) (width 0.12))
- (fp_text user %R (at 0 -3 180) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad 2 smd rect (at 2.7 0 180) (size 3.5 2.3) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 1 smd rect (at -2.7 0 180) (size 3.5 2.3) (layers F.Cu F.Paste F.Mask)
- (net 11 "Net-(D1-Pad2)"))
- (model ${KISYS3DMOD}/Diodes_SMD.3dshapes/D_SMB.wrl
+ (fp_text reference "Q4" (at -1.361181 -2.527907 135) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "AO3401" (at 0 2.5 135) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 0 45) (layer "F.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.075)))
+ )
+ (pad "3" smd rect (at 1 0 315) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 1 "+12V"))
+ (pad "2" smd rect (at -1 0.95 315) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 12 "/Q2"))
+ (pad "1" smd rect (at -1 -0.95 315) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 38 "Net-(Q4-Pad1)"))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Diodes_SMD:D_SMB_Handsoldering (layer F.Cu) (tedit 590B3D55) (tstamp 5C21D326)
- (at 88.178544 88.66248)
- (descr "Diode SMB (DO-214AA) Handsoldering")
- (tags "Diode SMB (DO-214AA) Handsoldering")
- (path /5C199DAE)
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") (tedit 5A02FF57) (tstamp 5DF4F844)
+ (at 190.8 73.825)
+ (descr "SOT-23, Standard")
+ (tags "SOT-23")
+ (path "/5DF6A350")
(attr smd)
- (fp_text reference D4 (at 3.771456 -2.16248) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value SS510 (at 0 3) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -4.6 -2.15) (end 2.7 -2.15) (layer F.SilkS) (width 0.12))
- (fp_line (start -4.6 2.15) (end 2.7 2.15) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.64944 0.00102) (end 0.50118 -0.79908) (layer F.Fab) (width 0.1))
- (fp_line (start -0.64944 0.00102) (end 0.50118 0.75032) (layer F.Fab) (width 0.1))
- (fp_line (start 0.50118 0.75032) (end 0.50118 -0.79908) (layer F.Fab) (width 0.1))
- (fp_line (start -0.64944 -0.799079) (end -0.64944 0.80112) (layer F.Fab) (width 0.1))
- (fp_line (start 0.50118 0.00102) (end 1.4994 0.00102) (layer F.Fab) (width 0.1))
- (fp_line (start -0.64944 0.00102) (end -1.55114 0.00102) (layer F.Fab) (width 0.1))
- (fp_line (start -4.7 2.25) (end -4.7 -2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.7 2.25) (end -4.7 2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.7 -2.25) (end 4.7 2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start -4.7 -2.25) (end 4.7 -2.25) (layer F.CrtYd) (width 0.05))
- (fp_line (start 2.3 -2) (end -2.3 -2) (layer F.Fab) (width 0.1))
- (fp_line (start 2.3 -2) (end 2.3 2) (layer F.Fab) (width 0.1))
- (fp_line (start -2.3 2) (end -2.3 -2) (layer F.Fab) (width 0.1))
- (fp_line (start 2.3 2) (end -2.3 2) (layer F.Fab) (width 0.1))
- (fp_line (start -4.6 -2.15) (end -4.6 2.15) (layer F.SilkS) (width 0.12))
- (fp_text user %R (at 0 -3) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad 2 smd rect (at 2.7 0) (size 3.5 2.3) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 1 smd rect (at -2.7 0) (size 3.5 2.3) (layers F.Cu F.Paste F.Mask)
- (net 12 "Net-(D2-Pad2)"))
- (model ${KISYS3DMOD}/Diodes_SMD.3dshapes/D_SMB.wrl
+ (fp_text reference "Q2" (at -0.85 -2.5) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "AO3401" (at 0 2.5) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.075)))
+ )
+ (pad "3" smd rect (at 1 0) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 1 "+12V"))
+ (pad "2" smd rect (at -1 0.95) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 15 "/Q1"))
+ (pad "1" smd rect (at -1 -0.95) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 37 "Net-(Q2-Pad1)"))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module footprints:led_tape_3528_2835 locked (layer B.Cu) (tedit 5BF8B28A) (tstamp 5C21D396)
- (at 109 91 323)
- (path /5C196961)
- (fp_text reference J1 (at 0 -0.499999 323) (layer B.SilkS) hide
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (module "Capacitor_THT:CP_Radial_D10.0mm_P5.00mm" (layer "F.Cu") (tedit 5DE83723) (tstamp 5DE9B522)
+ (at 203.45 108.975 180)
+ (descr "CP, Radial series, Radial, pin pitch=5.00mm, , diameter=10mm, Electrolytic Capacitor")
+ (tags "CP Radial series Radial pin pitch 5.00mm diameter 10mm Electrolytic Capacitor")
+ (path "/5DF2DAD7")
+ (fp_text reference "C1" (at 4.425 -6.1 180) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value "top left" (at 0 0.499999 323) (layer B.Fab) hide
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (fp_text value "220u/63V" (at 2.5 6.25 180) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start -0.499999 0) (end 0.499999 0) (layer B.SilkS) (width 0.5))
- (fp_line (start 4 -22) (end 4 0) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 0) (end -4 -22) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -15) (end 4 -15) (layer B.SilkS) (width 0.5))
- (fp_line (start 4 -15) (end -4 -11.999999) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -11.999999) (end 4 -11.999999) (layer B.SilkS) (width 0.5))
- (fp_line (start 4 -14) (end -1.5 -12) (layer B.SilkS) (width 0.5))
- (fp_line (start 1 -12) (end 4 -13) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -14) (end -1.5 -15) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -13) (end 1 -15) (layer B.SilkS) (width 0.5))
- (pad 2 smd oval (at 2.25 0 323) (size 2.8 5) (layers B.Cu B.Paste B.Mask)
- (net 11 "Net-(D1-Pad2)"))
- (pad 1 smd oval (at -2.25 0 323) (size 2.8 5) (layers B.Cu B.Paste B.Mask)
- (net 19 /Q2))
- )
-
- (module footprints:led_tape_3528_2835 locked (layer B.Cu) (tedit 5BF8B28A) (tstamp 5C21D3A6)
- (at 91 91 37)
- (path /5C196B9D)
- (fp_text reference J2 (at 0 -0.499999 37) (layer B.SilkS) hide
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (fp_text user "%R" (at 2.5 0 180) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value "top right" (at 0 0.499999 37) (layer B.Fab) hide
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (fp_line (start 7.581 -0.599) (end 7.581 0.599) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.541 -0.862) (end 7.541 0.862) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.501 -1.062) (end 7.501 1.062) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.461 -1.23) (end 7.461 1.23) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.421 -1.378) (end 7.421 1.378) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.381 -1.51) (end 7.381 1.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.341 -1.63) (end 7.341 1.63) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.301 -1.742) (end 7.301 1.742) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.261 -1.846) (end 7.261 1.846) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.221 -1.944) (end 7.221 1.944) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.181 -2.037) (end 7.181 2.037) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.141 -2.125) (end 7.141 2.125) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.101 -2.209) (end 7.101 2.209) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.061 -2.289) (end 7.061 2.289) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 7.021 -2.365) (end 7.021 2.365) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.981 -2.439) (end 6.981 2.439) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.941 -2.51) (end 6.941 2.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.901 -2.579) (end 6.901 2.579) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.861 -2.645) (end 6.861 2.645) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.821 -2.709) (end 6.821 2.709) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.781 -2.77) (end 6.781 2.77) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.741 -2.83) (end 6.741 2.83) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.701 -2.889) (end 6.701 2.889) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.661 -2.945) (end 6.661 2.945) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.621 -3) (end 6.621 3) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.581 -3.054) (end 6.581 3.054) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.541 -3.106) (end 6.541 3.106) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.501 -3.156) (end 6.501 3.156) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.461 -3.206) (end 6.461 3.206) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.421 -3.254) (end 6.421 3.254) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.381 -3.301) (end 6.381 3.301) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.341 -3.347) (end 6.341 3.347) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.301 -3.392) (end 6.301 3.392) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.261 -3.436) (end 6.261 3.436) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.221 1.241) (end 6.221 3.478) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.221 -3.478) (end 6.221 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.181 1.241) (end 6.181 3.52) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.181 -3.52) (end 6.181 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.141 1.241) (end 6.141 3.561) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.141 -3.561) (end 6.141 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.101 1.241) (end 6.101 3.601) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.101 -3.601) (end 6.101 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.061 1.241) (end 6.061 3.64) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.061 -3.64) (end 6.061 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.021 1.241) (end 6.021 3.679) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.021 -3.679) (end 6.021 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.981 1.241) (end 5.981 3.716) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.981 -3.716) (end 5.981 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.941 1.241) (end 5.941 3.753) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.941 -3.753) (end 5.941 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.901 1.241) (end 5.901 3.789) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.901 -3.789) (end 5.901 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.861 1.241) (end 5.861 3.824) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.861 -3.824) (end 5.861 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.821 1.241) (end 5.821 3.858) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.821 -3.858) (end 5.821 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.781 1.241) (end 5.781 3.892) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.781 -3.892) (end 5.781 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.741 1.241) (end 5.741 3.925) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.741 -3.925) (end 5.741 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.701 1.241) (end 5.701 3.957) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.701 -3.957) (end 5.701 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.661 1.241) (end 5.661 3.989) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.661 -3.989) (end 5.661 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.621 1.241) (end 5.621 4.02) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.621 -4.02) (end 5.621 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.581 1.241) (end 5.581 4.05) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.581 -4.05) (end 5.581 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.541 1.241) (end 5.541 4.08) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.541 -4.08) (end 5.541 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.501 1.241) (end 5.501 4.11) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.501 -4.11) (end 5.501 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.461 1.241) (end 5.461 4.138) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.461 -4.138) (end 5.461 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.421 1.241) (end 5.421 4.166) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.421 -4.166) (end 5.421 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.381 1.241) (end 5.381 4.194) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.381 -4.194) (end 5.381 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.341 1.241) (end 5.341 4.221) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.341 -4.221) (end 5.341 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.301 1.241) (end 5.301 4.247) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.301 -4.247) (end 5.301 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.261 1.241) (end 5.261 4.273) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.261 -4.273) (end 5.261 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.221 1.241) (end 5.221 4.298) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.221 -4.298) (end 5.221 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.181 1.241) (end 5.181 4.323) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.181 -4.323) (end 5.181 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.141 1.241) (end 5.141 4.347) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.141 -4.347) (end 5.141 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.101 1.241) (end 5.101 4.371) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.101 -4.371) (end 5.101 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.061 1.241) (end 5.061 4.395) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.061 -4.395) (end 5.061 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.021 1.241) (end 5.021 4.417) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 5.021 -4.417) (end 5.021 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.981 1.241) (end 4.981 4.44) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.981 -4.44) (end 4.981 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.941 1.241) (end 4.941 4.462) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.941 -4.462) (end 4.941 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.901 1.241) (end 4.901 4.483) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.901 -4.483) (end 4.901 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.861 1.241) (end 4.861 4.504) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.861 -4.504) (end 4.861 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.821 1.241) (end 4.821 4.525) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.821 -4.525) (end 4.821 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.781 1.241) (end 4.781 4.545) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.781 -4.545) (end 4.781 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.741 1.241) (end 4.741 4.564) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.741 -4.564) (end 4.741 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.701 1.241) (end 4.701 4.584) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.701 -4.584) (end 4.701 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.661 1.241) (end 4.661 4.603) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.661 -4.603) (end 4.661 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.621 1.241) (end 4.621 4.621) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.621 -4.621) (end 4.621 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.581 1.241) (end 4.581 4.639) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.581 -4.639) (end 4.581 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.541 1.241) (end 4.541 4.657) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.541 -4.657) (end 4.541 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.501 1.241) (end 4.501 4.674) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.501 -4.674) (end 4.501 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.461 1.241) (end 4.461 4.69) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.461 -4.69) (end 4.461 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.421 1.241) (end 4.421 4.707) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.421 -4.707) (end 4.421 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.381 1.241) (end 4.381 4.723) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.381 -4.723) (end 4.381 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.341 1.241) (end 4.341 4.738) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.341 -4.738) (end 4.341 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.301 1.241) (end 4.301 4.754) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.301 -4.754) (end 4.301 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.261 1.241) (end 4.261 4.768) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.261 -4.768) (end 4.261 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.221 1.241) (end 4.221 4.783) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.221 -4.783) (end 4.221 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.181 1.241) (end 4.181 4.797) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.181 -4.797) (end 4.181 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.141 1.241) (end 4.141 4.811) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.141 -4.811) (end 4.141 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.101 1.241) (end 4.101 4.824) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.101 -4.824) (end 4.101 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.061 1.241) (end 4.061 4.837) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.061 -4.837) (end 4.061 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.021 1.241) (end 4.021 4.85) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.021 -4.85) (end 4.021 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.981 1.241) (end 3.981 4.862) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.981 -4.862) (end 3.981 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.941 1.241) (end 3.941 4.874) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.941 -4.874) (end 3.941 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.901 1.241) (end 3.901 4.885) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.901 -4.885) (end 3.901 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.861 1.241) (end 3.861 4.897) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.861 -4.897) (end 3.861 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.821 1.241) (end 3.821 4.907) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.821 -4.907) (end 3.821 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.781 1.241) (end 3.781 4.918) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.781 -4.918) (end 3.781 -1.241) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.741 -4.928) (end 3.741 4.928) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.701 -4.938) (end 3.701 4.938) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.661 -4.947) (end 3.661 4.947) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.621 -4.956) (end 3.621 4.956) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.581 -4.965) (end 3.581 4.965) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.541 -4.974) (end 3.541 4.974) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.501 -4.982) (end 3.501 4.982) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.461 -4.99) (end 3.461 4.99) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.421 -4.997) (end 3.421 4.997) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.381 -5.004) (end 3.381 5.004) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.341 -5.011) (end 3.341 5.011) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.301 -5.018) (end 3.301 5.018) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.261 -5.024) (end 3.261 5.024) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.221 -5.03) (end 3.221 5.03) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.18 -5.035) (end 3.18 5.035) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.14 -5.04) (end 3.14 5.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.1 -5.045) (end 3.1 5.045) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.06 -5.05) (end 3.06 5.05) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.02 -5.054) (end 3.02 5.054) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.98 -5.058) (end 2.98 5.058) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.94 -5.062) (end 2.94 5.062) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.9 -5.065) (end 2.9 5.065) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.86 -5.068) (end 2.86 5.068) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.82 -5.07) (end 2.82 5.07) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.78 -5.073) (end 2.78 5.073) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.74 -5.075) (end 2.74 5.075) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.7 -5.077) (end 2.7 5.077) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.66 -5.078) (end 2.66 5.078) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.62 -5.079) (end 2.62 5.079) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.58 -5.08) (end 2.58 5.08) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.54 -5.08) (end 2.54 5.08) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.5 -5.08) (end 2.5 5.08) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.288861 -2.6875) (end -1.288861 -1.6875) (layer "F.Fab") (width 0.1))
+ (fp_line (start -1.788861 -2.1875) (end -0.788861 -2.1875) (layer "F.Fab") (width 0.1))
+ (fp_circle (center 2.5 0) (end 7.75 0) (layer "F.CrtYd") (width 0.05))
+ (fp_circle (center 2.5 0) (end 7.62 0) (layer "F.SilkS") (width 0.12))
+ (fp_circle (center 2.5 0) (end 7.5 0) (layer "F.Fab") (width 0.1))
+ (pad "2" thru_hole circle (at 5 0 180) (size 2 2) (drill 1) (layers *.Cu *.Mask)
+ (net 2 "GND"))
+ (pad "1" thru_hole rect (at 0 0 180) (size 2 2) (drill 1) (layers *.Cu *.Mask)
+ (net 26 "+VSW"))
+ (model "${KISYS3DMOD}/Capacitor_THT.3dshapes/CP_Radial_D10.0mm_P5.00mm.wrl"
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
)
- (fp_line (start -4 -13) (end 1 -15) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -14) (end -1.5 -15) (layer B.SilkS) (width 0.5))
- (fp_line (start 1 -12) (end 4 -13) (layer B.SilkS) (width 0.5))
- (fp_line (start 4 -14) (end -1.5 -12) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -11.999999) (end 4 -11.999999) (layer B.SilkS) (width 0.5))
- (fp_line (start 4 -15) (end -4 -11.999999) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -15) (end 4 -15) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 0) (end -4 -22) (layer B.SilkS) (width 0.5))
- (fp_line (start 4 -22) (end 4 0) (layer B.SilkS) (width 0.5))
- (fp_line (start -0.499999 0) (end 0.499999 0) (layer B.SilkS) (width 0.5))
- (pad 1 smd oval (at -2.25 0 37) (size 2.8 5) (layers B.Cu B.Paste B.Mask)
- (net 20 /Q0))
- (pad 2 smd oval (at 2.25 0 37) (size 2.8 5) (layers B.Cu B.Paste B.Mask)
- (net 12 "Net-(D2-Pad2)"))
- )
-
- (module footprints:led_tape_3528_2835 locked (layer B.Cu) (tedit 5BF8B28A) (tstamp 5C21D3B6)
- (at 91 109 143)
- (path /5C196C4D)
- (fp_text reference J3 (at 0 -0.499999 143) (layer B.SilkS) hide
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ )
+
+ (module "Package_TO_SOT_SMD:SOT-223" (layer "F.Cu") (tedit 5A02FF57) (tstamp 5C29A0A0)
+ (at 164.95 99.975)
+ (descr "module CMS SOT223 4 pins")
+ (tags "CMS SOT")
+ (path "/5C19682A")
+ (attr smd)
+ (fp_text reference "U1" (at 0 -4.5) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value "bottom right" (at 0 0.499999 143) (layer B.Fab) hide
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ (fp_text value "AP1117-33" (at 0 4.5) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ )
+ (fp_line (start -1.85 -2.3) (end -0.8 -3.35) (layer "F.Fab") (width 0.1))
+ (fp_line (start 1.91 3.41) (end 1.91 2.15) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.91 -3.41) (end 1.91 -2.15) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 4.4 -3.6) (end -4.4 -3.6) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 4.4 3.6) (end 4.4 -3.6) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -4.4 3.6) (end 4.4 3.6) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -4.4 -3.6) (end -4.4 3.6) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.85 -2.3) (end -1.85 3.35) (layer "F.Fab") (width 0.1))
+ (fp_line (start -1.85 3.41) (end 1.91 3.41) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.8 -3.35) (end 1.85 -3.35) (layer "F.Fab") (width 0.1))
+ (fp_line (start -4.1 -3.41) (end 1.91 -3.41) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.85 3.35) (end 1.85 3.35) (layer "F.Fab") (width 0.1))
+ (fp_line (start 1.85 -3.35) (end 1.85 3.35) (layer "F.Fab") (width 0.1))
+ (pad "1" smd rect (at -3.15 -2.3) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "3" smd rect (at -3.15 2.3) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 1 "+12V"))
+ (pad "2" smd rect (at -3.15 0) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 3 "+3V3"))
+ (pad "4" smd rect (at 3.15 0) (size 2 3.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 32 "/PAD"))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-223.wrl"
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
)
- (fp_line (start -0.499999 0) (end 0.499999 0) (layer B.SilkS) (width 0.5))
- (fp_line (start 4 -22) (end 4 0) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 0) (end -4 -22) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -15) (end 4 -15) (layer B.SilkS) (width 0.5))
- (fp_line (start 4 -15) (end -4 -11.999999) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -11.999999) (end 4 -11.999999) (layer B.SilkS) (width 0.5))
- (fp_line (start 4 -14) (end -1.5 -12) (layer B.SilkS) (width 0.5))
- (fp_line (start 1 -12) (end 4 -13) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -14) (end -1.5 -15) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -13) (end 1 -15) (layer B.SilkS) (width 0.5))
- (pad 2 smd oval (at 2.25 0 143) (size 2.8 5) (layers B.Cu B.Paste B.Mask)
- (net 11 "Net-(D1-Pad2)"))
- (pad 1 smd oval (at -2.25 0 143) (size 2.8 5) (layers B.Cu B.Paste B.Mask)
- (net 21 /Q3))
- )
-
- (module footprints:led_tape_3528_2835 locked (layer B.Cu) (tedit 5BF8B28A) (tstamp 5C21D3C6)
- (at 109 109 217)
- (path /5C196D8E)
- (fp_text reference J4 (at 0 -0.499999 217) (layer B.SilkS) hide
+ )
+
+ (module "LED_SMD:LED_PLCC_2835_Handsoldering" (layer "B.Cu") (tedit 5C65228D) (tstamp 5DE966E6)
+ (at 152.35 100.775 270)
+ (descr "https://www.luckylight.cn/media/component/data-sheet/R2835BC-B2M-M10.pdf")
+ (tags "LED")
+ (path "/5DFA0B1D")
+ (attr smd)
+ (fp_text reference "D7" (at -3.6 0) (layer "B.SilkS")
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
- (fp_text value "bottom left" (at 0 0.499999 217) (layer B.Fab) hide
+ (fp_text value "LED_ALT" (at 0 -2.475 270) (layer "B.Fab")
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
- (fp_line (start -0.499999 0) (end 0.499999 0) (layer B.SilkS) (width 0.5))
- (fp_line (start 4 -22) (end 4 0) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 0) (end -4 -22) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -15) (end 4 -15) (layer B.SilkS) (width 0.5))
- (fp_line (start 4 -15) (end -4 -11.999999) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -11.999999) (end 4 -11.999999) (layer B.SilkS) (width 0.5))
- (fp_line (start 4 -14) (end -1.5 -12) (layer B.SilkS) (width 0.5))
- (fp_line (start 1 -12) (end 4 -13) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -14) (end -1.5 -15) (layer B.SilkS) (width 0.5))
- (fp_line (start -4 -13) (end 1 -15) (layer B.SilkS) (width 0.5))
- (pad 2 smd oval (at 2.25 0 217) (size 2.8 5) (layers B.Cu B.Paste B.Mask)
- (net 12 "Net-(D2-Pad2)"))
- (pad 1 smd oval (at -2.25 0 217) (size 2.8 5) (layers B.Cu B.Paste B.Mask)
- (net 22 /Q1))
- )
-
- (module Pin_Headers:Pin_Header_Straight_1x04_Pitch2.54mm (layer F.Cu) (tedit 59650532) (tstamp 5C21D3DE)
- (at 90.75 96.75 270)
- (descr "Through hole straight pin header, 1x04, 2.54mm pitch, single row")
- (tags "Through hole pin header THT 1x04 2.54mm single row")
- (path /5C3B6CB2)
- (fp_text reference J5 (at 0 -2.33 270) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value SWD (at 0 9.95 270) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1))
- (fp_line (start 1.27 -1.27) (end 1.27 8.89) (layer F.Fab) (width 0.1))
- (fp_line (start 1.27 8.89) (end -1.27 8.89) (layer F.Fab) (width 0.1))
- (fp_line (start -1.27 8.89) (end -1.27 -0.635) (layer F.Fab) (width 0.1))
- (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1))
- (fp_line (start -1.33 8.95) (end 1.33 8.95) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.33 1.270001) (end -1.33 8.95) (layer F.SilkS) (width 0.12))
- (fp_line (start 1.33 1.270001) (end 1.33 8.95) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.33 1.270001) (end 1.33 1.270001) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.8 -1.8) (end -1.8 9.4) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 9.4) (end 1.8 9.4) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 9.4) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
- (fp_text user %R (at 0 3.81) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad 1 thru_hole rect (at 0 0 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
- (net 3 +3V3))
- (pad 2 thru_hole oval (at 0 2.54 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
- (net 23 /SWCLK))
- (pad 3 thru_hole oval (at 0 5.079999 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
- (net 2 GND))
- (pad 4 thru_hole oval (at 0 7.62 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
- (net 24 /SWDIO))
- (model ${KISYS3DMOD}/Pin_Headers.3dshapes/Pin_Header_Straight_1x04_Pitch2.54mm.wrl
+ (fp_line (start 2.55 1.65) (end 2.55 -1.65) (layer "B.CrtYd") (width 0.05))
+ (fp_line (start -2.55 1.65) (end 2.55 1.65) (layer "B.CrtYd") (width 0.05))
+ (fp_line (start -2.55 -1.65) (end -2.55 1.65) (layer "B.CrtYd") (width 0.05))
+ (fp_line (start 2.55 -1.65) (end -2.55 -1.65) (layer "B.CrtYd") (width 0.05))
+ (fp_line (start 1.4 1.6) (end -2.5 1.6) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 1.4 -1.6) (end -2.5 -1.6) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 1.75 1.4) (end 1.75 -1.4) (layer "B.Fab") (width 0.1))
+ (fp_line (start -1.05 1.4) (end 1.75 1.4) (layer "B.Fab") (width 0.1))
+ (fp_line (start -1.75 -1.4) (end -1.75 0.7) (layer "B.Fab") (width 0.1))
+ (fp_line (start 1.75 -1.4) (end -1.75 -1.4) (layer "B.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 0 270) (layer "B.Fab")
+ (effects (font (size 0.9 0.9) (thickness 0.135)) (justify mirror))
+ )
+ (fp_line (start -2.5 1.6) (end -2.5 -1.6) (layer "B.SilkS") (width 0.12))
+ (fp_line (start -1.05 1.4) (end -1.75 0.7) (layer "B.Fab") (width 0.1))
+ (pad "2" smd rect (at 1.525 0 270) (size 1.55 2.2) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 28 "Net-(D7-Pad2)"))
+ (pad "1" smd rect (at -1.05 0 270) (size 2.5 2.2) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 9 "Net-(D7-Pad1)"))
+ (model "${KISYS3DMOD}/LED_SMD.3dshapes/LED_PLCC_2835.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C21D3EF)
- (at 105.95 87.4 270)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C1B72F8)
+ (module "Diode_SMD:D_SOD-323_HandSoldering" (layer "F.Cu") (tedit 58641869) (tstamp 5DE94A28)
+ (at 175.925 88.575 180)
+ (descr "SOD-323")
+ (tags "SOD-323")
+ (path "/5DEB348D")
(attr smd)
- (fp_text reference R1 (at -1.04 -1.32 270) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 10k (at 0 1.55 270) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 0 270) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (pad 2 smd rect (at 1.1 0 270) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 13 /Vmeas_A))
- (pad 1 smd rect (at -1.1 0 270) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 11 "Net-(D1-Pad2)"))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (fp_text reference "D6" (at 0 -1.85) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "2V7" (at 0.1 1.9) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user "%R" (at 0 -1.85) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -1.9 -0.85) (end -1.9 0.85) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.2 0) (end 0.45 0) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.2 0.35) (end -0.3 0) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.2 -0.35) (end 0.2 0.35) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.3 0) (end 0.2 -0.35) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.3 0) (end -0.5 0) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.3 -0.35) (end -0.3 0.35) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.9 0.7) (end -0.9 -0.7) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.9 0.7) (end -0.9 0.7) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.9 -0.7) (end 0.9 0.7) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.9 -0.7) (end 0.9 -0.7) (layer "F.Fab") (width 0.1))
+ (fp_line (start -2 -0.95) (end 2 -0.95) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 2 -0.95) (end 2 0.95) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -2 0.95) (end 2 0.95) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -2 -0.95) (end -2 0.95) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.9 0.85) (end 1.25 0.85) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.9 -0.85) (end 1.25 -0.85) (layer "F.SilkS") (width 0.12))
+ (pad "2" smd rect (at 1.25 0 180) (size 1 1) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "1" smd rect (at -1.25 0 180) (size 1 1) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 7 "/Vmeas_A"))
+ (model "${KISYS3DMOD}/Diode_SMD.3dshapes/D_SOD-323.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C21D400)
- (at 105.5 107.5 180)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C1BABDF)
+ (module "Resistor_SMD:R_1210_3225Metric" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5DE92826)
+ (at 186.55 118.975 127)
+ (descr "Resistor SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor")
+ (path "/5DEB5134")
(attr smd)
- (fp_text reference R2 (at -2.97 -0.17 180) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 10k (at 0 1.55 180) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at -0.155001 0 180) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -1.1 0 180) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 12 "Net-(D2-Pad2)"))
- (pad 2 smd rect (at 1.1 0 180) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 14 /Vmeas_B))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (fp_text reference "R4" (at -1.009366 -2.482298 127) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "30mR 1/4W" (at 0 2.28 127) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -1.6 1.25) (end -1.6 -1.25) (layer "F.Fab") (width 0.1))
+ (fp_line (start -1.6 -1.25) (end 1.6 -1.25) (layer "F.Fab") (width 0.1))
+ (fp_line (start 1.6 -1.25) (end 1.6 1.25) (layer "F.Fab") (width 0.1))
+ (fp_line (start 1.6 1.25) (end -1.6 1.25) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.602064 -1.36) (end 0.602064 -1.36) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.602064 1.36) (end 0.602064 1.36) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -2.28 1.58) (end -2.28 -1.58) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -2.28 -1.58) (end 2.28 -1.58) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 2.28 -1.58) (end 2.28 1.58) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 2.28 1.58) (end -2.28 1.58) (layer "F.CrtYd") (width 0.05))
+ (fp_text user "%R" (at 0 0 127) (layer "F.Fab")
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ )
+ (pad "2" smd roundrect (at 1.4 0 127) (size 1.25 2.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2)
+ (net 26 "+VSW"))
+ (pad "1" smd roundrect (at -1.4 0 127) (size 1.25 2.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2)
+ (net 31 "/ILIM"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_1210_3225Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C2A0CB2)
- (at 103.95 87.4 90)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C1BC19C)
+ (module "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBE) (tstamp 5DE814E6)
+ (at 172.7 114.225 90)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (path "/5DF24754")
(attr smd)
- (fp_text reference R3 (at 2.63 -0.1 180) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 3k3 (at 0 1.55 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at 0 0 90) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 13 /Vmeas_A))
- (pad 2 smd rect (at 1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (fp_text reference "C5" (at 2.075 0.675 180) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "12p" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad "2" smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "GND"))
+ (pad "1" smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 35 "Net-(C5-Pad1)"))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C21D422)
- (at 105.5 105.5)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C1BB077)
+ (module "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBE) (tstamp 5DE90300)
+ (at 169.95 118.225 180)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (path "/5DF449C0")
(attr smd)
- (fp_text reference R4 (at 0 -1.45) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 3k3 (at 0 1.55) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 0) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (pad 2 smd rect (at 1.1 0) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 1 smd rect (at -1.1 0) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 14 /Vmeas_B))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (fp_text reference "C3" (at -0.075 1.275 180) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "100n" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 33 "Net-(C3-Pad2)"))
+ (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 34 "Net-(C3-Pad1)"))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C21D433)
- (at 94 99.5 270)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C36FD60)
+ (module "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBE) (tstamp 5DE902EF)
+ (at 183.95 115.725 90)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (path "/5DF3D7ED")
(attr smd)
- (fp_text reference R5 (at 0 -1.45 270) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 0 (at 0 1.55 270) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at -6.245001 -0.790001 270) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -1.1 0 270) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 25 "Net-(R5-Pad1)"))
- (pad 2 smd rect (at 1.1 0 270) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 3 +3V3))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (fp_text reference "C2" (at 0 -1.43 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "100n" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad "2" smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "GND"))
+ (pad "1" smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 26 "+VSW"))
+ (model "${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C21D444)
- (at 97.75 88.5)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C265A68)
+ (module "Inductor_SMD:L_Neosid_SM-NE127_HandSoldering" (layer "F.Cu") (tedit 5990349C) (tstamp 5DE89FD7)
+ (at 177.2 125.975 180)
+ (descr "Neosid, Inductor, SM-NE127, Festinduktivitaet, SMD,")
+ (tags "Neosid Inductor SM-NE127 Festinduktivitaet SMD")
+ (path "/5DED4544")
(attr smd)
- (fp_text reference R6 (at 0.05 -1.11) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 0 (at 0 1.55) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at 0 0) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -1.1 0) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 5 "Net-(C8-Pad1)"))
- (pad 2 smd rect (at 1.1 0) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 26 /CH0))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (fp_text reference "L1" (at 0 -7.6) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "47uH/2A" (at 0 8.3) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 6.6 3) (end 6.6 6.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.6 6.6) (end -6.6 6.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -6.6 6.6) (end -6.6 3) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -6.6 -3) (end -6.6 -6.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -6.6 -6.6) (end 6.6 -6.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 6.6 -6.6) (end 6.6 -3) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -6.4 -6.4) (end 6.4 -6.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 6.4 -6.4) (end 6.4 6.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 6.4 6.4) (end -6.4 6.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -6.4 6.4) (end -6.4 -6.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -7.7 -6.65) (end 7.7 -6.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -7.7 -6.65) (end -7.7 6.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 7.7 6.65) (end 7.7 -6.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 7.7 6.65) (end -7.7 6.65) (layer "F.CrtYd") (width 0.05))
+ (fp_circle (center 0 0) (end 5 0) (layer "F.Fab") (width 0.1))
+ (pad "1" smd rect (at -5.5 0 180) (size 3.9 5.4) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 1 "+12V"))
+ (pad "2" smd rect (at 5.5 0 180) (size 3.9 5.4) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 33 "Net-(C3-Pad2)"))
+ (model "${KISYS3DMOD}/Inductor_SMD.3dshapes/L_Neosid_SM-NE127.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C21D455)
- (at 115.6 98 90)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C270B70)
- (attr smd)
- (fp_text reference R7 (at 0 -1.45 90) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 10k (at 0 1.55 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 0 90) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (pad 2 smd rect (at 1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 1 +12V))
- (pad 1 smd rect (at -1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 4 "Net-(C7-Pad2)"))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (module "Capacitor_THT:CP_Radial_D5.0mm_P2.00mm" (layer "F.Cu") (tedit 5AE50EF0) (tstamp 5C2A26DF)
+ (at 158.7 97.725 180)
+ (descr "CP, Radial series, Radial, pin pitch=2.00mm, , diameter=5mm, Electrolytic Capacitor")
+ (tags "CP Radial series Radial pin pitch 2.00mm diameter 5mm Electrolytic Capacitor")
+ (path "/5C1A7520")
+ (fp_text reference "C8" (at 1 -3.75) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "47u" (at 1 3.75) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 1 0) (end 3.5 0) (layer "F.Fab") (width 0.1))
+ (fp_circle (center 1 0) (end 3.62 0) (layer "F.SilkS") (width 0.12))
+ (fp_circle (center 1 0) (end 3.75 0) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.133605 -1.0875) (end -0.633605 -1.0875) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.883605 -1.3375) (end -0.883605 -0.8375) (layer "F.Fab") (width 0.1))
+ (fp_line (start 1 1.04) (end 1 2.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1 -2.58) (end 1 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.04 1.04) (end 1.04 2.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.04 -2.58) (end 1.04 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.08 -2.579) (end 1.08 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.08 1.04) (end 1.08 2.579) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.12 -2.578) (end 1.12 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.12 1.04) (end 1.12 2.578) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.16 -2.576) (end 1.16 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.16 1.04) (end 1.16 2.576) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.2 -2.573) (end 1.2 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.2 1.04) (end 1.2 2.573) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.24 -2.569) (end 1.24 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.24 1.04) (end 1.24 2.569) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.28 -2.565) (end 1.28 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.28 1.04) (end 1.28 2.565) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.32 -2.561) (end 1.32 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.32 1.04) (end 1.32 2.561) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.36 -2.556) (end 1.36 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.36 1.04) (end 1.36 2.556) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.4 -2.55) (end 1.4 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.4 1.04) (end 1.4 2.55) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.44 -2.543) (end 1.44 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.44 1.04) (end 1.44 2.543) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.48 -2.536) (end 1.48 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.48 1.04) (end 1.48 2.536) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.52 -2.528) (end 1.52 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.52 1.04) (end 1.52 2.528) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.56 -2.52) (end 1.56 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.56 1.04) (end 1.56 2.52) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.6 -2.511) (end 1.6 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.6 1.04) (end 1.6 2.511) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.64 -2.501) (end 1.64 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.64 1.04) (end 1.64 2.501) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.68 -2.491) (end 1.68 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.68 1.04) (end 1.68 2.491) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.721 -2.48) (end 1.721 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.721 1.04) (end 1.721 2.48) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.761 -2.468) (end 1.761 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.761 1.04) (end 1.761 2.468) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.801 -2.455) (end 1.801 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.801 1.04) (end 1.801 2.455) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.841 -2.442) (end 1.841 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.841 1.04) (end 1.841 2.442) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.881 -2.428) (end 1.881 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.881 1.04) (end 1.881 2.428) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.921 -2.414) (end 1.921 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.921 1.04) (end 1.921 2.414) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.961 -2.398) (end 1.961 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.961 1.04) (end 1.961 2.398) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.001 -2.382) (end 2.001 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.001 1.04) (end 2.001 2.382) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.041 -2.365) (end 2.041 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.041 1.04) (end 2.041 2.365) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.081 -2.348) (end 2.081 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.081 1.04) (end 2.081 2.348) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.121 -2.329) (end 2.121 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.121 1.04) (end 2.121 2.329) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.161 -2.31) (end 2.161 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.161 1.04) (end 2.161 2.31) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.201 -2.29) (end 2.201 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.201 1.04) (end 2.201 2.29) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.241 -2.268) (end 2.241 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.241 1.04) (end 2.241 2.268) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.281 -2.247) (end 2.281 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.281 1.04) (end 2.281 2.247) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.321 -2.224) (end 2.321 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.321 1.04) (end 2.321 2.224) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.361 -2.2) (end 2.361 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.361 1.04) (end 2.361 2.2) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.401 -2.175) (end 2.401 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.401 1.04) (end 2.401 2.175) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.441 -2.149) (end 2.441 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.441 1.04) (end 2.441 2.149) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.481 -2.122) (end 2.481 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.481 1.04) (end 2.481 2.122) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.521 -2.095) (end 2.521 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.521 1.04) (end 2.521 2.095) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.561 -2.065) (end 2.561 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.561 1.04) (end 2.561 2.065) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.601 -2.035) (end 2.601 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.601 1.04) (end 2.601 2.035) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.641 -2.004) (end 2.641 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.641 1.04) (end 2.641 2.004) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.681 -1.971) (end 2.681 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.681 1.04) (end 2.681 1.971) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.721 -1.937) (end 2.721 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.721 1.04) (end 2.721 1.937) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.761 -1.901) (end 2.761 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.761 1.04) (end 2.761 1.901) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.801 -1.864) (end 2.801 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.801 1.04) (end 2.801 1.864) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.841 -1.826) (end 2.841 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.841 1.04) (end 2.841 1.826) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.881 -1.785) (end 2.881 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.881 1.04) (end 2.881 1.785) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.921 -1.743) (end 2.921 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.921 1.04) (end 2.921 1.743) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.961 -1.699) (end 2.961 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 2.961 1.04) (end 2.961 1.699) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.001 -1.653) (end 3.001 -1.04) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.001 1.04) (end 3.001 1.653) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.041 -1.605) (end 3.041 1.605) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.081 -1.554) (end 3.081 1.554) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.121 -1.5) (end 3.121 1.5) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.161 -1.443) (end 3.161 1.443) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.201 -1.383) (end 3.201 1.383) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.241 -1.319) (end 3.241 1.319) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.281 -1.251) (end 3.281 1.251) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.321 -1.178) (end 3.321 1.178) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.361 -1.098) (end 3.361 1.098) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.401 -1.011) (end 3.401 1.011) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.441 -0.915) (end 3.441 0.915) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.481 -0.805) (end 3.481 0.805) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.521 -0.677) (end 3.521 0.677) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.561 -0.518) (end 3.561 0.518) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 3.601 -0.284) (end 3.601 0.284) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.804775 -1.475) (end -1.304775 -1.475) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.554775 -1.725) (end -1.554775 -1.225) (layer "F.SilkS") (width 0.12))
+ (fp_text user "%R" (at 1 0) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad "2" thru_hole circle (at 2 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
+ (net 2 "GND"))
+ (pad "1" thru_hole rect (at 0 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
+ (net 3 "+3V3"))
+ (model "${KISYS3DMOD}/Capacitor_THT.3dshapes/CP_Radial_D5.0mm_P2.00mm.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C21D466)
- (at 94.5 93.25 90)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C382D39)
- (attr smd)
- (fp_text reference R8 (at 0 -1.45 90) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 0 (at 0 1.55 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 0 90) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (pad 2 smd rect (at 1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 1 smd rect (at -1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 27 "Net-(R8-Pad1)"))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (module "Capacitor_THT:CP_Radial_D13.0mm_P5.00mm" (layer "B.Cu") (tedit 5AE50EF1) (tstamp 5C2A339B)
+ (at 180.45 111.225 180)
+ (descr "CP, Radial series, Radial, pin pitch=5.00mm, , diameter=13mm, Electrolytic Capacitor")
+ (tags "CP Radial series Radial pin pitch 5.00mm diameter 13mm Electrolytic Capacitor")
+ (path "/5C1A6A7D")
+ (fp_text reference "C4" (at -2.975 5.825) (layer "B.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ )
+ (fp_text value "2200uF/25V/2A" (at 2.5 -7.75) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ )
+ (fp_circle (center 2.5 0) (end 9 0) (layer "B.Fab") (width 0.1))
+ (fp_circle (center 2.5 0) (end 9.12 0) (layer "B.SilkS") (width 0.12))
+ (fp_circle (center 2.5 0) (end 9.25 0) (layer "B.CrtYd") (width 0.05))
+ (fp_line (start -3.082015 2.8475) (end -1.782015 2.8475) (layer "B.Fab") (width 0.1))
+ (fp_line (start -2.432015 3.4975) (end -2.432015 2.1975) (layer "B.Fab") (width 0.1))
+ (fp_line (start 2.5 6.58) (end 2.5 -6.58) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 2.54 6.58) (end 2.54 -6.58) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 2.58 6.58) (end 2.58 -6.58) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 2.62 6.579) (end 2.62 -6.579) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 2.66 6.579) (end 2.66 -6.579) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 2.7 6.577) (end 2.7 -6.577) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 2.74 6.576) (end 2.74 -6.576) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 2.78 6.575) (end 2.78 -6.575) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 2.82 6.573) (end 2.82 -6.573) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 2.86 6.571) (end 2.86 -6.571) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 2.9 6.568) (end 2.9 -6.568) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 2.94 6.566) (end 2.94 -6.566) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 2.98 6.563) (end 2.98 -6.563) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.02 6.56) (end 3.02 -6.56) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.06 6.557) (end 3.06 -6.557) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.1 6.553) (end 3.1 -6.553) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.14 6.549) (end 3.14 -6.549) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.18 6.545) (end 3.18 -6.545) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.221 6.541) (end 3.221 -6.541) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.261 6.537) (end 3.261 -6.537) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.301 6.532) (end 3.301 -6.532) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.341 6.527) (end 3.341 -6.527) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.381 6.522) (end 3.381 -6.522) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.421 6.516) (end 3.421 -6.516) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.461 6.511) (end 3.461 -6.511) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.501 6.505) (end 3.501 -6.505) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.541 6.498) (end 3.541 -6.498) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.581 6.492) (end 3.581 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.581 -1.44) (end 3.581 -6.492) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.621 6.485) (end 3.621 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.621 -1.44) (end 3.621 -6.485) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.661 6.478) (end 3.661 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.661 -1.44) (end 3.661 -6.478) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.701 6.471) (end 3.701 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.701 -1.44) (end 3.701 -6.471) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.741 6.463) (end 3.741 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.741 -1.44) (end 3.741 -6.463) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.781 6.456) (end 3.781 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.781 -1.44) (end 3.781 -6.456) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.821 6.448) (end 3.821 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.821 -1.44) (end 3.821 -6.448) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.861 6.439) (end 3.861 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.861 -1.44) (end 3.861 -6.439) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.901 6.431) (end 3.901 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.901 -1.44) (end 3.901 -6.431) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.941 6.422) (end 3.941 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.941 -1.44) (end 3.941 -6.422) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.981 6.413) (end 3.981 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 3.981 -1.44) (end 3.981 -6.413) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.021 6.404) (end 4.021 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.021 -1.44) (end 4.021 -6.404) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.061 6.394) (end 4.061 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.061 -1.44) (end 4.061 -6.394) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.101 6.384) (end 4.101 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.101 -1.44) (end 4.101 -6.384) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.141 6.374) (end 4.141 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.141 -1.44) (end 4.141 -6.374) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.181 6.364) (end 4.181 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.181 -1.44) (end 4.181 -6.364) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.221 6.353) (end 4.221 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.221 -1.44) (end 4.221 -6.353) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.261 6.342) (end 4.261 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.261 -1.44) (end 4.261 -6.342) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.301 6.331) (end 4.301 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.301 -1.44) (end 4.301 -6.331) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.341 6.32) (end 4.341 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.341 -1.44) (end 4.341 -6.32) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.381 6.308) (end 4.381 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.381 -1.44) (end 4.381 -6.308) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.421 6.296) (end 4.421 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.421 -1.44) (end 4.421 -6.296) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.461 6.284) (end 4.461 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.461 -1.44) (end 4.461 -6.284) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.501 6.271) (end 4.501 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.501 -1.44) (end 4.501 -6.271) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.541 6.258) (end 4.541 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.541 -1.44) (end 4.541 -6.258) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.581 6.245) (end 4.581 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.581 -1.44) (end 4.581 -6.245) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.621 6.232) (end 4.621 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.621 -1.44) (end 4.621 -6.232) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.661 6.218) (end 4.661 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.661 -1.44) (end 4.661 -6.218) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.701 6.204) (end 4.701 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.701 -1.44) (end 4.701 -6.204) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.741 6.19) (end 4.741 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.741 -1.44) (end 4.741 -6.19) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.781 6.175) (end 4.781 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.781 -1.44) (end 4.781 -6.175) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.821 6.161) (end 4.821 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.821 -1.44) (end 4.821 -6.161) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.861 6.146) (end 4.861 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.861 -1.44) (end 4.861 -6.146) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.901 6.13) (end 4.901 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.901 -1.44) (end 4.901 -6.13) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.941 6.114) (end 4.941 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.941 -1.44) (end 4.941 -6.114) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.981 6.098) (end 4.981 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 4.981 -1.44) (end 4.981 -6.098) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.021 6.082) (end 5.021 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.021 -1.44) (end 5.021 -6.082) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.061 6.065) (end 5.061 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.061 -1.44) (end 5.061 -6.065) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.101 6.049) (end 5.101 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.101 -1.44) (end 5.101 -6.049) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.141 6.031) (end 5.141 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.141 -1.44) (end 5.141 -6.031) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.181 6.014) (end 5.181 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.181 -1.44) (end 5.181 -6.014) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.221 5.996) (end 5.221 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.221 -1.44) (end 5.221 -5.996) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.261 5.978) (end 5.261 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.261 -1.44) (end 5.261 -5.978) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.301 5.959) (end 5.301 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.301 -1.44) (end 5.301 -5.959) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.341 5.94) (end 5.341 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.341 -1.44) (end 5.341 -5.94) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.381 5.921) (end 5.381 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.381 -1.44) (end 5.381 -5.921) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.421 5.902) (end 5.421 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.421 -1.44) (end 5.421 -5.902) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.461 5.882) (end 5.461 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.461 -1.44) (end 5.461 -5.882) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.501 5.862) (end 5.501 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.501 -1.44) (end 5.501 -5.862) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.541 5.841) (end 5.541 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.541 -1.44) (end 5.541 -5.841) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.581 5.82) (end 5.581 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.581 -1.44) (end 5.581 -5.82) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.621 5.799) (end 5.621 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.621 -1.44) (end 5.621 -5.799) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.661 5.778) (end 5.661 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.661 -1.44) (end 5.661 -5.778) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.701 5.756) (end 5.701 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.701 -1.44) (end 5.701 -5.756) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.741 5.733) (end 5.741 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.741 -1.44) (end 5.741 -5.733) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.781 5.711) (end 5.781 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.781 -1.44) (end 5.781 -5.711) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.821 5.688) (end 5.821 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.821 -1.44) (end 5.821 -5.688) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.861 5.664) (end 5.861 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.861 -1.44) (end 5.861 -5.664) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.901 5.641) (end 5.901 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.901 -1.44) (end 5.901 -5.641) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.941 5.617) (end 5.941 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.941 -1.44) (end 5.941 -5.617) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.981 5.592) (end 5.981 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 5.981 -1.44) (end 5.981 -5.592) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.021 5.567) (end 6.021 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.021 -1.44) (end 6.021 -5.567) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.061 5.542) (end 6.061 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.061 -1.44) (end 6.061 -5.542) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.101 5.516) (end 6.101 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.101 -1.44) (end 6.101 -5.516) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.141 5.49) (end 6.141 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.141 -1.44) (end 6.141 -5.49) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.181 5.463) (end 6.181 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.181 -1.44) (end 6.181 -5.463) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.221 5.436) (end 6.221 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.221 -1.44) (end 6.221 -5.436) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.261 5.409) (end 6.261 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.261 -1.44) (end 6.261 -5.409) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.301 5.381) (end 6.301 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.301 -1.44) (end 6.301 -5.381) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.341 5.353) (end 6.341 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.341 -1.44) (end 6.341 -5.353) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.381 5.324) (end 6.381 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.381 -1.44) (end 6.381 -5.324) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.421 5.295) (end 6.421 1.44) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.421 -1.44) (end 6.421 -5.295) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.461 5.265) (end 6.461 -5.265) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.501 5.235) (end 6.501 -5.235) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.541 5.205) (end 6.541 -5.205) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.581 5.174) (end 6.581 -5.174) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.621 5.142) (end 6.621 -5.142) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.661 5.11) (end 6.661 -5.11) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.701 5.078) (end 6.701 -5.078) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.741 5.044) (end 6.741 -5.044) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.781 5.011) (end 6.781 -5.011) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.821 4.977) (end 6.821 -4.977) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.861 4.942) (end 6.861 -4.942) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.901 4.907) (end 6.901 -4.907) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.941 4.871) (end 6.941 -4.871) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 6.981 4.834) (end 6.981 -4.834) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.021 4.797) (end 7.021 -4.797) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.061 4.76) (end 7.061 -4.76) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.101 4.721) (end 7.101 -4.721) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.141 4.682) (end 7.141 -4.682) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.181 4.643) (end 7.181 -4.643) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.221 4.602) (end 7.221 -4.602) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.261 4.561) (end 7.261 -4.561) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.301 4.519) (end 7.301 -4.519) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.341 4.477) (end 7.341 -4.477) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.381 4.434) (end 7.381 -4.434) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.421 4.39) (end 7.421 -4.39) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.461 4.345) (end 7.461 -4.345) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.501 4.299) (end 7.501 -4.299) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.541 4.253) (end 7.541 -4.253) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.581 4.205) (end 7.581 -4.205) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.621 4.157) (end 7.621 -4.157) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.661 4.108) (end 7.661 -4.108) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.701 4.057) (end 7.701 -4.057) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.741 4.006) (end 7.741 -4.006) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.781 3.954) (end 7.781 -3.954) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.821 3.9) (end 7.821 -3.9) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.861 3.846) (end 7.861 -3.846) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.901 3.79) (end 7.901 -3.79) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.941 3.733) (end 7.941 -3.733) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 7.981 3.675) (end 7.981 -3.675) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.021 3.615) (end 8.021 -3.615) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.061 3.554) (end 8.061 -3.554) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.101 3.491) (end 8.101 -3.491) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.141 3.427) (end 8.141 -3.427) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.181 3.361) (end 8.181 -3.361) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.221 3.293) (end 8.221 -3.293) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.261 3.223) (end 8.261 -3.223) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.301 3.152) (end 8.301 -3.152) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.341 3.078) (end 8.341 -3.078) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.381 3.002) (end 8.381 -3.002) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.421 2.923) (end 8.421 -2.923) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.461 2.842) (end 8.461 -2.842) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.501 2.758) (end 8.501 -2.758) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.541 2.67) (end 8.541 -2.67) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.581 2.579) (end 8.581 -2.579) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.621 2.484) (end 8.621 -2.484) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.661 2.385) (end 8.661 -2.385) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.701 2.281) (end 8.701 -2.281) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.741 2.171) (end 8.741 -2.171) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.781 2.055) (end 8.781 -2.055) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.821 1.931) (end 8.821 -1.931) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.861 1.798) (end 8.861 -1.798) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.901 1.653) (end 8.901 -1.653) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.941 1.494) (end 8.941 -1.494) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 8.981 1.315) (end 8.981 -1.315) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 9.021 1.107) (end 9.021 -1.107) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 9.061 0.85) (end 9.061 -0.85) (layer "B.SilkS") (width 0.12))
+ (fp_line (start 9.101 0.475) (end 9.101 -0.475) (layer "B.SilkS") (width 0.12))
+ (fp_line (start -4.584569 3.715) (end -3.284569 3.715) (layer "B.SilkS") (width 0.12))
+ (fp_line (start -3.934569 4.365) (end -3.934569 3.065) (layer "B.SilkS") (width 0.12))
+ (fp_text user "%R" (at 2.5 0) (layer "B.Fab")
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ )
+ (pad "2" thru_hole circle (at 5 0 180) (size 2.4 2.4) (drill 1.2) (layers *.Cu *.Mask)
+ (net 2 "GND"))
+ (pad "1" thru_hole rect (at 0 0 180) (size 2.4 2.4) (drill 1.2) (layers *.Cu *.Mask)
+ (net 1 "+12V"))
+ (model "${KISYS3DMOD}/Capacitor_THT.3dshapes/CP_Radial_D13.0mm_P5.00mm.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C2A0457)
- (at 112 98.75 180)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C2AA0AC)
+ (module "Package_SO:SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.29x3mm" (layer "F.Cu") (tedit 5C56E16F) (tstamp 5DE8A5E4)
+ (at 179.2 116.225 180)
+ (descr "SOIC, 8 Pin (https://www.analog.com/media/en/technical-documentation/data-sheets/ada4898-1_4898-2.pdf#page=29), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+ (tags "SOIC SO")
+ (path "/5DE7FF76")
(attr smd)
- (fp_text reference R9 (at 0 -1.45 180) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 0 (at 0 1.55 180) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at 0 0 180) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -1.1 0 180) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 4 "Net-(C7-Pad2)"))
- (pad 2 smd rect (at 1.1 0 180) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 15 "Net-(D7-Pad1)"))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (fp_text reference "U2" (at -3.55 3.175 180) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "TX4138" (at 0 3.4) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.98 0.98) (thickness 0.15)))
+ )
+ (fp_line (start 3.7 -2.7) (end -3.7 -2.7) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 3.7 2.7) (end 3.7 -2.7) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -3.7 2.7) (end 3.7 2.7) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -3.7 -2.7) (end -3.7 2.7) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.95 -1.475) (end -0.975 -2.45) (layer "F.Fab") (width 0.1))
+ (fp_line (start -1.95 2.45) (end -1.95 -1.475) (layer "F.Fab") (width 0.1))
+ (fp_line (start 1.95 2.45) (end -1.95 2.45) (layer "F.Fab") (width 0.1))
+ (fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.975 -2.45) (end 1.95 -2.45) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0 -2.56) (end -3.45 -2.56) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0 -2.56) (end 1.95 -2.56) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0 2.56) (end -1.95 2.56) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0 2.56) (end 1.95 2.56) (layer "F.SilkS") (width 0.12))
+ (pad "8" smd roundrect (at 2.475 -1.905 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 40 "Net-(R2-Pad2)"))
+ (pad "7" smd roundrect (at 2.475 -0.635 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 29 "Net-(U2-Pad7)"))
+ (pad "6" smd roundrect (at 2.475 0.635 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 30 "Net-(U2-Pad6)"))
+ (pad "5" smd roundrect (at 2.475 1.905 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 35 "Net-(C5-Pad1)"))
+ (pad "4" smd roundrect (at -2.475 1.905 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "GND"))
+ (pad "3" smd roundrect (at -2.475 0.635 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 26 "+VSW"))
+ (pad "2" smd roundrect (at -2.475 -0.635 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 31 "/ILIM"))
+ (pad "1" smd roundrect (at -2.475 -1.905 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 33 "Net-(C3-Pad2)"))
+ (pad "" smd roundrect (at 0.57 0.75 180) (size 0.92 1.21) (layers "F.Paste") (roundrect_rratio 0.25))
+ (pad "" smd roundrect (at 0.57 -0.75 180) (size 0.92 1.21) (layers "F.Paste") (roundrect_rratio 0.25))
+ (pad "" smd roundrect (at -0.57 0.75 180) (size 0.92 1.21) (layers "F.Paste") (roundrect_rratio 0.25))
+ (pad "" smd roundrect (at -0.57 -0.75 180) (size 0.92 1.21) (layers "F.Paste") (roundrect_rratio 0.25))
+ (pad "9" smd roundrect (at 0 0 180) (size 2.29 3) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.10917)
+ (net 31 "/ILIM"))
+ (model "${KISYS3DMOD}/Package_SO.3dshapes/SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.29x3mm.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C2A14BF)
- (at 115.7 102.4 90)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C234E1F)
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5DE817CE)
+ (at 174.45 114.225 90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path "/5DF1BE4C")
(attr smd)
- (fp_text reference R11 (at 0 -1.45 90) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 0 (at 0 1.55 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 0 90) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (pad 2 smd rect (at 1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 28 /LOAD))
- (pad 1 smd rect (at -1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 9 "Net-(C12-Pad1)"))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (fp_text reference "R6" (at 1.05 1.7 180) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "R" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (pad "2" smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 2 "GND"))
+ (pad "1" smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 35 "Net-(C5-Pad1)"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C29F655)
- (at 110.5 110.75 90)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C24FD4B)
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5DE8179D)
+ (at 173.45 116.725)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path "/5DF1B471")
(attr smd)
- (fp_text reference R12 (at 0 -1.45 90) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 0 (at 0 1.55 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 0 90) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (pad 2 smd rect (at 1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 29 /CH1))
- (pad 1 smd rect (at -1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 10 "Net-(C13-Pad1)"))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (fp_text reference "R3" (at -2.7 -1.1) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "R" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (pad "2" smd roundrect (at 0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 35 "Net-(C5-Pad1)"))
+ (pad "1" smd roundrect (at -0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "+12V"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C2A1938)
- (at 84.7 110.1)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C2DA800)
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5DE8178C)
+ (at 173.45 118.225)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path "/5DF4CC98")
(attr smd)
- (fp_text reference R13 (at -0.3 2.6 90) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 10k (at 0 1.55) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at 0 0) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -1.1 0) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 8 "Net-(C11-Pad2)"))
- (pad 2 smd rect (at 1.1 0) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 1 +12V))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (fp_text reference "R2" (at 0.05 1.375) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "5R1" (at 0 1.43) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (pad "2" smd roundrect (at 0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 40 "Net-(R2-Pad2)"))
+ (pad "1" smd roundrect (at -0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 34 "Net-(C3-Pad1)"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_0603_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C21D4CC)
- (at 82.2 107 90)
- (descr "Resistor SMD 0603, hand soldering")
- (tags "resistor 0603")
- (path /5C2DA825)
+ (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5DE88315)
+ (at 168.7 120.975 270)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path "/5DF04917")
(attr smd)
- (fp_text reference R14 (at -3.55 -0.05 270) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 0 (at 0 1.55 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.95 0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.95 0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end -1.96 0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.96 -0.7) (end 1.95 -0.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.5 -0.68) (end 0.5 -0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.5 0.68) (end -0.5 0.68) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 0 90) (layer F.Fab)
- (effects (font (size 0.4 0.4) (thickness 0.075)))
- )
- (pad 2 smd rect (at 1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 18 "Net-(D8-Pad1)"))
- (pad 1 smd rect (at -1.1 0 90) (size 1.2 0.9) (layers F.Cu F.Paste F.Mask)
- (net 8 "Net-(C11-Pad2)"))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_0603.wrl
+ (fp_text reference "R1" (at 0.825 1.5 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "1k" (at 0 1.43 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (pad "2" smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 33 "Net-(C3-Pad2)"))
+ (pad "1" smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
+ (net 1 "+12V"))
+ (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Measurement_Points:Measurement_Point_Square-SMD-Pad_Small (layer F.Cu) (tedit 56C36007) (tstamp 5C21D4D5)
- (at 110.1 101.6)
+ (module "Measurement_Points:Measurement_Point_Square-SMD-Pad_Small" (layer "F.Cu") (tedit 56C36007) (tstamp 5C21D4DE)
+ (at 183.7 75.975)
(descr "Mesurement Point, Square, SMD Pad, 1.5mm x 1.5mm,")
(tags "Mesurement Point Square SMD Pad 1.5x1.5mm")
- (path /5C453DE6)
+ (path "/5C45EF40")
(attr virtual)
- (fp_text reference TP1 (at 0.38 1.8) (layer F.SilkS)
+ (fp_text reference "TP2" (at -1.39 0.1 90) (layer "F.SilkS") hide
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value TestPoint (at 0 2) (layer F.Fab)
+ (fp_text value "PA9" (at 2.575 0.275) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start -1 1) (end -1 -1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 1) (end -1 1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 -1) (end 1 1) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1 -1) (end 1 -1) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at 0 0) (size 1.5 1.5) (layers F.Cu F.Mask)
- (net 30 "Net-(TP1-Pad1)"))
+ (fp_line (start -1 -1) (end 1 -1) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1 -1) (end 1 1) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1 1) (end -1 1) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1 1) (end -1 -1) (layer "F.CrtYd") (width 0.05))
+ (pad "1" smd rect (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
+ (net 23 "Net-(TP2-Pad1)"))
)
- (module Measurement_Points:Measurement_Point_Square-SMD-Pad_Small (layer F.Cu) (tedit 56C36007) (tstamp 5C21D4DE)
- (at 105.9 96.7)
- (descr "Mesurement Point, Square, SMD Pad, 1.5mm x 1.5mm,")
- (tags "Mesurement Point Square SMD Pad 1.5x1.5mm")
- (path /5C45EF40)
- (attr virtual)
- (fp_text reference TP2 (at -1.39 0.1 90) (layer F.SilkS)
+ (module "center:led_tape_3528_2835" (layer "F.Cu") (tedit 5DE7D961) (tstamp 5DE7F492)
+ (at 156.2 73.275 37)
+ (path "/5C196C4D")
+ (fp_text reference "J3" (at 0 0.499999 37) (layer "F.SilkS") hide
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value TestPoint (at 0 2) (layer F.Fab)
+ (fp_text value "bottom right" (at 0 -0.499999 37) (layer "F.Fab") hide
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start -1 -1) (end 1 -1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 -1) (end 1 1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 1) (end -1 1) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1 1) (end -1 -1) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at 0 0) (size 1.5 1.5) (layers F.Cu F.Mask)
- (net 31 "Net-(TP2-Pad1)"))
+ (fp_line (start 5 3) (end 5 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 7 0) (end 5 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 7 0) (end 7 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 5 3) (end 7 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 3) (end -5 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -7 3) (end -5 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -7 0) (end -7 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 0) (end -7 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 31.5) (end -5 29) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 5 31.5) (end -5 31.5) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 5 29) (end 5 31.5) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 29) (end 5 29) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 4 29) (end 4 0) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 0) (end -4 29) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 3.000001) (end 4 3.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start 4 3.000001) (end -4 0) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 0) (end 4 0) (layer "F.SilkS") (width 0.5))
+ (fp_line (start 4 2.000001) (end -1.5 0.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start 1 0.000001) (end 4 1.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 2.000001) (end -1.5 3.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 1.000001) (end 1 3.000001) (layer "F.SilkS") (width 0.5))
+ (pad "2" smd oval (at 2 6 37) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 5 "Net-(D1-Pad2)"))
+ (pad "1" smd oval (at -2 6 37) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 14 "/Q3"))
)
- (module Measurement_Points:Measurement_Point_Square-SMD-Pad_Small (layer F.Cu) (tedit 56C36007) (tstamp 5C21D4E7)
- (at 105.9 94.2)
- (descr "Mesurement Point, Square, SMD Pad, 1.5mm x 1.5mm,")
- (tags "Mesurement Point Square SMD Pad 1.5x1.5mm")
- (path /5C45F33E)
- (attr virtual)
- (fp_text reference TP3 (at -1.5 -0.02 90) (layer F.SilkS)
+ (module "center:led_tape_3528_2835" (layer "F.Cu") (tedit 5DE7D961) (tstamp 5C21D3A6)
+ (at 156.225 126.575 143)
+ (path "/5C196B9D")
+ (fp_text reference "J2" (at 0 0.499999 143) (layer "F.SilkS") hide
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value TestPoint (at 0 2) (layer F.Fab)
+ (fp_text value "top right" (at 0 -0.499999 143) (layer "F.Fab") hide
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start -1 1) (end -1 -1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 1) (end -1 1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 -1) (end 1 1) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1 -1) (end 1 -1) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at 0 0) (size 1.5 1.5) (layers F.Cu F.Mask)
- (net 32 "Net-(TP3-Pad1)"))
+ (fp_line (start 5 3) (end 5 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 7 0) (end 5 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 7 0) (end 7 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 5 3) (end 7 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 3) (end -5 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -7 3) (end -5 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -7 0) (end -7 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 0) (end -7 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 31.5) (end -5 29) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 5 31.5) (end -5 31.5) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 5 29) (end 5 31.5) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 29) (end 5 29) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 4 29) (end 4 0) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 0) (end -4 29) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 3.000001) (end 4 3.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start 4 3.000001) (end -4 0) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 0) (end 4 0) (layer "F.SilkS") (width 0.5))
+ (fp_line (start 4 2.000001) (end -1.5 0.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start 1 0.000001) (end 4 1.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 2.000001) (end -1.5 3.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 1.000001) (end 1 3.000001) (layer "F.SilkS") (width 0.5))
+ (pad "2" smd oval (at 2 6 143) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 6 "Net-(D2-Pad2)"))
+ (pad "1" smd oval (at -2 6 143) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 13 "/Q0"))
)
- (module Measurement_Points:Measurement_Point_Square-SMD-Pad_Small (layer F.Cu) (tedit 56C36007) (tstamp 5C21D4F0)
- (at 107.4 101.6)
- (descr "Mesurement Point, Square, SMD Pad, 1.5mm x 1.5mm,")
- (tags "Mesurement Point Square SMD Pad 1.5x1.5mm")
- (path /5C45FC76)
- (attr virtual)
- (fp_text reference TP4 (at 0.13 1.68) (layer F.SilkS)
+ (module "center:led_tape_3528_2835" (layer "F.Cu") (tedit 5DE7D961) (tstamp 5C21D396)
+ (at 199.675 126.6 217)
+ (path "/5C196961")
+ (fp_text reference "J1" (at 0 0.499999 37) (layer "F.SilkS") hide
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value TestPoint (at 0 2) (layer F.Fab)
+ (fp_text value "top left" (at 0 -0.499999 37) (layer "F.Fab") hide
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start -1 -1) (end 1 -1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 -1) (end 1 1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 1) (end -1 1) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1 1) (end -1 -1) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at 0 0) (size 1.5 1.5) (layers F.Cu F.Mask)
- (net 33 "Net-(TP4-Pad1)"))
+ (fp_line (start 5 3) (end 5 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 7 0) (end 5 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 7 0) (end 7 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 5 3) (end 7 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 3) (end -5 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -7 3) (end -5 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -7 0) (end -7 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 0) (end -7 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 31.5) (end -5 29) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 5 31.5) (end -5 31.5) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 5 29) (end 5 31.5) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 29) (end 5 29) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 4 29) (end 4 0) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 0) (end -4 29) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 3.000001) (end 4 3.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start 4 3.000001) (end -4 0) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 0) (end 4 0) (layer "F.SilkS") (width 0.5))
+ (fp_line (start 4 2.000001) (end -1.5 0.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start 1 0.000001) (end 4 1.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 2.000001) (end -1.5 3.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 1.000001) (end 1 3.000001) (layer "F.SilkS") (width 0.5))
+ (pad "2" smd oval (at 2 6 217) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 5 "Net-(D1-Pad2)"))
+ (pad "1" smd oval (at -2 6 217) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 12 "/Q2"))
)
- (module Crystals:Crystal_SMD_3225-4pin_3.2x2.5mm (layer F.Cu) (tedit 58CD2E9C) (tstamp 5C21D504)
- (at 99.5 93.25 90)
- (descr "SMD Crystal SERIES SMD3225/4 http://www.txccrystal.com/images/pdf/7m-accuracy.pdf, 3.2x2.5mm^2 package")
- (tags "SMD SMT crystal")
- (path /5C30EB37)
+ (module "center:led_tape_3528_2835" (layer "F.Cu") (tedit 5DE7D961) (tstamp 5DE7DC7C)
+ (at 199.7 73.3 323)
+ (path "/5C196D8E")
+ (fp_text reference "J4" (at 0 0.499999 143) (layer "F.SilkS") hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "bottom left" (at 0 -0.499999 143) (layer "F.Fab") hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 5 3) (end 5 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 7 0) (end 5 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 7 0) (end 7 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 5 3) (end 7 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 3) (end -5 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -7 3) (end -5 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -7 0) (end -7 3) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 0) (end -7 0) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 31.5) (end -5 29) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 5 31.5) (end -5 31.5) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 5 29) (end 5 31.5) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start -5 29) (end 5 29) (layer "Dwgs.User") (width 0.12))
+ (fp_line (start 4 29) (end 4 0) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 0) (end -4 29) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 3.000001) (end 4 3.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start 4 3.000001) (end -4 0) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 0) (end 4 0) (layer "F.SilkS") (width 0.5))
+ (fp_line (start 4 2.000001) (end -1.5 0.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start 1 0.000001) (end 4 1.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 2.000001) (end -1.5 3.000001) (layer "F.SilkS") (width 0.5))
+ (fp_line (start -4 1.000001) (end 1 3.000001) (layer "F.SilkS") (width 0.5))
+ (pad "1" smd oval (at -2 6 323) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 15 "/Q1"))
+ (pad "2" smd oval (at 2 6 323) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask")
+ (net 6 "Net-(D2-Pad2)"))
+ )
+
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") (tedit 5A02FF57) (tstamp 5DF4F1A5)
+ (at 156.55 84.975 270)
+ (descr "SOT-23, Standard")
+ (tags "SOT-23")
+ (path "/5C57CF20")
(attr smd)
- (fp_text reference Y1 (at 2.68 0.03 180) (layer F.SilkS)
+ (fp_text reference "Q3" (at 0.4 -2.5 90) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value 8MHz (at 0 2.45 90) (layer F.Fab)
+ (fp_text value "AO3400" (at 0 2.5 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text user %R (at 0 0 90) (layer F.Fab)
- (effects (font (size 0.7 0.7) (thickness 0.105)))
+ (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.5 0.5) (thickness 0.075)))
)
- (fp_line (start -1.6 -1.25) (end -1.6 1.25) (layer F.Fab) (width 0.1))
- (fp_line (start -1.6 1.25) (end 1.6 1.25) (layer F.Fab) (width 0.1))
- (fp_line (start 1.6 1.25) (end 1.6 -1.25) (layer F.Fab) (width 0.1))
- (fp_line (start 1.6 -1.25) (end -1.6 -1.25) (layer F.Fab) (width 0.1))
- (fp_line (start -1.6 0.25) (end -0.6 1.25) (layer F.Fab) (width 0.1))
- (fp_line (start -2 -1.65) (end -2 1.65) (layer F.SilkS) (width 0.12))
- (fp_line (start -2 1.65) (end 2 1.65) (layer F.SilkS) (width 0.12))
- (fp_line (start -2.1 -1.7) (end -2.1 1.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start -2.1 1.7) (end 2.1 1.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 2.1 1.7) (end 2.1 -1.7) (layer F.CrtYd) (width 0.05))
- (fp_line (start 2.1 -1.7) (end -2.1 -1.7) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -1.1 0.85 90) (size 1.4 1.2) (layers F.Cu F.Paste F.Mask)
- (net 6 "Net-(C9-Pad1)"))
- (pad 2 smd rect (at 1.1 0.85 90) (size 1.4 1.2) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 3 smd rect (at 1.1 -0.85 90) (size 1.4 1.2) (layers F.Cu F.Paste F.Mask)
- (net 7 "Net-(C10-Pad1)"))
- (pad 4 smd rect (at -1.1 -0.85 90) (size 1.4 1.2) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (model ${KISYS3DMOD}/Crystals.3dshapes/Crystal_SMD_3225-4pin_3.2x2.5mm.wrl
+ (pad "3" smd rect (at 1 0 270) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 9 "Net-(D7-Pad1)"))
+ (pad "2" smd rect (at -1 0.95 270) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "1" smd rect (at -1 -0.95 270) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 20 "/LOAD"))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module TO_SOT_Packages_SMD:SOT-23 (layer F.Cu) (tedit 58CE4E7E) (tstamp 5C29A036)
- (at 95.75 85.25 270)
+ (module "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") (tedit 5A02FF57) (tstamp 5DF43F1E)
+ (at 156.35 111.575 180)
(descr "SOT-23, Standard")
- (tags SOT-23)
- (path /5C577582)
+ (tags "SOT-23")
+ (path "/5C577582")
(attr smd)
- (fp_text reference Q1 (at 1.9 -1.41 270) (layer F.SilkS)
+ (fp_text reference "Q1" (at 1 2.4) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value AO3400 (at 0 2.5 270) (layer F.Fab)
+ (fp_text value "AO3401" (at 0 2.5) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text user %R (at 0 0) (layer F.Fab)
+ (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
(effects (font (size 0.5 0.5) (thickness 0.075)))
)
- (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer F.Fab) (width 0.1))
- (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer F.Fab) (width 0.1))
- (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer F.Fab) (width 0.1))
- (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
- (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
- (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer F.SilkS) (width 0.12))
- (pad 1 smd rect (at -1 -0.95 270) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 5 "Net-(C8-Pad1)"))
- (pad 2 smd rect (at -1 0.95 270) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 3 smd rect (at 1 0 270) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 20 /Q0))
- (model ${KISYS3DMOD}/TO_SOT_Packages_SMD.3dshapes/SOT-23.wrl
+ (pad "3" smd rect (at 1 0 180) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 1 "+12V"))
+ (pad "2" smd rect (at -1 0.95 180) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 13 "/Q0"))
+ (pad "1" smd rect (at -1 -0.95 180) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 36 "Net-(Q1-Pad1)"))
+ (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module TO_SOT_Packages_SMD:SOT-23 (layer F.Cu) (tedit 58CE4E7E) (tstamp 5C2A02C1)
- (at 112 93.5 90)
- (descr "SOT-23, Standard")
- (tags SOT-23)
- (path /5C26D08A)
+ (module "Diode_SMD:D_SMA" (layer "F.Cu") (tedit 586432E5) (tstamp 5DE80486)
+ (at 167.45 126.475 90)
+ (descr "Diode SMA (DO-214AC)")
+ (tags "Diode SMA (DO-214AC)")
+ (path "/5E0408EC")
(attr smd)
- (fp_text reference Q2 (at 1.46 -1.52 180) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value AO3401 (at 0 2.5 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
- (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
- (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer F.Fab) (width 0.1))
- (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer F.Fab) (width 0.1))
- (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 0 180) (layer F.Fab)
- (effects (font (size 0.5 0.5) (thickness 0.075)))
+ (fp_text reference "D5" (at 0 -2.5 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
)
- (pad 3 smd rect (at 1 0 90) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 19 /Q2))
- (pad 2 smd rect (at -1 0.95 90) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 1 +12V))
- (pad 1 smd rect (at -1 -0.95 90) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 4 "Net-(C7-Pad2)"))
- (model ${KISYS3DMOD}/TO_SOT_Packages_SMD.3dshapes/SOT-23.wrl
+ (fp_text value "SS510" (at 0 2.6 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -3.4 -1.65) (end 2 -1.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -3.4 1.65) (end 2 1.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 -0.79908) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 0.75032) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.50118 0.75032) (end 0.50118 -0.79908) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 -0.79908) (end -0.64944 0.80112) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.50118 0.00102) (end 1.4994 0.00102) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end -1.55114 0.00102) (layer "F.Fab") (width 0.1))
+ (fp_line (start -3.5 1.75) (end -3.5 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 3.5 1.75) (end -3.5 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 3.5 -1.75) (end 3.5 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -3.5 -1.75) (end 3.5 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 2.3 -1.5) (end -2.3 -1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start 2.3 -1.5) (end 2.3 1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start -2.3 1.5) (end -2.3 -1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start 2.3 1.5) (end -2.3 1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start -3.4 -1.65) (end -3.4 1.65) (layer "F.SilkS") (width 0.12))
+ (fp_text user "%R" (at 0 -2.5 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad "2" smd rect (at 2 0 90) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "1" smd rect (at -2 0 90) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 33 "Net-(C3-Pad2)"))
+ (model "${KISYS3DMOD}/Diode_SMD.3dshapes/D_SMA.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module TO_SOT_Packages_SMD:SOT-23 (layer F.Cu) (tedit 58CE4E7E) (tstamp 5C31E89B)
- (at 106.75 110.75 180)
- (descr "SOT-23, Standard")
- (tags SOT-23)
- (path /5C57D930)
+ (module "Diode_SMD:D_SMA" (layer "F.Cu") (tedit 586432E5) (tstamp 5DE7BDBF)
+ (at 193.45 99.975 270)
+ (descr "Diode SMA (DO-214AC)")
+ (tags "Diode SMA (DO-214AC)")
+ (path "/5E02DC22")
(attr smd)
- (fp_text reference Q4 (at -1.78 -2.44 180) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value AO3400 (at 0 2.5 180) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
- (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
- (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer F.Fab) (width 0.1))
- (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer F.Fab) (width 0.1))
- (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer F.Fab) (width 0.1))
- (fp_text user %R (at 0 0 270) (layer F.Fab)
- (effects (font (size 0.5 0.5) (thickness 0.075)))
+ (fp_text reference "D4" (at 2.05 -2.5 90) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "SS510" (at 0 2.6 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
)
- (pad 3 smd rect (at 1 0 180) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 22 /Q1))
- (pad 2 smd rect (at -1 0.95 180) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 1 smd rect (at -1 -0.95 180) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 10 "Net-(C13-Pad1)"))
- (model ${KISYS3DMOD}/TO_SOT_Packages_SMD.3dshapes/SOT-23.wrl
+ (fp_line (start -3.4 -1.65) (end 2 -1.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -3.4 1.65) (end 2 1.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 -0.79908) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 0.75032) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.50118 0.75032) (end 0.50118 -0.79908) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 -0.79908) (end -0.64944 0.80112) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.50118 0.00102) (end 1.4994 0.00102) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end -1.55114 0.00102) (layer "F.Fab") (width 0.1))
+ (fp_line (start -3.5 1.75) (end -3.5 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 3.5 1.75) (end -3.5 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 3.5 -1.75) (end 3.5 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -3.5 -1.75) (end 3.5 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 2.3 -1.5) (end -2.3 -1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start 2.3 -1.5) (end 2.3 1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start -2.3 1.5) (end -2.3 -1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start 2.3 1.5) (end -2.3 1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start -3.4 -1.65) (end -3.4 1.65) (layer "F.SilkS") (width 0.12))
+ (fp_text user "%R" (at 0 -2.5 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad "2" smd rect (at 2 0 270) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "1" smd rect (at -2 0 270) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 6 "Net-(D2-Pad2)"))
+ (model "${KISYS3DMOD}/Diode_SMD.3dshapes/D_SMA.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module TO_SOT_Packages_SMD:SOT-23 (layer F.Cu) (tedit 58CE4E7E) (tstamp 5C29A08A)
- (at 88 107)
- (descr "SOT-23, Standard")
- (tags SOT-23)
- (path /5C2DA7FA)
+ (module "Diode_SMD:D_SMA" (layer "F.Cu") (tedit 586432E5) (tstamp 5DE7BDA7)
+ (at 193.2 107.725 127)
+ (descr "Diode SMA (DO-214AC)")
+ (tags "Diode SMA (DO-214AC)")
+ (path "/5E03ECDB")
(attr smd)
- (fp_text reference Q5 (at 2.04 -1.54) (layer F.SilkS)
+ (fp_text reference "D3" (at 0.344436 2.450788 127) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value AO3401 (at 0 2.5) (layer F.Fab)
+ (fp_text value "SS510" (at 0 2.6 127) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text user %R (at 0 0 90) (layer F.Fab)
- (effects (font (size 0.5 0.5) (thickness 0.075)))
+ (fp_line (start -3.4 -1.65) (end 2 -1.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -3.4 1.65) (end 2 1.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 -0.79908) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 0.75032) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.50118 0.75032) (end 0.50118 -0.79908) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 -0.79908) (end -0.64944 0.80112) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.50118 0.00102) (end 1.4994 0.00102) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end -1.55114 0.00102) (layer "F.Fab") (width 0.1))
+ (fp_line (start -3.5 1.75) (end -3.5 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 3.5 1.75) (end -3.5 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 3.5 -1.75) (end 3.5 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -3.5 -1.75) (end 3.5 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 2.3 -1.5) (end -2.3 -1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start 2.3 -1.5) (end 2.3 1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start -2.3 1.5) (end -2.3 -1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start 2.3 1.5) (end -2.3 1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start -3.4 -1.65) (end -3.4 1.65) (layer "F.SilkS") (width 0.12))
+ (fp_text user "%R" (at 0 -2.5 127) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer F.Fab) (width 0.1))
- (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer F.Fab) (width 0.1))
- (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer F.Fab) (width 0.1))
- (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
- (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1))
- (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer F.SilkS) (width 0.12))
- (pad 1 smd rect (at -1 -0.95) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 8 "Net-(C11-Pad2)"))
- (pad 2 smd rect (at -1 0.95) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 1 +12V))
- (pad 3 smd rect (at 1 0) (size 0.9 0.8) (layers F.Cu F.Paste F.Mask)
- (net 21 /Q3))
- (model ${KISYS3DMOD}/TO_SOT_Packages_SMD.3dshapes/SOT-23.wrl
+ (pad "2" smd rect (at 2 0 127) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "1" smd rect (at -2 0 127) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 5 "Net-(D1-Pad2)"))
+ (model "${KISYS3DMOD}/Diode_SMD.3dshapes/D_SMA.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module TO_SOT_Packages_SMD:SOT-223-3Lead_TabPin2 (layer F.Cu) (tedit 58CE4E7E) (tstamp 5C29A0A0)
- (at 99.45 110.85 90)
- (descr "module CMS SOT223 4 pins")
- (tags "CMS SOT")
- (path /5C19682A)
+ (module "Diode_SMD:D_SMA" (layer "F.Cu") (tedit 586432E5) (tstamp 5C21D2F6)
+ (at 189.45 99.975 270)
+ (descr "Diode SMA (DO-214AC)")
+ (tags "Diode SMA (DO-214AC)")
+ (path "/5C199CCC")
(attr smd)
- (fp_text reference U1 (at 0 -4.5 90) (layer F.SilkS)
+ (fp_text reference "D2" (at 4.15 0 180) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value AP1117-33 (at 0 4.5 90) (layer F.Fab)
+ (fp_text value "SS510" (at 0 2.6 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text user %R (at 0 0 180) (layer F.Fab)
- (effects (font (size 0.8 0.8) (thickness 0.12)))
+ (fp_line (start -3.4 -1.65) (end 2 -1.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -3.4 1.65) (end 2 1.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 -0.79908) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 0.75032) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.50118 0.75032) (end 0.50118 -0.79908) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 -0.79908) (end -0.64944 0.80112) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.50118 0.00102) (end 1.4994 0.00102) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end -1.55114 0.00102) (layer "F.Fab") (width 0.1))
+ (fp_line (start -3.5 1.75) (end -3.5 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 3.5 1.75) (end -3.5 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 3.5 -1.75) (end 3.5 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -3.5 -1.75) (end 3.5 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 2.3 -1.5) (end -2.3 -1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start 2.3 -1.5) (end 2.3 1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start -2.3 1.5) (end -2.3 -1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start 2.3 1.5) (end -2.3 1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start -3.4 -1.65) (end -3.4 1.65) (layer "F.SilkS") (width 0.12))
+ (fp_text user "%R" (at 0 -2.5 90) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start 1.91 3.41) (end 1.91 2.15) (layer F.SilkS) (width 0.12))
- (fp_line (start 1.91 -3.41) (end 1.91 -2.15) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.4 -3.6) (end -4.4 -3.6) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.4 3.6) (end 4.4 -3.6) (layer F.CrtYd) (width 0.05))
- (fp_line (start -4.4 3.6) (end 4.4 3.6) (layer F.CrtYd) (width 0.05))
- (fp_line (start -4.4 -3.6) (end -4.4 3.6) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.85 -2.35) (end -0.85 -3.35) (layer F.Fab) (width 0.1))
- (fp_line (start -1.85 -2.35) (end -1.85 3.35) (layer F.Fab) (width 0.1))
- (fp_line (start -1.85 3.41) (end 1.91 3.41) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.85 -3.35) (end 1.85 -3.35) (layer F.Fab) (width 0.1))
- (fp_line (start -4.1 -3.41) (end 1.91 -3.41) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.85 3.35) (end 1.85 3.35) (layer F.Fab) (width 0.1))
- (fp_line (start 1.85 -3.35) (end 1.85 3.35) (layer F.Fab) (width 0.1))
- (pad 2 smd rect (at 3.15 0 90) (size 2 3.8) (layers F.Cu F.Paste F.Mask)
- (net 3 +3V3))
- (pad 2 smd rect (at -3.15 0 90) (size 2 1.5) (layers F.Cu F.Paste F.Mask)
- (net 3 +3V3))
- (pad 3 smd rect (at -3.15 2.3 90) (size 2 1.5) (layers F.Cu F.Paste F.Mask)
- (net 1 +12V))
- (pad 1 smd rect (at -3.15 -2.3 90) (size 2 1.5) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (model ${KISYS3DMOD}/TO_SOT_Packages_SMD.3dshapes/SOT-223.wrl
+ (pad "2" smd rect (at 2 0 270) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 6 "Net-(D2-Pad2)"))
+ (pad "1" smd rect (at -2 0 270) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 26 "+VSW"))
+ (model "${KISYS3DMOD}/Diode_SMD.3dshapes/D_SMA.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Housings_SSOP:TSSOP-20_4.4x6.5mm_Pitch0.65mm (layer F.Cu) (tedit 54130A77) (tstamp 5C29A0C4)
- (at 100 100)
- (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)")
- (tags "SSOP 0.65")
- (path /5C1966AA)
+ (module "Diode_SMD:D_SMA" (layer "F.Cu") (tedit 586432E5) (tstamp 5DE7BD78)
+ (at 192.7 92.725 53)
+ (descr "Diode SMA (DO-214AC)")
+ (tags "Diode SMA (DO-214AC)")
+ (path "/5E0395C8")
(attr smd)
- (fp_text reference U2 (at -4.93 -3.53 180) (layer F.SilkS)
+ (fp_text reference "D1" (at 0.015802 2.554971 53) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value STM32F030F4P6 (at 0 4.3) (layer F.Fab)
+ (fp_text value "SS510" (at 0 2.6 53) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer F.Fab) (width 0.15))
- (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15))
- (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15))
- (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15))
- (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15))
- (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05))
- (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05))
- (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05))
- (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05))
- (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15))
- (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer F.SilkS) (width 0.15))
- (fp_text user %R (at 0 0) (layer F.Fab)
- (effects (font (size 0.8 0.8) (thickness 0.15)))
+ (fp_line (start -3.4 -1.65) (end 2 -1.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -3.4 1.65) (end 2 1.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 -0.79908) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 0.75032) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.50118 0.75032) (end 0.50118 -0.79908) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 -0.79908) (end -0.64944 0.80112) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.50118 0.00102) (end 1.4994 0.00102) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end -1.55114 0.00102) (layer "F.Fab") (width 0.1))
+ (fp_line (start -3.5 1.75) (end -3.5 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 3.5 1.75) (end -3.5 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 3.5 -1.75) (end 3.5 1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -3.5 -1.75) (end 3.5 -1.75) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 2.3 -1.5) (end -2.3 -1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start 2.3 -1.5) (end 2.3 1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start -2.3 1.5) (end -2.3 -1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start 2.3 1.5) (end -2.3 1.5) (layer "F.Fab") (width 0.1))
+ (fp_line (start -3.4 -1.65) (end -3.4 1.65) (layer "F.SilkS") (width 0.12))
+ (fp_text user "%R" (at 0 -2.5 53) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
)
- (pad 1 smd rect (at -2.95 -2.925) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 27 "Net-(R8-Pad1)"))
- (pad 2 smd rect (at -2.95 -2.275) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 7 "Net-(C10-Pad1)"))
- (pad 3 smd rect (at -2.95 -1.625) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 6 "Net-(C9-Pad1)"))
- (pad 4 smd rect (at -2.95 -0.975) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 25 "Net-(R5-Pad1)"))
- (pad 5 smd rect (at -2.95 -0.325) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 3 +3V3))
- (pad 6 smd rect (at -2.95 0.325) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 13 /Vmeas_A))
- (pad 7 smd rect (at -2.95 0.975) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 14 /Vmeas_B))
- (pad 8 smd rect (at -2.95 1.625) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 28 /LOAD))
- (pad 9 smd rect (at -2.95 2.275) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 26 /CH0))
- (pad 10 smd rect (at -2.95 2.925) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 17 /CH3))
- (pad 11 smd rect (at 2.95 2.925) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 30 "Net-(TP1-Pad1)"))
- (pad 12 smd rect (at 2.95 2.275) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 16 /CH2))
- (pad 13 smd rect (at 2.95 1.625) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 29 /CH1))
- (pad 14 smd rect (at 2.95 0.975) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 33 "Net-(TP4-Pad1)"))
- (pad 15 smd rect (at 2.95 0.325) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 16 smd rect (at 2.95 -0.325) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 3 +3V3))
- (pad 17 smd rect (at 2.95 -0.975) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 31 "Net-(TP2-Pad1)"))
- (pad 18 smd rect (at 2.95 -1.625) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 32 "Net-(TP3-Pad1)"))
- (pad 19 smd rect (at 2.95 -2.275) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 24 /SWDIO))
- (pad 20 smd rect (at 2.95 -2.925) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
- (net 23 /SWCLK))
- (model ${KISYS3DMOD}/Housings_SSOP.3dshapes/TSSOP-20_4.4x6.5mm_Pitch0.65mm.wrl
+ (pad "2" smd rect (at 2 0 53) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 5 "Net-(D1-Pad2)"))
+ (pad "1" smd rect (at -2 0 53) (size 2.5 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 26 "+VSW"))
+ (model "${KISYS3DMOD}/Diode_SMD.3dshapes/D_SMA.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Diodes_SMD:D_MiniMELF_Handsoldering (layer F.Cu) (tedit 5905D919) (tstamp 5C29F225)
- (at 101.6 84.7 90)
- (descr "Diode Mini-MELF Handsoldering")
- (tags "Diode Mini-MELF Handsoldering")
- (path /5C1D3E90)
+ (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5C21D147)
+ (at 162.95 106.975)
+ (descr "Capacitor SMD 0603, hand soldering")
+ (tags "capacitor 0603")
+ (path "/5C1AAFA9")
(attr smd)
- (fp_text reference D5 (at 2.77 -1.84 90) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value D_Schottky (at 0 1.75 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at 0 -1.75 90) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 2.75 -1) (end -4.55 -1) (layer F.SilkS) (width 0.12))
- (fp_line (start -4.55 -1) (end -4.55 1) (layer F.SilkS) (width 0.12))
- (fp_line (start -4.55 1) (end 2.75 1) (layer F.SilkS) (width 0.12))
- (fp_line (start 1.65 -0.8) (end 1.65 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start 1.65 0.8) (end -1.65 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start -1.65 0.8) (end -1.65 -0.8) (layer F.Fab) (width 0.1))
- (fp_line (start -1.65 -0.8) (end 1.65 -0.8) (layer F.Fab) (width 0.1))
- (fp_line (start 0.25 0) (end 0.75 0) (layer F.Fab) (width 0.1))
- (fp_line (start 0.25 0.4) (end -0.35 0) (layer F.Fab) (width 0.1))
- (fp_line (start 0.25 -0.4) (end 0.25 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 0) (end 0.25 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 0) (end -0.35 0.55) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 0) (end -0.35 -0.55) (layer F.Fab) (width 0.1))
- (fp_line (start -0.75 0) (end -0.35 0) (layer F.Fab) (width 0.1))
- (fp_line (start -4.65 -1.1) (end 4.65 -1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.65 -1.1) (end 4.65 1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.65 1.1) (end -4.65 1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start -4.65 1.1) (end -4.65 -1.1) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -2.75 0 90) (size 3.3 1.7) (layers F.Cu F.Paste F.Mask)
- (net 13 /Vmeas_A))
- (pad 2 smd rect (at 2.75 0 90) (size 3.3 1.7) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (model ${KISYS3DMOD}/Diodes_SMD.3dshapes/D_MiniMELF.wrl
+ (fp_text reference "C6" (at -2.925 0 180) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "10u" (at 0 1.5 180) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user "%R" (at 0 -1.25 180) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
+ (pad "1" smd rect (at -0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 1 "+12V"))
+ (pad "2" smd rect (at 0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (model "Capacitors_SMD.3dshapes/C_0603.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Diodes_SMD:D_MiniMELF_Handsoldering (layer F.Cu) (tedit 5905D919) (tstamp 5C29F23D)
- (at 85.6 100 180)
- (descr "Diode Mini-MELF Handsoldering")
- (tags "Diode Mini-MELF Handsoldering")
- (path /5C1CFB2A)
+ (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5C21D158)
+ (at 162.95 104.975)
+ (descr "Capacitor SMD 0603, hand soldering")
+ (tags "capacitor 0603")
+ (path "/5C1AF3BD")
(attr smd)
- (fp_text reference D6 (at -5.56 0 180) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value D_Schottky (at 0 1.75 180) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -4.65 1.1) (end -4.65 -1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.65 1.1) (end -4.65 1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.65 -1.1) (end 4.65 1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start -4.65 -1.1) (end 4.65 -1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.75 0) (end -0.35 0) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 0) (end -0.35 -0.55) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 0) (end -0.35 0.55) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 0) (end 0.25 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.25 -0.4) (end 0.25 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.25 0.4) (end -0.35 0) (layer F.Fab) (width 0.1))
- (fp_line (start 0.25 0) (end 0.75 0) (layer F.Fab) (width 0.1))
- (fp_line (start -1.65 -0.8) (end 1.65 -0.8) (layer F.Fab) (width 0.1))
- (fp_line (start -1.65 0.8) (end -1.65 -0.8) (layer F.Fab) (width 0.1))
- (fp_line (start 1.65 0.8) (end -1.65 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start 1.65 -0.8) (end 1.65 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start -4.55 1) (end 2.75 1) (layer F.SilkS) (width 0.12))
- (fp_line (start -4.55 -1) (end -4.55 1) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.75 -1) (end -4.55 -1) (layer F.SilkS) (width 0.12))
- (fp_text user %R (at 0 -1.75 180) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad 2 smd rect (at 2.75 0 180) (size 3.3 1.7) (layers F.Cu F.Paste F.Mask)
- (net 2 GND))
- (pad 1 smd rect (at -2.75 0 180) (size 3.3 1.7) (layers F.Cu F.Paste F.Mask)
- (net 14 /Vmeas_B))
- (model ${KISYS3DMOD}/Diodes_SMD.3dshapes/D_MiniMELF.wrl
+ (fp_text reference "C7" (at -2.925 0) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "100n" (at 0 1.5) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user "%R" (at 0 -1.25) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
+ (pad "1" smd rect (at -0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 1 "+12V"))
+ (pad "2" smd rect (at 0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (model "Capacitors_SMD.3dshapes/C_0603.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Diodes_SMD:D_MiniMELF_Handsoldering (layer F.Cu) (tedit 5905D919) (tstamp 5C29F255)
- (at 108.5 95.75 270)
- (descr "Diode Mini-MELF Handsoldering")
- (tags "Diode Mini-MELF Handsoldering")
- (path /5C280B09)
+ (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5C21D23E)
+ (at 157.95 93.975)
+ (descr "Capacitor SMD 0603, hand soldering")
+ (tags "capacitor 0603")
+ (path "/5C1AFB91")
(attr smd)
- (fp_text reference D7 (at 0 -1.75 270) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 8V2 (at 0 1.75 270) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at 0 -1.75 270) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 2.75 -1) (end -4.55 -1) (layer F.SilkS) (width 0.12))
- (fp_line (start -4.55 -1) (end -4.55 1) (layer F.SilkS) (width 0.12))
- (fp_line (start -4.55 1) (end 2.75 1) (layer F.SilkS) (width 0.12))
- (fp_line (start 1.65 -0.8) (end 1.65 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start 1.65 0.8) (end -1.65 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start -1.65 0.8) (end -1.65 -0.8) (layer F.Fab) (width 0.1))
- (fp_line (start -1.65 -0.8) (end 1.65 -0.8) (layer F.Fab) (width 0.1))
- (fp_line (start 0.25 0) (end 0.75 0) (layer F.Fab) (width 0.1))
- (fp_line (start 0.25 0.4) (end -0.35 0) (layer F.Fab) (width 0.1))
- (fp_line (start 0.25 -0.4) (end 0.25 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 0) (end 0.25 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 0) (end -0.35 0.55) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 0) (end -0.35 -0.55) (layer F.Fab) (width 0.1))
- (fp_line (start -0.75 0) (end -0.35 0) (layer F.Fab) (width 0.1))
- (fp_line (start -4.65 -1.1) (end 4.65 -1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.65 -1.1) (end 4.65 1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.65 1.1) (end -4.65 1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start -4.65 1.1) (end -4.65 -1.1) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -2.75 0 270) (size 3.3 1.7) (layers F.Cu F.Paste F.Mask)
- (net 15 "Net-(D7-Pad1)"))
- (pad 2 smd rect (at 2.75 0 270) (size 3.3 1.7) (layers F.Cu F.Paste F.Mask)
- (net 16 /CH2))
- (model ${KISYS3DMOD}/Diodes_SMD.3dshapes/D_MiniMELF.wrl
+ (fp_text reference "C9" (at 0.1 1.3 180) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "10u" (at 0 1.5 180) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 -1.25 180) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad "2" smd rect (at 0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "1" smd rect (at -0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 3 "+3V3"))
+ (model "Capacitors_SMD.3dshapes/C_0603.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Diodes_SMD:D_MiniMELF_Handsoldering (layer F.Cu) (tedit 5905D919) (tstamp 5C29F26D)
- (at 85.6 103.2)
- (descr "Diode Mini-MELF Handsoldering")
- (tags "Diode Mini-MELF Handsoldering")
- (path /5C2DA819)
+ (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5C21D24F)
+ (at 172.95 82.975)
+ (descr "Capacitor SMD 0603, hand soldering")
+ (tags "capacitor 0603")
+ (path "/5C1B07A6")
(attr smd)
- (fp_text reference D8 (at 5.56 -0.02) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 8V2 (at 0 1.75) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -4.65 1.1) (end -4.65 -1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.65 1.1) (end -4.65 1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start 4.65 -1.1) (end 4.65 1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start -4.65 -1.1) (end 4.65 -1.1) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.75 0) (end -0.35 0) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 0) (end -0.35 -0.55) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 0) (end -0.35 0.55) (layer F.Fab) (width 0.1))
- (fp_line (start -0.35 0) (end 0.25 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.25 -0.4) (end 0.25 0.4) (layer F.Fab) (width 0.1))
- (fp_line (start 0.25 0.4) (end -0.35 0) (layer F.Fab) (width 0.1))
- (fp_line (start 0.25 0) (end 0.75 0) (layer F.Fab) (width 0.1))
- (fp_line (start -1.65 -0.8) (end 1.65 -0.8) (layer F.Fab) (width 0.1))
- (fp_line (start -1.65 0.8) (end -1.65 -0.8) (layer F.Fab) (width 0.1))
- (fp_line (start 1.65 0.8) (end -1.65 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start 1.65 -0.8) (end 1.65 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start -4.55 1) (end 2.75 1) (layer F.SilkS) (width 0.12))
- (fp_line (start -4.55 -1) (end -4.55 1) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.75 -1) (end -4.55 -1) (layer F.SilkS) (width 0.12))
- (fp_text user %R (at 0 -1.75) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad 2 smd rect (at 2.75 0) (size 3.3 1.7) (layers F.Cu F.Paste F.Mask)
- (net 17 /CH3))
- (pad 1 smd rect (at -2.75 0) (size 3.3 1.7) (layers F.Cu F.Paste F.Mask)
- (net 18 "Net-(D8-Pad1)"))
- (model ${KISYS3DMOD}/Diodes_SMD.3dshapes/D_MiniMELF.wrl
+ (fp_text reference "C10" (at 3.3 -0.575 -180) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "100n" (at 0 1.5 180) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 -1.25 180) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad "2" smd rect (at 0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "1" smd rect (at -0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 3 "+3V3"))
+ (model "Capacitors_SMD.3dshapes/C_0603.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Resistors_SMD:R_2512_HandSoldering (layer F.Cu) (tedit 58E0A804) (tstamp 5C2A1198)
- (at 119.1 94 270)
- (descr "Resistor SMD 2512, hand soldering")
- (tags "resistor 2512")
- (path /5C1F9EE6)
+ (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5C21D282)
+ (at 166.95 74.975 90)
+ (descr "Capacitor SMD 0603, hand soldering")
+ (tags "capacitor 0603")
+ (path "/5C31388F")
(attr smd)
- (fp_text reference R10 (at 0.04 2.43 270) (layer F.SilkS)
+ (fp_text reference "C11" (at 0.025 -1.325 270) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text value 620 (at 0 2.75 270) (layer F.Fab)
+ (fp_text value "12p" (at 0 1.5 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_text user %R (at 0 0 270) (layer F.Fab)
+ (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_text user "%R" (at 0 -1.25 90) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start -3.15 1.6) (end -3.15 -1.6) (layer F.Fab) (width 0.1))
- (fp_line (start 3.15 1.6) (end -3.15 1.6) (layer F.Fab) (width 0.1))
- (fp_line (start 3.15 -1.6) (end 3.15 1.6) (layer F.Fab) (width 0.1))
- (fp_line (start -3.15 -1.6) (end 3.15 -1.6) (layer F.Fab) (width 0.1))
- (fp_line (start 2.6 1.82) (end -2.6 1.82) (layer F.SilkS) (width 0.12))
- (fp_line (start -2.6 -1.82) (end 2.6 -1.82) (layer F.SilkS) (width 0.12))
- (fp_line (start -5.56 -1.85) (end 5.55 -1.85) (layer F.CrtYd) (width 0.05))
- (fp_line (start -5.56 -1.85) (end -5.56 1.85) (layer F.CrtYd) (width 0.05))
- (fp_line (start 5.55 1.85) (end 5.55 -1.85) (layer F.CrtYd) (width 0.05))
- (fp_line (start 5.55 1.85) (end -5.56 1.85) (layer F.CrtYd) (width 0.05))
- (pad 1 smd rect (at -3.95 0 270) (size 2.7 3.2) (layers F.Cu F.Paste F.Mask)
- (net 1 +12V))
- (pad 2 smd rect (at 3.95 0 270) (size 2.7 3.2) (layers F.Cu F.Paste F.Mask)
- (net 34 "Net-(Q3-Pad3)"))
- (model ${KISYS3DMOD}/Resistors_SMD.3dshapes/R_2512.wrl
+ (pad "2" smd rect (at 0.95 0 90) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "1" smd rect (at -0.95 0 90) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 27 "Net-(C11-Pad1)"))
+ (model "Capacitors_SMD.3dshapes/C_0603.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitor_THT:CP_Radial_D10.0mm_P5.00mm (layer F.Cu) (tedit 5AE50EF1) (tstamp 5C2A339B)
- (at 89.3 118.85 15)
- (descr "CP, Radial series, Radial, pin pitch=5.00mm, , diameter=10mm, Electrolytic Capacitor")
- (tags "CP Radial series Radial pin pitch 5.00mm diameter 10mm Electrolytic Capacitor")
- (path /5C1A6A7D)
- (fp_text reference C1 (at 2.5 -6.25 15) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 1000uF (at 2.5 6.25 15) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 2.500001 0) (end 7.5 0) (layer F.Fab) (width 0.1))
- (fp_circle (center 2.500001 0) (end 7.62 0) (layer F.SilkS) (width 0.12))
- (fp_circle (center 2.500001 0) (end 7.75 0) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.788861 -2.1875) (end -0.788861 -2.1875) (layer F.Fab) (width 0.1))
- (fp_line (start -1.288861 -2.6875) (end -1.288861 -1.6875) (layer F.Fab) (width 0.1))
- (fp_line (start 2.5 -5.08) (end 2.5 5.08) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.54 -5.08) (end 2.54 5.08) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.58 -5.08) (end 2.58 5.08) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.62 -5.079) (end 2.62 5.079) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.66 -5.078) (end 2.66 5.078) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.7 -5.077) (end 2.7 5.077) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.74 -5.075) (end 2.74 5.075) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.78 -5.073) (end 2.78 5.073) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.82 -5.07) (end 2.82 5.07) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.86 -5.068) (end 2.86 5.068) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.9 -5.065) (end 2.9 5.065001) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.94 -5.062) (end 2.94 5.062) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.98 -5.058) (end 2.98 5.058) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.019999 -5.054) (end 3.020001 5.054) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.06 -5.05) (end 3.06 5.05) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.1 -5.045) (end 3.1 5.045) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.14 -5.04) (end 3.14 5.04) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.18 -5.035) (end 3.18 5.035) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.221 -5.03) (end 3.221 5.03) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.261 -5.024) (end 3.261 5.024) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.301 -5.018) (end 3.301 5.018) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.341 -5.011) (end 3.341 5.011) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.381 -5.004) (end 3.381 5.004) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.421 -4.997) (end 3.420999 4.997) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.461 -4.99) (end 3.461 4.99) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.501 -4.982) (end 3.501 4.982) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.541 -4.974) (end 3.541 4.974) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.581 -4.965) (end 3.581 4.965) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.621 -4.956) (end 3.621 4.956) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.661 -4.947) (end 3.661 4.947) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.701 -4.938) (end 3.701 4.938) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.741 -4.928) (end 3.741 4.928001) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.781 -4.918) (end 3.781 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.781 1.241) (end 3.781 4.918) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.821 -4.907) (end 3.821 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.821 1.241001) (end 3.821001 4.907) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.861 -4.896999) (end 3.861 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.861 1.241) (end 3.861 4.897) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.901 -4.885001) (end 3.901 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.901 1.241) (end 3.901 4.885) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.941 -4.874) (end 3.941 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.941 1.241) (end 3.941 4.874) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.981 -4.862) (end 3.981 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.981 1.241) (end 3.981 4.862) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.021 -4.85) (end 4.021 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.021 1.241) (end 4.021 4.85) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.061001 -4.837) (end 4.061 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.061 1.241) (end 4.061 4.837) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.101 -4.824) (end 4.101 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.101 1.241) (end 4.101 4.824) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.141 -4.810999) (end 4.141 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.141 1.241) (end 4.141 4.811) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.181 -4.797) (end 4.181 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.180999 1.241) (end 4.181 4.797) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.221 -4.783) (end 4.221 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.221 1.241) (end 4.220999 4.783) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.261 -4.768) (end 4.261 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.261 1.241) (end 4.261 4.768) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.301 -4.754) (end 4.301 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.301001 1.241) (end 4.301 4.754) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.341 -4.738) (end 4.341 -1.240999) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.341 1.240999) (end 4.341 4.738) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.381 -4.722999) (end 4.380999 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.381 1.241) (end 4.381 4.723) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.421 -4.707) (end 4.421 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.421 1.241) (end 4.421 4.707) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.461 -4.69) (end 4.461 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.461 1.241) (end 4.461 4.69) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.501 -4.674) (end 4.501001 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.501 1.240999) (end 4.501 4.674) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.541 -4.657) (end 4.541 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.541 1.241) (end 4.541 4.657) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.581 -4.639) (end 4.581 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.581 1.241) (end 4.581 4.639) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.621 -4.621) (end 4.621 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.621 1.241) (end 4.621 4.621) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.661 -4.603) (end 4.661 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.661 1.241) (end 4.661 4.603) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.701 -4.584) (end 4.701 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.701 1.241) (end 4.701 4.584) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.741 -4.564) (end 4.741 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.741 1.241) (end 4.741 4.564) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.781 -4.545) (end 4.781 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.781 1.241) (end 4.781 4.545) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.821 -4.525) (end 4.821 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.821 1.241) (end 4.821 4.525) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.860999 -4.504) (end 4.861 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.861 1.241) (end 4.860999 4.504) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.901 -4.483) (end 4.901 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.901 1.241) (end 4.901 4.483) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.941 -4.462) (end 4.941 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.941 1.241) (end 4.941 4.462) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.981 -4.44) (end 4.981 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.981 1.241) (end 4.981 4.44) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.021 -4.417) (end 5.021 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.021 1.241) (end 5.021 4.417) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.061 -4.395) (end 5.061 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.061 1.241) (end 5.061 4.395) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.101 -4.371) (end 5.101 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.101 1.241) (end 5.101 4.371) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.141 -4.347) (end 5.141 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.141 1.241) (end 5.141 4.347) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.181 -4.323) (end 5.181 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.181 1.241) (end 5.181 4.323) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.221 -4.298) (end 5.221 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.221 1.241) (end 5.220999 4.298) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.261 -4.273) (end 5.261 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.261 1.241) (end 5.261 4.273) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.301 -4.247) (end 5.301 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.301 1.241) (end 5.301 4.247) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.341 -4.221) (end 5.341 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.340999 1.241) (end 5.341 4.220999) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.381 -4.194) (end 5.381 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.381 1.241) (end 5.381 4.194) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.421 -4.166) (end 5.421 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.421 1.241) (end 5.421 4.166) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.461 -4.138) (end 5.461 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.461001 1.241) (end 5.461 4.138) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.501 -4.11) (end 5.501 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.501001 1.241) (end 5.501 4.11) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.541 -4.08) (end 5.540999 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.541 1.241) (end 5.541 4.08) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.581 -4.05) (end 5.581 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.581 1.241) (end 5.581 4.05) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.621 -4.02) (end 5.621001 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.621 1.241) (end 5.621001 4.02) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.661 -3.989) (end 5.661001 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.661 1.241) (end 5.661 3.989) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.701 -3.957) (end 5.701 -1.241001) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.701 1.241) (end 5.701 3.957) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.741 -3.925) (end 5.741 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.741 1.241) (end 5.741 3.925) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.781 -3.892) (end 5.781 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.781 1.241) (end 5.780999 3.892) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.821 -3.858) (end 5.821 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.821 1.241) (end 5.821 3.858) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.861 -3.824) (end 5.861 -1.241001) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.861 1.241) (end 5.861 3.824) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.901 -3.789) (end 5.901 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.901 1.241) (end 5.901 3.789) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.941 -3.753) (end 5.941 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.941 1.241) (end 5.941 3.753001) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.981 -3.716) (end 5.981 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.981 1.241) (end 5.981 3.716) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.021 -3.679) (end 6.021 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.021 1.241) (end 6.021 3.679) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.061 -3.64) (end 6.061 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.061 1.241) (end 6.061 3.64) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.101 -3.601) (end 6.101 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.101 1.241) (end 6.101 3.601) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.141 -3.561) (end 6.141 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.141 1.241) (end 6.141 3.561) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.181001 -3.52) (end 6.181 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.181 1.241) (end 6.180999 3.52) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.221 -3.478) (end 6.221 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.221 1.241) (end 6.221 3.478) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.261 -3.436) (end 6.261 3.436) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.301 -3.392) (end 6.301 3.392) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.341 -3.347) (end 6.341 3.347) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.381 -3.301) (end 6.381 3.301) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.421 -3.254) (end 6.421 3.254) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.461 -3.206) (end 6.461 3.206) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.501 -3.156) (end 6.501 3.156) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.541 -3.106) (end 6.541 3.106) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.581 -3.054) (end 6.581 3.054001) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.621 -3) (end 6.621 3) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.661 -2.945) (end 6.661 2.945) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.701 -2.889) (end 6.701 2.889) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.741 -2.83) (end 6.741 2.83) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.781 -2.77) (end 6.781 2.77) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.821 -2.709) (end 6.821 2.709) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.861 -2.645) (end 6.861 2.645) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.901 -2.578999) (end 6.901 2.579) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.941 -2.51) (end 6.941 2.51) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.981 -2.439) (end 6.981 2.439) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.021 -2.365) (end 7.021 2.365) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.061 -2.289) (end 7.060999 2.289) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.101 -2.209) (end 7.101 2.209) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.141 -2.125) (end 7.141 2.125) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.181 -2.037) (end 7.181 2.037) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.221 -1.944) (end 7.221 1.944) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.261 -1.846) (end 7.261 1.846) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.301 -1.742) (end 7.301 1.742) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.341001 -1.63) (end 7.341 1.63) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.381 -1.51) (end 7.381 1.51) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.421 -1.378) (end 7.421 1.378) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.461 -1.23) (end 7.461 1.23) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.500999 -1.062) (end 7.501 1.062) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.541 -0.862) (end 7.541 0.861999) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.581 -0.599) (end 7.581 0.599) (layer F.SilkS) (width 0.12))
- (fp_line (start -2.979646 -2.875) (end -1.979646 -2.875) (layer F.SilkS) (width 0.12))
- (fp_line (start -2.479646 -3.375) (end -2.479646 -2.375) (layer F.SilkS) (width 0.12))
- (fp_text user %R (at 2.500001 0 15) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad 1 thru_hole rect (at 0 0 15) (size 2 2) (drill 1) (layers *.Cu *.Mask)
- (net 1 +12V))
- (pad 2 thru_hole circle (at 5 0 15) (size 2 2) (drill 1) (layers *.Cu *.Mask)
- (net 2 GND))
- (model ${KISYS3DMOD}/Capacitor_THT.3dshapes/CP_Radial_D10.0mm_P5.00mm.wrl
+ (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5C21D293)
+ (at 169.95 71.975 180)
+ (descr "Capacitor SMD 0603, hand soldering")
+ (tags "capacitor 0603")
+ (path "/5C310CD7")
+ (attr smd)
+ (fp_text reference "C12" (at 5 -0.2 180) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "12p" (at 0 1.5) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user "%R" (at 0 -1.25) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
+ (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
+ (pad "1" smd rect (at -0.95 0 180) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 4 "Net-(C12-Pad1)"))
+ (pad "2" smd rect (at 0.95 0 180) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (model "Capacitors_SMD.3dshapes/C_0603.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (module Capacitor_THT:CP_Radial_D10.0mm_P5.00mm (layer F.Cu) (tedit 5AE50EF1) (tstamp 5C2A26DF)
- (at 109.35 118.2 195)
- (descr "CP, Radial series, Radial, pin pitch=5.00mm, , diameter=10mm, Electrolytic Capacitor")
- (tags "CP Radial series Radial pin pitch 5.00mm diameter 10mm Electrolytic Capacitor")
- (path /5C1A7520)
- (fp_text reference C4 (at -3.002991 3.170812 195) (layer F.SilkS)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value 470uF (at 2.5 6.25 195) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user %R (at 2.5 0 195) (layer F.Fab)
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -2.479646 -3.375) (end -2.479646 -2.375) (layer F.SilkS) (width 0.12))
- (fp_line (start -2.979646 -2.875) (end -1.979646 -2.875) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.581 -0.599) (end 7.581 0.599) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.541 -0.862) (end 7.541 0.862) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.501 -1.062) (end 7.501 1.062) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.461 -1.23) (end 7.461 1.23) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.421 -1.378) (end 7.421 1.378) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.381 -1.51) (end 7.381 1.51) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.341 -1.63) (end 7.341 1.63) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.301 -1.742) (end 7.301 1.742) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.261 -1.846) (end 7.261 1.846) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.221 -1.944) (end 7.221 1.944) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.181 -2.037) (end 7.181 2.037) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.141 -2.125) (end 7.141 2.125) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.101 -2.209) (end 7.101 2.209) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.061 -2.289) (end 7.061 2.289) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.021 -2.365) (end 7.021 2.365) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.981 -2.439) (end 6.981 2.439) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.941 -2.51) (end 6.941 2.51) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.901 -2.579) (end 6.901 2.579) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.861 -2.645) (end 6.861 2.645) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.821 -2.709) (end 6.821 2.709) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.781 -2.77) (end 6.781 2.77) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.741 -2.83) (end 6.741 2.83) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.701 -2.889) (end 6.701 2.889) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.661 -2.945) (end 6.661 2.945) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.621 -3) (end 6.621 3) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.581 -3.054) (end 6.581 3.054) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.541 -3.106) (end 6.541 3.106) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.501 -3.156) (end 6.501 3.156) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.461 -3.206) (end 6.461 3.206) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.421 -3.254) (end 6.421 3.254) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.381 -3.301) (end 6.381 3.301) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.341 -3.347) (end 6.341 3.347) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.301 -3.392) (end 6.301 3.392) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.261 -3.436) (end 6.261 3.436) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.221 1.241) (end 6.221 3.478) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.221 -3.478) (end 6.221 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.181 1.241) (end 6.181 3.52) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.181 -3.52) (end 6.181 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.141 1.241) (end 6.141 3.561) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.141 -3.561) (end 6.141 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.101 1.241) (end 6.101 3.601) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.101 -3.601) (end 6.101 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.061 1.241) (end 6.061 3.64) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.061 -3.64) (end 6.061 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.021 1.241) (end 6.021 3.679) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.021 -3.679) (end 6.021 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.981 1.241) (end 5.981 3.716) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.981 -3.716) (end 5.981 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.941 1.241) (end 5.941 3.753) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.941 -3.753) (end 5.941 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.901 1.241) (end 5.901 3.789) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.901 -3.789) (end 5.901 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.861 1.241) (end 5.861 3.824) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.861 -3.824) (end 5.861 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.821 1.241) (end 5.821 3.858) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.821 -3.858) (end 5.821 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.781 1.241) (end 5.781 3.892) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.781 -3.892) (end 5.781 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.741 1.241) (end 5.741 3.925) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.741 -3.925) (end 5.741 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.701 1.241) (end 5.701 3.957) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.701 -3.957) (end 5.701 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.661 1.241) (end 5.661 3.989) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.661 -3.989) (end 5.661 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.621 1.241) (end 5.621 4.02) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.621 -4.02) (end 5.621 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.581 1.241) (end 5.581 4.05) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.581 -4.05) (end 5.581 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.541 1.241) (end 5.541 4.08) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.541 -4.08) (end 5.541 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.501 1.241) (end 5.501 4.11) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.501 -4.11) (end 5.501 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.461 1.241) (end 5.461 4.138) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.461 -4.138) (end 5.461 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.421 1.241) (end 5.421 4.166) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.421 -4.166) (end 5.421 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.381 1.241) (end 5.381 4.194) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.381 -4.194) (end 5.381 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.341 1.241) (end 5.341 4.221) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.341 -4.221) (end 5.341 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.301 1.241) (end 5.301 4.247) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.301 -4.247) (end 5.301 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.261 1.241) (end 5.261 4.273) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.261 -4.273) (end 5.261 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.221 1.241) (end 5.221 4.298) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.221 -4.298) (end 5.221 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.181 1.241) (end 5.181 4.323) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.181 -4.323) (end 5.181 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.141 1.241) (end 5.141 4.347) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.141 -4.347) (end 5.141 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.101 1.241) (end 5.101 4.371) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.101 -4.371) (end 5.101 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.061 1.241) (end 5.061 4.395) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.061 -4.395) (end 5.061 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.021 1.241) (end 5.021 4.417) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.021 -4.417) (end 5.021 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.981 1.241) (end 4.981 4.44) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.981 -4.44) (end 4.981 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.941 1.241) (end 4.941 4.462) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.941 -4.462) (end 4.941 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.901 1.241) (end 4.901 4.483) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.901 -4.483) (end 4.901 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.861 1.241) (end 4.861 4.504) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.861 -4.504) (end 4.861 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.821 1.241) (end 4.821 4.525) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.821 -4.525) (end 4.821 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.781 1.241) (end 4.781 4.545) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.781 -4.545) (end 4.781 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.741 1.241) (end 4.741 4.564) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.741 -4.564) (end 4.741 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.701 1.241) (end 4.701 4.584) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.701 -4.584) (end 4.701 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.661 1.241) (end 4.661 4.603) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.661 -4.603) (end 4.661 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.621 1.241) (end 4.621 4.621) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.621 -4.621) (end 4.621 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.581 1.241) (end 4.581 4.639) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.581 -4.639) (end 4.581 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.541 1.241) (end 4.541 4.657) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.541 -4.657) (end 4.541 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.501 1.241) (end 4.501 4.674) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.501 -4.674) (end 4.501 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.461 1.241) (end 4.461 4.69) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.461 -4.69) (end 4.461 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.421 1.241) (end 4.421 4.707) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.421 -4.707) (end 4.421 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.381 1.241) (end 4.381 4.723) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.381 -4.723) (end 4.381 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.341 1.241) (end 4.341 4.738) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.341 -4.738) (end 4.341 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.301 1.241) (end 4.301 4.754) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.301 -4.754) (end 4.301 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.261 1.241) (end 4.261 4.768) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.261 -4.768) (end 4.261 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.221 1.241) (end 4.221 4.783) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.221 -4.783) (end 4.221 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.181 1.241) (end 4.181 4.797) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.181 -4.797) (end 4.181 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.141 1.241) (end 4.141 4.811) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.141 -4.811) (end 4.141 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.101 1.241) (end 4.101 4.824) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.101 -4.824) (end 4.101 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.061 1.241) (end 4.061 4.837) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.061 -4.837) (end 4.061 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.021 1.241) (end 4.021 4.85) (layer F.SilkS) (width 0.12))
- (fp_line (start 4.021 -4.85) (end 4.021 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.981 1.241) (end 3.981 4.862) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.981 -4.862) (end 3.981 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.941 1.241) (end 3.941 4.874) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.941 -4.874) (end 3.941 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.901 1.241) (end 3.901 4.885) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.901 -4.885) (end 3.901 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.861 1.241) (end 3.861 4.897) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.861 -4.897) (end 3.861 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.821 1.241) (end 3.821 4.907) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.821 -4.907) (end 3.821 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.781 1.241) (end 3.781 4.918) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.781 -4.918) (end 3.781 -1.241) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.741 -4.928) (end 3.741 4.928) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.701 -4.938) (end 3.701 4.938) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.661 -4.947) (end 3.661 4.947) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.621 -4.956) (end 3.621 4.956) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.581 -4.965) (end 3.581 4.965) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.541 -4.974) (end 3.541 4.974) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.501 -4.982) (end 3.501 4.982) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.461 -4.99) (end 3.461 4.99) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.421 -4.997) (end 3.421 4.997) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.381 -5.004) (end 3.381 5.004) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.341 -5.011) (end 3.341 5.011) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.301 -5.018) (end 3.301 5.018) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.261 -5.024) (end 3.261 5.024) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.221 -5.03) (end 3.221 5.03) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.18 -5.035) (end 3.18 5.035) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.14 -5.04) (end 3.14 5.04) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.1 -5.045) (end 3.1 5.045) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.06 -5.05) (end 3.06 5.05) (layer F.SilkS) (width 0.12))
- (fp_line (start 3.02 -5.054) (end 3.02 5.054) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.98 -5.058) (end 2.98 5.058) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.94 -5.062) (end 2.94 5.062) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.9 -5.065) (end 2.9 5.065) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.86 -5.068) (end 2.86 5.068) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.82 -5.07) (end 2.82 5.07) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.78 -5.073) (end 2.78 5.073) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.74 -5.075) (end 2.74 5.075) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.7 -5.077) (end 2.7 5.077) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.66 -5.078) (end 2.66 5.078) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.62 -5.079) (end 2.62 5.079) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.58 -5.08) (end 2.58 5.08) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.54 -5.08) (end 2.54 5.08) (layer F.SilkS) (width 0.12))
- (fp_line (start 2.5 -5.08) (end 2.5 5.08) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.288861 -2.6875) (end -1.288861 -1.6875) (layer F.Fab) (width 0.1))
- (fp_line (start -1.788861 -2.1875) (end -0.788861 -2.1875) (layer F.Fab) (width 0.1))
- (fp_circle (center 2.5 0) (end 7.75 0) (layer F.CrtYd) (width 0.05))
- (fp_circle (center 2.5 0) (end 7.62 0) (layer F.SilkS) (width 0.12))
- (fp_circle (center 2.5 0) (end 7.5 0) (layer F.Fab) (width 0.1))
- (pad 2 thru_hole circle (at 5 0 195) (size 2 2) (drill 1) (layers *.Cu *.Mask)
- (net 2 GND))
- (pad 1 thru_hole rect (at 0 0 195) (size 2 2) (drill 1) (layers *.Cu *.Mask)
- (net 3 +3V3))
- (model ${KISYS3DMOD}/Capacitor_THT.3dshapes/CP_Radial_D10.0mm_P5.00mm.wrl
+ (module "Pin_Headers:Pin_Header_Straight_1x04_Pitch2.54mm" (layer "F.Cu") (tedit 59650532) (tstamp 5C21D3DE)
+ (at 181.7 70.475 270)
+ (descr "Through hole straight pin header, 1x04, 2.54mm pitch, single row")
+ (tags "Through hole pin header THT 1x04 2.54mm single row")
+ (path "/5C3B6CB2")
+ (fp_text reference "J5" (at -1.5 -3.05) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "SWD" (at 0 9.95 270) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer "F.Fab") (width 0.1))
+ (fp_line (start 1.27 -1.27) (end 1.27 8.89) (layer "F.Fab") (width 0.1))
+ (fp_line (start 1.27 8.89) (end -1.27 8.89) (layer "F.Fab") (width 0.1))
+ (fp_line (start -1.27 8.89) (end -1.27 -0.635) (layer "F.Fab") (width 0.1))
+ (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer "F.Fab") (width 0.1))
+ (fp_line (start -1.33 8.95) (end 1.33 8.95) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.33 1.270001) (end -1.33 8.95) (layer "F.SilkS") (width 0.12))
+ (fp_line (start 1.33 1.270001) (end 1.33 8.95) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.33 1.270001) (end 1.33 1.270001) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.33 0) (end -1.33 -1.33) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -1.8 -1.8) (end -1.8 9.4) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1.8 9.4) (end 1.8 9.4) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.8 9.4) (end 1.8 -1.8) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer "F.CrtYd") (width 0.05))
+ (fp_text user "%R" (at 0 3.81) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad "1" thru_hole rect (at 0 0 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+ (net 3 "+3V3"))
+ (pad "2" thru_hole oval (at 0 2.54 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+ (net 16 "/SWCLK"))
+ (pad "3" thru_hole oval (at 0 5.079999 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+ (net 2 "GND"))
+ (pad "4" thru_hole oval (at 0 7.62 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+ (net 17 "/SWDIO"))
+ (model "${KISYS3DMOD}/Pin_Headers.3dshapes/Pin_Header_Straight_1x04_Pitch2.54mm.wrl"
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
- (dimension 58.002155 (width 0.3) (layer Cmts.User)
- (gr_text "58.002 mm" (at 99.998922 62.4) (layer Cmts.User)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
+ (module "Measurement_Points:Measurement_Point_Square-SMD-Pad_Small" (layer "F.Cu") (tedit 56C36007) (tstamp 5DE9D5BB)
+ (at 180.95 82.475)
+ (descr "Mesurement Point, Square, SMD Pad, 1.5mm x 1.5mm,")
+ (tags "Mesurement Point Square SMD Pad 1.5x1.5mm")
+ (path "/5C453DE6")
+ (attr virtual)
+ (fp_text reference "TP1" (at 0.38 1.8) (layer "F.SilkS") hide
+ (effects (font (size 1 1) (thickness 0.15)))
)
- (feature1 (pts (xy 70.997845 78) (xy 70.997845 63.913579)))
- (feature2 (pts (xy 129 78) (xy 129 63.913579)))
- (crossbar (pts (xy 129 64.5) (xy 70.997845 64.5)))
- (arrow1a (pts (xy 70.997845 64.5) (xy 72.124349 63.913579)))
- (arrow1b (pts (xy 70.997845 64.5) (xy 72.124349 65.086421)))
- (arrow2a (pts (xy 129 64.5) (xy 127.873496 63.913579)))
- (arrow2b (pts (xy 129 64.5) (xy 127.873496 65.086421)))
+ (fp_text value "PA5" (at 0 2) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -1 1) (end -1 -1) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1 1) (end -1 1) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1 -1) (end 1 1) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1 -1) (end 1 -1) (layer "F.CrtYd") (width 0.05))
+ (pad "1" smd rect (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
+ (net 22 "Net-(TP1-Pad1)"))
)
- (dimension 64.5 (width 0.3) (layer Cmts.User)
- (gr_text "64.500 mm" (at 138.6 100.25 270) (layer Cmts.User)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
+
+ (module "Measurement_Points:Measurement_Point_Square-SMD-Pad_Small" (layer "F.Cu") (tedit 56C36007) (tstamp 5DE9D42C)
+ (at 183.7 73.725)
+ (descr "Mesurement Point, Square, SMD Pad, 1.5mm x 1.5mm,")
+ (tags "Mesurement Point Square SMD Pad 1.5x1.5mm")
+ (path "/5C45F33E")
+ (attr virtual)
+ (fp_text reference "TP3" (at 1.8 0.125 90) (layer "F.SilkS") hide
+ (effects (font (size 1 1) (thickness 0.15)))
)
- (feature1 (pts (xy 116.5 132.5) (xy 137.086421 132.5)))
- (feature2 (pts (xy 116.5 68) (xy 137.086421 68)))
- (crossbar (pts (xy 136.5 68) (xy 136.5 132.5)))
- (arrow1a (pts (xy 136.5 132.5) (xy 135.913579 131.373496)))
- (arrow1b (pts (xy 136.5 132.5) (xy 137.086421 131.373496)))
- (arrow2a (pts (xy 136.5 68) (xy 135.913579 69.126504)))
- (arrow2b (pts (xy 136.5 68) (xy 137.086421 69.126504)))
+ (fp_text value "PA10" (at 3.05 -0.125) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -1 1) (end -1 -1) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1 1) (end -1 1) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1 -1) (end 1 1) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1 -1) (end 1 -1) (layer "F.CrtYd") (width 0.05))
+ (pad "1" smd rect (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
+ (net 24 "Net-(TP3-Pad1)"))
)
- (gr_circle (center 100 100) (end 125 100) (layer Dwgs.User) (width 0.15))
- (gr_text ▶ (at 101.7 84.75 270) (layer F.SilkS) (tstamp 5C2A769D)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
+
+ (module "Measurement_Points:Measurement_Point_Square-SMD-Pad_Small" (layer "F.Cu") (tedit 56C36007) (tstamp 5DE9D563)
+ (at 185.45 79.475)
+ (descr "Mesurement Point, Square, SMD Pad, 1.5mm x 1.5mm,")
+ (tags "Mesurement Point Square SMD Pad 1.5x1.5mm")
+ (path "/5C45FC76")
+ (attr virtual)
+ (fp_text reference "TP4" (at 0.13 1.68) (layer "F.SilkS") hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "PA4" (at 0 2) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -1 -1) (end 1 -1) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1 -1) (end 1 1) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 1 1) (end -1 1) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -1 1) (end -1 -1) (layer "F.CrtYd") (width 0.05))
+ (pad "1" smd rect (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
+ (net 25 "Net-(TP4-Pad1)"))
)
- (gr_text ▶ (at 85.55 103.3 180) (layer F.SilkS) (tstamp 5C2A766D)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
+
+ (module "Crystals:Crystal_SMD_3225-4pin_3.2x2.5mm" (layer "F.Cu") (tedit 58CD2E9C) (tstamp 5C21D504)
+ (at 170.45 75.225)
+ (descr "SMD Crystal SERIES SMD3225/4 http://www.txccrystal.com/images/pdf/7m-accuracy.pdf, 3.2x2.5mm^2 package")
+ (tags "SMD SMT crystal")
+ (path "/5C30EB37")
+ (attr smd)
+ (fp_text reference "Y1" (at -1.3 2.75) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "8MHz" (at 0 2.45) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.7 0.7) (thickness 0.105)))
+ )
+ (fp_line (start -1.6 -1.25) (end -1.6 1.25) (layer "F.Fab") (width 0.1))
+ (fp_line (start -1.6 1.25) (end 1.6 1.25) (layer "F.Fab") (width 0.1))
+ (fp_line (start 1.6 1.25) (end 1.6 -1.25) (layer "F.Fab") (width 0.1))
+ (fp_line (start 1.6 -1.25) (end -1.6 -1.25) (layer "F.Fab") (width 0.1))
+ (fp_line (start -1.6 0.25) (end -0.6 1.25) (layer "F.Fab") (width 0.1))
+ (fp_line (start -2 -1.65) (end -2 1.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -2 1.65) (end 2 1.65) (layer "F.SilkS") (width 0.12))
+ (fp_line (start -2.1 -1.7) (end -2.1 1.7) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -2.1 1.7) (end 2.1 1.7) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 2.1 1.7) (end 2.1 -1.7) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 2.1 -1.7) (end -2.1 -1.7) (layer "F.CrtYd") (width 0.05))
+ (pad "1" smd rect (at -1.1 0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 27 "Net-(C11-Pad1)"))
+ (pad "2" smd rect (at 1.1 0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "3" smd rect (at 1.1 -0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 4 "Net-(C12-Pad1)"))
+ (pad "4" smd rect (at -1.1 -0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (model "${KISYS3DMOD}/Crystals.3dshapes/Crystal_SMD_3225-4pin_3.2x2.5mm.wrl"
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
)
- (gr_text ▶ (at 85.6 99.95) (layer F.SilkS) (tstamp 5C2A763C)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
+
+ (module "Housings_SSOP:TSSOP-20_4.4x6.5mm_Pitch0.65mm" (layer "F.Cu") (tedit 54130A77) (tstamp 5C29A0C4)
+ (at 178.1 77.725)
+ (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)")
+ (tags "SSOP 0.65")
+ (path "/5C1966AA")
+ (attr smd)
+ (fp_text reference "U3" (at -3.025 -4.25 180) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "STM32F030F4P6" (at 0 4.3) (layer "F.Fab")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer "F.Fab") (width 0.15))
+ (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer "F.Fab") (width 0.15))
+ (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer "F.Fab") (width 0.15))
+ (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer "F.Fab") (width 0.15))
+ (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer "F.Fab") (width 0.15))
+ (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer "F.CrtYd") (width 0.05))
+ (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer "F.SilkS") (width 0.15))
+ (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer "F.SilkS") (width 0.15))
+ (fp_text user "%R" (at 0 0) (layer "F.Fab")
+ (effects (font (size 0.8 0.8) (thickness 0.15)))
+ )
+ (pad "1" smd rect (at -2.95 -2.925) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 19 "Net-(R8-Pad1)"))
+ (pad "2" smd rect (at -2.95 -2.275) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 4 "Net-(C12-Pad1)"))
+ (pad "3" smd rect (at -2.95 -1.625) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 27 "Net-(C11-Pad1)"))
+ (pad "4" smd rect (at -2.95 -0.975) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 41 "Net-(R7-Pad1)"))
+ (pad "5" smd rect (at -2.95 -0.325) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 3 "+3V3"))
+ (pad "6" smd rect (at -2.95 0.325) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 7 "/Vmeas_A"))
+ (pad "7" smd rect (at -2.95 0.975) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 8 "/Vmeas_B"))
+ (pad "8" smd rect (at -2.95 1.625) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 20 "/LOAD"))
+ (pad "9" smd rect (at -2.95 2.275) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 18 "/CH0"))
+ (pad "10" smd rect (at -2.95 2.925) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 11 "/CH3"))
+ (pad "11" smd rect (at 2.95 2.925) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 22 "Net-(TP1-Pad1)"))
+ (pad "12" smd rect (at 2.95 2.275) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 10 "/CH2"))
+ (pad "13" smd rect (at 2.95 1.625) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 21 "/CH1"))
+ (pad "14" smd rect (at 2.95 0.975) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 25 "Net-(TP4-Pad1)"))
+ (pad "15" smd rect (at 2.95 0.325) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 2 "GND"))
+ (pad "16" smd rect (at 2.95 -0.325) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 3 "+3V3"))
+ (pad "17" smd rect (at 2.95 -0.975) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 23 "Net-(TP2-Pad1)"))
+ (pad "18" smd rect (at 2.95 -1.625) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 24 "Net-(TP3-Pad1)"))
+ (pad "19" smd rect (at 2.95 -2.275) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 17 "/SWDIO"))
+ (pad "20" smd rect (at 2.95 -2.925) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
+ (net 16 "/SWCLK"))
+ (model "${KISYS3DMOD}/Housings_SSOP.3dshapes/TSSOP-20_4.4x6.5mm_Pitch0.65mm.wrl"
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
)
- (gr_text ▶ (at 108.45 95.7 90) (layer F.SilkS) (tstamp 5C2A760D)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
+
+ (gr_text "+" (at 204.6 104.425) (layer "F.SilkS")
+ (effects (font (size 1 1) (thickness 0.15)))
)
- (gr_text ▶ (at 112.05 88.65) (layer F.SilkS) (tstamp 5C2A75B3)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
+ (gr_line (start 160.45 109.725) (end 160.45 97.725) (layer "Dwgs.User") (width 0.1))
+ (gr_line (start 154.95 109.725) (end 160.45 109.725) (layer "Dwgs.User") (width 0.1))
+ (gr_line (start 154.95 97.725) (end 154.95 109.725) (layer "Dwgs.User") (width 0.1))
+ (gr_line (start 184.7 90.975) (end 184.7 112.475) (layer "Dwgs.User") (width 0.1))
+ (gr_line (start 171.2 90.975) (end 184.7 90.975) (layer "Dwgs.User") (width 0.1))
+ (gr_line (start 171.2 112.475) (end 171.2 90.975) (layer "Dwgs.User") (width 0.1))
+ (dimension 56.11802 (width 0.1) (layer "Dwgs.User")
+ (gr_text "56.118 mm" (at 177.936757 60.725) (layer "Dwgs.User")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (feature1 (pts (xy 205.995767 81.881428) (xy 205.995767 61.388579)))
+ (feature2 (pts (xy 149.877747 81.881428) (xy 149.877747 61.388579)))
+ (crossbar (pts (xy 149.877747 61.975) (xy 205.995767 61.975)))
+ (arrow1a (pts (xy 205.995767 61.975) (xy 204.869263 62.561421)))
+ (arrow1b (pts (xy 205.995767 61.975) (xy 204.869263 61.388579)))
+ (arrow2a (pts (xy 149.877747 61.975) (xy 151.004251 62.561421)))
+ (arrow2b (pts (xy 149.877747 61.975) (xy 151.004251 61.388579)))
)
- (gr_text ▶ (at 112.05 83.65) (layer F.SilkS) (tstamp 5C2A75AF)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
+ (dimension 65.425983 (width 0.1) (layer "Dwgs.User")
+ (gr_text "65.426 mm" (at 213.199753 99.955826 270.0004545) (layer "Dwgs.User")
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (feature1 (pts (xy 186.570596 132.669029) (xy 212.536434 132.668823)))
+ (feature2 (pts (xy 186.570077 67.243046) (xy 212.535915 67.24284)))
+ (crossbar (pts (xy 211.949494 67.242845) (xy 211.950013 132.668828)))
+ (arrow1a (pts (xy 211.950013 132.668828) (xy 211.363583 131.542329)))
+ (arrow1b (pts (xy 211.950013 132.668828) (xy 212.536425 131.54232)))
+ (arrow2a (pts (xy 211.949494 67.242845) (xy 211.363082 68.369353)))
+ (arrow2b (pts (xy 211.949494 67.242845) (xy 212.535924 68.369344)))
)
- (gr_text ▶ (at 88.15 88.8 180) (layer F.SilkS) (tstamp 5C2A753A)
+ (gr_text "▶" (at 192.75 92.775 233) (layer "F.SilkS") (tstamp 5DE80430)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
- (gr_text ▶ (at 88.1 83.75 180) (layer F.SilkS)
+ (gr_text "▶" (at 193.35 107.675 307) (layer "F.SilkS") (tstamp 5DE80428)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
- (gr_text SWD (at 86.7 92.45) (layer F.SilkS)
+ (gr_text "▶" (at 193.35 99.975 90) (layer "F.SilkS") (tstamp 5DE80423)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
- (gr_text ⏚ (at 85.65 94.6) (layer F.SilkS)
+ (gr_line (start 195.7 108.975) (end 195.7 86.725) (layer "Dwgs.User") (width 0.1))
+ (gr_line (start 205.95 86.725) (end 195.7 86.725) (layer "Dwgs.User") (width 0.1))
+ (gr_line (start 205.95 109.225) (end 205.95 86.725) (layer "Dwgs.User") (width 0.1))
+ (gr_line (start 169.45 99.975) (end 186.475 99.975) (layer "Dwgs.User") (width 0.1))
+ (gr_line (start 177.95 93.35) (end 177.95 106.55) (layer "Dwgs.User") (width 0.1))
+ (gr_line (start 202.411491 120.73177) (end 205.995767 118.030643) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 151.355693 76.385122) (xy 151.665404 76.151739) (xy 152.103129 76.212871) (xy 152.336511 76.522584)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 150.428618 76.463672) (xy 150.579297 76.663358) (xy 150.854355 76.720974) (xy 151.072506 76.598546)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 160.810413 131.271699) (xy 160.960909 131.071382) (xy 160.939924 130.79061) (xy 160.761323 130.614889)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 195.407267 130.392159) (end 195.113227 130.613859) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 160.479167 130.401979) (xy 160.169456 130.168599) (xy 160.108324 129.731389) (xy 160.341708 129.421679)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 150.428101 123.4484) (end 149.877747 124.178588) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 177.497766 93.529296) (xy 177.61701 93.439268) (xy 177.760427 93.386904) (xy 177.909627 93.378918)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 195.532321 70.490393) (end 194.268314 72.167809) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 158.522697 67.243046) (end 149.877747 73.757894) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 151.073023 123.314041) (xy 150.854754 123.190888) (xy 150.579032 123.24833) (xy 150.428101 123.4484)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 205.995762 126.154695) (end 205.995762 124.179621) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 202.398571 79.170484) (xy 202.088861 78.9371) (xy 202.02721 78.499892) (xy 202.260597 78.190181)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 149.877747 81.881428) (end 149.877747 118.030643) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 152.336511 76.522584) (end 153.6 78.2) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 158.523731 132.669029) (end 159.757247 132.669029) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 162.572579 72.315087) (xy 162.26287 72.548471) (xy 161.825661 72.486823) (xy 161.592277 72.177112)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 153.612919 121.72189) (end 152.349431 123.398791) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 186.126714 100.568659) (end 178.36853 106.37709) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 159.757247 132.669029) (xy 159.757247 132.669029) (xy 160.810413 131.271699) (xy 160.810413 131.271699)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 177.909627 93.378918) (xy 178.086388 93.369361) (xy 178.260832 93.422628) (xy 178.402104 93.529296)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 205.995767 118.030643) (end 205.995767 81.881428) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 202.260597 78.190181) (end 203.524601 76.513281) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 202.273513 121.712072) (xy 202.04013 121.402361) (xy 202.10178 120.965154) (xy 202.411491 120.73177)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 205.995767 75.733483) (end 205.995767 73.757894) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 205.445413 76.463672) (end 205.995767 75.733483) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 205.995767 81.881428) (end 202.398571 79.170484) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 195.394344 69.510091) (xy 195.704054 69.743475) (xy 195.765705 70.180683) (xy 195.532321 70.490393)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 186.428075 99.969853) (xy 186.427367 100.205738) (xy 186.315736 100.427548) (xy 186.126714 100.568659)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 204.799459 76.597514) (xy 205.017844 76.721392) (xy 205.294229 76.664125) (xy 205.445413 76.463672)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 205.444891 123.4484) (xy 205.293812 123.248513) (xy 205.018119 123.191297) (xy 204.799975 123.314558)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 160.341708 129.421679) (end 161.605196 127.744259) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 160.81041 68.63986) (end 159.757761 67.243046) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 193.300933 127.596989) (xy 193.610648 127.3636) (xy 194.047853 127.424732) (xy 194.281237 127.734439)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 205.995767 73.757894) (end 197.350819 67.243046) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 194.281237 127.734439) (end 195.545244 129.411859) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 149.877747 118.030643) (end 153.47546 120.741589) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 204.504903 76.375306) (xy 204.504903 76.375306) (xy 204.799459 76.597514) (xy 204.799459 76.597514)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 152.349431 123.398791) (xy 152.116047 123.708501) (xy 151.678323 123.770151) (xy 151.368612 123.536767)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 153.47546 120.741589) (xy 153.785171 120.974972) (xy 153.846303 121.412179) (xy 153.612919 121.72189)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 178.36853 106.37709) (xy 178.100481 106.57699) (xy 177.732482 106.575318) (xy 177.466261 106.37299)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 169.771105 100.554706) (xy 169.583317 100.41296) (xy 169.47292 100.191314) (xy 169.47292 99.956034)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 204.517823 123.526948) (xy 204.20811 123.760332) (xy 203.770904 123.698683) (xy 203.537521 123.388972)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 194.268314 72.167809) (xy 194.034931 72.47752) (xy 193.597725 72.538652) (xy 193.288015 72.305268)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 197.350819 67.243046) (end 196.116784 67.243046) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 196.116784 67.243046) (end 195.063102 68.640377) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 160.328789 70.500212) (xy 160.095405 70.190501) (xy 160.156537 69.753293) (xy 160.466248 69.51991)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 169.771105 99.357362) (end 177.497766 93.529296) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 203.524601 76.513281) (xy 203.757985 76.20357) (xy 204.195193 76.141917) (xy 204.504903 76.375306)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 177.466261 106.37299) (xy 177.466261 106.37299) (xy 169.771105 100.554706) (xy 169.771105 100.554706)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 160.761323 130.614889) (end 160.479167 130.401979) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 160.466248 69.51991) (end 160.76132 69.297702) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 186.130314 99.369248) (xy 186.318486 99.511492) (xy 186.428783 99.733969) (xy 186.428075 99.969853)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 186.570077 67.243046) (end 169.303435 67.243046) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 195.112192 69.297184) (end 195.394344 69.510091) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 160.76132 69.297702) (xy 160.940512 69.12184) (xy 160.961516 68.84037) (xy 160.81041 68.63986)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 159.757761 67.243046) (end 158.522697 67.243046) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 169.47292 99.956034) (xy 169.47292 99.720754) (xy 169.583317 99.499109) (xy 169.771105 99.357362)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 161.592277 72.177112) (end 160.328789 70.500212) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 149.877747 73.757894) (end 149.877747 75.732451) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 151.368612 123.536767) (xy 151.368612 123.536767) (xy 151.073023 123.314041) (xy 151.073023 123.314041)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 161.605196 127.744259) (xy 161.83858 127.434551) (xy 162.275787 127.373419) (xy 162.585498 127.606799)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 149.877747 126.154178) (end 158.523731 132.669029) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 193.288015 72.305268) (end 186.570077 67.243046) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 177.909627 93.378918) (end 177.909627 93.378918) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 178.402104 93.529296) (end 186.130314 99.369248) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 195.545244 129.411859) (xy 195.778628 129.721569) (xy 195.716977 130.158779) (xy 195.407267 130.392159)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 149.877747 75.732451) (xy 149.877747 75.732451) (xy 150.428618 76.463672) (xy 150.428618 76.463672)) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 195.113227 130.613859) (xy 194.933713 130.789447) (xy 194.912263 131.070937) (xy 195.063097 131.271699)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 197.350814 132.669029) (end 205.995762 126.154695) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 195.063097 131.271699) (end 196.115747 132.669029) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 162.585498 127.606799) (end 169.303435 132.669029) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 169.303435 67.243046) (end 162.572579 72.315087) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 196.115747 132.669029) (end 197.350814 132.669029) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 204.799975 123.314558) (end 204.517823 123.526948) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 195.063102 68.640377) (xy 194.912608 68.840694) (xy 194.933593 69.121464) (xy 195.112192 69.297184)) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 203.537521 123.388972) (end 202.273513 121.712072) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 205.995762 124.179621) (end 205.444891 123.4484) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 169.303435 132.669029) (end 186.570596 132.669029) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 149.877747 124.178588) (end 149.877747 126.154178) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 186.570596 132.669029) (end 193.300933 127.596989) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 153.462541 79.180302) (end 149.877747 81.881428) (layer "Edge.Cuts") (width 0.2))
+ (gr_line (start 151.072506 76.598546) (end 151.355693 76.385122) (layer "Edge.Cuts") (width 0.2))
+ (gr_curve (pts (xy 153.6 78.2) (xy 153.833384 78.50971) (xy 153.772252 78.946919) (xy 153.462541 79.180302)) (layer "Edge.Cuts") (width 0.2))
+ (gr_text "▶" (at 189.35 99.975 90) (layer "F.SilkS")
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
- (gr_text + (at 90.7 94.4) (layer F.SilkS)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
+ (gr_text "SWD" (at 177.75 75.28) (layer "B.SilkS")
+ (effects (font (size 1.5 1.5) (thickness 0.3)) (justify mirror))
)
- (gr_text CK (at 88.15 94.5) (layer F.SilkS)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
+ (gr_text "⏚" (at 176.7 73.13) (layer "B.SilkS")
+ (effects (font (size 1.5 1.5) (thickness 0.3)) (justify mirror))
)
- (gr_text IO (at 83.1 94.55) (layer F.SilkS)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
+ (gr_text "+" (at 181.75 73.33) (layer "B.SilkS")
+ (effects (font (size 1.5 1.5) (thickness 0.3)) (justify mirror))
+ )
+ (gr_text "CK" (at 179.2 73.23) (layer "B.SilkS")
+ (effects (font (size 1.5 1.5) (thickness 0.3)) (justify mirror))
+ )
+ (gr_text "IO" (at 174.15 73.18) (layer "B.SilkS")
+ (effects (font (size 1.5 1.5) (thickness 0.3)) (justify mirror))
)
- (gr_text "github.com/\njaseg/8seg" (at 79.4 124.75 323) (layer F.SilkS)
+ (gr_text "github.com/\njaseg/8seg" (at 193.15 117.775 307) (layer "F.SilkS")
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
- (gr_text cc-by-sa (at 117.2 124.05 307) (layer F.SilkS)
+ (gr_text "cc-by-sa" (at 194.15 82.775 53) (layer "F.SilkS")
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
- (gr_text "(c)2018 jaseg" (at 117.65 120.85 307) (layer F.SilkS)
+ (gr_text "(c)2018 jaseg" (at 190.949926 83.224472 53) (layer "F.SilkS") (tstamp 5DE935C0)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
- (gr_text "8seg R02" (at 119.95 118.5 307) (layer F.SilkS)
+ (gr_text "8seg R03" (at 166.056995 114.061317 53) (layer "F.SilkS") (tstamp 5DE93595)
(effects (font (size 2.5 2.5) (thickness 0.4)))
)
- (gr_text ↑UP↑ (at 99.65 78.02) (layer B.SilkS) (tstamp 5C2A4E04)
+ (gr_text "↑UP↑" (at 177.95 85.975) (layer "B.SilkS") (tstamp 5C2A4E04)
(effects (font (size 3 3) (thickness 0.6)) (justify mirror))
)
- (gr_text ↑UP↑ (at 99.47 77.97) (layer F.SilkS)
+ (gr_text "↑UP↑" (at 178.1 85.325) (layer "F.SilkS") (tstamp 5DE9D652)
(effects (font (size 3 3) (thickness 0.6)))
)
- (gr_line (start 87.734397 126.954928) (end 85.338677 125.149868) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 106.465884 75.89492) (end 103.270972 75.254001) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 76.352368 108.104843) (end 77.093294 110.011692) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 79.036744 113.623425) (end 80.232527 115.308608) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 87.822757 121.852908) (end 90.697186 123.228025) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 93.710813 124.223111) (end 96.826221 124.828395) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 78.929922 86.638822) (end 77.911504 88.38735) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 77.04041 90.206583) (end 76.319817 92.087114) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 122.141986 88.456383) (end 121.136903 86.715469) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 122.79221 119.131118) (end 119.83528 115.207318) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 119.83528 115.207318) (end 121.01661 113.530637) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 75.092805 98.002431) (end 75.005977 100.034088) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 100.005997 75.034062) (end 96.768574 75.252799) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 116.40448 68.209156) (end 112.4099 73.510124) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 125.18793 117.326058) (end 122.79221 119.131118) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 76.319817 92.087114) (end 75.752901 94.019537) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 123.669763 108.046376) (end 124.246251 106.099016) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 77.352087 119.131118) (end 74.956367 117.326058) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 77.911504 88.38735) (end 77.04041 90.206583) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 122.937137 109.940526) (end 123.669763 108.046376) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 116.40448 132.255888) (end 129.18252 122.626508) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 112.4099 73.510124) (end 114.80562 75.315182) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 74.956367 83.138996) (end 77.352087 81.333936) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 93.599788 75.88552) (end 90.539076 76.921661) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 121.01661 113.530637) (end 122.051658 111.771826) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 125.00603 100.034088) (end 124.921104 98.015835) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 129.18252 122.626508) (end 125.18793 117.326058) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 100.005997 125.034108) (end 103.21 124.82) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 75.752901 94.019537) (end 75.342838 95.994445) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 80.092487 84.970406) (end 78.929922 86.638822) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 122.79221 81.333936) (end 125.18793 83.138996) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 124.246251 106.099016) (end 124.663315 104.108088) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 125.18793 83.138996) (end 129.18252 77.838546) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 114.80562 75.315182) (end 112.48379 78.396126) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 112.4099 126.954928) (end 116.40448 132.255888) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 123.712166 92.136879) (end 123.001438 90.266596) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 77.989209 111.854503) (end 79.036744 113.623425) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 124.663315 104.108088) (end 124.91767 102.083232) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 106.347479 124.200305) (end 109.380181 123.185159) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 122.051658 111.771826) (end 122.937137 109.940526) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 103.270972 75.254001) (end 100.005997 75.034062) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 121.136903 86.715469) (end 119.98928 85.053086) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 90.539076 76.921661) (end 87.625877 78.350656) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 75.342838 95.994445) (end 75.092805 98.002431) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 109.550301 76.945927) (end 106.465884 75.89492) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 124.91767 102.083232) (end 125.00603 100.034088) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 129.18252 77.838546) (end 116.40448 68.209156) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 96.826221 124.828395) (end 100.005997 125.034108) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 75.005977 100.034088) (end 75.093236 102.098579) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 80.232527 115.308608) (end 77.352087 119.131118) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 109.380181 123.185159) (end 112.26985 121.784698) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 124.921104 98.015835) (end 124.67509 96.020729) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 70.961777 122.626508) (end 83.739807 132.255888) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 70.961777 77.838546) (end 74.956367 83.138996) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 124.271081 94.058) (end 123.712166 92.136879) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 96.768574 75.252799) (end 93.599788 75.88552) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 77.352087 81.333936) (end 80.092487 84.970406) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 124.67509 96.020729) (end 124.271081 94.058) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 75.769803 106.143808) (end 76.352368 108.104843) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 112.48379 78.396126) (end 109.550301 76.945927) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 74.956367 117.326058) (end 70.961777 122.626508) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 87.625877 78.350656) (end 85.338677 75.315182) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 83.739807 132.255888) (end 87.734397 126.954928) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 112.26985 121.784698) (end 114.80562 125.149868) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 75.348968 104.138436) (end 75.769803 106.143808) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 85.338677 125.149868) (end 87.822757 121.852908) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 90.697186 123.228025) (end 93.710813 124.223111) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 119.98928 85.053086) (end 122.79221 81.333936) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 77.093294 110.011692) (end 77.989209 111.854503) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 83.739807 68.209156) (end 70.961777 77.838546) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 75.093236 102.098579) (end 75.348968 104.138436) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 123.001438 90.266596) (end 122.141986 88.456383) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 85.338677 75.315182) (end 87.734397 73.510124) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 87.734397 73.510124) (end 83.739807 68.209156) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 114.80562 125.149868) (end 112.4099 126.954928) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 103.21 124.82) (end 106.347479 124.200305) (layer Edge.Cuts) (width 0.2))
- (gr_line (start 75 125) (end 75 75) (layer Dwgs.User) (width 0.2))
- (gr_line (start 125 125) (end 75 125) (layer Dwgs.User) (width 0.2))
- (gr_line (start 125 75) (end 125 125) (layer Dwgs.User) (width 0.2))
- (gr_line (start 75 75) (end 125 75) (layer Dwgs.User) (width 0.2))
-
- (segment (start 87 108.9) (end 85.8 110.1) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 87 107.95) (end 87 108.9) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 84.6 108.9) (end 85.8 110.1) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 84.6 108) (end 84.6 108.9) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 113.1 96.9) (end 112.95 96.75) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 115.6 96.9) (end 113.1 96.9) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 114.65 94.5) (end 119.1 90.05) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 112.95 94.5) (end 114.65 94.5) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 112.95 96.75) (end 112.95 94.5) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 101.8 116.75) (end 101.8 114.05) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 101.8 114.05) (end 101.75 114) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 101.8 118.75) (end 101.35 119.2) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 101.8 116.75) (end 101.8 118.75) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 101.35 119.2) (end 101.35 120.6) (width 2) (layer F.Cu) (net 1))
- (via (at 85.799992 111.750008) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 1))
- (segment (start 89.3 118.85) (end 85.799992 115.349992) (width 2) (layer B.Cu) (net 1))
- (segment (start 85.799992 112.598536) (end 85.799992 111.750008) (width 2) (layer B.Cu) (net 1))
- (segment (start 85.799992 115.349992) (end 85.799992 112.598536) (width 2) (layer B.Cu) (net 1))
- (via (at 92.571589 78.755737) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48CC))
- (via (at 86.370323 82.09101) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48CD))
- (via (at 81.503225 87.17934) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48CE))
- (via (at 78.44672 93.522646) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48CF))
- (via (at 77.5 100.5) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48D0))
- (via (at 78.755737 107.428411) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48D1))
- (via (at 82.09101 113.629677) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48D2))
- (via (at 87.17934 118.496775) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48D3))
- (via (at 93.522646 121.55328) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48D4))
- (via (at 100.5 122.5) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48D5))
- (via (at 107.428411 121.244263) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48D6))
- (via (at 113.629677 117.90899) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48D7))
- (via (at 118.496775 112.82066) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48D8))
- (via (at 121.55328 106.477354) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48D9))
- (via (at 122.5 99.5) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48DA))
- (via (at 121.244263 92.571589) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48DB))
- (via (at 117.90899 86.370323) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48DC))
- (via (at 112.82066 81.503225) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48DD))
- (via (at 106.477354 78.44672) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1) (tstamp 5C2A48DE))
- (via (at 99.5 77.5) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1))
- (via (at 85.799992 111.750008) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1))
- (segment (start 85.799992 110.100008) (end 85.8 110.1) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 85.799992 111.750008) (end 85.799992 110.100008) (width 1.2) (layer F.Cu) (net 1))
- (segment (start 105.5 101.025) (end 105.5 101.25) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 104.8 100.325) (end 105.5 101.025) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 102.95 100.325) (end 104.8 100.325) (width 0.3) (layer F.Cu) (net 2))
- (via (at 98.9 95.9) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 2))
- (segment (start 98.65 94.35) (end 98.65 95.65) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 98.65 95.65) (end 98.9 95.9) (width 0.3) (layer F.Cu) (net 2))
- (via (at 105.25 92.4) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 2))
- (segment (start 109.3 88.75) (end 108.7 88.75) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 105.25 92.2) (end 105.25 92.4) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 108.7 88.75) (end 105.25 92.2) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 101.6 81.95) (end 100 81.95) (width 0.3) (layer F.Cu) (net 2))
- (via (at 99 82.7) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 2))
- (segment (start 98.3 81.95) (end 98.2 82.05) (width 0.3) (layer F.Cu) (net 2))
- (via (at 84.903097 101.658975) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 2))
- (segment (start 82.85 100) (end 83.244122 100) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 83.244122 100) (end 84.903097 101.658975) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 97.15 114) (end 97 110.65) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 97.15 110.8) (end 97 110.65) (width 0.3) (layer F.Cu) (net 2))
- (via (at 100.8 97.95) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 2))
- (segment (start 98.9 95.9) (end 98.9 96.05) (width 0.3) (layer B.Cu) (net 2))
- (segment (start 98.9 96.05) (end 100.8 97.95) (width 0.3) (layer B.Cu) (net 2))
- (via (at 106.75 99.4) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 2))
- (segment (start 105.5 101.25) (end 106.75 100) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 106.75 100) (end 106.75 99.4) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 103.95 85.1) (end 103.95 86.3) (width 0.3) (layer F.Cu) (net 2))
- (via (at 103.8 83.25) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 2))
- (segment (start 103.8 83.25) (end 103.95 85.1) (width 0.3) (layer F.Cu) (net 2))
- (via (at 106.25 84.5) (size 2) (drill 1) (layers F.Cu B.Cu) (net 2))
- (segment (start 106.5 84.5) (end 106.25 84.5) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 109.3 88.75) (end 109.3 87.3) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 109.3 87.3) (end 106.5 84.5) (width 0.3) (layer F.Cu) (net 2))
- (via (at 114.9 107.7) (size 2) (drill 1) (layers F.Cu B.Cu) (net 2))
- (segment (start 97.15 110.8) (end 97.15 110.8) (width 0.3) (layer F.Cu) (net 2) (tstamp 5C2A43AC))
- (segment (start 97.15 111.7) (end 97.15 110.8) (width 0.3) (layer F.Cu) (net 2) (tstamp 5C2A447A))
- (via (at 97.15 111.7) (size 2) (drill 1) (layers F.Cu B.Cu) (net 2))
- (segment (start 106.749999 104.600001) (end 106.6 104.75) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 106.6 104.75) (end 106.6 105.5) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 112.550001 104.600001) (end 106.749999 104.600001) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 113.55 105.6) (end 112.550001 104.600001) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 119.45 103.3) (end 119.25 103.1) (width 0.2) (layer F.Cu) (net 2))
- (segment (start 119.25 103.1) (end 119.25 103.05) (width 0.2) (layer F.Cu) (net 2))
- (segment (start 119.55 103.3) (end 117.4 101.15) (width 0.2) (layer F.Cu) (net 2))
- (segment (start 119.55 103.3) (end 119.45 103.3) (width 0.2) (layer F.Cu) (net 2))
- (segment (start 120.05 103.3) (end 119.55 103.3) (width 0.2) (layer F.Cu) (net 2))
- (segment (start 113.149999 100.200001) (end 112.35 101) (width 0.2) (layer F.Cu) (net 2))
- (via (at 112 100.3) (size 2) (drill 1) (layers F.Cu B.Cu) (net 2))
- (segment (start 116.450001 100.200001) (end 113.149999 100.200001) (width 0.2) (layer F.Cu) (net 2))
- (via (at 117.3 101.05) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (segment (start 117.4 101.15) (end 117.3 101.05) (width 0.2) (layer F.Cu) (net 2))
- (segment (start 117.3 101.05) (end 116.450001 100.200001) (width 0.2) (layer F.Cu) (net 2))
- (via (at 117 102.4) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (segment (start 117.3 101.05) (end 117.3 102.1) (width 0.2) (layer F.Cu) (net 2))
- (segment (start 117.3 102.1) (end 117 102.4) (width 0.2) (layer F.Cu) (net 2))
- (via (at 94.8 82.7) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 2))
- (segment (start 94.8 84.25) (end 94.8 83.05) (width 0.5) (layer F.Cu) (net 2))
- (segment (start 94.8 83.05) (end 94.15 82.4) (width 0.5) (layer F.Cu) (net 2))
- (via (at 85.775634 92.775634) (size 2) (drill 1) (layers F.Cu B.Cu) (net 2))
- (segment (start 86.16539 92.775634) (end 85.775634 92.775634) (width 0.25) (layer F.Cu) (net 2))
- (segment (start 90.878544 88.66248) (end 90.278544 88.66248) (width 0.25) (layer F.Cu) (net 2))
- (segment (start 90.278544 88.66248) (end 86.16539 92.775634) (width 0.25) (layer F.Cu) (net 2))
- (via (at 94.8 112.7) (size 2) (drill 1) (layers F.Cu B.Cu) (net 2))
- (segment (start 97 110.65) (end 97.15 111.7) (width 0.3) (layer F.Cu) (net 2) (tstamp 5C551021))
- (via (at 96.4 109.5) (size 2) (drill 1) (layers F.Cu B.Cu) (net 2))
- (via (at 96.8 104.7) (size 2) (drill 1) (layers F.Cu B.Cu) (net 2) (tstamp 5C551038))
- (via (at 108.2 105.5) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 2))
- (via (at 93 93) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 95.9 95.9) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 93.4 96.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 92.4 96.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 101.5 93.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 101.6 95.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 92.4 98.85) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 109.3 90.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 109.3 86.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 113.6 108.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 109.2 109.4) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 101.1 109.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 106.7 117.7) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 97.9 119.1) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 96.2 118.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 90.6 90.6) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 91.2 85.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 92.2 86.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (segment (start 100 81.95) (end 98.3 81.95) (width 0.3) (layer F.Cu) (net 2) (tstamp 5C551156))
- (via (at 100 81.95) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 101.6 84.7) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 98 87.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (via (at 93.5 84.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
- (segment (start 120.05 103.3) (end 120.05 103.55) (width 0.8) (layer F.Cu) (net 2))
- (segment (start 120.05 103.55) (end 119.05 104.55) (width 0.8) (layer F.Cu) (net 2))
- (via (at 101.35448 100.50001) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 2))
- (segment (start 102.95 100.325) (end 101.52949 100.325) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 101.52949 100.325) (end 101.35448 100.50001) (width 0.3) (layer F.Cu) (net 2))
- (segment (start 94.775 99.675) (end 94 100.45) (width 0.3) (layer F.Cu) (net 3))
- (segment (start 97.05 99.675) (end 94.775 99.675) (width 0.3) (layer F.Cu) (net 3))
- (segment (start 105.175 99.675) (end 105.5 99.35) (width 0.3) (layer F.Cu) (net 3))
- (segment (start 102.95 99.675) (end 105.175 99.675) (width 0.3) (layer F.Cu) (net 3))
- (segment (start 99.45 116.45) (end 99.4 116.5) (width 1.2) (layer F.Cu) (net 3))
- (segment (start 99.45 114) (end 99.45 116.45) (width 1.2) (layer F.Cu) (net 3))
- (segment (start 99.45 114) (end 99.45 111.9) (width 2) (layer F.Cu) (net 3))
- (segment (start 99.45 111.9) (end 99.45 107.7) (width 2) (layer F.Cu) (net 3))
- (segment (start 94 100.6) (end 94 100.45) (width 0.3) (layer F.Cu) (net 3))
- (segment (start 90.75 98.3) (end 90.75 96.75) (width 0.3) (layer F.Cu) (net 3))
- (segment (start 94 100.45) (end 92.9 100.45) (width 0.3) (layer F.Cu) (net 3))
- (segment (start 92.9 100.45) (end 90.75 98.3) (width 0.3) (layer F.Cu) (net 3))
- (via (at 99.2 104.4) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 3))
- (segment (start 98.85 104.75) (end 99.2 104.4) (width 1.2) (layer F.Cu) (net 3))
- (segment (start 99.45 107.7) (end 98.85 107.1) (width 1.2) (layer F.Cu) (net 3))
- (segment (start 98.85 107.1) (end 98.85 104.75) (width 1.2) (layer F.Cu) (net 3))
- (segment (start 109.35 118.2) (end 103.05 111.9) (width 1.2) (layer F.Cu) (net 3))
- (segment (start 103.05 111.9) (end 99.45 111.9) (width 1.2) (layer F.Cu) (net 3))
- (via (at 99.75 102) (size 1.2) (drill 0.6) (layers F.Cu B.Cu) (net 3))
- (segment (start 99.75 101.35) (end 99.75 102) (width 0.3) (layer F.Cu) (net 3))
- (segment (start 97.05 99.675) (end 98.075 99.675) (width 0.3) (layer F.Cu) (net 3))
- (segment (start 99.75 103.85) (end 99.75 102) (width 1.2) (layer B.Cu) (net 3))
- (segment (start 99.2 104.4) (end 99.75 103.85) (width 1.2) (layer B.Cu) (net 3))
- (segment (start 101.7 99.45) (end 100.795998 99.45) (width 0.5) (layer F.Cu) (net 3))
- (segment (start 101.925 99.675) (end 101.7 99.45) (width 0.3) (layer F.Cu) (net 3))
- (segment (start 102.95 99.675) (end 101.925 99.675) (width 0.3) (layer F.Cu) (net 3))
- (segment (start 100.795998 99.45) (end 100.595998 99.65) (width 0.5) (layer F.Cu) (net 3))
- (segment (start 98.1 99.65) (end 98.075 99.675) (width 0.5) (layer F.Cu) (net 3))
- (segment (start 100.595998 99.65) (end 98.1 99.65) (width 0.5) (layer F.Cu) (net 3))
- (segment (start 99.75 101.151472) (end 99.75 102) (width 0.5) (layer F.Cu) (net 3))
- (segment (start 100.595998 99.65) (end 99.9 99.65) (width 0.5) (layer F.Cu) (net 3))
- (segment (start 99.75 99.8) (end 99.75 101.151472) (width 0.5) (layer F.Cu) (net 3))
- (segment (start 99.9 99.65) (end 99.75 99.8) (width 0.5) (layer F.Cu) (net 3))
- (segment (start 113.45 99.1) (end 113.1 98.75) (width 0.5) (layer F.Cu) (net 4))
- (segment (start 115.6 99.1) (end 113.45 99.1) (width 0.5) (layer F.Cu) (net 4))
- (segment (start 113.05 98.75) (end 111.05 96.75) (width 0.5) (layer F.Cu) (net 4))
- (segment (start 113.1 98.75) (end 113.05 98.75) (width 0.5) (layer F.Cu) (net 4))
- (segment (start 111.05 94.5) (end 111.05 96.75) (width 0.5) (layer F.Cu) (net 4))
- (segment (start 96.75 84.3) (end 96.7 84.25) (width 0.5) (layer F.Cu) (net 5))
- (segment (start 96.7 84.3) (end 97.6 85.2) (width 0.5) (layer F.Cu) (net 5))
- (segment (start 96.7 84.25) (end 96.7 84.3) (width 0.5) (layer F.Cu) (net 5))
- (segment (start 99 86.2) (end 98.125 86.2) (width 0.5) (layer F.Cu) (net 5))
- (segment (start 97.6 85.2) (end 97.6 86.2) (width 0.5) (layer F.Cu) (net 5))
- (segment (start 98.125 86.2) (end 97.6 86.2) (width 0.5) (layer F.Cu) (net 5))
- (segment (start 97.6 86.6) (end 96.65 87.55) (width 0.5) (layer F.Cu) (net 5))
- (segment (start 97.6 86.2) (end 97.6 86.6) (width 0.5) (layer F.Cu) (net 5))
- (segment (start 96.65 87.55) (end 96.65 88.5) (width 0.5) (layer F.Cu) (net 5))
- (segment (start 102.35 94.35) (end 102.5 94.2) (width 0.3) (layer F.Cu) (net 6))
- (segment (start 100.35 94.35) (end 102.35 94.35) (width 0.3) (layer F.Cu) (net 6))
- (segment (start 100.35 96.15712) (end 100.35 95.35) (width 0.3) (layer F.Cu) (net 6))
- (segment (start 100.35 95.35) (end 100.35 94.35) (width 0.3) (layer F.Cu) (net 6))
- (segment (start 98.13212 98.375) (end 100.35 96.15712) (width 0.3) (layer F.Cu) (net 6))
- (segment (start 97.05 98.375) (end 98.13212 98.375) (width 0.3) (layer F.Cu) (net 6))
- (segment (start 96.75 94.05) (end 98.65 92.15) (width 0.3) (layer F.Cu) (net 7))
- (segment (start 96.75 94.25) (end 96.75 94.05) (width 0.3) (layer F.Cu) (net 7))
- (segment (start 96.75 95.15) (end 98.5 96.9) (width 0.3) (layer F.Cu) (net 7))
- (segment (start 96.75 94.25) (end 96.75 95.15) (width 0.3) (layer F.Cu) (net 7))
- (segment (start 98.5 97.3) (end 98.075 97.725) (width 0.3) (layer F.Cu) (net 7))
- (segment (start 98.5 96.9) (end 98.5 97.3) (width 0.3) (layer F.Cu) (net 7))
- (segment (start 98.075 97.725) (end 97.05 97.725) (width 0.3) (layer F.Cu) (net 7))
- (segment (start 84.3 106) (end 84.6 106) (width 0.8) (layer F.Cu) (net 8))
- (segment (start 82.2 108.1) (end 84.3 106) (width 0.8) (layer F.Cu) (net 8))
- (segment (start 84.6 106) (end 86.95 106) (width 0.8) (layer F.Cu) (net 8))
- (segment (start 86.95 106) (end 87 106.05) (width 0.8) (layer F.Cu) (net 8))
- (segment (start 82.2 108.7) (end 83.6 110.1) (width 0.8) (layer F.Cu) (net 8))
- (segment (start 82.2 108.1) (end 82.2 108.7) (width 0.8) (layer F.Cu) (net 8))
- (segment (start 118.1 103.35) (end 118.15 103.3) (width 0.5) (layer F.Cu) (net 9))
- (segment (start 117.95 103.5) (end 118.15 103.3) (width 0.5) (layer F.Cu) (net 9))
- (segment (start 115.7 103.5) (end 117.95 103.5) (width 0.5) (layer F.Cu) (net 9))
- (segment (start 114.3 103.5) (end 114 103.2) (width 0.8) (layer F.Cu) (net 9))
- (segment (start 115.7 103.5) (end 114.3 103.5) (width 0.8) (layer F.Cu) (net 9))
- (segment (start 110.4 111.75) (end 110.5 111.85) (width 0.3) (layer F.Cu) (net 10))
- (segment (start 110.35 111.7) (end 110.5 111.85) (width 0.5) (layer F.Cu) (net 10))
- (segment (start 107.75 111.7) (end 110.35 111.7) (width 0.5) (layer F.Cu) (net 10))
- (segment (start 112.9 111.85) (end 113 111.75) (width 0.5) (layer F.Cu) (net 10))
- (segment (start 110.5 111.85) (end 112.9 111.85) (width 0.5) (layer F.Cu) (net 10))
- (segment (start 114.360002 88.75) (end 114.7 88.75) (width 2) (layer F.Cu) (net 11))
- (via (at 111.75 86.139998) (size 2) (drill 1) (layers F.Cu B.Cu) (net 11))
- (segment (start 109.3 83.75) (end 109.360002 83.75) (width 2) (layer F.Cu) (net 11))
- (segment (start 109.360002 83.75) (end 111.75 86.139998) (width 2) (layer F.Cu) (net 11))
- (segment (start 111.75 86.139998) (end 114.360002 88.75) (width 2) (layer F.Cu) (net 11))
- (segment (start 105.95 86.15) (end 104.7 84.9) (width 0.3) (layer F.Cu) (net 11))
- (segment (start 104.7 84.9) (end 104.7 84.051998) (width 0.3) (layer F.Cu) (net 11))
- (segment (start 105.95 86.3) (end 105.95 86.15) (width 0.3) (layer F.Cu) (net 11))
- (segment (start 104.7 84.051998) (end 105.601999 83.149999) (width 0.3) (layer F.Cu) (net 11))
- (segment (start 105.601999 83.149999) (end 108.099999 83.149999) (width 0.3) (layer F.Cu) (net 11))
- (segment (start 108.7 83.75) (end 109.3 83.75) (width 0.3) (layer F.Cu) (net 11))
- (segment (start 108.099999 83.149999) (end 108.7 83.75) (width 0.3) (layer F.Cu) (net 11))
- (segment (start 112.889998 86.139998) (end 111.75 86.139998) (width 2) (layer B.Cu) (net 11))
- (segment (start 114.751014 88.4) (end 110.79693 92.354084) (width 2) (layer B.Cu) (net 11))
- (segment (start 115.15 88.4) (end 114.751014 88.4) (width 2) (layer B.Cu) (net 11))
- (segment (start 115.15 88.4) (end 112.889998 86.139998) (width 2) (layer B.Cu) (net 11))
- (segment (start 119 92.25) (end 115.15 88.4) (width 2) (layer B.Cu) (net 11))
- (segment (start 119 106.8) (end 119 92.25) (width 2) (layer B.Cu) (net 11))
- (segment (start 110.024755 115.775245) (end 119 106.8) (width 2) (layer B.Cu) (net 11))
- (segment (start 89.20307 113.00307) (end 91.2 115) (width 2) (layer B.Cu) (net 11))
- (segment (start 91.2 115) (end 96.7 115) (width 2) (layer B.Cu) (net 11))
- (segment (start 96.7 115) (end 97.5 115.8) (width 2) (layer B.Cu) (net 11))
- (segment (start 97.524755 115.775245) (end 110.024755 115.775245) (width 2) (layer B.Cu) (net 11))
- (segment (start 97.5 115.8) (end 97.524755 115.775245) (width 2) (layer B.Cu) (net 11))
- (segment (start 89.20307 107.645916) (end 89.20307 113.00307) (width 2) (layer B.Cu) (net 11))
- (segment (start 90.878544 83.66248) (end 90.478544 83.66248) (width 2) (layer F.Cu) (net 12))
- (segment (start 85.478544 88.66248) (end 87.95 86.191024) (width 2) (layer F.Cu) (net 12))
- (via (at 87.95 86.191024) (size 2) (drill 1) (layers F.Cu B.Cu) (net 12))
- (segment (start 90.478544 83.66248) (end 87.95 86.191024) (width 2) (layer F.Cu) (net 12))
- (segment (start 89.351014 86.2) (end 92.79693 89.645916) (width 2) (layer B.Cu) (net 12))
- (segment (start 89.3 86.2) (end 89.351014 86.2) (width 2) (layer B.Cu) (net 12))
- (segment (start 106.6 107.5) (end 107.7 107.5) (width 0.25) (layer F.Cu) (net 12))
- (via (at 107.9 107.7) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 12))
- (segment (start 107.7 107.5) (end 107.9 107.7) (width 0.25) (layer F.Cu) (net 12))
- (segment (start 107.20307 108.39693) (end 107.20307 110.354084) (width 0.25) (layer B.Cu) (net 12))
- (segment (start 107.9 107.7) (end 107.20307 108.39693) (width 0.25) (layer B.Cu) (net 12))
- (segment (start 89.342038 86.191024) (end 87.95 86.191024) (width 2) (layer B.Cu) (net 12))
- (segment (start 89.451014 86.3) (end 89.342038 86.191024) (width 2) (layer B.Cu) (net 12))
- (segment (start 89.139998 86.3) (end 89.451014 86.3) (width 2) (layer B.Cu) (net 12))
- (segment (start 107.20307 110.354084) (end 103.848986 107) (width 2) (layer B.Cu) (net 12))
- (segment (start 95.5 107) (end 92.45 103.95) (width 2) (layer B.Cu) (net 12))
- (segment (start 80.649999 94.789999) (end 89.139998 86.3) (width 2) (layer B.Cu) (net 12))
- (segment (start 92.45 103.95) (end 83 103.95) (width 2) (layer B.Cu) (net 12))
- (segment (start 103.848986 107) (end 95.5 107) (width 2) (layer B.Cu) (net 12))
- (segment (start 83 103.95) (end 80.649999 101.599999) (width 2) (layer B.Cu) (net 12))
- (segment (start 80.649999 101.599999) (end 80.649999 94.789999) (width 2) (layer B.Cu) (net 12))
- (segment (start 105.95 88.5) (end 103.95 88.5) (width 0.3) (layer F.Cu) (net 13))
- (segment (start 102.65 88.5) (end 101.6 87.45) (width 0.3) (layer F.Cu) (net 13))
- (segment (start 103.95 88.5) (end 102.65 88.5) (width 0.3) (layer F.Cu) (net 13))
- (segment (start 94.580035 101.699967) (end 95.955002 100.325) (width 0.3) (layer F.Cu) (net 13))
- (segment (start 95.955002 100.325) (end 96.025 100.325) (width 0.3) (layer F.Cu) (net 13))
- (segment (start 93.369964 101.699968) (end 94.580035 101.699967) (width 0.3) (layer F.Cu) (net 13))
- (segment (start 96.025 100.325) (end 97.05 100.325) (width 0.3) (layer F.Cu) (net 13))
- (segment (start 89.410001 97.740003) (end 93.369964 101.699968) (width 0.3) (layer F.Cu) (net 13))
- (segment (start 89.410001 95.759997) (end 89.410001 97.740003) (width 0.3) (layer F.Cu) (net 13))
- (via (at 90.683142 95.080201) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 13))
- (segment (start 90.089797 95.080201) (end 89.410001 95.759997) (width 0.25) (layer F.Cu) (net 13))
- (segment (start 90.683142 95.080201) (end 90.089797 95.080201) (width 0.25) (layer F.Cu) (net 13))
- (via (at 97.975075 89.849978) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 13))
- (segment (start 101.6 87.45) (end 101.6 88.25) (width 0.25) (layer F.Cu) (net 13))
- (segment (start 101.6 88.25) (end 100.000022 89.849978) (width 0.25) (layer F.Cu) (net 13))
- (segment (start 98.54076 89.849978) (end 97.975075 89.849978) (width 0.25) (layer F.Cu) (net 13))
- (segment (start 100.000022 89.849978) (end 98.54076 89.849978) (width 0.25) (layer F.Cu) (net 13))
- (segment (start 97.1 90.725053) (end 97.975075 89.849978) (width 0.25) (layer B.Cu) (net 13))
- (segment (start 97.1 93.265685) (end 97.1 90.725053) (width 0.25) (layer B.Cu) (net 13))
- (segment (start 94.885485 95.4802) (end 97.1 93.265685) (width 0.25) (layer B.Cu) (net 13))
- (segment (start 90.683142 95.080201) (end 91.083141 95.4802) (width 0.25) (layer B.Cu) (net 13))
- (segment (start 91.083141 95.4802) (end 94.885485 95.4802) (width 0.25) (layer B.Cu) (net 13))
- (segment (start 104.4 107.5) (end 104.4 105.5) (width 0.3) (layer F.Cu) (net 14))
- (via (at 101.5 104.9) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 14))
- (segment (start 93.162855 102.199978) (end 94.800022 102.199978) (width 0.3) (layer F.Cu) (net 14))
- (segment (start 88.35 100) (end 90.962876 100) (width 0.3) (layer F.Cu) (net 14))
- (segment (start 96.025 100.975) (end 97.05 100.975) (width 0.3) (layer F.Cu) (net 14))
- (segment (start 94.800022 102.199978) (end 96.025 100.975) (width 0.3) (layer F.Cu) (net 14))
- (segment (start 90.962876 100) (end 93.162855 102.199978) (width 0.3) (layer F.Cu) (net 14))
- (segment (start 98.75001 102.45001) (end 99.225001 102.925001) (width 0.25) (layer F.Cu) (net 14))
- (via (at 101.450021 103.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 14))
- (segment (start 101.5 103.249979) (end 101.450021 103.2) (width 0.3) (layer B.Cu) (net 14))
- (segment (start 101.5 104.9) (end 101.5 103.249979) (width 0.3) (layer B.Cu) (net 14))
- (segment (start 100.609337 102.925001) (end 100.884336 103.2) (width 0.25) (layer F.Cu) (net 14))
- (segment (start 100.884336 103.2) (end 101.450021 103.2) (width 0.25) (layer F.Cu) (net 14))
- (segment (start 98.75001 101.628245) (end 98.75001 102.45001) (width 0.25) (layer F.Cu) (net 14))
- (segment (start 98.096765 100.975) (end 98.75001 101.628245) (width 0.25) (layer F.Cu) (net 14))
- (segment (start 99.225001 102.925001) (end 100.609337 102.925001) (width 0.25) (layer F.Cu) (net 14))
- (segment (start 97.05 100.975) (end 98.096765 100.975) (width 0.25) (layer F.Cu) (net 14))
- (segment (start 103.8 104.9) (end 101.5 104.9) (width 0.3) (layer F.Cu) (net 14))
- (segment (start 104.4 105.5) (end 103.8 104.9) (width 0.3) (layer F.Cu) (net 14))
- (segment (start 110.75 98.75) (end 109.9 97.9) (width 0.3) (layer F.Cu) (net 15))
- (segment (start 110.9 98.75) (end 110.75 98.75) (width 0.3) (layer F.Cu) (net 15))
- (segment (start 108.5 94.95) (end 108.5 93) (width 0.3) (layer F.Cu) (net 15))
- (segment (start 109.9 96.35) (end 108.5 94.95) (width 0.3) (layer F.Cu) (net 15))
- (segment (start 109.9 97.9) (end 109.9 96.35) (width 0.3) (layer F.Cu) (net 15))
- (segment (start 108.65 98.65) (end 108.5 98.5) (width 0.3) (layer F.Cu) (net 16))
- (segment (start 108.65 102.7) (end 108.65 98.65) (width 0.3) (layer F.Cu) (net 16))
- (segment (start 108.4 102.95) (end 108.65 102.7) (width 0.3) (layer F.Cu) (net 16))
- (segment (start 104.65 102.95) (end 108.4 102.95) (width 0.3) (layer F.Cu) (net 16))
- (segment (start 102.95 102.275) (end 103.975 102.275) (width 0.3) (layer F.Cu) (net 16))
- (segment (start 103.975 102.275) (end 104.65 102.95) (width 0.3) (layer F.Cu) (net 16))
- (segment (start 95.3 103.2) (end 90.3 103.2) (width 0.3) (layer F.Cu) (net 17))
- (segment (start 95.575 102.925) (end 95.3 103.2) (width 0.3) (layer F.Cu) (net 17))
- (segment (start 97.05 102.925) (end 95.575 102.925) (width 0.3) (layer F.Cu) (net 17))
- (segment (start 90.3 103.2) (end 88.35 103.2) (width 0.3) (layer F.Cu) (net 17))
- (segment (start 82.2 103.85) (end 82.85 103.2) (width 0.8) (layer F.Cu) (net 18))
- (segment (start 82.2 105.9) (end 82.2 103.85) (width 0.8) (layer F.Cu) (net 18))
- (via (at 114.8 91.7) (size 2) (drill 1) (layers F.Cu B.Cu) (net 19))
- (segment (start 112 92.5) (end 114 92.5) (width 1.2) (layer F.Cu) (net 19))
- (segment (start 114 92.5) (end 114.8 91.7) (width 1.2) (layer F.Cu) (net 19))
- (segment (start 107.20307 93.75307) (end 107.20307 91.851988) (width 1.2) (layer B.Cu) (net 19))
- (segment (start 107.20307 91.851988) (end 107.20307 89.645916) (width 1.2) (layer B.Cu) (net 19))
- (segment (start 109.05 95.6) (end 107.20307 93.75307) (width 1.2) (layer B.Cu) (net 19))
- (segment (start 111.35 95.6) (end 109.05 95.6) (width 1.2) (layer B.Cu) (net 19))
- (segment (start 114.8 91.7) (end 114.8 92.15) (width 1.2) (layer B.Cu) (net 19))
- (segment (start 114.8 92.15) (end 111.35 95.6) (width 1.2) (layer B.Cu) (net 19))
- (via (at 94.5 87.4) (size 2) (drill 1) (layers F.Cu B.Cu) (net 20))
- (segment (start 95.75 86.25) (end 95.65 86.25) (width 1.2) (layer F.Cu) (net 20))
- (segment (start 95.65 86.25) (end 94.5 87.4) (width 1.2) (layer F.Cu) (net 20))
- (segment (start 94.299999 94.500001) (end 91.799999 94.500001) (width 1.2) (layer B.Cu) (net 20))
- (segment (start 96.1 92.7) (end 94.299999 94.500001) (width 1.2) (layer B.Cu) (net 20))
- (segment (start 91.799999 94.500001) (end 90.701375 93.401377) (width 1.2) (layer B.Cu) (net 20))
- (segment (start 94.5 87.4) (end 96.1 89) (width 1.2) (layer B.Cu) (net 20))
- (segment (start 96.1 89) (end 96.1 92.7) (width 1.2) (layer B.Cu) (net 20))
- (via (at 92.694365 107.305635) (size 2) (drill 1) (layers F.Cu B.Cu) (net 21))
- (segment (start 92.79693 110.354084) (end 92.79693 107.4082) (width 1.2) (layer B.Cu) (net 21))
- (segment (start 92.79693 107.4082) (end 92.694365 107.305635) (width 1.2) (layer B.Cu) (net 21))
- (segment (start 92.38873 107) (end 92.694365 107.305635) (width 1.2) (layer F.Cu) (net 21))
- (segment (start 89 107) (end 92.38873 107) (width 1.2) (layer F.Cu) (net 21))
- (via (at 103 109.8) (size 2) (drill 1) (layers F.Cu B.Cu) (net 22))
- (segment (start 105.75 110.75) (end 103.95 110.75) (width 1.2) (layer F.Cu) (net 22))
- (segment (start 103.95 110.75) (end 103 109.8) (width 1.2) (layer F.Cu) (net 22))
- (segment (start 103 110.5) (end 103 109.8) (width 1.2) (layer B.Cu) (net 22))
- (segment (start 106.2 113.7) (end 103 110.5) (width 1.2) (layer B.Cu) (net 22))
- (segment (start 109.1 113.7) (end 106.2 113.7) (width 1.2) (layer B.Cu) (net 22))
- (segment (start 110.79693 107.645916) (end 110.79693 112.00307) (width 1.2) (layer B.Cu) (net 22))
- (segment (start 110.79693 112.00307) (end 109.1 113.7) (width 1.2) (layer B.Cu) (net 22))
- (segment (start 93.714245 91.099999) (end 102.905001 91.099999) (width 0.3) (layer F.Cu) (net 23))
- (segment (start 102.905001 91.099999) (end 103.225001 91.419999) (width 0.3) (layer F.Cu) (net 23))
- (segment (start 102.95 96.55) (end 102.95 97.075) (width 0.3) (layer F.Cu) (net 23))
- (segment (start 103.225001 96.274999) (end 102.95 96.55) (width 0.3) (layer F.Cu) (net 23))
- (segment (start 93.114223 91.700021) (end 93.714245 91.099999) (width 0.3) (layer F.Cu) (net 23))
- (segment (start 92.199979 91.700022) (end 93.114223 91.700021) (width 0.3) (layer F.Cu) (net 23))
- (segment (start 88.21 96.75) (end 88.21 95.690001) (width 0.3) (layer F.Cu) (net 23))
- (segment (start 88.21 95.690001) (end 92.199979 91.700022) (width 0.3) (layer F.Cu) (net 23))
- (segment (start 103.225001 91.419999) (end 103.225001 96.274999) (width 0.3) (layer F.Cu) (net 23))
- (segment (start 88.09288 95.099999) (end 91.992869 91.200011) (width 0.3) (layer F.Cu) (net 24))
- (segment (start 104.05 97.65) (end 103.975 97.725) (width 0.3) (layer F.Cu) (net 24))
- (segment (start 83.13 96.75) (end 84.78 95.1) (width 0.3) (layer F.Cu) (net 24))
- (segment (start 103.975 97.725) (end 102.95 97.725) (width 0.3) (layer F.Cu) (net 24))
- (segment (start 91.992869 91.200011) (end 92.907111 91.200011) (width 0.3) (layer F.Cu) (net 24))
- (segment (start 104.05 90.819998) (end 104.05 97.65) (width 0.3) (layer F.Cu) (net 24))
- (segment (start 93.507134 90.599988) (end 103.82999 90.599988) (width 0.3) (layer F.Cu) (net 24))
- (segment (start 92.907111 91.200011) (end 93.507134 90.599988) (width 0.3) (layer F.Cu) (net 24))
- (segment (start 84.78 95.1) (end 88.09288 95.099999) (width 0.3) (layer F.Cu) (net 24))
- (segment (start 103.82999 90.599988) (end 104.05 90.819998) (width 0.3) (layer F.Cu) (net 24))
- (segment (start 94.625 99.025) (end 94 98.4) (width 0.3) (layer F.Cu) (net 25))
- (segment (start 97.05 99.025) (end 94.625 99.025) (width 0.3) (layer F.Cu) (net 25))
- (segment (start 96.614743 90.099977) (end 98.21472 88.5) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 93.300023 90.099977) (end 96.614743 90.099977) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 86.669998 101.45) (end 86.1 100.880002) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 91.705756 101.45) (end 86.669998 101.45) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 98.21472 88.5) (end 98.85 88.5) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 92.955745 102.699989) (end 91.705756 101.45) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 95.092889 102.699989) (end 92.955745 102.699989) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 95.517878 102.275) (end 95.092889 102.699989) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 86.1 100.880002) (end 86.1 100.119998) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 83.200011 94.599989) (end 87.885768 94.599989) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 86.1 100.119998) (end 84.110001 98.129999) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 91.785757 90.7) (end 92.7 90.7) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 84.110001 98.129999) (end 82.309998 98.129999) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 97.05 102.275) (end 95.517878 102.275) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 81.75 96.05) (end 83.200011 94.599989) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 92.7 90.7) (end 93.300023 90.099977) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 82.309998 98.129999) (end 81.75 97.570001) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 81.75 97.570001) (end 81.75 96.05) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 87.885768 94.599989) (end 91.785757 90.7) (width 0.3) (layer F.Cu) (net 26))
- (segment (start 97.05 97.075) (end 95.225 97.075) (width 0.3) (layer F.Cu) (net 27))
- (segment (start 94.5 96.35) (end 94.5 94.35) (width 0.3) (layer F.Cu) (net 27))
- (segment (start 95.225 97.075) (end 94.5 96.35) (width 0.3) (layer F.Cu) (net 27))
- (segment (start 98.075 101.625) (end 97.05 101.625) (width 0.3) (layer F.Cu) (net 28))
- (segment (start 110.499999 104.100001) (end 100.550001 104.100001) (width 0.3) (layer F.Cu) (net 28))
- (segment (start 115.7 101.3) (end 113.3 101.3) (width 0.3) (layer F.Cu) (net 28))
- (segment (start 113.3 101.3) (end 110.499999 104.100001) (width 0.3) (layer F.Cu) (net 28))
- (segment (start 100.550001 104.100001) (end 100.25 103.8) (width 0.3) (layer F.Cu) (net 28))
- (segment (start 100.25 103.8) (end 99.825012 103.375012) (width 0.25) (layer F.Cu) (net 28))
- (segment (start 99.825012 103.375012) (end 98.825012 103.375012) (width 0.25) (layer F.Cu) (net 28))
- (segment (start 98.825012 103.375012) (end 98.3 102.85) (width 0.25) (layer F.Cu) (net 28))
- (segment (start 98.299999 101.849999) (end 98.075 101.625) (width 0.25) (layer F.Cu) (net 28))
- (segment (start 98.3 102.85) (end 98.299999 101.849999) (width 0.25) (layer F.Cu) (net 28))
- (via (at 101.225 102.1) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 29))
- (segment (start 102.95 101.625) (end 101.7 101.625) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 101.7 101.625) (end 101.225 102.1) (width 0.3) (layer F.Cu) (net 29))
- (via (at 100.45 104.875) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 29))
- (segment (start 100.700011 104.624989) (end 100.45 104.875) (width 0.3) (layer B.Cu) (net 29))
- (segment (start 100.700011 102.624989) (end 100.700011 104.624989) (width 0.3) (layer B.Cu) (net 29))
- (segment (start 101.225 102.1) (end 100.700011 102.624989) (width 0.3) (layer B.Cu) (net 29))
- (segment (start 107.219998 110.75) (end 106.169998 109.7) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 103.1 106.15) (end 102.6 105.65) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 104.925 109.7) (end 103.6 108.375) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 102.6 105.65) (end 100.8 105.65) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 109.55 110.75) (end 107.219998 110.75) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 103.6 108.375) (end 103.325 108.375) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 110.5 109.65) (end 110.5 109.8) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 106.169998 109.7) (end 104.925 109.7) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 110.5 109.8) (end 109.55 110.75) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 103.325 108.375) (end 103.1 108.15) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 103.1 108.15) (end 103.1 106.15) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 100.8 105.65) (end 100.45 105.3) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 100.45 105.3) (end 100.45 104.875) (width 0.3) (layer F.Cu) (net 29))
- (segment (start 109.3 103.45) (end 110.1 102.65) (width 0.3) (layer F.Cu) (net 30))
- (segment (start 110.1 102.65) (end 110.1 101.6) (width 0.3) (layer F.Cu) (net 30))
- (segment (start 104.4 103.45) (end 109.3 103.45) (width 0.3) (layer F.Cu) (net 30))
- (segment (start 102.95 102.925) (end 103.875 102.925) (width 0.3) (layer F.Cu) (net 30))
- (segment (start 103.875 102.925) (end 104.4 103.45) (width 0.3) (layer F.Cu) (net 30))
- (segment (start 105.9 96.7) (end 105.9 97.1) (width 0.3) (layer F.Cu) (net 31))
- (segment (start 104.08924 99.025) (end 105.9 97.21424) (width 0.3) (layer F.Cu) (net 31))
- (segment (start 105.9 97.21424) (end 105.9 96.7) (width 0.3) (layer F.Cu) (net 31))
- (segment (start 102.95 99.025) (end 104.08924 99.025) (width 0.3) (layer F.Cu) (net 31))
- (segment (start 104.03212 98.375) (end 104.55001 97.85711) (width 0.3) (layer F.Cu) (net 32))
- (segment (start 102.95 98.375) (end 104.03212 98.375) (width 0.3) (layer F.Cu) (net 32))
- (segment (start 104.85 94.2) (end 105.9 94.2) (width 0.3) (layer F.Cu) (net 32))
- (segment (start 104.55001 94.49999) (end 104.85 94.2) (width 0.3) (layer F.Cu) (net 32))
- (segment (start 104.55001 97.85711) (end 104.55001 94.49999) (width 0.3) (layer F.Cu) (net 32) (tstamp 5C2A68C7))
- (segment (start 106.55 102.45) (end 107.4 101.6) (width 0.3) (layer F.Cu) (net 33))
- (segment (start 105.094998 102.45) (end 106.55 102.45) (width 0.3) (layer F.Cu) (net 33))
- (segment (start 104.4 101.4) (end 104.4 101.755002) (width 0.3) (layer F.Cu) (net 33))
- (segment (start 102.95 100.975) (end 103.975 100.975) (width 0.3) (layer F.Cu) (net 33))
- (segment (start 104.4 101.755002) (end 105.094998 102.45) (width 0.3) (layer F.Cu) (net 33))
- (segment (start 103.975 100.975) (end 104.4 101.4) (width 0.3) (layer F.Cu) (net 33))
- (segment (start 119.1 101.3) (end 119.1 97.95) (width 1.2) (layer F.Cu) (net 34))
-
- (zone (net 2) (net_name GND) (layer F.Cu) (tstamp 5C55131F) (hatch edge 0.508)
- (priority 1)
+
+ (segment (start 154.95 100.975) (end 153.625 102.3) (width 0.5) (layer "B.Cu") (net 28))
+ (segment (start 153.625 102.3) (end 152.35 102.3) (width 0.5) (layer "B.Cu") (net 28))
+ (segment (start 153.7 99.725) (end 154.95 100.975) (width 0.5) (layer "F.Cu") (net 28))
+ (segment (start 152.35 99.725) (end 153.7 99.725) (width 0.5) (layer "F.Cu") (net 28))
+ (via (at 154.95 100.975) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 28))
+ (segment (start 152.35 107.9875) (end 152.35 102.3) (width 0.5) (layer "F.Cu") (net 42))
+ (via (at 164.55 89.175) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5DF4FB15))
+ (via (at 204.95 92.175) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5DF4FAF4))
+ (segment (start 152.35 99.725) (end 152.35 91.375) (width 0.5) (layer "B.Cu") (net 9))
+ (segment (start 152.35 91.375) (end 156.15 87.575) (width 0.5) (layer "B.Cu") (net 9))
+ (segment (start 156.55 87.175) (end 156.15 87.575) (width 0.5) (layer "F.Cu") (net 9))
+ (segment (start 156.55 85.975) (end 156.55 87.175) (width 0.5) (layer "F.Cu") (net 9))
+ (via (at 156.15 87.575) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 9))
+ (segment (start 160.55 81.606824) (end 160.55 85.975) (width 1.5) (layer "B.Cu") (net 14))
+ (segment (start 160.55 85.975) (end 156.632499 89.892501) (width 1.5) (layer "B.Cu") (net 14))
+ (segment (start 158.213619 79.270443) (end 160.55 81.606824) (width 1.5) (layer "B.Cu") (net 14))
+ (segment (start 158.25 90.45) (end 157.8075 90.8925) (width 1.5) (layer "F.Cu") (net 14))
+ (segment (start 157.8075 90.8925) (end 157.632498 90.8925) (width 1.5) (layer "F.Cu") (net 14))
+ (segment (start 157.632498 90.8925) (end 156.632499 89.892501) (width 1.5) (layer "F.Cu") (net 14))
+ (via (at 156.632499 89.892501) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 14))
+ (segment (start 173.75 81.064998) (end 175.15 82.464998) (width 0.3) (layer "F.Cu") (net 20))
+ (segment (start 173.75 79.725) (end 173.75 81.064998) (width 0.3) (layer "F.Cu") (net 20))
+ (segment (start 175.15 79.35) (end 174.125 79.35) (width 0.3) (layer "F.Cu") (net 20))
+ (segment (start 174.125 79.35) (end 173.75 79.725) (width 0.3) (layer "F.Cu") (net 20))
+ (segment (start 175.15 82.464998) (end 175.15 83.975) (width 0.3) (layer "F.Cu") (net 20))
+ (segment (start 175.15 83.975) (end 174.65001 84.47499) (width 0.3) (layer "F.Cu") (net 20))
+ (segment (start 174.65001 84.47499) (end 170.334941 84.47499) (width 0.3) (layer "F.Cu") (net 20))
+ (segment (start 170.334941 84.47499) (end 169.784933 83.924982) (width 0.3) (layer "F.Cu") (net 20))
+ (segment (start 169.784933 83.924982) (end 157.550018 83.924982) (width 0.3) (layer "F.Cu") (net 20))
+ (segment (start 157.550018 83.924982) (end 157.5 83.975) (width 0.3) (layer "F.Cu") (net 20))
+ (segment (start 157 93.975) (end 154.9 93.975) (width 1.5) (layer "F.Cu") (net 3))
+ (segment (start 154.9 93.975) (end 154.199999 93.274999) (width 1.5) (layer "F.Cu") (net 3))
+ (segment (start 154.199999 93.274999) (end 154.199999 81.238999) (width 1.5) (layer "F.Cu") (net 3))
+ (segment (start 154.199999 81.238999) (end 155.213999 80.224999) (width 1.5) (layer "F.Cu") (net 3))
+ (segment (start 155.213999 80.224999) (end 171.349999 80.224999) (width 1.5) (layer "F.Cu") (net 3))
+ (segment (start 171.349999 80.224999) (end 171.475 80.35) (width 1.5) (layer "F.Cu") (net 3))
+ (segment (start 171.475 80.35) (end 171.95 80.35) (width 1.5) (layer "F.Cu") (net 3))
+ (segment (start 181.05 77.4) (end 175.15 77.4) (width 0.3) (layer "F.Cu") (net 3))
+ (segment (start 182.95 70.475) (end 185.15 72.675) (width 0.4) (layer "F.Cu") (net 3))
+ (segment (start 181.7 70.475) (end 182.95 70.475) (width 0.4) (layer "F.Cu") (net 3))
+ (segment (start 185.15 77) (end 184.75 77.4) (width 0.4) (layer "F.Cu") (net 3))
+ (segment (start 184.75 77.4) (end 181.05 77.4) (width 0.4) (layer "F.Cu") (net 3))
+ (segment (start 172.8 80.575) (end 171.95 80.575) (width 0.4) (layer "F.Cu") (net 3))
+ (segment (start 173.873542 77.4) (end 172.95 78.323542) (width 0.4) (layer "F.Cu") (net 3))
+ (segment (start 172.95 78.323542) (end 172.95 80.425) (width 0.4) (layer "F.Cu") (net 3))
+ (segment (start 175.15 77.4) (end 173.873542 77.4) (width 0.4) (layer "F.Cu") (net 3))
+ (segment (start 172.95 80.425) (end 172.8 80.575) (width 0.4) (layer "F.Cu") (net 3))
+ (segment (start 185.15 72.675) (end 185.15 77) (width 0.4) (layer "F.Cu") (net 3))
+ (segment (start 172 80.625) (end 171.95 80.575) (width 0.8) (layer "F.Cu") (net 3))
+ (segment (start 172 82.975) (end 172 80.625) (width 0.8) (layer "F.Cu") (net 3))
+ (via (at 156.15 82.175) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5DF41463))
+ (segment (start 199.291014 117.116014) (end 199.291014 118.974924) (width 1.8) (layer "B.Cu") (net 12))
+ (segment (start 199.291014 118.974924) (end 197.661381 120.604557) (width 1.8) (layer "B.Cu") (net 12))
+ (segment (start 199.864645 117.689645) (end 199.291014 117.116014) (width 1.8) (layer "F.Cu") (net 12))
+ (segment (start 200.546142 117.689645) (end 199.864645 117.689645) (width 1.8) (layer "F.Cu") (net 12))
+ (via (at 199.291014 117.116014) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 12))
+ (segment (start 203.45 110.199311) (end 195.792401 117.85691) (width 1.5) (layer "F.Cu") (net 26))
+ (segment (start 203.45 108.975) (end 203.45 110.199311) (width 1.5) (layer "F.Cu") (net 26))
+ (segment (start 195.792401 117.85691) (end 190.43191 117.85691) (width 1.5) (layer "F.Cu") (net 26))
+ (segment (start 190.43191 117.85691) (end 185.707459 117.85691) (width 1.5) (layer "F.Cu") (net 26))
+ (segment (start 198.775 85.15) (end 187.130002 85.15) (width 0.3) (layer "F.Cu") (net 10))
+ (segment (start 187.130002 85.15) (end 181.980002 80) (width 0.3) (layer "F.Cu") (net 10))
+ (segment (start 181.980002 80) (end 181.05 80) (width 0.3) (layer "F.Cu") (net 10))
+ (via (at 164.95 105.975) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5DF410A0))
+ (segment (start 162.4 110.525) (end 165.985356 106.939644) (width 0.5) (layer "F.Cu") (net 18))
+ (segment (start 165.985356 106.939644) (end 165.985356 85.685355) (width 0.5) (layer "F.Cu") (net 18))
+ (segment (start 162.3 110.625) (end 162.4 110.525) (width 0.5) (layer "F.Cu") (net 18))
+ (segment (start 158.95 110.625) (end 162.3 110.625) (width 0.5) (layer "F.Cu") (net 18))
+ (via (at 160.15 113.575) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5DF40F4C))
+ (segment (start 157.35 112.525) (end 158.95 112.525) (width 0.5) (layer "F.Cu") (net 36))
+ (segment (start 157.35 112.525) (end 157.35 113.975) (width 0.5) (layer "F.Cu") (net 36))
+ (segment (start 157.35 113.975) (end 157.15 114.175) (width 0.5) (layer "F.Cu") (net 36))
+ (segment (start 200.75 86.775) (end 200.75 87.25) (width 0.5) (layer "F.Cu") (net 38))
+ (segment (start 202.099999 103.278999) (end 202.099999 104.814997) (width 0.5) (layer "F.Cu") (net 38))
+ (segment (start 202.349991 103.029007) (end 202.099999 103.278999) (width 0.5) (layer "F.Cu") (net 38))
+ (segment (start 202.349991 88.849991) (end 202.349991 103.029007) (width 0.5) (layer "F.Cu") (net 38))
+ (segment (start 200.75 87.25) (end 202.349991 88.849991) (width 0.5) (layer "F.Cu") (net 38))
+ (segment (start 205.15 112.520101) (end 201.889645 115.780456) (width 0.5) (layer "F.Cu") (net 38))
+ (segment (start 201.889645 115.780456) (end 201.889645 116.346142) (width 0.5) (layer "F.Cu") (net 38))
+ (segment (start 202.099999 104.814997) (end 205.15 107.864998) (width 0.5) (layer "F.Cu") (net 38))
+ (segment (start 205.15 107.864998) (end 205.15 112.520101) (width 0.5) (layer "F.Cu") (net 38))
+ (segment (start 203.549999 86.975001) (end 203.55 102.560787) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 203.55 102.560787) (end 203.55 103.975) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 201.150001 106.374999) (end 202.550001 104.974999) (width 1.5) (layer "B.Cu") (net 1))
+ (segment (start 202.550001 104.974999) (end 203.55 103.975) (width 1.5) (layer "B.Cu") (net 1))
+ (segment (start 204.35 115.8) (end 201.150001 112.600001) (width 1.5) (layer "B.Cu") (net 1))
+ (segment (start 201.150001 112.600001) (end 201.150001 106.374999) (width 1.5) (layer "B.Cu") (net 1))
+ (via (at 203.55 103.975) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 1))
+ (segment (start 198.230001 102.855001) (end 198.230001 98.444999) (width 1.8) (layer "F.Cu") (net 26))
+ (segment (start 201.95 108.975) (end 198.230001 105.255001) (width 1.8) (layer "F.Cu") (net 26))
+ (segment (start 198.230001 105.255001) (end 198.230001 102.855001) (width 1.8) (layer "F.Cu") (net 26))
+ (segment (start 203.45 108.975) (end 201.95 108.975) (width 1.8) (layer "F.Cu") (net 26))
+ (segment (start 196.2 111.725) (end 196.2 105.425) (width 1.8) (layer "B.Cu") (net 5))
+ (segment (start 199.700001 101.924999) (end 200.7 100.925) (width 1.8) (layer "B.Cu") (net 5))
+ (segment (start 200.7 97.924099) (end 200.7 99.510787) (width 1.8) (layer "F.Cu") (net 5))
+ (segment (start 196.2 105.425) (end 199.700001 101.924999) (width 1.8) (layer "B.Cu") (net 5))
+ (segment (start 200.7 99.510787) (end 200.7 100.925) (width 1.8) (layer "F.Cu") (net 5))
+ (segment (start 193.90363 91.127729) (end 200.7 97.924099) (width 1.8) (layer "F.Cu") (net 5))
+ (via (at 200.7 100.925) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 5))
+ (segment (start 203.549999 83.624999) (end 203.549999 86.975001) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 202.5 86.775) (end 203.349998 86.775) (width 0.3) (layer "F.Cu") (net 1))
+ (segment (start 203.349998 86.775) (end 203.549999 86.975001) (width 0.3) (layer "F.Cu") (net 1))
+ (segment (start 200.75 86.775) (end 200.75 85.225) (width 0.3) (layer "F.Cu") (net 38))
+ (segment (start 200.75 85.225) (end 200.675 85.15) (width 0.3) (layer "F.Cu") (net 38))
+ (via (at 187.15 69.575) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5DF40611))
+ (via (at 199.75 81.975) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5DF4060F))
+ (via (at 166.95 71.975) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5DF40598))
+ (via (at 162.55 85.975) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5DF4057A))
+ (via (at 167.55 91.575) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5DF40578))
+ (via (at 173.35 81.775) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
+ (segment (start 162.84717 87.975) (end 166.397179 84.42499) (width 0.3) (layer "F.Cu") (net 11))
+ (segment (start 158.475 87.975) (end 162.84717 87.975) (width 0.3) (layer "F.Cu") (net 11))
+ (segment (start 158.25 88.2) (end 158.475 87.975) (width 0.3) (layer "F.Cu") (net 11))
+ (segment (start 174.95 84.975) (end 175.95 83.975) (width 0.3) (layer "F.Cu") (net 11))
+ (segment (start 166.397179 84.42499) (end 169.577821 84.42499) (width 0.3) (layer "F.Cu") (net 11))
+ (segment (start 169.577821 84.42499) (end 170.127831 84.975) (width 0.3) (layer "F.Cu") (net 11))
+ (segment (start 158.25 88.95) (end 158.25 88.2) (width 0.3) (layer "F.Cu") (net 11))
+ (segment (start 170.127831 84.975) (end 174.95 84.975) (width 0.3) (layer "F.Cu") (net 11))
+ (segment (start 175.95 81.975) (end 175.15 81.175) (width 0.3) (layer "F.Cu") (net 11))
+ (segment (start 175.95 83.975) (end 175.95 81.975) (width 0.3) (layer "F.Cu") (net 11))
+ (segment (start 175.15 81.175) (end 175.15 80.65) (width 0.3) (layer "F.Cu") (net 11))
+ (segment (start 175.1 85.625) (end 170 85.625) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 175.75 84.975) (end 175.1 85.625) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 170 85.625) (end 169.35 84.975) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 176.500011 84.224989) (end 176.500011 80.225011) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 175.75 84.975) (end 176.500011 84.224989) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 176.500011 80.225011) (end 176.275 80) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 176.275 80) (end 175.15 80) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 191.15 80.575) (end 191.8 79.925) (width 0.3) (layer "F.Cu") (net 1))
+ (segment (start 189.095399 80.575) (end 191.15 80.575) (width 0.3) (layer "F.Cu") (net 1))
+ (segment (start 191.8 79.925) (end 191.8 74.525) (width 0.3) (layer "F.Cu") (net 1))
+ (segment (start 187.95 76.375) (end 187.95 79.429601) (width 0.3) (layer "F.Cu") (net 1))
+ (segment (start 187.95 79.429601) (end 189.095399 80.575) (width 0.3) (layer "F.Cu") (net 1))
+ (segment (start 191.8 74.525) (end 191.8 73.825) (width 0.3) (layer "F.Cu") (net 1))
+ (segment (start 160.15 90.45) (end 160.15 88.95) (width 0.3) (layer "F.Cu") (net 39))
+ (segment (start 161.95 90.5) (end 160.675 90.5) (width 0.3) (layer "F.Cu") (net 39))
+ (segment (start 160.675 90.5) (end 160.55 90.375) (width 0.3) (layer "F.Cu") (net 39))
+ (segment (start 187.35 78.175) (end 186.175 77) (width 0.3) (layer "F.Cu") (net 21))
+ (segment (start 186.175 77) (end 186.175 72.85) (width 0.3) (layer "F.Cu") (net 21))
+ (segment (start 187.35 80.175) (end 187.35 78.175) (width 0.3) (layer "F.Cu") (net 21))
+ (segment (start 185.95 81.575) (end 187.35 80.175) (width 0.3) (layer "F.Cu") (net 21))
+ (segment (start 184.75 81.575) (end 185.95 81.575) (width 0.3) (layer "F.Cu") (net 21))
+ (segment (start 182.525 79.35) (end 184.75 81.575) (width 0.3) (layer "F.Cu") (net 21))
+ (segment (start 181.05 79.35) (end 182.525 79.35) (width 0.3) (layer "F.Cu") (net 21))
+ (segment (start 188.075 72.85) (end 188.075 74.5) (width 0.5) (layer "F.Cu") (net 37))
+ (segment (start 188.075 74.5) (end 187.95 74.625) (width 0.5) (layer "F.Cu") (net 37))
+ (segment (start 189.8 72.875) (end 188.1 72.875) (width 0.5) (layer "F.Cu") (net 37))
+ (segment (start 188.1 72.875) (end 188.075 72.85) (width 0.5) (layer "F.Cu") (net 37))
+ (segment (start 172.45 85.575) (end 169.95 85.575) (width 0.3) (layer "F.Cu") (net 18))
+ (segment (start 173.15 85.575) (end 172.45 85.575) (width 0.3) (layer "F.Cu") (net 18))
+ (segment (start 172.45 85.575) (end 174.35 85.575) (width 0.3) (layer "F.Cu") (net 18))
+ (segment (start 177.175 78.95) (end 176.275 78.05) (width 0.4) (layer "F.Cu") (net 7))
+ (segment (start 177.175 88.575) (end 177.175 78.95) (width 0.4) (layer "F.Cu") (net 7))
+ (segment (start 176.275 78.05) (end 175.15 78.05) (width 0.4) (layer "F.Cu") (net 7))
+ (segment (start 179.075 88.55) (end 177.2 88.55) (width 0.8) (layer "F.Cu") (net 7))
+ (segment (start 177.2 88.55) (end 177.175 88.575) (width 0.8) (layer "F.Cu") (net 7))
+ (segment (start 181.352729 91.127729) (end 173.102729 91.127729) (width 2) (layer "F.Cu") (net 5))
+ (segment (start 193.90363 91.127729) (end 181.352729 91.127729) (width 2) (layer "F.Cu") (net 5))
+ (segment (start 181.275 88.55) (end 181.275 91.05) (width 0.8) (layer "F.Cu") (net 5))
+ (segment (start 181.275 91.05) (end 181.352729 91.127729) (width 0.8) (layer "F.Cu") (net 5))
+ (segment (start 181.675 116.86) (end 180.335 116.86) (width 0.4) (layer "F.Cu") (net 31))
+ (segment (start 180.335 116.86) (end 179.75 116.275) (width 0.4) (layer "F.Cu") (net 31))
+ (via (at 171.95 109.575) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
+ (via (at 179.75 117.275) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 31))
+ (via (at 178.65 117.275) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 31))
+ (via (at 179.2 116.275) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 31))
+ (via (at 179.75 115.275) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 31))
+ (via (at 178.65 115.275) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 31))
+ (via (at 178.65 113.475) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 2))
+ (segment (start 178.65 113.475) (end 178.196796 113.475) (width 1.2) (layer "F.Cu") (net 2) (tstamp 5DE9692C))
+ (via (at 180.05 113.475) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 2))
+ (segment (start 180.05 113.475) (end 178.65 113.475) (width 1.2) (layer "F.Cu") (net 2) (tstamp 5DE9692A))
+ (via (at 179.35 79.175) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
+ (via (at 179.35 78.175) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
+ (via (at 169.15 81.775) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
+ (via (at 170.15 82.175) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
+ (segment (start 169.95 85.575) (end 169.35 84.975) (width 0.3) (layer "F.Cu") (net 18))
+ (via (at 188.55 125.975) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
+ (via (at 187.55 109.775) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
+ (via (at 172.95 87.775) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
+ (via (at 184.75 94.375) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
+ (segment (start 181.675 118.13) (end 179.99 119.815) (width 1.2) (layer "F.Cu") (net 33))
+ (segment (start 173.5 119.975) (end 179.83 119.975) (width 1.5) (layer "F.Cu") (net 33))
+ (segment (start 179.83 119.975) (end 179.99 119.815) (width 1.5) (layer "F.Cu") (net 33) (tstamp 5DE9DF15))
+ (segment (start 171.7 125.975) (end 171.7 121.775) (width 1.5) (layer "F.Cu") (net 33))
+ (segment (start 171.7 121.775) (end 173.5 119.975) (width 1.5) (layer "F.Cu") (net 33))
+ (segment (start 180.45 111.275) (end 186.35 117.175) (width 2) (layer "B.Cu") (net 1))
+ (segment (start 180.45 111.225) (end 180.45 111.275) (width 2) (layer "B.Cu") (net 1))
+ (segment (start 186.35 118.775) (end 186.35 117.175) (width 2) (layer "B.Cu") (net 1))
+ (segment (start 184.35 120.775) (end 186.35 118.775) (width 2) (layer "B.Cu") (net 1))
+ (segment (start 182.2 120.775) (end 184.35 120.775) (width 2) (layer "B.Cu") (net 1))
+ (via (at 182.2 120.775) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 1))
+ (segment (start 182.7 125.975) (end 182.7 121.275) (width 2) (layer "F.Cu") (net 1))
+ (segment (start 182.7 121.275) (end 182.2 120.775) (width 2) (layer "F.Cu") (net 1))
+ (segment (start 189.42501 115.65001) (end 190.42501 115.65001) (width 1.5) (layer "F.Cu") (net 2))
+ (segment (start 188.625 114.85) (end 184.109202 114.85) (width 1.5) (layer "F.Cu") (net 2))
+ (segment (start 189.42501 115.65001) (end 188.625 114.85) (width 1.5) (layer "F.Cu") (net 2))
+ (segment (start 184.109202 114.85) (end 184.029601 114.770399) (width 1.5) (layer "F.Cu") (net 2))
+ (segment (start 195.382992 115.65001) (end 190.42501 115.65001) (width 1.8) (layer "F.Cu") (net 2))
+ (segment (start 190.35 115.72502) (end 190.42501 115.65001) (width 1.2) (layer "F.Cu") (net 2))
+ (segment (start 183.55 117.971046) (end 183.55 118.476437) (width 0.8) (layer "F.Cu") (net 31))
+ (segment (start 186.354017 120.09309) (end 187.392541 120.09309) (width 0.8) (layer "F.Cu") (net 31))
+ (segment (start 183.55 118.476437) (end 185.166653 120.09309) (width 0.8) (layer "F.Cu") (net 31))
+ (segment (start 185.166653 120.09309) (end 186.354017 120.09309) (width 0.8) (layer "F.Cu") (net 31))
+ (segment (start 181.675 116.86) (end 182.438954 116.86) (width 0.8) (layer "F.Cu") (net 31))
+ (segment (start 182.438954 116.86) (end 183.55 117.971046) (width 0.8) (layer "F.Cu") (net 31))
+ (segment (start 185.707459 117.85691) (end 185.20691 117.85691) (width 1.2) (layer "F.Cu") (net 26))
+ (segment (start 185.20691 117.85691) (end 183.95 116.6) (width 1.2) (layer "F.Cu") (net 26))
+ (segment (start 169.77501 121.24999) (end 170.87499 121.24999) (width 1.2) (layer "F.Cu") (net 33))
+ (segment (start 170.87499 121.24999) (end 171.7 122.075) (width 1.2) (layer "F.Cu") (net 33))
+ (segment (start 171.7 122.075) (end 171.7 125.975) (width 1.2) (layer "F.Cu") (net 33))
+ (segment (start 167.45 128.475) (end 169.2 128.475) (width 1.8) (layer "F.Cu") (net 33))
+ (segment (start 169.2 128.475) (end 171.7 125.975) (width 1.8) (layer "F.Cu") (net 33))
+ (segment (start 177.89006 107.736481) (end 178.028194 107.802276) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 177.556957 107.774594) (end 177.89006 107.736481) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 177.429905 107.673631) (end 177.556957 107.774594) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 177.090875 107.630219) (end 177.429905 107.673631) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 176.99802 107.510093) (end 177.090875 107.630219) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 178.439013 107.736681) (end 179.285537 107.225) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 171.7 107.225) (end 176.562585 107.225) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 179.285537 107.225) (end 181.319998 107.225) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 163.95 114.975) (end 171.7 107.225) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 162.45 114.975) (end 163.95 114.975) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 176.562585 107.225) (end 176.6507 107.279517) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 176.70794 107.403381) (end 176.99802 107.510093) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 178.305737 107.703825) (end 178.439013 107.736681) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 176.6507 107.279517) (end 176.70794 107.403381) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 178.028194 107.802276) (end 178.305737 107.703825) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 186.569998 101.975) (end 189.45 101.975) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 181.319998 107.225) (end 186.569998 101.975) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 198.45 112.583002) (end 195.382992 115.65001) (width 1.8) (layer "F.Cu") (net 2))
+ (segment (start 198.45 108.975) (end 198.45 112.583002) (width 1.8) (layer "F.Cu") (net 2))
+ (segment (start 191.45 116.475) (end 191.45 120.225) (width 1.8) (layer "B.Cu") (net 5))
+ (segment (start 191.45 120.225) (end 194.236817 123.011817) (width 1.8) (layer "B.Cu") (net 5))
+ (segment (start 196.2 111.725) (end 191.45 116.475) (width 1.8) (layer "B.Cu") (net 5))
+ (segment (start 194.236817 123.011817) (end 194.466839 123.011817) (width 1.8) (layer "B.Cu") (net 5))
+ (segment (start 196.2 111.725) (end 196.2 111.118641) (width 1.8) (layer "F.Cu") (net 5))
+ (segment (start 196.2 111.118641) (end 194.40363 109.322271) (width 1.8) (layer "F.Cu") (net 5))
+ (via (at 196.2 111.725) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 5))
+ (segment (start 194.107273 94.322271) (end 198.230001 98.444999) (width 2) (layer "F.Cu") (net 26))
+ (segment (start 184.425 114.85) (end 183.95 114.85) (width 1.2) (layer "F.Cu") (net 2))
+ (segment (start 181.675 115.59) (end 182.94 115.59) (width 0.8) (layer "F.Cu") (net 26))
+ (segment (start 182.94 115.59) (end 183.95 116.6) (width 0.8) (layer "F.Cu") (net 26))
+ (segment (start 180.83 113.475) (end 180.05 113.475) (width 1.2) (layer "F.Cu") (net 2))
+ (segment (start 178.196796 113.475) (end 177.146795 112.424999) (width 1.2) (layer "F.Cu") (net 2))
+ (segment (start 177.146795 112.424999) (end 176.649999 112.424999) (width 1.2) (layer "F.Cu") (net 2))
+ (segment (start 176.649999 112.424999) (end 175.45 111.225) (width 1.2) (layer "F.Cu") (net 2))
+ (segment (start 175.45 111.225) (end 175.45 112.35) (width 1.2) (layer "F.Cu") (net 2))
+ (segment (start 175.45 112.35) (end 174.45 113.35) (width 1.2) (layer "F.Cu") (net 2))
+ (segment (start 172.7 113.35) (end 174.45 113.35) (width 0.8) (layer "F.Cu") (net 2))
+ (segment (start 176.725 114.32) (end 175.23 114.32) (width 0.8) (layer "F.Cu") (net 35))
+ (segment (start 175.23 114.32) (end 174.45 115.1) (width 0.8) (layer "F.Cu") (net 35))
+ (segment (start 174.325 116.725) (end 174.325 115.225) (width 0.8) (layer "F.Cu") (net 35))
+ (segment (start 174.325 115.225) (end 174.45 115.1) (width 0.8) (layer "F.Cu") (net 35))
+ (segment (start 172.7 115.1) (end 174.45 115.1) (width 0.8) (layer "F.Cu") (net 35))
+ (segment (start 168.7 121.85) (end 169.175 121.85) (width 0.8) (layer "F.Cu") (net 33))
+ (segment (start 169.175 121.85) (end 169.77501 121.24999) (width 0.8) (layer "F.Cu") (net 33))
+ (segment (start 169.77501 121.24999) (end 169.77501 119.40001) (width 0.8) (layer "F.Cu") (net 33))
+ (segment (start 169.075 118.7) (end 169.075 118.225) (width 0.8) (layer "F.Cu") (net 33))
+ (segment (start 169.77501 119.40001) (end 169.075 118.7) (width 0.8) (layer "F.Cu") (net 33))
+ (segment (start 170.825 118.225) (end 172.575 118.225) (width 0.8) (layer "F.Cu") (net 34))
+ (segment (start 176.725 118.13) (end 174.42 118.13) (width 0.8) (layer "F.Cu") (net 40))
+ (segment (start 174.42 118.13) (end 174.325 118.225) (width 0.8) (layer "F.Cu") (net 40))
+ (segment (start 162.45 110.475) (end 162.7 110.225) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 162.4 110.525) (end 162.7 110.225) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 162 108.175) (end 161.7 108.475) (width 1.2) (layer "F.Cu") (net 1))
+ (segment (start 162 106.975) (end 162 108.175) (width 1.2) (layer "F.Cu") (net 1))
+ (via (at 161.7 108.475) (size 1.2) (drill 0.6) (layers "F.Cu" "B.Cu") (net 1))
+ (segment (start 162 106.975) (end 162 104.975) (width 1.2) (layer "F.Cu") (net 1))
+ (segment (start 161.8 102.275) (end 161.8 104.775) (width 1.2) (layer "F.Cu") (net 1))
+ (segment (start 161.8 104.775) (end 162 104.975) (width 1.2) (layer "F.Cu") (net 1))
+ (segment (start 168.7 86.225) (end 168.45 86.475) (width 0.4) (layer "F.Cu") (net 5))
+ (segment (start 168.45 86.475) (end 168.45 83.905022) (width 2) (layer "B.Cu") (net 5))
+ (via (at 168.45 86.475) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 5))
+ (segment (start 168.45 83.905022) (end 161.408161 76.863183) (width 2) (layer "B.Cu") (net 5))
+ (segment (start 173.102729 91.127729) (end 168.45 86.475) (width 2) (layer "F.Cu") (net 5))
+ (segment (start 181.05 78.7) (end 184.675 78.7) (width 0.4) (layer "F.Cu") (net 25))
+ (segment (start 184.675 78.7) (end 185.45 79.475) (width 0.4) (layer "F.Cu") (net 25))
+ (segment (start 180.95 82.475) (end 180.95 80.775001) (width 0.5) (layer "F.Cu") (net 22))
+ (segment (start 180.95 80.775001) (end 181.05 80.675001) (width 0.5) (layer "F.Cu") (net 22))
+ (segment (start 182.45 74.975) (end 182.45 75.825) (width 0.4) (layer "F.Cu") (net 24))
+ (segment (start 182.45 74.975) (end 183.7 73.725) (width 0.4) (layer "F.Cu") (net 24))
+ (segment (start 182.45 75.825) (end 182.175 76.1) (width 0.4) (layer "F.Cu") (net 24))
+ (segment (start 182.175 76.1) (end 181.05 76.1) (width 0.4) (layer "F.Cu") (net 24))
+ (segment (start 181.05 76.75) (end 182.925 76.75) (width 0.4) (layer "F.Cu") (net 23))
+ (segment (start 182.925 76.75) (end 183.7 75.975) (width 0.4) (layer "F.Cu") (net 23))
+ (segment (start 181.05 74.8) (end 181.05 72.365) (width 0.4) (layer "F.Cu") (net 16))
+ (segment (start 181.05 72.365) (end 179.16 70.475) (width 0.4) (layer "F.Cu") (net 16))
+ (segment (start 179.08 75.475) (end 180.45 75.475) (width 0.4) (layer "F.Cu") (net 17))
+ (segment (start 180.45 75.475) (end 180.475 75.45) (width 0.4) (layer "F.Cu") (net 17))
+ (segment (start 180.475 75.45) (end 181.05 75.45) (width 0.4) (layer "F.Cu") (net 17))
+ (segment (start 174.08 70.475) (end 179.08 75.475) (width 0.4) (layer "F.Cu") (net 17))
+ (segment (start 172.45 73.225) (end 172.45 70.375) (width 0.4) (layer "F.Cu") (net 19))
+ (segment (start 172.45 70.375) (end 172.05 69.975) (width 0.4) (layer "F.Cu") (net 19))
+ (segment (start 172.05 69.975) (end 171.05 69.975) (width 0.4) (layer "F.Cu") (net 19))
+ (segment (start 175.15 74.8) (end 174.025 74.8) (width 0.4) (layer "F.Cu") (net 19))
+ (segment (start 174.025 74.8) (end 172.45 73.225) (width 0.4) (layer "F.Cu") (net 19))
+ (segment (start 161.8 96.625) (end 162.45 95.975) (width 2) (layer "F.Cu") (net 2))
+ (segment (start 161.8 97.675) (end 161.8 96.625) (width 2) (layer "F.Cu") (net 2))
+ (via (at 162.45 95.975) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
+ (segment (start 195.95 99.475) (end 195.95 84.975) (width 1.8) (layer "B.Cu") (net 6))
+ (segment (start 195.95 81.475) (end 195.95 84.975) (width 1.8) (layer "B.Cu") (net 6))
+ (segment (start 197.686381 79.738619) (end 195.95 81.475) (width 1.8) (layer "B.Cu") (net 6))
+ (segment (start 197.686381 79.295443) (end 197.686381 79.738619) (width 1.8) (layer "B.Cu") (net 6))
+ (segment (start 194.45 97.975) (end 195.95 99.475) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 193.45 97.975) (end 194.45 97.975) (width 1.8) (layer "F.Cu") (net 6))
+ (via (at 195.95 99.475) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 6))
+ (segment (start 162.45 114.975) (end 162.45 116.368176) (width 1.8) (layer "B.Cu") (net 6))
+ (segment (start 162.45 116.368176) (end 158.238619 120.579557) (width 1.8) (layer "B.Cu") (net 6))
+ (via (at 162.45 114.975) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 6))
+ (segment (start 193.45 97.975) (end 189.45 101.975) (width 1.8) (layer "F.Cu") (net 6))
+ (segment (start 171.55 76.075) (end 171.229998 76.075) (width 0.4) (layer "F.Cu") (net 2))
+ (segment (start 171.229998 76.075) (end 169.529998 74.375) (width 0.4) (layer "F.Cu") (net 2))
+ (segment (start 169.529998 74.375) (end 169.35 74.375) (width 0.4) (layer "F.Cu") (net 2))
+ (segment (start 169.35 76.075) (end 167.1 76.075) (width 0.4) (layer "F.Cu") (net 27))
+ (segment (start 167.1 76.075) (end 166.95 75.925) (width 0.4) (layer "F.Cu") (net 27))
+ (segment (start 175.15 76.1) (end 173.425001 76.1) (width 0.4) (layer "F.Cu") (net 27))
+ (segment (start 173.425001 76.1) (end 172.45 77.075001) (width 0.4) (layer "F.Cu") (net 27))
+ (segment (start 169.45 76.075) (end 169.35 76.075) (width 0.4) (layer "F.Cu") (net 27))
+ (segment (start 172.45 77.075001) (end 170.45 77.075) (width 0.4) (layer "F.Cu") (net 27))
+ (segment (start 170.45 77.075) (end 169.45 76.075) (width 0.4) (layer "F.Cu") (net 27))
+ (segment (start 175.15 76.75) (end 173.675 76.75) (width 0.4) (layer "F.Cu") (net 41))
+ (segment (start 173.675 76.75) (end 172.05 78.375) (width 0.4) (layer "F.Cu") (net 41))
+ (segment (start 172.05 78.375) (end 171.95 78.375) (width 0.4) (layer "F.Cu") (net 41))
+ (segment (start 170.9 71.975) (end 170.9 73.725) (width 0.3) (layer "F.Cu") (net 4))
+ (segment (start 170.9 73.725) (end 171.55 74.375) (width 0.3) (layer "F.Cu") (net 4))
+ (segment (start 175.15 75.45) (end 172.725 75.45) (width 0.3) (layer "F.Cu") (net 4))
+ (segment (start 172.725 75.45) (end 171.65 74.375) (width 0.3) (layer "F.Cu") (net 4))
+ (segment (start 171.65 74.375) (end 171.55 74.375) (width 0.3) (layer "F.Cu") (net 4))
+ (segment (start 161.8 99.975) (end 158.8 99.975) (width 2) (layer "F.Cu") (net 3))
+ (segment (start 158.8 99.975) (end 158.7 99.875) (width 2) (layer "F.Cu") (net 3))
+ (segment (start 158.7 99.875) (end 158.7 97.725) (width 2) (layer "F.Cu") (net 3))
+ (segment (start 189.95 78.975) (end 189.95 76.975) (width 2) (layer "F.Cu") (net 15))
+ (segment (start 192.036817 76.888183) (end 189.95 78.975) (width 2) (layer "B.Cu") (net 15))
+ (segment (start 194.491839 76.888183) (end 192.036817 76.888183) (width 2) (layer "B.Cu") (net 15))
+ (via (at 189.95 78.975) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 15))
+ (segment (start 193.45 104.674099) (end 193.049549 105.074549) (width 2) (layer "F.Cu") (net 2))
+ (segment (start 193.049549 105.074549) (end 191.99637 106.127729) (width 2) (layer "F.Cu") (net 2))
+ (segment (start 193.049549 105.074549) (end 194.549549 105.074549) (width 2) (layer "F.Cu") (net 2))
+ (segment (start 194.549549 105.074549) (end 198.45 108.975) (width 2) (layer "F.Cu") (net 2))
+ (segment (start 193.45 101.975) (end 193.45 104.674099) (width 2) (layer "F.Cu") (net 2))
+ (segment (start 191.49637 94.322271) (end 194.107273 94.322271) (width 2) (layer "F.Cu") (net 26))
+ (segment (start 189.45 97.975) (end 189.45 96.368641) (width 2) (layer "F.Cu") (net 26))
+ (segment (start 189.45 96.368641) (end 191.49637 94.322271) (width 2) (layer "F.Cu") (net 26))
+ (segment (start 184.650001 124.024999) (end 186.550001 124.024999) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 182.7 125.975) (end 184.650001 124.024999) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 202.025 119.075) (end 202.025 119.025) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 200.125 120.975) (end 202.025 119.075) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 186.550001 124.024999) (end 189.6 120.975) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 189.6 120.975) (end 200.125 120.975) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 189.8 76.825) (end 189.8 74.775) (width 1.5) (layer "F.Cu") (net 15))
+ (segment (start 189.95 76.975) (end 189.8 76.825) (width 1.5) (layer "F.Cu") (net 15))
+ (via (at 204.35 115.8) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 1))
+ (segment (start 202.025 119.039214) (end 202.025 119.075) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 202.632107 118.432107) (end 202.025 119.039214) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 204.35 116.714214) (end 202.632107 118.432107) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 204.35 115.8) (end 204.35 116.714214) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 157 94.760002) (end 157 93.975) (width 1.5) (layer "F.Cu") (net 3))
+ (segment (start 158.7 96.460002) (end 157 94.760002) (width 1.5) (layer "F.Cu") (net 3))
+ (segment (start 158.7 97.725) (end 158.7 96.460002) (width 1.5) (layer "F.Cu") (net 3))
+ (segment (start 165.95 85.65) (end 166.625 84.975) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 162.7 110.225) (end 165.95 106.975) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 166.625 84.975) (end 169.35 84.975) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 165.95 106.975) (end 165.95 85.65) (width 0.4) (layer "F.Cu") (net 18))
+ (segment (start 159.2 92.45) (end 162.675 92.45) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 164.3 102.275) (end 161.8 102.275) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 164.400001 102.174999) (end 164.3 102.275) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 164.400001 94.175001) (end 164.400001 102.174999) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 162.675 92.45) (end 164.400001 94.175001) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 171.62499 112.386008) (end 171.62499 116.29999) (width 0.8) (layer "F.Cu") (net 1))
+ (segment (start 174.585999 109.424999) (end 171.62499 112.386008) (width 0.8) (layer "F.Cu") (net 1))
+ (segment (start 172.05 116.725) (end 172.575 116.725) (width 0.8) (layer "F.Cu") (net 1))
+ (segment (start 176.649999 109.424999) (end 174.585999 109.424999) (width 0.8) (layer "F.Cu") (net 1))
+ (segment (start 171.62499 116.29999) (end 172.05 116.725) (width 0.8) (layer "F.Cu") (net 1) (tstamp 5DE9E64A))
+ (segment (start 178.45 111.225) (end 176.649999 109.424999) (width 0.8) (layer "F.Cu") (net 1))
+ (segment (start 180.45 111.225) (end 178.45 111.225) (width 0.8) (layer "F.Cu") (net 1))
+ (segment (start 167.94999 117.643316) (end 168.868306 116.725) (width 0.8) (layer "F.Cu") (net 1))
+ (segment (start 167.94999 119.82499) (end 167.94999 117.643316) (width 0.8) (layer "F.Cu") (net 1))
+ (segment (start 168.225 120.1) (end 167.94999 119.82499) (width 0.8) (layer "F.Cu") (net 1))
+ (segment (start 168.7 120.1) (end 168.225 120.1) (width 0.8) (layer "F.Cu") (net 1))
+ (segment (start 160.149539 119.82499) (end 167.94999 119.82499) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 156.348185 116.023636) (end 160.149539 119.82499) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 178.632002 111.225) (end 177.096375 109.689373) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 180.45 111.225) (end 178.632002 111.225) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 168.868306 116.725) (end 172.05 116.725) (width 0.8) (layer "F.Cu") (net 1))
+ (segment (start 155.4 115.075451) (end 155.4 114.175) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 156.348185 116.023636) (end 155.4 115.075451) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 155.4 111.625) (end 155.35 111.575) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 155.4 114.175) (end 155.4 111.625) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 155.1875 113.9625) (end 155.4 114.175) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 152.35 113.9625) (end 155.1875 113.9625) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 155.95 107.375) (end 155.35 107.975) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 161.7 108.475) (end 159.65 108.475) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 155.35 107.975) (end 155.35 111.575) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 158.55 107.375) (end 155.95 107.375) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 159.65 108.475) (end 158.55 107.375) (width 1.5) (layer "F.Cu") (net 1))
+ (via (at 157.3 109.325) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 13))
+ (segment (start 157.35 110.625) (end 157.35 109.375) (width 1.5) (layer "F.Cu") (net 13))
+ (segment (start 157.35 109.375) (end 157.3 109.325) (width 1.5) (layer "F.Cu") (net 13))
+ (segment (start 161.433161 122.091839) (end 161.433161 122.986817) (width 1.5) (layer "B.Cu") (net 13))
+ (segment (start 166.15 117.375) (end 161.433161 122.091839) (width 1.5) (layer "B.Cu") (net 13))
+ (segment (start 159.686002 109.325) (end 162.336002 111.975) (width 1.5) (layer "B.Cu") (net 13))
+ (segment (start 164.55 111.975) (end 166.15 113.575) (width 1.5) (layer "B.Cu") (net 13))
+ (segment (start 157.3 109.325) (end 159.686002 109.325) (width 1.5) (layer "B.Cu") (net 13))
+ (segment (start 162.336002 111.975) (end 164.55 111.975) (width 1.5) (layer "B.Cu") (net 13))
+ (segment (start 166.15 113.575) (end 166.15 117.375) (width 1.5) (layer "B.Cu") (net 13))
+ (segment (start 193.75 73.825) (end 203.549999 83.624999) (width 1.5) (layer "F.Cu") (net 1))
+ (segment (start 191.8 73.825) (end 193.75 73.825) (width 1.5) (layer "F.Cu") (net 1))
+
+ (zone (net 2) (net_name "GND") (layer "F.Cu") (tstamp 0) (hatch edge 0.508)
(connect_pads (clearance 0.15))
- (min_thickness 0.15)
- (fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.5))
+ (min_thickness 0.254)
+ (fill yes (thermal_gap 0.254) (thermal_bridge_width 0.7))
(polygon
(pts
- (xy 80 100) (xy 80 98.75) (xy 80.5 95.5) (xy 81.5 92.25) (xy 83.25 89)
- (xy 84.75 87) (xy 86.75 85) (xy 88.25 83.75) (xy 90.75 82.25) (xy 93.75 81)
- (xy 96.5 80.25) (xy 98.75 80) (xy 101.25 80) (xy 103 80.25) (xy 105.5 80.75)
- (xy 107.5 81.5) (xy 109.25 82.25) (xy 111 83.25) (xy 112.25 84.25) (xy 114 85.75)
- (xy 115.25 87) (xy 116.5 88.75) (xy 117.5 90.5) (xy 118.5 92.75) (xy 119.25 94.5)
- (xy 119.75 96.5) (xy 120 100) (xy 120 100.75) (xy 119.75 103.5) (xy 119.5 104.5)
- (xy 118.75 107) (xy 117.75 109.25) (xy 116.5 111.25) (xy 115 113.25) (xy 114 114.25)
- (xy 113 115.25) (xy 111.25 116.5) (xy 109.25 117.75) (xy 107 118.75) (xy 105.5 119.25)
- (xy 103.5 119.75) (xy 100.5 120) (xy 99.25 120) (xy 96.75 119.75) (xy 94.5 119.25)
- (xy 92.5 118.5) (xy 89.75 117.25) (xy 88 116) (xy 86.25 114.5) (xy 84.75 113)
- (xy 83.75 111.75) (xy 82.25 109.25) (xy 81.25 107) (xy 80.5 104.5) (xy 80.25 102.75)
- (xy 80 100.75) (xy 80 100.25)
+ (xy 169.25 67.275) (xy 186.65 67.275) (xy 206.05 81.875) (xy 206.05 118.075) (xy 186.55 132.675)
+ (xy 169.25 132.675) (xy 149.85 117.975) (xy 149.85 81.875)
)
)
(filled_polygon
(pts
- (xy 100.474478 80.115903) (xy 100.425 80.235354) (xy 100.425 81.69375) (xy 100.50625 81.775) (xy 101.425 81.775)
- (xy 101.425 81.755) (xy 101.775 81.755) (xy 101.775 81.775) (xy 102.69375 81.775) (xy 102.775 81.69375)
- (xy 102.775 80.293618) (xy 102.987321 80.32395) (xy 105.479346 80.822355) (xy 107.472047 81.569618) (xy 109.216518 82.317248)
- (xy 109.220657 82.319613) (xy 107.55 82.319613) (xy 107.442701 82.340956) (xy 107.351736 82.401736) (xy 107.290956 82.492701)
- (xy 107.269613 82.6) (xy 107.269613 82.724999) (xy 105.643856 82.724999) (xy 105.601998 82.716673) (xy 105.560141 82.724999)
- (xy 105.436172 82.749658) (xy 105.295591 82.843591) (xy 105.27188 82.879077) (xy 104.429079 83.721879) (xy 104.393593 83.74559)
- (xy 104.369882 83.781076) (xy 104.299659 83.886172) (xy 104.266674 84.051998) (xy 104.275001 84.09386) (xy 104.275 84.858142)
- (xy 104.266674 84.9) (xy 104.276823 84.951023) (xy 104.299659 85.065826) (xy 104.393592 85.206408) (xy 104.429081 85.230121)
- (xy 105.219613 86.020654) (xy 105.219613 86.9) (xy 105.240956 87.007299) (xy 105.301736 87.098264) (xy 105.392701 87.159044)
- (xy 105.5 87.180387) (xy 106.4 87.180387) (xy 106.507299 87.159044) (xy 106.598264 87.098264) (xy 106.659044 87.007299)
- (xy 106.680387 86.9) (xy 106.680387 85.7) (xy 106.659044 85.592701) (xy 106.598264 85.501736) (xy 106.507299 85.440956)
- (xy 106.4 85.419613) (xy 105.820654 85.419613) (xy 105.125 84.72396) (xy 105.125 84.228038) (xy 105.77804 83.574999)
- (xy 107.269613 83.574999) (xy 107.269613 84.9) (xy 107.290956 85.007299) (xy 107.351736 85.098264) (xy 107.442701 85.159044)
- (xy 107.55 85.180387) (xy 108.987268 85.180387) (xy 110.669106 86.862226) (xy 110.669107 86.862228) (xy 111.02777 87.220891)
- (xy 111.027773 87.220892) (xy 111.081881 87.275) (xy 109.55625 87.275) (xy 109.475 87.35625) (xy 109.475 88.575)
- (xy 111.29375 88.575) (xy 111.375 88.49375) (xy 111.375 87.568119) (xy 112.669613 88.862733) (xy 112.669613 89.9)
- (xy 112.690956 90.007299) (xy 112.751736 90.098264) (xy 112.842701 90.159044) (xy 112.95 90.180387) (xy 116.45 90.180387)
- (xy 116.557299 90.159044) (xy 116.648264 90.098264) (xy 116.709044 90.007299) (xy 116.730387 89.9) (xy 116.730387 89.304344)
- (xy 117.219613 90.160489) (xy 117.219613 90.692951) (xy 116.075 91.837564) (xy 116.075 91.446387) (xy 115.880893 90.97777)
- (xy 115.52223 90.619107) (xy 115.053613 90.425) (xy 114.546387 90.425) (xy 114.07777 90.619107) (xy 113.719107 90.97777)
- (xy 113.525 91.446387) (xy 113.525 91.625) (xy 111.913821 91.625) (xy 111.658592 91.675768) (xy 111.36916 91.86916)
- (xy 111.175768 92.158592) (xy 111.107858 92.5) (xy 111.175768 92.841408) (xy 111.36916 93.13084) (xy 111.658592 93.324232)
- (xy 111.913821 93.375) (xy 113.913822 93.375) (xy 114 93.392142) (xy 114.086178 93.375) (xy 114.086179 93.375)
- (xy 114.341408 93.324232) (xy 114.63084 93.13084) (xy 114.679659 93.057777) (xy 114.762436 92.975) (xy 114.937564 92.975)
- (xy 114.287564 93.625) (xy 113.036179 93.625) (xy 112.95 93.607858) (xy 112.863821 93.625) (xy 112.608592 93.675768)
- (xy 112.31916 93.86916) (xy 112.125768 94.158592) (xy 112.057858 94.5) (xy 112.075001 94.586184) (xy 112.075 96.347917)
- (xy 112.069613 96.375) (xy 112.069613 96.690904) (xy 112.057858 96.75) (xy 112.069613 96.809096) (xy 112.069613 97.027152)
- (xy 111.930387 96.887926) (xy 111.930387 96.375) (xy 111.909044 96.267701) (xy 111.848264 96.176736) (xy 111.757299 96.115956)
- (xy 111.65 96.094613) (xy 111.575 96.094613) (xy 111.575 95.197217) (xy 111.648264 95.148264) (xy 111.709044 95.057299)
- (xy 111.730387 94.95) (xy 111.730387 94.05) (xy 111.709044 93.942701) (xy 111.648264 93.851736) (xy 111.557299 93.790956)
- (xy 111.45 93.769613) (xy 110.65 93.769613) (xy 110.542701 93.790956) (xy 110.451736 93.851736) (xy 110.390956 93.942701)
- (xy 110.369613 94.05) (xy 110.369613 94.95) (xy 110.390956 95.057299) (xy 110.451736 95.148264) (xy 110.525 95.197217)
- (xy 110.525001 96.094613) (xy 110.45 96.094613) (xy 110.342701 96.115956) (xy 110.281903 96.156579) (xy 110.206408 96.043592)
- (xy 110.170922 96.019881) (xy 109.081427 94.930387) (xy 109.35 94.930387) (xy 109.457299 94.909044) (xy 109.548264 94.848264)
- (xy 109.609044 94.757299) (xy 109.630387 94.65) (xy 109.630387 91.35) (xy 109.609044 91.242701) (xy 109.548264 91.151736)
- (xy 109.457299 91.090956) (xy 109.35 91.069613) (xy 107.65 91.069613) (xy 107.542701 91.090956) (xy 107.451736 91.151736)
- (xy 107.390956 91.242701) (xy 107.369613 91.35) (xy 107.369613 94.65) (xy 107.390956 94.757299) (xy 107.451736 94.848264)
- (xy 107.542701 94.909044) (xy 107.65 94.930387) (xy 108.070575 94.930387) (xy 108.066674 94.95) (xy 108.085291 95.043592)
- (xy 108.099659 95.115826) (xy 108.193592 95.256408) (xy 108.229081 95.280121) (xy 109.475001 96.526042) (xy 109.475001 96.602784)
- (xy 109.457299 96.590956) (xy 109.35 96.569613) (xy 107.65 96.569613) (xy 107.542701 96.590956) (xy 107.451736 96.651736)
- (xy 107.390956 96.742701) (xy 107.369613 96.85) (xy 107.369613 100.15) (xy 107.390956 100.257299) (xy 107.451736 100.348264)
- (xy 107.542701 100.409044) (xy 107.65 100.430387) (xy 108.225001 100.430387) (xy 108.225001 100.584531) (xy 108.15 100.569613)
- (xy 106.65 100.569613) (xy 106.542701 100.590956) (xy 106.451736 100.651736) (xy 106.390956 100.742701) (xy 106.369613 100.85)
- (xy 106.369613 102.025) (xy 106.15429 102.025) (xy 106.2 101.914646) (xy 106.2 101.50625) (xy 106.11875 101.425)
- (xy 105.675 101.425) (xy 105.675 101.445) (xy 105.325 101.445) (xy 105.325 101.425) (xy 105.305 101.425)
- (xy 105.305 101.075) (xy 105.325 101.075) (xy 105.325 100.40625) (xy 105.675 100.40625) (xy 105.675 101.075)
- (xy 106.11875 101.075) (xy 106.2 100.99375) (xy 106.2 100.585354) (xy 106.150522 100.465903) (xy 106.059098 100.374479)
- (xy 105.939647 100.325) (xy 105.75625 100.325) (xy 105.675 100.40625) (xy 105.325 100.40625) (xy 105.24375 100.325)
- (xy 105.060353 100.325) (xy 104.940902 100.374479) (xy 104.849478 100.465903) (xy 104.8 100.585354) (xy 104.8 100.99375)
- (xy 104.881248 101.074998) (xy 104.8 101.074998) (xy 104.8 101.233663) (xy 104.777507 101.2) (xy 104.706408 101.093592)
- (xy 104.670922 101.069881) (xy 104.305121 100.70408) (xy 104.281408 100.668592) (xy 104.140827 100.574659) (xy 104.016858 100.55)
- (xy 104.016857 100.55) (xy 104 100.546647) (xy 104 100.51875) (xy 103.91875 100.4375) (xy 103.125 100.4375)
- (xy 103.125 100.469613) (xy 102.775 100.469613) (xy 102.775 100.4375) (xy 101.98125 100.4375) (xy 101.9 100.51875)
- (xy 101.9 100.614647) (xy 101.948329 100.731321) (xy 101.944613 100.75) (xy 101.944613 101.2) (xy 101.741855 101.2)
- (xy 101.699999 101.191674) (xy 101.658143 101.2) (xy 101.658142 101.2) (xy 101.534173 101.224659) (xy 101.393592 101.318592)
- (xy 101.369881 101.354078) (xy 101.298959 101.425) (xy 101.090734 101.425) (xy 100.842643 101.527763) (xy 100.652763 101.717643)
- (xy 100.61645 101.80531) (xy 100.491789 101.504352) (xy 100.275 101.287563) (xy 100.275 100.175) (xy 100.544292 100.175)
- (xy 100.595998 100.185285) (xy 100.647704 100.175) (xy 100.653471 100.173853) (xy 100.672478 100.170072) (xy 100.800843 100.144539)
- (xy 100.974502 100.028504) (xy 101.003795 99.984664) (xy 101.013459 99.975) (xy 101.61431 99.975) (xy 101.618592 99.981408)
- (xy 101.732909 100.057792) (xy 101.759173 100.075341) (xy 101.9 100.103353) (xy 101.9 100.13125) (xy 101.98125 100.2125)
- (xy 102.775 100.2125) (xy 102.775 100.180387) (xy 103.125 100.180387) (xy 103.125 100.2125) (xy 103.91875 100.2125)
- (xy 104 100.13125) (xy 104 100.1) (xy 104.894487 100.1) (xy 104.926736 100.148264) (xy 105.017701 100.209044)
- (xy 105.125 100.230387) (xy 105.875 100.230387) (xy 105.982299 100.209044) (xy 106.073264 100.148264) (xy 106.134044 100.057299)
- (xy 106.155387 99.95) (xy 106.155387 98.75) (xy 106.134044 98.642701) (xy 106.073264 98.551736) (xy 105.982299 98.490956)
- (xy 105.875 98.469613) (xy 105.245667 98.469613) (xy 105.984894 97.730387) (xy 106.65 97.730387) (xy 106.757299 97.709044)
- (xy 106.848264 97.648264) (xy 106.909044 97.557299) (xy 106.930387 97.45) (xy 106.930387 95.95) (xy 106.909044 95.842701)
- (xy 106.848264 95.751736) (xy 106.757299 95.690956) (xy 106.65 95.669613) (xy 105.15 95.669613) (xy 105.042701 95.690956)
- (xy 104.97501 95.736185) (xy 104.97501 95.163815) (xy 105.042701 95.209044) (xy 105.15 95.230387) (xy 106.65 95.230387)
- (xy 106.757299 95.209044) (xy 106.848264 95.148264) (xy 106.909044 95.057299) (xy 106.930387 94.95) (xy 106.930387 93.45)
- (xy 106.909044 93.342701) (xy 106.848264 93.251736) (xy 106.757299 93.190956) (xy 106.65 93.169613) (xy 105.15 93.169613)
- (xy 105.042701 93.190956) (xy 104.951736 93.251736) (xy 104.890956 93.342701) (xy 104.869613 93.45) (xy 104.869613 93.770575)
- (xy 104.85 93.766674) (xy 104.808142 93.775) (xy 104.684173 93.799659) (xy 104.543592 93.893592) (xy 104.519881 93.929078)
- (xy 104.475 93.973959) (xy 104.475 90.861855) (xy 104.483326 90.819997) (xy 104.450341 90.654171) (xy 104.4125 90.597538)
- (xy 104.356408 90.51359) (xy 104.32092 90.489878) (xy 104.160111 90.329069) (xy 104.136398 90.29358) (xy 103.995817 90.199647)
- (xy 103.871848 90.174988) (xy 103.871847 90.174988) (xy 103.82999 90.166662) (xy 103.788133 90.174988) (xy 100.233591 90.174988)
- (xy 100.288406 90.138362) (xy 100.310725 90.104959) (xy 101.035297 89.380387) (xy 102.45 89.380387) (xy 102.557299 89.359044)
- (xy 102.648264 89.298264) (xy 102.709044 89.207299) (xy 102.730387 89.1) (xy 102.730387 88.925) (xy 103.219613 88.925)
- (xy 103.219613 89.1) (xy 103.240956 89.207299) (xy 103.301736 89.298264) (xy 103.392701 89.359044) (xy 103.5 89.380387)
- (xy 104.4 89.380387) (xy 104.507299 89.359044) (xy 104.598264 89.298264) (xy 104.659044 89.207299) (xy 104.680387 89.1)
- (xy 104.680387 88.925) (xy 105.219613 88.925) (xy 105.219613 89.1) (xy 105.240956 89.207299) (xy 105.301736 89.298264)
- (xy 105.392701 89.359044) (xy 105.5 89.380387) (xy 106.4 89.380387) (xy 106.507299 89.359044) (xy 106.598264 89.298264)
- (xy 106.659044 89.207299) (xy 106.680387 89.1) (xy 106.680387 89.00625) (xy 107.225 89.00625) (xy 107.225 89.964647)
- (xy 107.274479 90.084098) (xy 107.365903 90.175522) (xy 107.485354 90.225) (xy 109.04375 90.225) (xy 109.125 90.14375)
- (xy 109.125 88.925) (xy 109.475 88.925) (xy 109.475 90.14375) (xy 109.55625 90.225) (xy 111.114646 90.225)
- (xy 111.234097 90.175522) (xy 111.325521 90.084098) (xy 111.375 89.964647) (xy 111.375 89.00625) (xy 111.29375 88.925)
- (xy 109.475 88.925) (xy 109.125 88.925) (xy 107.30625 88.925) (xy 107.225 89.00625) (xy 106.680387 89.00625)
- (xy 106.680387 87.9) (xy 106.659044 87.792701) (xy 106.598264 87.701736) (xy 106.507299 87.640956) (xy 106.4 87.619613)
- (xy 105.5 87.619613) (xy 105.392701 87.640956) (xy 105.301736 87.701736) (xy 105.240956 87.792701) (xy 105.219613 87.9)
- (xy 105.219613 88.075) (xy 104.680387 88.075) (xy 104.680387 87.9) (xy 104.659044 87.792701) (xy 104.598264 87.701736)
- (xy 104.507299 87.640956) (xy 104.4 87.619613) (xy 103.5 87.619613) (xy 103.392701 87.640956) (xy 103.301736 87.701736)
- (xy 103.240956 87.792701) (xy 103.219613 87.9) (xy 103.219613 88.075) (xy 102.826041 88.075) (xy 102.730387 87.979346)
- (xy 102.730387 87.535353) (xy 107.225 87.535353) (xy 107.225 88.49375) (xy 107.30625 88.575) (xy 109.125 88.575)
- (xy 109.125 87.35625) (xy 109.04375 87.275) (xy 107.485354 87.275) (xy 107.365903 87.324478) (xy 107.274479 87.415902)
- (xy 107.225 87.535353) (xy 102.730387 87.535353) (xy 102.730387 86.55625) (xy 103.175 86.55625) (xy 103.175 86.964646)
- (xy 103.224478 87.084097) (xy 103.315902 87.175521) (xy 103.435353 87.225) (xy 103.69375 87.225) (xy 103.775 87.14375)
- (xy 103.775 86.475) (xy 104.125 86.475) (xy 104.125 87.14375) (xy 104.20625 87.225) (xy 104.464647 87.225)
- (xy 104.584098 87.175521) (xy 104.675522 87.084097) (xy 104.725 86.964646) (xy 104.725 86.55625) (xy 104.64375 86.475)
- (xy 104.125 86.475) (xy 103.775 86.475) (xy 103.25625 86.475) (xy 103.175 86.55625) (xy 102.730387 86.55625)
- (xy 102.730387 85.8) (xy 102.709044 85.692701) (xy 102.670727 85.635354) (xy 103.175 85.635354) (xy 103.175 86.04375)
- (xy 103.25625 86.125) (xy 103.775 86.125) (xy 103.775 85.45625) (xy 104.125 85.45625) (xy 104.125 86.125)
- (xy 104.64375 86.125) (xy 104.725 86.04375) (xy 104.725 85.635354) (xy 104.675522 85.515903) (xy 104.584098 85.424479)
- (xy 104.464647 85.375) (xy 104.20625 85.375) (xy 104.125 85.45625) (xy 103.775 85.45625) (xy 103.69375 85.375)
- (xy 103.435353 85.375) (xy 103.315902 85.424479) (xy 103.224478 85.515903) (xy 103.175 85.635354) (xy 102.670727 85.635354)
- (xy 102.648264 85.601736) (xy 102.557299 85.540956) (xy 102.45 85.519613) (xy 100.75 85.519613) (xy 100.642701 85.540956)
- (xy 100.551736 85.601736) (xy 100.490956 85.692701) (xy 100.469613 85.8) (xy 100.469613 88.814703) (xy 99.834338 89.449978)
- (xy 98.529669 89.449978) (xy 98.357432 89.277741) (xy 98.236744 89.22775) (xy 98.25 89.230387) (xy 99.45 89.230387)
- (xy 99.557299 89.209044) (xy 99.648264 89.148264) (xy 99.709044 89.057299) (xy 99.730387 88.95) (xy 99.730387 88.05)
- (xy 99.709044 87.942701) (xy 99.648264 87.851736) (xy 99.557299 87.790956) (xy 99.45 87.769613) (xy 98.25 87.769613)
- (xy 98.142701 87.790956) (xy 98.051736 87.851736) (xy 97.990956 87.942701) (xy 97.969613 88.05) (xy 97.969613 88.152632)
- (xy 97.908312 88.193592) (xy 97.884602 88.229077) (xy 97.530387 88.583292) (xy 97.530387 88.05) (xy 97.509044 87.942701)
- (xy 97.448264 87.851736) (xy 97.357299 87.790956) (xy 97.25 87.769613) (xy 97.175 87.769613) (xy 97.175 87.767461)
- (xy 97.934667 87.007795) (xy 97.978504 86.978504) (xy 98.094539 86.804845) (xy 98.110421 86.725) (xy 98.344613 86.725)
- (xy 98.344613 86.8) (xy 98.365956 86.907299) (xy 98.426736 86.998264) (xy 98.517701 87.059044) (xy 98.625 87.080387)
- (xy 99.375 87.080387) (xy 99.482299 87.059044) (xy 99.573264 86.998264) (xy 99.634044 86.907299) (xy 99.655387 86.8)
- (xy 99.655387 85.6) (xy 99.634044 85.492701) (xy 99.573264 85.401736) (xy 99.482299 85.340956) (xy 99.375 85.319613)
- (xy 98.625 85.319613) (xy 98.517701 85.340956) (xy 98.426736 85.401736) (xy 98.365956 85.492701) (xy 98.344613 85.6)
- (xy 98.344613 85.675) (xy 98.125 85.675) (xy 98.125 85.251705) (xy 98.135285 85.199999) (xy 98.094539 84.995155)
- (xy 98.077452 84.969583) (xy 97.978504 84.821496) (xy 97.934668 84.792206) (xy 97.698712 84.55625) (xy 98.3 84.55625)
- (xy 98.3 84.964646) (xy 98.349478 85.084097) (xy 98.440902 85.175521) (xy 98.560353 85.225) (xy 98.74375 85.225)
- (xy 98.825 85.14375) (xy 98.825 84.475) (xy 99.175 84.475) (xy 99.175 85.14375) (xy 99.25625 85.225)
- (xy 99.439647 85.225) (xy 99.559098 85.175521) (xy 99.650522 85.084097) (xy 99.7 84.964646) (xy 99.7 84.55625)
- (xy 99.61875 84.475) (xy 99.175 84.475) (xy 98.825 84.475) (xy 98.38125 84.475) (xy 98.3 84.55625)
- (xy 97.698712 84.55625) (xy 97.380387 84.237926) (xy 97.380387 83.8) (xy 97.359044 83.692701) (xy 97.320727 83.635354)
- (xy 98.3 83.635354) (xy 98.3 84.04375) (xy 98.38125 84.125) (xy 98.825 84.125) (xy 98.825 83.45625)
- (xy 99.175 83.45625) (xy 99.175 84.125) (xy 99.61875 84.125) (xy 99.7 84.04375) (xy 99.7 83.635354)
- (xy 99.650522 83.515903) (xy 99.559098 83.424479) (xy 99.439647 83.375) (xy 99.25625 83.375) (xy 99.175 83.45625)
- (xy 98.825 83.45625) (xy 98.74375 83.375) (xy 98.560353 83.375) (xy 98.440902 83.424479) (xy 98.349478 83.515903)
- (xy 98.3 83.635354) (xy 97.320727 83.635354) (xy 97.298264 83.601736) (xy 97.207299 83.540956) (xy 97.1 83.519613)
- (xy 96.3 83.519613) (xy 96.192701 83.540956) (xy 96.101736 83.601736) (xy 96.040956 83.692701) (xy 96.019613 83.8)
- (xy 96.019613 84.7) (xy 96.040956 84.807299) (xy 96.101736 84.898264) (xy 96.192701 84.959044) (xy 96.3 84.980387)
- (xy 96.637926 84.980387) (xy 97.075 85.417462) (xy 97.075001 86.148289) (xy 97.064715 86.2) (xy 97.075 86.251706)
- (xy 97.075 86.382538) (xy 96.315335 87.142203) (xy 96.271496 87.171496) (xy 96.166669 87.328382) (xy 96.155461 87.345156)
- (xy 96.114715 87.55) (xy 96.125 87.601707) (xy 96.125 87.769613) (xy 96.05 87.769613) (xy 95.942701 87.790956)
- (xy 95.851736 87.851736) (xy 95.790956 87.942701) (xy 95.769613 88.05) (xy 95.769613 88.95) (xy 95.790956 89.057299)
- (xy 95.851736 89.148264) (xy 95.942701 89.209044) (xy 96.05 89.230387) (xy 96.883293 89.230387) (xy 96.438703 89.674977)
- (xy 93.34188 89.674977) (xy 93.300023 89.666651) (xy 93.258165 89.674977) (xy 93.134196 89.699636) (xy 92.993615 89.793569)
- (xy 92.969904 89.829055) (xy 92.953544 89.845415) (xy 92.953544 88.91873) (xy 92.872294 88.83748) (xy 91.053544 88.83748)
- (xy 91.053544 90.05623) (xy 91.134794 90.13748) (xy 92.66148 90.13748) (xy 92.52396 90.275) (xy 91.827612 90.275)
- (xy 91.785756 90.266674) (xy 91.7439 90.275) (xy 91.743899 90.275) (xy 91.61993 90.299659) (xy 91.479349 90.393592)
- (xy 91.455638 90.429078) (xy 87.709728 94.174989) (xy 83.241868 94.174989) (xy 83.20001 94.166663) (xy 83.140944 94.178412)
- (xy 83.034184 94.199648) (xy 82.893603 94.293581) (xy 82.869892 94.329067) (xy 81.479079 95.719881) (xy 81.443593 95.743592)
- (xy 81.419882 95.779078) (xy 81.349659 95.884174) (xy 81.316674 96.05) (xy 81.325001 96.091862) (xy 81.325 97.528143)
- (xy 81.316674 97.570001) (xy 81.325 97.611858) (xy 81.349659 97.735827) (xy 81.443592 97.876409) (xy 81.479081 97.900122)
- (xy 81.979879 98.400921) (xy 82.00359 98.436407) (xy 82.067452 98.479078) (xy 82.144171 98.53034) (xy 82.309998 98.563325)
- (xy 82.351855 98.554999) (xy 83.933961 98.554999) (xy 84.203962 98.825) (xy 83.10625 98.825) (xy 83.025 98.90625)
- (xy 83.025 99.825) (xy 84.74375 99.825) (xy 84.825 99.74375) (xy 84.825 99.446039) (xy 85.675001 100.29604)
- (xy 85.675 100.838144) (xy 85.666674 100.880002) (xy 85.675 100.921859) (xy 85.699659 101.045828) (xy 85.793592 101.18641)
- (xy 85.829081 101.210123) (xy 86.339879 101.720922) (xy 86.36359 101.756408) (xy 86.504171 101.850341) (xy 86.581864 101.865795)
- (xy 86.669998 101.883326) (xy 86.711855 101.875) (xy 91.529716 101.875) (xy 92.429715 102.775) (xy 90.280387 102.775)
- (xy 90.280387 102.35) (xy 90.259044 102.242701) (xy 90.198264 102.151736) (xy 90.107299 102.090956) (xy 90 102.069613)
- (xy 86.7 102.069613) (xy 86.592701 102.090956) (xy 86.501736 102.151736) (xy 86.440956 102.242701) (xy 86.419613 102.35)
- (xy 86.419613 104.05) (xy 86.440956 104.157299) (xy 86.501736 104.248264) (xy 86.592701 104.309044) (xy 86.7 104.330387)
- (xy 90 104.330387) (xy 90.107299 104.309044) (xy 90.198264 104.248264) (xy 90.259044 104.157299) (xy 90.280387 104.05)
- (xy 90.280387 103.625) (xy 95.258143 103.625) (xy 95.3 103.633326) (xy 95.341857 103.625) (xy 95.341858 103.625)
- (xy 95.465827 103.600341) (xy 95.606408 103.506408) (xy 95.63012 103.47092) (xy 95.751041 103.35) (xy 96.129334 103.35)
- (xy 96.217701 103.409044) (xy 96.325 103.430387) (xy 97.775 103.430387) (xy 97.882299 103.409044) (xy 97.973264 103.348264)
- (xy 98.034044 103.257299) (xy 98.051891 103.167575) (xy 98.514312 103.629998) (xy 98.536628 103.663396) (xy 98.634063 103.7285)
- (xy 98.458211 103.904352) (xy 98.45821 103.904354) (xy 98.292221 104.070343) (xy 98.219161 104.11916) (xy 98.15991 104.207836)
- (xy 98.025769 104.408592) (xy 97.957858 104.75) (xy 97.975001 104.836182) (xy 97.975 106.419613) (xy 97.55 106.419613)
- (xy 97.442701 106.440956) (xy 97.351736 106.501736) (xy 97.290956 106.592701) (xy 97.269613 106.7) (xy 97.269613 108.7)
- (xy 97.290956 108.807299) (xy 97.351736 108.898264) (xy 97.442701 108.959044) (xy 97.55 108.980387) (xy 98.175001 108.980387)
- (xy 98.175 112.025572) (xy 98.175001 112.025576) (xy 98.175001 112.815382) (xy 98.084098 112.724479) (xy 97.964647 112.675)
- (xy 97.40625 112.675) (xy 97.325 112.75625) (xy 97.325 113.825) (xy 97.345 113.825) (xy 97.345 114.175)
- (xy 97.325 114.175) (xy 97.325 115.24375) (xy 97.40625 115.325) (xy 97.964647 115.325) (xy 98.084098 115.275521)
- (xy 98.175522 115.184097) (xy 98.225 115.064646) (xy 98.225 114.376939) (xy 98.248977 114.497479) (xy 98.419613 114.752854)
- (xy 98.419613 115) (xy 98.440956 115.107299) (xy 98.501736 115.198264) (xy 98.575001 115.247217) (xy 98.575001 115.966749)
- (xy 98.540956 116.017701) (xy 98.519613 116.125) (xy 98.519613 116.440904) (xy 98.507858 116.5) (xy 98.519613 116.559096)
- (xy 98.519613 116.875) (xy 98.540956 116.982299) (xy 98.601736 117.073264) (xy 98.692701 117.134044) (xy 98.8 117.155387)
- (xy 98.8059 117.155387) (xy 99.058592 117.324231) (xy 99.4 117.392142) (xy 99.741408 117.324231) (xy 99.95778 117.179656)
- (xy 99.982049 117.155387) (xy 100 117.155387) (xy 100.107299 117.134044) (xy 100.198264 117.073264) (xy 100.259044 116.982299)
- (xy 100.280387 116.875) (xy 100.280387 116.760465) (xy 100.325 116.536179) (xy 100.342142 116.45) (xy 100.325 116.363821)
- (xy 100.325 115.247217) (xy 100.398264 115.198264) (xy 100.459044 115.107299) (xy 100.480387 115) (xy 100.480387 114.752855)
- (xy 100.651023 114.49748) (xy 100.719613 114.152655) (xy 100.719613 115) (xy 100.740956 115.107299) (xy 100.801736 115.198264)
- (xy 100.892701 115.259044) (xy 100.925001 115.265469) (xy 100.925 116.347917) (xy 100.919613 116.375) (xy 100.919613 117.125)
- (xy 100.925 117.152084) (xy 100.925001 117.98456) (xy 100.85252 117.998977) (xy 100.430777 118.280777) (xy 100.244372 118.559753)
- (xy 100.234097 118.549478) (xy 100.114646 118.5) (xy 99.70625 118.5) (xy 99.625 118.58125) (xy 99.625 119.025)
- (xy 99.645 119.025) (xy 99.645 119.375) (xy 99.625 119.375) (xy 99.625 119.81875) (xy 99.70625 119.9)
- (xy 100.075 119.9) (xy 100.075 119.925) (xy 99.253743 119.925) (xy 99.003743 119.9) (xy 99.19375 119.9)
- (xy 99.275 119.81875) (xy 99.275 119.375) (xy 98.60625 119.375) (xy 98.525 119.45625) (xy 98.525 119.639647)
- (xy 98.574479 119.759098) (xy 98.665903 119.850522) (xy 98.71585 119.871211) (xy 96.761907 119.675816) (xy 94.521408 119.177928)
- (xy 93.333628 118.73251) (xy 93.652388 118.73251) (xy 93.812944 118.869221) (xy 94.339635 118.890441) (xy 94.69334 118.760353)
- (xy 98.525 118.760353) (xy 98.525 118.94375) (xy 98.60625 119.025) (xy 99.275 119.025) (xy 99.275 118.58125)
- (xy 99.19375 118.5) (xy 98.785354 118.5) (xy 98.665903 118.549478) (xy 98.574479 118.640902) (xy 98.525 118.760353)
- (xy 94.69334 118.760353) (xy 94.834354 118.70849) (xy 95.060544 118.534927) (xy 95.131234 118.336254) (xy 94.193683 117.794959)
- (xy 93.652388 118.73251) (xy 93.333628 118.73251) (xy 92.528708 118.430665) (xy 91.06625 117.765911) (xy 92.795093 117.765911)
- (xy 92.977044 118.26063) (xy 93.150607 118.48682) (xy 93.34928 118.55751) (xy 93.890575 117.619959) (xy 93.668686 117.491851)
- (xy 94.368683 117.491851) (xy 95.306234 118.033146) (xy 95.442945 117.87259) (xy 95.464165 117.345899) (xy 95.282214 116.85118)
- (xy 95.209372 116.75625) (xy 96.575 116.75625) (xy 96.575 116.939647) (xy 96.624479 117.059098) (xy 96.715903 117.150522)
- (xy 96.835354 117.2) (xy 97.24375 117.2) (xy 97.325 117.11875) (xy 97.325 116.675) (xy 97.675 116.675)
- (xy 97.675 117.11875) (xy 97.75625 117.2) (xy 98.164646 117.2) (xy 98.284097 117.150522) (xy 98.375521 117.059098)
- (xy 98.425 116.939647) (xy 98.425 116.75625) (xy 98.34375 116.675) (xy 97.675 116.675) (xy 97.325 116.675)
- (xy 96.65625 116.675) (xy 96.575 116.75625) (xy 95.209372 116.75625) (xy 95.108651 116.62499) (xy 94.909978 116.5543)
- (xy 94.368683 117.491851) (xy 93.668686 117.491851) (xy 92.953024 117.078664) (xy 92.816313 117.23922) (xy 92.795093 117.765911)
- (xy 91.06625 117.765911) (xy 89.787669 117.184738) (xy 89.214815 116.775556) (xy 93.128024 116.775556) (xy 94.065575 117.316851)
- (xy 94.60687 116.3793) (xy 94.446314 116.242589) (xy 93.919623 116.221369) (xy 93.424904 116.40332) (xy 93.198714 116.576883)
- (xy 93.128024 116.775556) (xy 89.214815 116.775556) (xy 88.21353 116.060353) (xy 96.575 116.060353) (xy 96.575 116.24375)
- (xy 96.65625 116.325) (xy 97.325 116.325) (xy 97.325 115.88125) (xy 97.675 115.88125) (xy 97.675 116.325)
- (xy 98.34375 116.325) (xy 98.425 116.24375) (xy 98.425 116.060353) (xy 98.375521 115.940902) (xy 98.284097 115.849478)
- (xy 98.164646 115.8) (xy 97.75625 115.8) (xy 97.675 115.88125) (xy 97.325 115.88125) (xy 97.24375 115.8)
- (xy 96.835354 115.8) (xy 96.715903 115.849478) (xy 96.624479 115.940902) (xy 96.575 116.060353) (xy 88.21353 116.060353)
- (xy 88.046287 115.940894) (xy 86.301 114.444934) (xy 86.112316 114.25625) (xy 96.075 114.25625) (xy 96.075 115.064646)
- (xy 96.124478 115.184097) (xy 96.215902 115.275521) (xy 96.335353 115.325) (xy 96.89375 115.325) (xy 96.975 115.24375)
- (xy 96.975 114.175) (xy 96.15625 114.175) (xy 96.075 114.25625) (xy 86.112316 114.25625) (xy 84.805969 112.949903)
- (xy 83.811717 111.707088) (xy 83.285697 110.830387) (xy 84.2 110.830387) (xy 84.307299 110.809044) (xy 84.398264 110.748264)
- (xy 84.459044 110.657299) (xy 84.480387 110.55) (xy 84.480387 110.017823) (xy 84.919613 110.457049) (xy 84.919613 110.55)
- (xy 84.924993 110.577046) (xy 84.924993 110.821884) (xy 84.719099 111.027778) (xy 84.524992 111.496395) (xy 84.524992 112.003621)
- (xy 84.719099 112.472238) (xy 85.077762 112.830901) (xy 85.546379 113.025008) (xy 86.053605 113.025008) (xy 86.270049 112.935354)
- (xy 96.075 112.935354) (xy 96.075 113.74375) (xy 96.15625 113.825) (xy 96.975 113.825) (xy 96.975 112.75625)
- (xy 96.89375 112.675) (xy 96.335353 112.675) (xy 96.215902 112.724479) (xy 96.124478 112.815903) (xy 96.075 112.935354)
- (xy 86.270049 112.935354) (xy 86.522222 112.830901) (xy 86.880885 112.472238) (xy 87.074992 112.003621) (xy 87.074992 111.496395)
- (xy 86.880885 111.027778) (xy 86.674992 110.821885) (xy 86.674992 110.577123) (xy 86.680387 110.55) (xy 86.680387 110.457049)
- (xy 87.557777 109.579659) (xy 87.63084 109.53084) (xy 87.824232 109.241408) (xy 87.875 108.986179) (xy 87.892142 108.900001)
- (xy 87.875 108.813823) (xy 87.875 107.863821) (xy 87.824232 107.608592) (xy 87.63084 107.31916) (xy 87.341407 107.125768)
- (xy 87 107.057858) (xy 86.658592 107.125768) (xy 86.36916 107.31916) (xy 86.175768 107.608593) (xy 86.125 107.863822)
- (xy 86.125001 108.537563) (xy 85.8 108.862564) (xy 85.494113 108.556677) (xy 85.505387 108.5) (xy 85.505387 107.5)
- (xy 85.484044 107.392701) (xy 85.423264 107.301736) (xy 85.332299 107.240956) (xy 85.225 107.219613) (xy 85.007026 107.219613)
- (xy 84.941407 107.175768) (xy 84.6 107.107858) (xy 84.258592 107.175768) (xy 84.192973 107.219613) (xy 84.034981 107.219613)
- (xy 84.254594 107) (xy 88.107858 107) (xy 88.175768 107.341408) (xy 88.36916 107.63084) (xy 88.658592 107.824232)
- (xy 88.913821 107.875) (xy 91.550153 107.875) (xy 91.613472 108.027865) (xy 91.972135 108.386528) (xy 92.440752 108.580635)
- (xy 92.947978 108.580635) (xy 93.416595 108.386528) (xy 93.775258 108.027865) (xy 93.969365 107.559248) (xy 93.969365 107.052022)
- (xy 93.775258 106.583405) (xy 93.416595 106.224742) (xy 92.947978 106.030635) (xy 92.440752 106.030635) (xy 92.212934 106.125)
- (xy 88.913821 106.125) (xy 88.658592 106.175768) (xy 88.36916 106.36916) (xy 88.175768 106.658592) (xy 88.107858 107)
- (xy 84.254594 107) (xy 84.474207 106.780387) (xy 85.225 106.780387) (xy 85.332299 106.759044) (xy 85.423264 106.698264)
- (xy 85.438808 106.675) (xy 86.39175 106.675) (xy 86.442701 106.709044) (xy 86.55 106.730387) (xy 86.960601 106.730387)
- (xy 87 106.738224) (xy 87.039399 106.730387) (xy 87.45 106.730387) (xy 87.557299 106.709044) (xy 87.648264 106.648264)
- (xy 87.709044 106.557299) (xy 87.730387 106.45) (xy 87.730387 105.65) (xy 87.709044 105.542701) (xy 87.648264 105.451736)
- (xy 87.557299 105.390956) (xy 87.45 105.369613) (xy 87.221527 105.369613) (xy 87.213372 105.364164) (xy 87.016481 105.325)
- (xy 86.95 105.311776) (xy 86.883519 105.325) (xy 85.438808 105.325) (xy 85.423264 105.301736) (xy 85.332299 105.240956)
- (xy 85.225 105.219613) (xy 83.975 105.219613) (xy 83.867701 105.240956) (xy 83.776736 105.301736) (xy 83.715956 105.392701)
- (xy 83.694613 105.5) (xy 83.694613 105.650793) (xy 82.930387 106.415019) (xy 82.930387 105.3) (xy 82.909044 105.192701)
- (xy 82.875 105.14175) (xy 82.875 104.330387) (xy 84.5 104.330387) (xy 84.607299 104.309044) (xy 84.698264 104.248264)
- (xy 84.759044 104.157299) (xy 84.780387 104.05) (xy 84.780387 102.35) (xy 84.759044 102.242701) (xy 84.698264 102.151736)
- (xy 84.607299 102.090956) (xy 84.5 102.069613) (xy 81.2 102.069613) (xy 81.092701 102.090956) (xy 81.001736 102.151736)
- (xy 80.940956 102.242701) (xy 80.919613 102.35) (xy 80.919613 104.05) (xy 80.940956 104.157299) (xy 81.001736 104.248264)
- (xy 81.092701 104.309044) (xy 81.2 104.330387) (xy 81.525001 104.330387) (xy 81.525 105.141749) (xy 81.490956 105.192701)
- (xy 81.469613 105.3) (xy 81.469613 106.5) (xy 81.490956 106.607299) (xy 81.551736 106.698264) (xy 81.642701 106.759044)
- (xy 81.75 106.780387) (xy 82.56502 106.780387) (xy 82.125794 107.219613) (xy 81.75 107.219613) (xy 81.642701 107.240956)
- (xy 81.551736 107.301736) (xy 81.500355 107.378634) (xy 81.320469 106.97389) (xy 80.573451 104.48383) (xy 80.324342 102.740065)
- (xy 80.075 100.745329) (xy 80.075 100.25625) (xy 80.875 100.25625) (xy 80.875 100.914647) (xy 80.924479 101.034098)
- (xy 81.015903 101.125522) (xy 81.135354 101.175) (xy 82.59375 101.175) (xy 82.675 101.09375) (xy 82.675 100.175)
- (xy 83.025 100.175) (xy 83.025 101.09375) (xy 83.10625 101.175) (xy 84.564646 101.175) (xy 84.684097 101.125522)
- (xy 84.775521 101.034098) (xy 84.825 100.914647) (xy 84.825 100.25625) (xy 84.74375 100.175) (xy 83.025 100.175)
- (xy 82.675 100.175) (xy 80.95625 100.175) (xy 80.875 100.25625) (xy 80.075 100.25625) (xy 80.075 99.085353)
- (xy 80.875 99.085353) (xy 80.875 99.74375) (xy 80.95625 99.825) (xy 82.675 99.825) (xy 82.675 98.90625)
- (xy 82.59375 98.825) (xy 81.135354 98.825) (xy 81.015903 98.874478) (xy 80.924479 98.965902) (xy 80.875 99.085353)
- (xy 80.075 99.085353) (xy 80.075 98.755736) (xy 80.573295 95.516816) (xy 81.569521 92.279084) (xy 83.313369 89.040508)
- (xy 83.448157 88.860791) (xy 83.448157 89.81248) (xy 83.4695 89.919779) (xy 83.53028 90.010744) (xy 83.621245 90.071524)
- (xy 83.728544 90.092867) (xy 87.228544 90.092867) (xy 87.335843 90.071524) (xy 87.426808 90.010744) (xy 87.487588 89.919779)
- (xy 87.508931 89.81248) (xy 87.508931 88.91873) (xy 88.803544 88.91873) (xy 88.803544 89.877127) (xy 88.853023 89.996578)
- (xy 88.944447 90.088002) (xy 89.063898 90.13748) (xy 90.622294 90.13748) (xy 90.703544 90.05623) (xy 90.703544 88.83748)
- (xy 88.884794 88.83748) (xy 88.803544 88.91873) (xy 87.508931 88.91873) (xy 87.508931 88.435214) (xy 88.496312 87.447833)
- (xy 88.803544 87.447833) (xy 88.803544 88.40623) (xy 88.884794 88.48748) (xy 90.703544 88.48748) (xy 90.703544 87.26873)
- (xy 91.053544 87.26873) (xy 91.053544 88.48748) (xy 92.872294 88.48748) (xy 92.953544 88.40623) (xy 92.953544 87.447833)
- (xy 92.904065 87.328382) (xy 92.812641 87.236958) (xy 92.69319 87.18748) (xy 91.134794 87.18748) (xy 91.053544 87.26873)
- (xy 90.703544 87.26873) (xy 90.622294 87.18748) (xy 89.063898 87.18748) (xy 88.944447 87.236958) (xy 88.853023 87.328382)
- (xy 88.803544 87.447833) (xy 88.496312 87.447833) (xy 88.672228 87.271918) (xy 88.67223 87.271917) (xy 88.79776 87.146387)
- (xy 93.225 87.146387) (xy 93.225 87.653613) (xy 93.419107 88.12223) (xy 93.77777 88.480893) (xy 94.246387 88.675)
- (xy 94.753613 88.675) (xy 95.22223 88.480893) (xy 95.580893 88.12223) (xy 95.775 87.653613) (xy 95.775 87.362437)
- (xy 96.056202 87.081235) (xy 96.091408 87.074232) (xy 96.38084 86.88084) (xy 96.574232 86.591408) (xy 96.642142 86.25)
- (xy 96.574232 85.908592) (xy 96.38084 85.61916) (xy 96.091408 85.425768) (xy 95.836179 85.375) (xy 95.736179 85.375)
- (xy 95.65 85.357858) (xy 95.563821 85.375) (xy 95.308592 85.425768) (xy 95.01916 85.61916) (xy 94.970343 85.69222)
- (xy 94.537563 86.125) (xy 94.246387 86.125) (xy 93.77777 86.319107) (xy 93.419107 86.67777) (xy 93.225 87.146387)
- (xy 88.79776 87.146387) (xy 89.030893 86.913254) (xy 89.030894 86.913251) (xy 90.851279 85.092867) (xy 92.628544 85.092867)
- (xy 92.735843 85.071524) (xy 92.826808 85.010744) (xy 92.887588 84.919779) (xy 92.908931 84.81248) (xy 92.908931 84.50625)
- (xy 94.075 84.50625) (xy 94.075 84.764646) (xy 94.124478 84.884097) (xy 94.215902 84.975521) (xy 94.335353 85.025)
- (xy 94.54375 85.025) (xy 94.625 84.94375) (xy 94.625 84.425) (xy 94.975 84.425) (xy 94.975 84.94375)
- (xy 95.05625 85.025) (xy 95.264647 85.025) (xy 95.384098 84.975521) (xy 95.475522 84.884097) (xy 95.525 84.764646)
- (xy 95.525 84.50625) (xy 95.44375 84.425) (xy 94.975 84.425) (xy 94.625 84.425) (xy 94.15625 84.425)
- (xy 94.075 84.50625) (xy 92.908931 84.50625) (xy 92.908931 83.735354) (xy 94.075 83.735354) (xy 94.075 83.99375)
- (xy 94.15625 84.075) (xy 94.625 84.075) (xy 94.625 83.55625) (xy 94.975 83.55625) (xy 94.975 84.075)
- (xy 95.44375 84.075) (xy 95.525 83.99375) (xy 95.525 83.735354) (xy 95.475522 83.615903) (xy 95.384098 83.524479)
- (xy 95.264647 83.475) (xy 95.05625 83.475) (xy 94.975 83.55625) (xy 94.625 83.55625) (xy 94.54375 83.475)
- (xy 94.335353 83.475) (xy 94.215902 83.524479) (xy 94.124478 83.615903) (xy 94.075 83.735354) (xy 92.908931 83.735354)
- (xy 92.908931 82.51248) (xy 92.887588 82.405181) (xy 92.826808 82.314216) (xy 92.735843 82.253436) (xy 92.628544 82.232093)
- (xy 90.987977 82.232093) (xy 91.05 82.20625) (xy 100.425 82.20625) (xy 100.425 83.664646) (xy 100.474478 83.784097)
- (xy 100.565902 83.875521) (xy 100.685353 83.925) (xy 101.34375 83.925) (xy 101.425 83.84375) (xy 101.425 82.125)
- (xy 101.775 82.125) (xy 101.775 83.84375) (xy 101.85625 83.925) (xy 102.514647 83.925) (xy 102.634098 83.875521)
- (xy 102.725522 83.784097) (xy 102.775 83.664646) (xy 102.775 82.20625) (xy 102.69375 82.125) (xy 101.775 82.125)
- (xy 101.425 82.125) (xy 100.50625 82.125) (xy 100.425 82.20625) (xy 91.05 82.20625) (xy 93.774393 81.071086)
- (xy 96.514094 80.323895) (xy 98.754151 80.075) (xy 100.515381 80.075)
+ (xy 193.103638 72.511921) (xy 193.126973 72.536494) (xy 193.272302 72.625486) (xy 193.285018 72.629248) (xy 193.295961 72.636742)
+ (xy 193.476233 72.700235) (xy 193.494627 72.701651) (xy 193.511939 72.708026) (xy 193.680085 72.723377) (xy 193.712473 72.747752)
+ (xy 193.699268 72.749) (xy 191.732308 72.749) (xy 191.530283 72.774522) (xy 191.277514 72.874601) (xy 191.057574 73.034396)
+ (xy 190.884283 73.243868) (xy 190.76853 73.489855) (xy 190.717589 73.756901) (xy 190.734659 74.028225) (xy 190.818669 74.28678)
+ (xy 190.964339 74.516319) (xy 191.162516 74.70242) (xy 191.324001 74.791197) (xy 191.324 79.727834) (xy 190.952835 80.099)
+ (xy 190.662485 80.099) (xy 190.700088 80.070457) (xy 190.771527 80.026508) (xy 190.818185 79.980817) (xy 190.870217 79.941323)
+ (xy 190.924143 79.877056) (xy 190.984073 79.818369) (xy 191.019452 79.763471) (xy 191.061436 79.713437) (xy 191.099786 79.638816)
+ (xy 191.145223 79.568313) (xy 191.167558 79.506946) (xy 191.197416 79.448849) (xy 191.218277 79.3676) (xy 191.24712 79.288351)
+ (xy 191.254489 79.226563) (xy 191.271619 79.159846) (xy 191.272836 79.072699) (xy 191.276 79.046162) (xy 191.276 78.846087)
+ (xy 191.276264 78.827132) (xy 191.276 78.825969) (xy 191.276 76.900262) (xy 191.251223 76.67937) (xy 191.153391 76.398432)
+ (xy 190.995748 76.14615) (xy 190.876 76.025564) (xy 190.876 74.707308) (xy 190.850478 74.505283) (xy 190.750399 74.252514)
+ (xy 190.590604 74.032574) (xy 190.381132 73.859283) (xy 190.135145 73.74353) (xy 189.868099 73.692589) (xy 189.596776 73.709659)
+ (xy 189.338221 73.793669) (xy 189.108682 73.939339) (xy 188.922581 74.137516) (xy 188.789665 74.379289) (xy 188.754464 74.563819)
+ (xy 188.754464 74.326996) (xy 188.698371 74.088505) (xy 188.651 74.025775) (xy 188.651 73.574477) (xy 188.710033 73.535033)
+ (xy 188.766182 73.451) (xy 189.075523 73.451) (xy 189.114967 73.510033) (xy 189.222801 73.582086) (xy 189.33759 73.604918)
+ (xy 190.26241 73.604918) (xy 190.377199 73.582086) (xy 190.485033 73.510033) (xy 190.557086 73.402199) (xy 190.579918 73.28741)
+ (xy 190.579918 72.46259) (xy 190.557086 72.347801) (xy 190.485033 72.239967) (xy 190.377199 72.167914) (xy 190.26241 72.145082)
+ (xy 189.33759 72.145082) (xy 189.222801 72.167914) (xy 189.114967 72.239967) (xy 189.075523 72.299) (xy 188.787297 72.299)
+ (xy 188.782086 72.272801) (xy 188.710033 72.164967) (xy 188.602199 72.092914) (xy 188.48741 72.070082) (xy 187.66259 72.070082)
+ (xy 187.547801 72.092914) (xy 187.439967 72.164967) (xy 187.367914 72.272801) (xy 187.345082 72.38759) (xy 187.345082 73.31241)
+ (xy 187.367914 73.427199) (xy 187.439967 73.535033) (xy 187.499 73.574478) (xy 187.499001 73.818281) (xy 187.463505 73.826629)
+ (xy 187.292969 73.955411) (xy 187.182064 74.134528) (xy 187.145536 74.329938) (xy 187.145536 74.923004) (xy 187.201629 75.161495)
+ (xy 187.330411 75.332031) (xy 187.509528 75.442936) (xy 187.704938 75.479464) (xy 188.198004 75.479464) (xy 188.436495 75.423371)
+ (xy 188.607031 75.294589) (xy 188.717936 75.115472) (xy 188.724001 75.083028) (xy 188.724 75.947473) (xy 188.698371 75.838505)
+ (xy 188.569589 75.667969) (xy 188.390472 75.557064) (xy 188.195062 75.520536) (xy 187.701996 75.520536) (xy 187.463505 75.576629)
+ (xy 187.292969 75.705411) (xy 187.182064 75.884528) (xy 187.145536 76.079938) (xy 187.145536 76.673004) (xy 187.201629 76.911495)
+ (xy 187.330411 77.082031) (xy 187.474 77.170938) (xy 187.474001 77.625837) (xy 186.651 76.802836) (xy 186.651 73.61727)
+ (xy 186.702199 73.607086) (xy 186.810033 73.535033) (xy 186.882086 73.427199) (xy 186.904918 73.31241) (xy 186.904918 72.38759)
+ (xy 186.882086 72.272801) (xy 186.810033 72.164967) (xy 186.702199 72.092914) (xy 186.58741 72.070082) (xy 185.76259 72.070082)
+ (xy 185.647801 72.092914) (xy 185.539967 72.164967) (xy 185.477432 72.258557) (xy 184.292875 71.074) (xy 186.340024 71.074)
+ (xy 186.340024 71.31241) (xy 186.367047 71.448269) (xy 186.451035 71.573965) (xy 186.576731 71.657953) (xy 186.71259 71.684976)
+ (xy 186.901 71.684976) (xy 186.901 71.074) (xy 187.349 71.074) (xy 187.349 71.684976) (xy 187.53741 71.684976)
+ (xy 187.673269 71.657953) (xy 187.798965 71.573965) (xy 187.882953 71.448269) (xy 187.909976 71.31241) (xy 187.909976 71.074)
+ (xy 187.349 71.074) (xy 186.901 71.074) (xy 186.340024 71.074) (xy 184.292875 71.074) (xy 183.606465 70.38759)
+ (xy 186.340024 70.38759) (xy 186.340024 70.626) (xy 186.901 70.626) (xy 186.901 70.015024) (xy 187.349 70.015024)
+ (xy 187.349 70.626) (xy 187.909976 70.626) (xy 187.909976 70.38759) (xy 187.882953 70.251731) (xy 187.798965 70.126035)
+ (xy 187.673269 70.042047) (xy 187.53741 70.015024) (xy 187.349 70.015024) (xy 186.901 70.015024) (xy 186.71259 70.015024)
+ (xy 186.576731 70.042047) (xy 186.451035 70.126035) (xy 186.367047 70.251731) (xy 186.340024 70.38759) (xy 183.606465 70.38759)
+ (xy 183.358574 70.1397) (xy 183.329225 70.095775) (xy 183.155235 69.97952) (xy 183.013976 69.951421) (xy 182.95 69.938695)
+ (xy 182.898195 69.949) (xy 182.879918 69.949) (xy 182.879918 69.61259) (xy 182.857086 69.497801) (xy 182.785033 69.389967)
+ (xy 182.677199 69.317914) (xy 182.56241 69.295082) (xy 180.83759 69.295082) (xy 180.722801 69.317914) (xy 180.614967 69.389967)
+ (xy 180.542914 69.497801) (xy 180.520082 69.61259) (xy 180.520082 71.091208) (xy 180.27593 70.847056) (xy 180.317548 70.71261)
+ (xy 180.341655 70.48325) (xy 180.320754 70.253575) (xy 180.255638 70.032333) (xy 180.148791 69.827953) (xy 180.004281 69.648219)
+ (xy 179.827612 69.499976) (xy 179.625515 69.388873) (xy 179.405262 69.319004) (xy 179.214936 69.299) (xy 179.102029 69.299)
+ (xy 178.930476 69.315821) (xy 178.709695 69.382479) (xy 178.506066 69.49075) (xy 178.327345 69.636511) (xy 178.180339 69.814211)
+ (xy 178.070649 70.017079) (xy 178.002452 70.23739) (xy 177.978345 70.46675) (xy 177.999246 70.696425) (xy 178.064362 70.917667)
+ (xy 178.171209 71.122047) (xy 178.315719 71.301781) (xy 178.492388 71.450024) (xy 178.694485 71.561127) (xy 178.914738 71.630996)
+ (xy 179.105064 71.651) (xy 179.217971 71.651) (xy 179.389524 71.634179) (xy 179.532222 71.591096) (xy 180.524001 72.582876)
+ (xy 180.524 74.245082) (xy 180.31259 74.245082) (xy 180.197801 74.267914) (xy 180.089967 74.339967) (xy 180.017914 74.447801)
+ (xy 179.995082 74.56259) (xy 179.995082 74.949) (xy 179.297875 74.949) (xy 175.19593 70.847056) (xy 175.237548 70.71261)
+ (xy 175.238978 70.699) (xy 175.408761 70.699) (xy 175.451725 70.87727) (xy 175.548869 71.090928) (xy 175.684664 71.282362)
+ (xy 175.854206 71.444664) (xy 176.051382 71.571979) (xy 176.396001 71.710864) (xy 176.396001 70.699) (xy 176.844001 70.699)
+ (xy 176.844001 71.69113) (xy 177.078861 71.622231) (xy 177.287518 71.514765) (xy 177.472091 71.369782) (xy 177.625916 71.192513)
+ (xy 177.743447 70.989353) (xy 177.844274 70.699) (xy 176.844001 70.699) (xy 176.396001 70.699) (xy 175.408761 70.699)
+ (xy 175.238978 70.699) (xy 175.261655 70.48325) (xy 175.240754 70.253575) (xy 175.239997 70.251) (xy 175.395728 70.251)
+ (xy 176.396001 70.251) (xy 176.844001 70.251) (xy 177.831241 70.251) (xy 177.788277 70.07273) (xy 177.691133 69.859072)
+ (xy 177.555338 69.667638) (xy 177.385796 69.505336) (xy 177.18862 69.378021) (xy 176.844001 69.239136) (xy 176.844001 70.251)
+ (xy 176.396001 70.251) (xy 176.396001 69.25887) (xy 176.161141 69.327769) (xy 175.952484 69.435235) (xy 175.767911 69.580218)
+ (xy 175.614086 69.757487) (xy 175.496555 69.960647) (xy 175.395728 70.251) (xy 175.239997 70.251) (xy 175.175638 70.032333)
+ (xy 175.068791 69.827953) (xy 174.924281 69.648219) (xy 174.747612 69.499976) (xy 174.545515 69.388873) (xy 174.325262 69.319004)
+ (xy 174.134936 69.299) (xy 174.022029 69.299) (xy 173.850476 69.315821) (xy 173.629695 69.382479) (xy 173.426066 69.49075)
+ (xy 173.247345 69.636511) (xy 173.100339 69.814211) (xy 172.990649 70.017079) (xy 172.944048 70.167623) (xy 172.829225 69.995775)
+ (xy 172.785309 69.966432) (xy 172.458573 69.639698) (xy 172.429225 69.595775) (xy 172.255235 69.47952) (xy 172.113976 69.451421)
+ (xy 172.05 69.438695) (xy 171.998195 69.449) (xy 171.593538 69.449) (xy 171.494589 69.317969) (xy 171.315472 69.207064)
+ (xy 171.120062 69.170536) (xy 170.526996 69.170536) (xy 170.288505 69.226629) (xy 170.117969 69.355411) (xy 170.007064 69.534528)
+ (xy 169.976626 69.697361) (xy 169.905531 69.43203) (xy 169.761881 69.260834) (xy 169.573291 69.151952) (xy 169.299 69.103587)
+ (xy 169.299 70.854395) (xy 169.66797 70.755531) (xy 169.839166 70.611881) (xy 169.948048 70.423291) (xy 169.977867 70.254175)
+ (xy 170.026629 70.461495) (xy 170.155411 70.632031) (xy 170.334528 70.742936) (xy 170.529938 70.779464) (xy 171.123004 70.779464)
+ (xy 171.361495 70.723371) (xy 171.532031 70.594589) (xy 171.589978 70.501) (xy 171.832125 70.501) (xy 171.924001 70.592876)
+ (xy 171.924 73.173195) (xy 171.913695 73.225) (xy 171.935875 73.3365) (xy 171.954519 73.430233) (xy 171.964441 73.445082)
+ (xy 171.376 73.445082) (xy 171.376 72.679918) (xy 171.51241 72.679918) (xy 171.627199 72.657086) (xy 171.735033 72.585033)
+ (xy 171.807086 72.477199) (xy 171.829918 72.36241) (xy 171.829918 71.58759) (xy 171.807086 71.472801) (xy 171.735033 71.364967)
+ (xy 171.627199 71.292914) (xy 171.51241 71.270082) (xy 170.28759 71.270082) (xy 170.172801 71.292914) (xy 170.064967 71.364967)
+ (xy 169.992914 71.472801) (xy 169.977529 71.55015) (xy 169.957953 71.451731) (xy 169.873965 71.326035) (xy 169.748269 71.242047)
+ (xy 169.61241 71.215024) (xy 169.224 71.215024) (xy 169.224 72.734976) (xy 169.61241 72.734976) (xy 169.748269 72.707953)
+ (xy 169.873965 72.623965) (xy 169.957953 72.498269) (xy 169.977529 72.39985) (xy 169.992914 72.477199) (xy 170.064967 72.585033)
+ (xy 170.172801 72.657086) (xy 170.28759 72.679918) (xy 170.424 72.679918) (xy 170.424001 73.707413) (xy 170.407953 73.626731)
+ (xy 170.323965 73.501035) (xy 170.198269 73.417047) (xy 170.06241 73.390024) (xy 169.574 73.390024) (xy 169.574 74.151)
+ (xy 170.434976 74.151) (xy 170.434976 73.839466) (xy 170.439646 73.848631) (xy 170.455439 73.922932) (xy 170.520082 74.011906)
+ (xy 170.520082 74.98741) (xy 170.542914 75.102199) (xy 170.598794 75.185828) (xy 170.576035 75.201035) (xy 170.492047 75.326731)
+ (xy 170.465024 75.46259) (xy 170.465024 75.851) (xy 172.450152 75.851) (xy 172.486899 75.86294) (xy 172.550606 75.904312)
+ (xy 172.750468 75.935966) (xy 172.787663 75.926) (xy 172.855125 75.926) (xy 172.482126 76.299) (xy 170.465024 76.299)
+ (xy 170.465024 76.346149) (xy 170.379918 76.261043) (xy 170.379918 75.46259) (xy 170.357086 75.347801) (xy 170.301206 75.264172)
+ (xy 170.323965 75.248965) (xy 170.407953 75.123269) (xy 170.434976 74.98741) (xy 170.434976 74.599) (xy 168.265024 74.599)
+ (xy 168.265024 74.98741) (xy 168.292047 75.123269) (xy 168.376035 75.248965) (xy 168.398794 75.264172) (xy 168.342914 75.347801)
+ (xy 168.320082 75.46259) (xy 168.320082 75.549) (xy 167.654918 75.549) (xy 167.654918 75.31259) (xy 167.632086 75.197801)
+ (xy 167.560033 75.089967) (xy 167.452199 75.017914) (xy 167.37485 75.002529) (xy 167.473269 74.982953) (xy 167.598965 74.898965)
+ (xy 167.682953 74.773269) (xy 167.709976 74.63741) (xy 167.709976 74.249) (xy 166.190024 74.249) (xy 166.190024 74.63741)
+ (xy 166.217047 74.773269) (xy 166.301035 74.898965) (xy 166.426731 74.982953) (xy 166.52515 75.002529) (xy 166.447801 75.017914)
+ (xy 166.339967 75.089967) (xy 166.267914 75.197801) (xy 166.245082 75.31259) (xy 166.245082 76.53741) (xy 166.267914 76.652199)
+ (xy 166.339967 76.760033) (xy 166.447801 76.832086) (xy 166.56259 76.854918) (xy 167.33741 76.854918) (xy 167.452199 76.832086)
+ (xy 167.560033 76.760033) (xy 167.632086 76.652199) (xy 167.64227 76.601) (xy 168.320082 76.601) (xy 168.320082 76.68741)
+ (xy 168.342914 76.802199) (xy 168.414967 76.910033) (xy 168.522801 76.982086) (xy 168.63759 77.004918) (xy 169.636043 77.004918)
+ (xy 170.04143 77.410305) (xy 170.070775 77.454224) (xy 170.125012 77.490463) (xy 170.244765 77.57048) (xy 170.45 77.611305)
+ (xy 170.501809 77.600999) (xy 172.080125 77.601001) (xy 171.93559 77.745536) (xy 171.701996 77.745536) (xy 171.463505 77.801629)
+ (xy 171.292969 77.930411) (xy 171.182064 78.109528) (xy 171.145536 78.304938) (xy 171.145536 78.898004) (xy 171.201629 79.136495)
+ (xy 171.211072 79.148999) (xy 155.332786 79.148999) (xy 155.316065 79.145261) (xy 155.197115 79.148999) (xy 155.146306 79.148999)
+ (xy 155.129484 79.151125) (xy 155.044338 79.1538) (xy 154.995123 79.168098) (xy 154.944282 79.174521) (xy 154.865076 79.20588)
+ (xy 154.779393 79.230774) (xy 154.747557 79.25241) (xy 154.691513 79.2746) (xy 154.471573 79.434395) (xy 154.417277 79.500027)
+ (xy 153.523148 80.394157) (xy 153.508681 80.403338) (xy 153.427208 80.490098) (xy 153.391288 80.526018) (xy 153.380894 80.539417)
+ (xy 153.322579 80.601516) (xy 153.297892 80.646422) (xy 153.26648 80.686918) (xy 153.232648 80.765103) (xy 153.189664 80.843288)
+ (xy 153.182452 80.881099) (xy 153.158512 80.93642) (xy 153.115983 81.204933) (xy 153.124 81.289742) (xy 153.123999 93.156213)
+ (xy 153.120261 93.172934) (xy 153.123999 93.29187) (xy 153.123999 93.342692) (xy 153.126126 93.359522) (xy 153.128801 93.444659)
+ (xy 153.143097 93.493866) (xy 153.149521 93.544716) (xy 153.180884 93.623931) (xy 153.205775 93.709604) (xy 153.227409 93.741437)
+ (xy 153.2496 93.797484) (xy 153.409395 94.017425) (xy 153.475032 94.071725) (xy 154.055158 94.651852) (xy 154.064339 94.666319)
+ (xy 154.151103 94.747796) (xy 154.187018 94.783711) (xy 154.200417 94.794105) (xy 154.262516 94.85242) (xy 154.307422 94.877107)
+ (xy 154.347918 94.908519) (xy 154.426099 94.94235) (xy 154.504288 94.985335) (xy 154.542102 94.992549) (xy 154.59742 95.016487)
+ (xy 154.865932 95.059016) (xy 154.950733 95.051) (xy 155.957948 95.051) (xy 155.980885 95.108934) (xy 156.005776 95.194607)
+ (xy 156.02741 95.22644) (xy 156.049601 95.282487) (xy 156.209396 95.502428) (xy 156.275039 95.556733) (xy 157.580171 96.861866)
+ (xy 157.570082 96.91259) (xy 157.570082 97.030356) (xy 157.504779 97.131687) (xy 157.44451 97.297275) (xy 156.024259 98.717525)
+ (xy 156.333597 98.852671) (xy 156.559607 98.902363) (xy 156.790966 98.907209) (xy 157.018859 98.867025) (xy 157.234607 98.783342)
+ (xy 157.374001 98.69488) (xy 157.374 99.861683) (xy 157.372448 100.009848) (xy 157.389684 100.089567) (xy 157.398777 100.170629)
+ (xy 157.421022 100.234512) (xy 157.435314 100.300614) (xy 157.469784 100.374536) (xy 157.49661 100.451567) (xy 157.532454 100.508929)
+ (xy 157.561226 100.57063) (xy 157.608726 100.630992) (xy 157.654253 100.70385) (xy 157.711125 100.76112) (xy 157.712051 100.762296)
+ (xy 157.746777 100.797022) (xy 157.863866 100.91493) (xy 157.865379 100.91589) (xy 157.956631 101.009073) (xy 158.025192 101.053258)
+ (xy 158.088938 101.104145) (xy 158.149833 101.133583) (xy 158.206687 101.170223) (xy 158.283335 101.19812) (xy 158.356771 101.233621)
+ (xy 158.422677 101.248836) (xy 158.486649 101.27212) (xy 158.562932 101.281217) (xy 158.64663 101.30054) (xy 158.727358 101.300822)
+ (xy 158.728857 101.301) (xy 158.778599 101.301) (xy 158.94411 101.301578) (xy 158.946696 101.301) (xy 160.557595 101.301)
+ (xy 160.492914 101.397801) (xy 160.470082 101.51259) (xy 160.470082 103.03741) (xy 160.492914 103.152199) (xy 160.564967 103.260033)
+ (xy 160.672801 103.332086) (xy 160.78759 103.354918) (xy 160.874 103.354918) (xy 160.874001 104.754413) (xy 160.869451 104.862963)
+ (xy 160.888448 104.943957) (xy 160.899735 105.026358) (xy 160.917291 105.066926) (xy 160.928466 105.114571) (xy 160.956766 105.158149)
+ (xy 161.000493 105.259199) (xy 161.070082 105.345133) (xy 161.070082 105.36241) (xy 161.074001 105.382113) (xy 161.074 106.567893)
+ (xy 161.070082 106.587591) (xy 161.070082 107.362409) (xy 161.074 107.382107) (xy 161.074 107.399) (xy 160.095693 107.399)
+ (xy 159.394845 106.698152) (xy 159.385659 106.683679) (xy 159.298881 106.602189) (xy 159.262981 106.566288) (xy 159.249589 106.5559)
+ (xy 159.187484 106.49758) (xy 159.142574 106.47289) (xy 159.10208 106.441481) (xy 159.023913 106.407656) (xy 158.945711 106.364664)
+ (xy 158.907894 106.35745) (xy 158.852579 106.333513) (xy 158.584066 106.290984) (xy 158.499268 106.299) (xy 156.068786 106.299)
+ (xy 156.052065 106.295262) (xy 155.933129 106.299) (xy 155.882306 106.299) (xy 155.865476 106.301127) (xy 155.780339 106.303802)
+ (xy 155.731132 106.318098) (xy 155.680282 106.324522) (xy 155.601067 106.355885) (xy 155.515393 106.380776) (xy 155.483559 106.402411)
+ (xy 155.427514 106.424601) (xy 155.207574 106.584396) (xy 155.153269 106.650039) (xy 154.673154 107.130154) (xy 154.658681 107.139339)
+ (xy 154.577189 107.226119) (xy 154.541288 107.262019) (xy 154.5309 107.275411) (xy 154.472579 107.337517) (xy 154.44789 107.382428)
+ (xy 154.416481 107.422919) (xy 154.382654 107.501091) (xy 154.354464 107.552369) (xy 154.354464 107.464712) (xy 154.297038 107.220553)
+ (xy 154.16544 107.046288) (xy 153.982349 106.932923) (xy 153.782346 106.895536) (xy 152.926 106.895536) (xy 152.926 103.404918)
+ (xy 153.46241 103.404918) (xy 153.577199 103.382086) (xy 153.685033 103.310033) (xy 153.757086 103.202199) (xy 153.779918 103.08741)
+ (xy 153.779918 101.51259) (xy 153.757086 101.397801) (xy 153.685033 101.289967) (xy 153.625219 101.25) (xy 153.685033 101.210033)
+ (xy 153.757086 101.102199) (xy 153.779918 100.98741) (xy 153.779918 100.619504) (xy 154.029542 100.869129) (xy 154.015353 100.965212)
+ (xy 154.047152 101.216918) (xy 154.145467 101.4508) (xy 154.303056 101.649628) (xy 154.508308 101.798752) (xy 154.746102 101.887187)
+ (xy 154.998918 101.908416) (xy 155.24813 101.860877) (xy 155.475378 101.74807) (xy 155.663918 101.578308) (xy 155.799861 101.364096)
+ (xy 155.873384 101.120575) (xy 155.876231 100.848795) (xy 155.807823 100.603787) (xy 155.676397 100.386776) (xy 155.491453 100.213102)
+ (xy 155.266617 100.095561) (xy 155.018455 100.042813) (xy 154.843411 100.053826) (xy 154.175391 99.385806) (xy 154.170421 99.375637)
+ (xy 154.098591 99.309006) (xy 154.069473 99.279887) (xy 154.060749 99.273903) (xy 154.008392 99.225333) (xy 153.976475 99.216091)
+ (xy 153.937397 99.189283) (xy 153.779918 99.151912) (xy 153.779918 98.46259) (xy 153.757086 98.347801) (xy 153.685033 98.239967)
+ (xy 153.577199 98.167914) (xy 153.46241 98.145082) (xy 151.23759 98.145082) (xy 151.122801 98.167914) (xy 151.014967 98.239967)
+ (xy 150.942914 98.347801) (xy 150.920082 98.46259) (xy 150.920082 100.98741) (xy 150.942914 101.102199) (xy 151.014967 101.210033)
+ (xy 151.074781 101.25) (xy 151.014967 101.289967) (xy 150.942914 101.397801) (xy 150.920082 101.51259) (xy 150.920082 103.08741)
+ (xy 150.942914 103.202199) (xy 151.014967 103.310033) (xy 151.122801 103.382086) (xy 151.23759 103.404918) (xy 151.774001 103.404918)
+ (xy 151.774 106.895536) (xy 150.914712 106.895536) (xy 150.670553 106.952962) (xy 150.496288 107.08456) (xy 150.382923 107.267651)
+ (xy 150.345536 107.467654) (xy 150.345536 108.510288) (xy 150.402962 108.754447) (xy 150.53456 108.928712) (xy 150.717651 109.042077)
+ (xy 150.917654 109.079464) (xy 153.785288 109.079464) (xy 154.029447 109.022038) (xy 154.203712 108.89044) (xy 154.274 108.77692)
+ (xy 154.274001 111.456207) (xy 154.270262 111.472935) (xy 154.274001 111.591902) (xy 154.274001 111.642691) (xy 154.276126 111.659513)
+ (xy 154.278802 111.744661) (xy 154.293099 111.793869) (xy 154.299522 111.844717) (xy 154.324001 111.906543) (xy 154.324 112.8865)
+ (xy 153.867747 112.8865) (xy 153.782346 112.870536) (xy 150.914712 112.870536) (xy 150.670553 112.927962) (xy 150.496288 113.05956)
+ (xy 150.382923 113.242651) (xy 150.345536 113.442654) (xy 150.345536 114.485288) (xy 150.402962 114.729447) (xy 150.53456 114.903712)
+ (xy 150.717651 115.017077) (xy 150.917654 115.054464) (xy 153.785288 115.054464) (xy 153.853162 115.0385) (xy 154.322308 115.0385)
+ (xy 154.324 115.092322) (xy 154.324 115.143144) (xy 154.326127 115.159974) (xy 154.328802 115.245111) (xy 154.343098 115.294318)
+ (xy 154.349522 115.345168) (xy 154.380885 115.424383) (xy 154.405776 115.510056) (xy 154.42741 115.541889) (xy 154.449601 115.597936)
+ (xy 154.609396 115.817877) (xy 154.675033 115.872177) (xy 155.635929 116.833074) (xy 155.635937 116.83308) (xy 159.304696 120.50184)
+ (xy 159.313878 120.516309) (xy 159.400642 120.597786) (xy 159.436557 120.633701) (xy 159.449956 120.644095) (xy 159.512056 120.70241)
+ (xy 159.556962 120.727098) (xy 159.597456 120.758508) (xy 159.675636 120.79234) (xy 159.753828 120.835326) (xy 159.791641 120.842539)
+ (xy 159.846958 120.866477) (xy 160.115472 120.909006) (xy 160.200271 120.90099) (xy 168.017682 120.90099) (xy 168.195815 120.878486)
+ (xy 168.259528 120.917936) (xy 168.454938 120.954464) (xy 168.897169 120.954464) (xy 168.882382 120.995536) (xy 168.451996 120.995536)
+ (xy 168.213505 121.051629) (xy 168.042969 121.180411) (xy 167.932064 121.359528) (xy 167.895536 121.554938) (xy 167.895536 122.148004)
+ (xy 167.951629 122.386495) (xy 168.080411 122.557031) (xy 168.259528 122.667936) (xy 168.454938 122.704464) (xy 168.948004 122.704464)
+ (xy 169.186495 122.648371) (xy 169.282333 122.575999) (xy 169.289986 122.575999) (xy 169.344996 122.558125) (xy 169.402142 122.549074)
+ (xy 169.453689 122.522809) (xy 169.508706 122.504933) (xy 169.555502 122.470934) (xy 169.607051 122.444668) (xy 169.770015 122.281704)
+ (xy 169.770017 122.2817) (xy 169.875727 122.17599) (xy 170.49143 122.17599) (xy 170.624 122.308561) (xy 170.624 122.945082)
+ (xy 169.73759 122.945082) (xy 169.622801 122.967914) (xy 169.514967 123.039967) (xy 169.442914 123.147801) (xy 169.420082 123.26259)
+ (xy 169.420082 126.521094) (xy 168.692176 127.249) (xy 168.679918 127.249) (xy 168.679918 127.21259) (xy 168.657086 127.097801)
+ (xy 168.585033 126.989967) (xy 168.477199 126.917914) (xy 168.36241 126.895082) (xy 166.53759 126.895082) (xy 166.422801 126.917914)
+ (xy 166.314967 126.989967) (xy 166.242914 127.097801) (xy 166.220082 127.21259) (xy 166.220082 128.499573) (xy 166.217465 128.541752)
+ (xy 166.220082 128.556981) (xy 166.220082 129.73741) (xy 166.242914 129.852199) (xy 166.314967 129.960033) (xy 166.422801 130.032086)
+ (xy 166.53759 130.054918) (xy 168.36241 130.054918) (xy 168.477199 130.032086) (xy 168.585033 129.960033) (xy 168.657086 129.852199)
+ (xy 168.679918 129.73741) (xy 168.679918 129.701) (xy 169.107035 129.701) (xy 169.156923 129.708589) (xy 169.250227 129.701)
+ (xy 169.271546 129.701) (xy 169.320726 129.695266) (xy 169.441864 129.685413) (xy 169.462455 129.678743) (xy 169.483958 129.676236)
+ (xy 169.598211 129.634764) (xy 169.717611 129.596084) (xy 169.731646 129.58633) (xy 169.752688 129.578692) (xy 169.991768 129.421943)
+ (xy 170.079636 129.329188) (xy 170.403906 129.004918) (xy 170.624 129.004918) (xy 170.624 132.393029) (xy 169.395783 132.393029)
+ (xy 162.770219 127.400407) (xy 162.747258 127.376076) (xy 162.605903 127.288912) (xy 162.593202 127.285111) (xy 162.582289 127.277588)
+ (xy 162.39812 127.212072) (xy 162.379288 127.210563) (xy 162.361558 127.204034) (xy 162.221585 127.191259) (xy 158.932482 124.699)
+ (xy 166.165024 124.699) (xy 166.165024 125.73741) (xy 166.192047 125.873269) (xy 166.276035 125.998965) (xy 166.401731 126.082953)
+ (xy 166.53759 126.109976) (xy 167.226 126.109976) (xy 167.226 124.699) (xy 167.674 124.699) (xy 167.674 126.109976)
+ (xy 168.36241 126.109976) (xy 168.498269 126.082953) (xy 168.623965 125.998965) (xy 168.707953 125.873269) (xy 168.734976 125.73741)
+ (xy 168.734976 124.699) (xy 167.674 124.699) (xy 167.226 124.699) (xy 166.165024 124.699) (xy 158.932482 124.699)
+ (xy 156.970825 123.21259) (xy 166.165024 123.21259) (xy 166.165024 124.251) (xy 167.226 124.251) (xy 167.226 122.840024)
+ (xy 167.674 122.840024) (xy 167.674 124.251) (xy 168.734976 124.251) (xy 168.734976 123.21259) (xy 168.707953 123.076731)
+ (xy 168.623965 122.951035) (xy 168.498269 122.867047) (xy 168.36241 122.840024) (xy 167.674 122.840024) (xy 167.226 122.840024)
+ (xy 166.53759 122.840024) (xy 166.401731 122.867047) (xy 166.276035 122.951035) (xy 166.192047 123.076731) (xy 166.165024 123.21259)
+ (xy 156.970825 123.21259) (xy 153.959171 120.930564) (xy 153.917195 120.832023) (xy 153.908684 120.821158) (xy 153.903882 120.808224)
+ (xy 153.800712 120.662886) (xy 153.790956 120.654807) (xy 153.784205 120.64409) (xy 153.654381 120.523904) (xy 153.623815 120.507793)
+ (xy 150.153747 117.893031) (xy 150.153747 97.824217) (xy 155.518455 97.824217) (xy 155.560229 98.051824) (xy 155.700955 98.407261)
+ (xy 156.383216 97.725) (xy 155.706274 97.048058) (xy 155.569799 97.366479) (xy 155.521686 97.59283) (xy 155.518455 97.824217)
+ (xy 150.153747 97.824217) (xy 150.153747 96.727364) (xy 156.019148 96.727364) (xy 156.7 97.408216) (xy 157.378193 96.730023)
+ (xy 157.050622 96.592324) (xy 156.82394 96.545792) (xy 156.592536 96.544177) (xy 156.365226 96.587539) (xy 156.019148 96.727364)
+ (xy 150.153747 96.727364) (xy 150.153747 82.019042) (xy 153.6101 79.414697) (xy 153.639906 79.399258) (xy 153.76316 79.286775)
+ (xy 153.769333 79.277129) (xy 153.778267 79.269963) (xy 153.882758 79.127552) (xy 153.887682 79.114902) (xy 153.896236 79.104363)
+ (xy 153.971843 78.935045) (xy 153.972633 78.930095) (xy 161.304112 73.41259) (xy 166.190024 73.41259) (xy 166.190024 73.801)
+ (xy 166.726 73.801) (xy 166.726 73.040024) (xy 167.174 73.040024) (xy 167.174 73.801) (xy 167.709976 73.801)
+ (xy 167.709976 73.76259) (xy 168.265024 73.76259) (xy 168.265024 74.151) (xy 169.126 74.151) (xy 169.126 73.390024)
+ (xy 168.63759 73.390024) (xy 168.501731 73.417047) (xy 168.376035 73.501035) (xy 168.292047 73.626731) (xy 168.265024 73.76259)
+ (xy 167.709976 73.76259) (xy 167.709976 73.41259) (xy 167.682953 73.276731) (xy 167.598965 73.151035) (xy 167.473269 73.067047)
+ (xy 167.33741 73.040024) (xy 167.174 73.040024) (xy 166.726 73.040024) (xy 166.56259 73.040024) (xy 166.426731 73.067047)
+ (xy 166.301035 73.151035) (xy 166.217047 73.276731) (xy 166.190024 73.41259) (xy 161.304112 73.41259) (xy 162.211501 72.72971)
+ (xy 162.330516 72.721279) (xy 162.348447 72.715087) (xy 162.367378 72.713945) (xy 162.551419 72.652546) (xy 162.563607 72.644495)
+ (xy 162.577641 72.640451) (xy 162.731969 72.547454) (xy 162.756172 72.522328) (xy 163.185244 72.199) (xy 168.015024 72.199)
+ (xy 168.015024 72.36241) (xy 168.042047 72.498269) (xy 168.126035 72.623965) (xy 168.251731 72.707953) (xy 168.38759 72.734976)
+ (xy 168.776 72.734976) (xy 168.776 72.199) (xy 168.015024 72.199) (xy 163.185244 72.199) (xy 163.996616 71.58759)
+ (xy 168.015024 71.58759) (xy 168.015024 71.751) (xy 168.776 71.751) (xy 168.776 71.215024) (xy 168.38759 71.215024)
+ (xy 168.251731 71.242047) (xy 168.126035 71.326035) (xy 168.042047 71.451731) (xy 168.015024 71.58759) (xy 163.996616 71.58759)
+ (xy 165.839345 70.199) (xy 168.159002 70.199) (xy 168.244469 70.51797) (xy 168.388119 70.689166) (xy 168.576709 70.798048)
+ (xy 168.851 70.846413) (xy 168.851 70.199) (xy 168.159002 70.199) (xy 165.839345 70.199) (xy 166.433864 69.751)
+ (xy 168.162405 69.751) (xy 168.851 69.751) (xy 168.851 69.095605) (xy 168.48203 69.194469) (xy 168.310834 69.338119)
+ (xy 168.201952 69.526709) (xy 168.162405 69.751) (xy 166.433864 69.751) (xy 169.395782 67.519046) (xy 186.477731 67.519046)
)
)
(filled_polygon
(pts
- (xy 107.992192 118.079628) (xy 108.027467 118.211274) (xy 106.972846 118.679995) (xy 105.77908 119.077917) (xy 105.672956 118.78937)
- (xy 105.499393 118.56318) (xy 105.30072 118.49249) (xy 104.808145 119.345655) (xy 104.183672 119.501773) (xy 103.343766 119.016854)
- (xy 103.207055 119.17741) (xy 103.185964 119.700909) (xy 102.625 119.747656) (xy 102.625 119.087547) (xy 102.675 118.836179)
- (xy 102.692142 118.750001) (xy 102.684931 118.713746) (xy 103.518766 118.713746) (xy 104.456317 119.255041) (xy 104.997612 118.31749)
- (xy 104.837056 118.180779) (xy 104.310365 118.159559) (xy 103.815646 118.34151) (xy 103.589456 118.515073) (xy 103.518766 118.713746)
- (xy 102.684931 118.713746) (xy 102.675 118.663823) (xy 102.675 117.152082) (xy 102.680387 117.125) (xy 102.680387 117.00625)
- (xy 102.775 117.00625) (xy 102.775 117.189647) (xy 102.824479 117.309098) (xy 102.915903 117.400522) (xy 103.035354 117.45)
- (xy 103.44375 117.45) (xy 103.525 117.36875) (xy 103.525 116.925) (xy 103.875 116.925) (xy 103.875 117.36875)
- (xy 103.95625 117.45) (xy 104.364646 117.45) (xy 104.484097 117.400522) (xy 104.575521 117.309098) (xy 104.625 117.189647)
- (xy 104.625 117.00625) (xy 104.54375 116.925) (xy 103.875 116.925) (xy 103.525 116.925) (xy 102.85625 116.925)
- (xy 102.775 117.00625) (xy 102.680387 117.00625) (xy 102.680387 116.375) (xy 102.675 116.347918) (xy 102.675 116.310353)
- (xy 102.775 116.310353) (xy 102.775 116.49375) (xy 102.85625 116.575) (xy 103.525 116.575) (xy 103.525 116.13125)
- (xy 103.875 116.13125) (xy 103.875 116.575) (xy 104.54375 116.575) (xy 104.625 116.49375) (xy 104.625 116.310353)
- (xy 104.575521 116.190902) (xy 104.484097 116.099478) (xy 104.364646 116.05) (xy 103.95625 116.05) (xy 103.875 116.13125)
- (xy 103.525 116.13125) (xy 103.44375 116.05) (xy 103.035354 116.05) (xy 102.915903 116.099478) (xy 102.824479 116.190902)
- (xy 102.775 116.310353) (xy 102.675 116.310353) (xy 102.675 115.213808) (xy 102.698264 115.198264) (xy 102.759044 115.107299)
- (xy 102.780387 115) (xy 102.780387 113) (xy 102.759044 112.892701) (xy 102.698264 112.801736) (xy 102.65825 112.775)
- (xy 102.687564 112.775)
+ (xy 205.719767 117.89304) (xy 202.263667 120.497574) (xy 202.233613 120.513231) (xy 202.108005 120.628406) (xy 202.101632 120.638417)
+ (xy 202.092411 120.645893) (xy 201.98824 120.789451) (xy 201.983383 120.802127) (xy 201.974883 120.812714) (xy 201.900287 120.982173)
+ (xy 201.897783 120.998421) (xy 201.890734 121.013273) (xy 201.886815 121.034649) (xy 193.677593 127.18104) (xy 193.541344 127.190989)
+ (xy 193.523452 127.197211) (xy 193.504549 127.198388) (xy 193.320577 127.260171) (xy 193.308529 127.268166) (xy 193.294638 127.272182)
+ (xy 193.141315 127.364778) (xy 193.117232 127.389831) (xy 186.478244 132.393029) (xy 183.876 132.393029) (xy 183.876 129.004918)
+ (xy 184.66241 129.004918) (xy 184.777199 128.982086) (xy 184.885033 128.910033) (xy 184.957086 128.802199) (xy 184.979918 128.68741)
+ (xy 184.979918 125.216775) (xy 185.095694 125.100999) (xy 186.431215 125.100999) (xy 186.447936 125.104737) (xy 186.566872 125.100999)
+ (xy 186.617695 125.100999) (xy 186.634525 125.098872) (xy 186.719661 125.096197) (xy 186.768869 125.081901) (xy 186.819718 125.075477)
+ (xy 186.898937 125.044113) (xy 186.984607 125.019223) (xy 187.016441 124.997588) (xy 187.072487 124.975398) (xy 187.292427 124.815603)
+ (xy 187.346732 124.74996) (xy 190.045693 122.051) (xy 200.006214 122.051) (xy 200.022935 122.054738) (xy 200.141871 122.051)
+ (xy 200.192694 122.051) (xy 200.209524 122.048873) (xy 200.29466 122.046198) (xy 200.343868 122.031902) (xy 200.394717 122.025478)
+ (xy 200.473936 121.994114) (xy 200.559606 121.969224) (xy 200.59144 121.947589) (xy 200.647486 121.925399) (xy 200.867426 121.765604)
+ (xy 200.921731 121.699961) (xy 202.70185 119.919843) (xy 202.716319 119.910661) (xy 202.797796 119.823897) (xy 202.833711 119.787982)
+ (xy 202.844105 119.774583) (xy 202.902421 119.712483) (xy 202.927107 119.667577) (xy 202.957465 119.628441) (xy 205.026846 117.55906)
+ (xy 205.041319 117.549875) (xy 205.122811 117.463095) (xy 205.15871 117.427197) (xy 205.169101 117.413802) (xy 205.227421 117.351697)
+ (xy 205.252109 117.306788) (xy 205.283518 117.266296) (xy 205.317351 117.188113) (xy 205.360336 117.109925) (xy 205.367548 117.072115)
+ (xy 205.391488 117.016794) (xy 205.434016 116.748281) (xy 205.426 116.663481) (xy 205.426 116.580668) (xy 205.461436 116.538436)
+ (xy 205.597416 116.273849) (xy 205.671619 115.984846) (xy 205.676264 115.652127) (xy 205.61016 115.361166) (xy 205.481621 115.092885)
+ (xy 205.29684 114.859748) (xy 205.064999 114.673344) (xy 204.797621 114.542934) (xy 204.507997 114.475004) (xy 204.210519 114.472926)
+ (xy 203.955745 114.528942) (xy 205.489197 112.99549) (xy 205.499365 112.990519) (xy 205.565981 112.918706) (xy 205.595109 112.889579)
+ (xy 205.601094 112.880855) (xy 205.649667 112.828492) (xy 205.658909 112.796577) (xy 205.685716 112.7575) (xy 205.719767 112.614014)
)
)
(filled_polygon
(pts
- (xy 118.431256 92.779992) (xy 119.178696 94.52402) (xy 119.627594 96.319613) (xy 117.5 96.319613) (xy 117.392701 96.340956)
- (xy 117.301736 96.401736) (xy 117.240956 96.492701) (xy 117.219613 96.6) (xy 117.219613 99.3) (xy 117.240956 99.407299)
- (xy 117.301736 99.498264) (xy 117.392701 99.559044) (xy 117.5 99.580387) (xy 118.225001 99.580387) (xy 118.225 101.386178)
- (xy 118.275768 101.641407) (xy 118.46916 101.93084) (xy 118.758592 102.124232) (xy 119.1 102.192142) (xy 119.441407 102.124232)
- (xy 119.73084 101.93084) (xy 119.830964 101.780994) (xy 119.763327 102.525) (xy 119.585353 102.525) (xy 119.465902 102.574479)
- (xy 119.374478 102.665903) (xy 119.325 102.785354) (xy 119.325 103.04375) (xy 119.40625 103.125) (xy 119.708781 103.125)
- (xy 119.676963 103.475) (xy 119.40625 103.475) (xy 119.325 103.55625) (xy 119.325 103.814646) (xy 119.374478 103.934097)
- (xy 119.465902 104.025521) (xy 119.534235 104.053826) (xy 119.427661 104.480124) (xy 118.679531 106.97389) (xy 117.683606 109.21472)
- (xy 116.438088 111.20755) (xy 114.943198 113.200736) (xy 112.951356 115.192578) (xy 111.20829 116.437625) (xy 110.347485 116.975628)
- (xy 110.32794 116.902686) (xy 110.279553 116.804566) (xy 110.197301 116.732433) (xy 110.093705 116.697267) (xy 109.984538 116.704422)
- (xy 109.280503 116.893067) (xy 104.016375 111.628939) (xy 104.036179 111.625) (xy 105.836179 111.625) (xy 106.091408 111.574232)
- (xy 106.38084 111.38084) (xy 106.574232 111.091408) (xy 106.638295 110.769338) (xy 106.889879 111.020922) (xy 106.91359 111.056408)
- (xy 107.054171 111.150341) (xy 107.06749 111.15299) (xy 107.040956 111.192701) (xy 107.019613 111.3) (xy 107.019613 112.1)
- (xy 107.040956 112.207299) (xy 107.101736 112.298264) (xy 107.192701 112.359044) (xy 107.3 112.380387) (xy 108.2 112.380387)
- (xy 108.307299 112.359044) (xy 108.398264 112.298264) (xy 108.447217 112.225) (xy 109.769613 112.225) (xy 109.769613 112.45)
- (xy 109.790956 112.557299) (xy 109.851736 112.648264) (xy 109.942701 112.709044) (xy 110.05 112.730387) (xy 110.95 112.730387)
- (xy 111.057299 112.709044) (xy 111.148264 112.648264) (xy 111.209044 112.557299) (xy 111.230387 112.45) (xy 111.230387 112.375)
- (xy 112.127783 112.375) (xy 112.176736 112.448264) (xy 112.267701 112.509044) (xy 112.375 112.530387) (xy 113.625 112.530387)
- (xy 113.732299 112.509044) (xy 113.823264 112.448264) (xy 113.884044 112.357299) (xy 113.905387 112.25) (xy 113.905387 111.25)
- (xy 113.884044 111.142701) (xy 113.823264 111.051736) (xy 113.732299 110.990956) (xy 113.625 110.969613) (xy 112.375 110.969613)
- (xy 112.267701 110.990956) (xy 112.176736 111.051736) (xy 112.115956 111.142701) (xy 112.094613 111.25) (xy 112.094613 111.325)
- (xy 111.230387 111.325) (xy 111.230387 111.25) (xy 111.209044 111.142701) (xy 111.148264 111.051736) (xy 111.057299 110.990956)
- (xy 110.95 110.969613) (xy 110.05 110.969613) (xy 109.942701 110.990956) (xy 109.865816 111.042328) (xy 109.880121 111.020919)
- (xy 110.370654 110.530387) (xy 110.95 110.530387) (xy 111.057299 110.509044) (xy 111.148264 110.448264) (xy 111.209044 110.357299)
- (xy 111.230387 110.25) (xy 111.230387 110.00625) (xy 112.05 110.00625) (xy 112.05 110.314646) (xy 112.099478 110.434097)
- (xy 112.190902 110.525521) (xy 112.310353 110.575) (xy 112.74375 110.575) (xy 112.825 110.49375) (xy 112.825 109.925)
- (xy 113.175 109.925) (xy 113.175 110.49375) (xy 113.25625 110.575) (xy 113.689647 110.575) (xy 113.809098 110.525521)
- (xy 113.900522 110.434097) (xy 113.95 110.314646) (xy 113.95 110.00625) (xy 113.86875 109.925) (xy 113.175 109.925)
- (xy 112.825 109.925) (xy 112.13125 109.925) (xy 112.05 110.00625) (xy 111.230387 110.00625) (xy 111.230387 109.185354)
- (xy 112.05 109.185354) (xy 112.05 109.49375) (xy 112.13125 109.575) (xy 112.825 109.575) (xy 112.825 109.00625)
- (xy 113.175 109.00625) (xy 113.175 109.575) (xy 113.86875 109.575) (xy 113.95 109.49375) (xy 113.95 109.185354)
- (xy 113.900522 109.065903) (xy 113.809098 108.974479) (xy 113.689647 108.925) (xy 113.25625 108.925) (xy 113.175 109.00625)
- (xy 112.825 109.00625) (xy 112.74375 108.925) (xy 112.310353 108.925) (xy 112.190902 108.974479) (xy 112.099478 109.065903)
- (xy 112.05 109.185354) (xy 111.230387 109.185354) (xy 111.230387 109.05) (xy 111.209044 108.942701) (xy 111.148264 108.851736)
- (xy 111.057299 108.790956) (xy 110.95 108.769613) (xy 110.05 108.769613) (xy 109.942701 108.790956) (xy 109.851736 108.851736)
- (xy 109.790956 108.942701) (xy 109.769613 109.05) (xy 109.769613 109.929346) (xy 109.37396 110.325) (xy 108.500001 110.325)
- (xy 108.525 110.264647) (xy 108.525 110.05625) (xy 108.44375 109.975) (xy 107.925 109.975) (xy 107.925 109.995)
- (xy 107.575 109.995) (xy 107.575 109.975) (xy 107.05625 109.975) (xy 107.051144 109.980106) (xy 106.406391 109.335353)
- (xy 106.975 109.335353) (xy 106.975 109.54375) (xy 107.05625 109.625) (xy 107.575 109.625) (xy 107.575 109.15625)
- (xy 107.925 109.15625) (xy 107.925 109.625) (xy 108.44375 109.625) (xy 108.525 109.54375) (xy 108.525 109.335353)
- (xy 108.475521 109.215902) (xy 108.384097 109.124478) (xy 108.264646 109.075) (xy 108.00625 109.075) (xy 107.925 109.15625)
- (xy 107.575 109.15625) (xy 107.49375 109.075) (xy 107.235354 109.075) (xy 107.115903 109.124478) (xy 107.024479 109.215902)
- (xy 106.975 109.335353) (xy 106.406391 109.335353) (xy 105.900119 108.829081) (xy 105.876406 108.793592) (xy 105.735825 108.699659)
- (xy 105.611856 108.675) (xy 105.611855 108.675) (xy 105.569998 108.666674) (xy 105.528141 108.675) (xy 104.501041 108.675)
- (xy 104.056427 108.230387) (xy 105 108.230387) (xy 105.107299 108.209044) (xy 105.198264 108.148264) (xy 105.259044 108.057299)
- (xy 105.280387 107.95) (xy 105.280387 107.05) (xy 105.719613 107.05) (xy 105.719613 107.95) (xy 105.740956 108.057299)
- (xy 105.801736 108.148264) (xy 105.892701 108.209044) (xy 106 108.230387) (xy 107.2 108.230387) (xy 107.307299 108.209044)
- (xy 107.39551 108.150104) (xy 107.517643 108.272237) (xy 107.765734 108.375) (xy 108.034266 108.375) (xy 108.282357 108.272237)
- (xy 108.472237 108.082357) (xy 108.575 107.834266) (xy 108.575 107.565734) (xy 108.472237 107.317643) (xy 108.282357 107.127763)
- (xy 108.034266 107.025) (xy 107.765734 107.025) (xy 107.584669 107.1) (xy 107.480387 107.1) (xy 107.480387 107.05)
- (xy 107.459044 106.942701) (xy 107.398264 106.851736) (xy 107.307299 106.790956) (xy 107.2 106.769613) (xy 106 106.769613)
- (xy 105.892701 106.790956) (xy 105.801736 106.851736) (xy 105.740956 106.942701) (xy 105.719613 107.05) (xy 105.280387 107.05)
- (xy 105.259044 106.942701) (xy 105.198264 106.851736) (xy 105.107299 106.790956) (xy 105 106.769613) (xy 104.825 106.769613)
- (xy 104.825 106.230387) (xy 105 106.230387) (xy 105.107299 106.209044) (xy 105.198264 106.148264) (xy 105.259044 106.057299)
- (xy 105.280387 105.95) (xy 105.280387 105.75625) (xy 105.675 105.75625) (xy 105.675 106.014647) (xy 105.724479 106.134098)
- (xy 105.815903 106.225522) (xy 105.935354 106.275) (xy 106.34375 106.275) (xy 106.425 106.19375) (xy 106.425 105.675)
- (xy 106.775 105.675) (xy 106.775 106.19375) (xy 106.85625 106.275) (xy 107.264646 106.275) (xy 107.384097 106.225522)
- (xy 107.475521 106.134098) (xy 107.525 106.014647) (xy 107.525 105.75625) (xy 107.44375 105.675) (xy 106.775 105.675)
- (xy 106.425 105.675) (xy 105.75625 105.675) (xy 105.675 105.75625) (xy 105.280387 105.75625) (xy 105.280387 105.45625)
- (xy 113.05 105.45625) (xy 113.05 105.764646) (xy 113.099478 105.884097) (xy 113.190902 105.975521) (xy 113.310353 106.025)
- (xy 113.74375 106.025) (xy 113.825 105.94375) (xy 113.825 105.375) (xy 114.175 105.375) (xy 114.175 105.94375)
- (xy 114.25625 106.025) (xy 114.689647 106.025) (xy 114.809098 105.975521) (xy 114.900522 105.884097) (xy 114.95 105.764646)
- (xy 114.95 105.45625) (xy 114.86875 105.375) (xy 114.175 105.375) (xy 113.825 105.375) (xy 113.13125 105.375)
- (xy 113.05 105.45625) (xy 105.280387 105.45625) (xy 105.280387 105.05) (xy 105.267528 104.985353) (xy 105.675 104.985353)
- (xy 105.675 105.24375) (xy 105.75625 105.325) (xy 106.425 105.325) (xy 106.425 104.80625) (xy 106.775 104.80625)
- (xy 106.775 105.325) (xy 107.44375 105.325) (xy 107.525 105.24375) (xy 107.525 104.985353) (xy 107.475521 104.865902)
- (xy 107.384097 104.774478) (xy 107.264646 104.725) (xy 106.85625 104.725) (xy 106.775 104.80625) (xy 106.425 104.80625)
- (xy 106.34375 104.725) (xy 105.935354 104.725) (xy 105.815903 104.774478) (xy 105.724479 104.865902) (xy 105.675 104.985353)
- (xy 105.267528 104.985353) (xy 105.259044 104.942701) (xy 105.198264 104.851736) (xy 105.107299 104.790956) (xy 105 104.769613)
- (xy 104.270653 104.769613) (xy 104.136394 104.635354) (xy 113.05 104.635354) (xy 113.05 104.94375) (xy 113.13125 105.025)
- (xy 113.825 105.025) (xy 113.825 104.45625) (xy 114.175 104.45625) (xy 114.175 105.025) (xy 114.86875 105.025)
- (xy 114.95 104.94375) (xy 114.95 104.635354) (xy 114.900522 104.515903) (xy 114.809098 104.424479) (xy 114.689647 104.375)
- (xy 114.25625 104.375) (xy 114.175 104.45625) (xy 113.825 104.45625) (xy 113.74375 104.375) (xy 113.310353 104.375)
- (xy 113.190902 104.424479) (xy 113.099478 104.515903) (xy 113.05 104.635354) (xy 104.136394 104.635354) (xy 104.130121 104.629081)
- (xy 104.106408 104.593592) (xy 104.003754 104.525001) (xy 110.458142 104.525001) (xy 110.499999 104.533327) (xy 110.541856 104.525001)
- (xy 110.541857 104.525001) (xy 110.665826 104.500342) (xy 110.806407 104.406409) (xy 110.83012 104.37092) (xy 112.50104 102.7)
- (xy 113.094613 102.7) (xy 113.094613 103.7) (xy 113.115956 103.807299) (xy 113.176736 103.898264) (xy 113.267701 103.959044)
- (xy 113.375 103.980387) (xy 113.809169 103.980387) (xy 113.813352 103.986648) (xy 114.003007 104.113371) (xy 114.036628 104.135836)
- (xy 114.299999 104.188224) (xy 114.36648 104.175) (xy 114.984531 104.175) (xy 114.990956 104.207299) (xy 115.051736 104.298264)
- (xy 115.142701 104.359044) (xy 115.25 104.380387) (xy 116.15 104.380387) (xy 116.257299 104.359044) (xy 116.348264 104.298264)
- (xy 116.409044 104.207299) (xy 116.430387 104.1) (xy 116.430387 104.025) (xy 117.722918 104.025) (xy 117.75 104.030387)
- (xy 117.925376 104.030387) (xy 117.95 104.035285) (xy 117.974624 104.030387) (xy 118.55 104.030387) (xy 118.657299 104.009044)
- (xy 118.748264 103.948264) (xy 118.809044 103.857299) (xy 118.830387 103.75) (xy 118.830387 102.85) (xy 118.809044 102.742701)
- (xy 118.748264 102.651736) (xy 118.657299 102.590956) (xy 118.55 102.569613) (xy 117.75 102.569613) (xy 117.642701 102.590956)
- (xy 117.551736 102.651736) (xy 117.490956 102.742701) (xy 117.469613 102.85) (xy 117.469613 102.975) (xy 116.430387 102.975)
- (xy 116.430387 102.9) (xy 116.409044 102.792701) (xy 116.348264 102.701736) (xy 116.257299 102.640956) (xy 116.15 102.619613)
- (xy 115.25 102.619613) (xy 115.142701 102.640956) (xy 115.051736 102.701736) (xy 114.990956 102.792701) (xy 114.984531 102.825)
- (xy 114.905387 102.825) (xy 114.905387 102.7) (xy 114.884044 102.592701) (xy 114.823264 102.501736) (xy 114.732299 102.440956)
- (xy 114.625 102.419613) (xy 113.375 102.419613) (xy 113.267701 102.440956) (xy 113.176736 102.501736) (xy 113.115956 102.592701)
- (xy 113.094613 102.7) (xy 112.50104 102.7) (xy 113.476041 101.725) (xy 114.969613 101.725) (xy 114.969613 101.9)
- (xy 114.990956 102.007299) (xy 115.051736 102.098264) (xy 115.142701 102.159044) (xy 115.25 102.180387) (xy 116.15 102.180387)
- (xy 116.257299 102.159044) (xy 116.348264 102.098264) (xy 116.409044 102.007299) (xy 116.430387 101.9) (xy 116.430387 100.7)
- (xy 116.409044 100.592701) (xy 116.348264 100.501736) (xy 116.257299 100.440956) (xy 116.15 100.419613) (xy 115.25 100.419613)
- (xy 115.142701 100.440956) (xy 115.051736 100.501736) (xy 114.990956 100.592701) (xy 114.969613 100.7) (xy 114.969613 100.875)
- (xy 113.341855 100.875) (xy 113.299999 100.866674) (xy 113.258143 100.875) (xy 113.258142 100.875) (xy 113.134173 100.899659)
- (xy 112.993592 100.993592) (xy 112.969881 101.029078) (xy 110.323959 103.675001) (xy 109.676039 103.675001) (xy 110.370923 102.980118)
- (xy 110.406408 102.956408) (xy 110.500341 102.815827) (xy 110.525 102.691858) (xy 110.525 102.691857) (xy 110.533326 102.65)
- (xy 110.529425 102.630387) (xy 110.85 102.630387) (xy 110.957299 102.609044) (xy 111.048264 102.548264) (xy 111.109044 102.457299)
- (xy 111.130387 102.35) (xy 111.130387 100.85) (xy 111.109044 100.742701) (xy 111.048264 100.651736) (xy 110.957299 100.590956)
- (xy 110.85 100.569613) (xy 109.35 100.569613) (xy 109.242701 100.590956) (xy 109.151736 100.651736) (xy 109.090956 100.742701)
- (xy 109.075 100.822918) (xy 109.075 100.430387) (xy 109.35 100.430387) (xy 109.457299 100.409044) (xy 109.548264 100.348264)
- (xy 109.609044 100.257299) (xy 109.630387 100.15) (xy 109.630387 98.231427) (xy 110.019613 98.620653) (xy 110.019613 99.2)
- (xy 110.040956 99.307299) (xy 110.101736 99.398264) (xy 110.192701 99.459044) (xy 110.3 99.480387) (xy 111.5 99.480387)
- (xy 111.607299 99.459044) (xy 111.698264 99.398264) (xy 111.759044 99.307299) (xy 111.780387 99.2) (xy 111.780387 98.3)
- (xy 111.76123 98.203692) (xy 112.219613 98.662075) (xy 112.219613 99.2) (xy 112.240956 99.307299) (xy 112.301736 99.398264)
- (xy 112.392701 99.459044) (xy 112.5 99.480387) (xy 113.074314 99.480387) (xy 113.192033 99.559044) (xy 113.245155 99.594539)
- (xy 113.45 99.635285) (xy 113.501706 99.625) (xy 114.869613 99.625) (xy 114.869613 99.7) (xy 114.890956 99.807299)
- (xy 114.951736 99.898264) (xy 115.042701 99.959044) (xy 115.15 99.980387) (xy 116.05 99.980387) (xy 116.157299 99.959044)
- (xy 116.248264 99.898264) (xy 116.309044 99.807299) (xy 116.330387 99.7) (xy 116.330387 98.5) (xy 116.309044 98.392701)
- (xy 116.248264 98.301736) (xy 116.157299 98.240956) (xy 116.05 98.219613) (xy 115.15 98.219613) (xy 115.042701 98.240956)
- (xy 114.951736 98.301736) (xy 114.890956 98.392701) (xy 114.869613 98.5) (xy 114.869613 98.575) (xy 113.980387 98.575)
- (xy 113.980387 98.3) (xy 113.959044 98.192701) (xy 113.898264 98.101736) (xy 113.807299 98.040956) (xy 113.7 98.019613)
- (xy 113.062075 98.019613) (xy 112.768705 97.726244) (xy 113.013821 97.775) (xy 113.013822 97.775) (xy 113.099999 97.792142)
- (xy 113.186177 97.775) (xy 115.122918 97.775) (xy 115.15 97.780387) (xy 116.05 97.780387) (xy 116.157299 97.759044)
- (xy 116.248264 97.698264) (xy 116.309044 97.607299) (xy 116.330387 97.5) (xy 116.330387 97.381857) (xy 116.424232 97.241408)
- (xy 116.492142 96.9) (xy 116.424232 96.558592) (xy 116.330387 96.418143) (xy 116.330387 96.3) (xy 116.309044 96.192701)
- (xy 116.248264 96.101736) (xy 116.157299 96.040956) (xy 116.05 96.019613) (xy 115.15 96.019613) (xy 115.122918 96.025)
- (xy 113.825 96.025) (xy 113.825 95.375) (xy 114.563822 95.375) (xy 114.65 95.392142) (xy 114.736178 95.375)
- (xy 114.736179 95.375) (xy 114.991408 95.324232) (xy 115.28084 95.13084) (xy 115.329659 95.057777) (xy 118.177775 92.209661)
+ (xy 171.182387 106.103917) (xy 171.168352 106.113672) (xy 171.147313 106.121308) (xy 170.908232 106.278057) (xy 170.820382 106.370793)
+ (xy 163.442176 113.749) (xy 162.961315 113.749) (xy 162.897621 113.717934) (xy 162.607997 113.650004) (xy 162.310519 113.647926)
+ (xy 162.019974 113.711807) (xy 161.750802 113.83847) (xy 161.516381 114.02162) (xy 161.328362 114.252154) (xy 161.19609 114.518614)
+ (xy 161.126139 114.807757) (xy 161.121985 115.105213) (xy 161.183836 115.396197) (xy 161.308616 115.666247) (xy 161.490125 115.901941)
+ (xy 161.71934 116.091565) (xy 161.984872 116.225693) (xy 162.273519 116.297661) (xy 162.570938 116.303891) (xy 162.862347 116.244074)
+ (xy 162.957302 116.201) (xy 163.857035 116.201) (xy 163.906923 116.208589) (xy 164.000227 116.201) (xy 164.021546 116.201)
+ (xy 164.070726 116.195266) (xy 164.191864 116.185413) (xy 164.212455 116.178743) (xy 164.233958 116.176236) (xy 164.348211 116.134764)
+ (xy 164.467611 116.096084) (xy 164.481646 116.08633) (xy 164.502688 116.078692) (xy 164.741768 115.921943) (xy 164.829636 115.829188)
+ (xy 172.207825 108.451) (xy 176.070878 108.451) (xy 176.180485 108.51935) (xy 176.269906 108.549526) (xy 176.281125 108.561832)
+ (xy 176.299002 108.574048) (xy 176.314134 108.589526) (xy 176.416791 108.65454) (xy 176.481852 108.698999) (xy 174.52835 108.698999)
+ (xy 174.528344 108.699) (xy 174.471012 108.699) (xy 174.416003 108.716873) (xy 174.358857 108.725925) (xy 174.307312 108.752189)
+ (xy 174.252292 108.770066) (xy 174.205493 108.804067) (xy 174.153948 108.830331) (xy 174.10603 108.87825) (xy 171.19329 111.790991)
+ (xy 171.193286 111.790993) (xy 171.030322 111.953958) (xy 171.004058 112.005503) (xy 170.970057 112.052302) (xy 170.95218 112.107322)
+ (xy 170.925916 112.158867) (xy 170.916864 112.216013) (xy 170.898991 112.271022) (xy 170.898991 112.328354) (xy 170.89899 112.32836)
+ (xy 170.898991 115.999) (xy 168.810657 115.999) (xy 168.810651 115.999001) (xy 168.753319 115.999001) (xy 168.698309 116.016875)
+ (xy 168.641164 116.025926) (xy 168.589617 116.052191) (xy 168.5346 116.070067) (xy 168.487801 116.104068) (xy 168.436255 116.130332)
+ (xy 168.388337 116.178251) (xy 167.503829 117.06276) (xy 167.355323 117.211265) (xy 167.329063 117.262804) (xy 167.295057 117.30961)
+ (xy 167.277182 117.364626) (xy 167.250917 117.416173) (xy 167.241867 117.473314) (xy 167.223991 117.52833) (xy 167.223991 117.596095)
+ (xy 167.22399 118.74899) (xy 160.595232 118.74899) (xy 157.145844 115.299603) (xy 157.14584 115.299597) (xy 156.818986 114.972743)
+ (xy 156.854938 114.979464) (xy 157.448004 114.979464) (xy 157.686495 114.923371) (xy 157.857031 114.794589) (xy 157.967936 114.615472)
+ (xy 158.004464 114.420062) (xy 158.004464 113.926996) (xy 157.948371 113.688505) (xy 157.926 113.658881) (xy 157.926 113.232324)
+ (xy 157.927199 113.232086) (xy 158.035033 113.160033) (xy 158.074477 113.101) (xy 158.225523 113.101) (xy 158.264967 113.160033)
+ (xy 158.372801 113.232086) (xy 158.48759 113.254918) (xy 159.41241 113.254918) (xy 159.527199 113.232086) (xy 159.635033 113.160033)
+ (xy 159.707086 113.052199) (xy 159.729918 112.93741) (xy 159.729918 112.11259) (xy 159.707086 111.997801) (xy 159.635033 111.889967)
+ (xy 159.527199 111.817914) (xy 159.432108 111.799) (xy 160.115024 111.799) (xy 160.115024 111.98741) (xy 160.142047 112.123269)
+ (xy 160.226035 112.248965) (xy 160.351731 112.332953) (xy 160.48759 112.359976) (xy 160.726 112.359976) (xy 160.726 111.799)
+ (xy 161.174 111.799) (xy 161.174 112.359976) (xy 161.41241 112.359976) (xy 161.548269 112.332953) (xy 161.673965 112.248965)
+ (xy 161.757953 112.123269) (xy 161.784976 111.98741) (xy 161.784976 111.799) (xy 161.174 111.799) (xy 160.726 111.799)
+ (xy 160.115024 111.799) (xy 159.432108 111.799) (xy 159.41241 111.795082) (xy 158.48759 111.795082) (xy 158.372801 111.817914)
+ (xy 158.264967 111.889967) (xy 158.225523 111.949) (xy 158.074477 111.949) (xy 158.035033 111.889967) (xy 157.927199 111.817914)
+ (xy 157.81241 111.795082) (xy 156.88759 111.795082) (xy 156.772801 111.817914) (xy 156.664967 111.889967) (xy 156.592914 111.997801)
+ (xy 156.570082 112.11259) (xy 156.570082 112.93741) (xy 156.592914 113.052199) (xy 156.664967 113.160033) (xy 156.772801 113.232086)
+ (xy 156.774 113.232325) (xy 156.774001 113.38888) (xy 156.613505 113.426629) (xy 156.476 113.530467) (xy 156.476 111.743786)
+ (xy 156.479738 111.727065) (xy 156.476 111.608115) (xy 156.476 111.557307) (xy 156.473874 111.540485) (xy 156.471199 111.45534)
+ (xy 156.456902 111.406131) (xy 156.450479 111.355283) (xy 156.426 111.293457) (xy 156.426 111.18382) (xy 156.559396 111.367426)
+ (xy 156.768868 111.540717) (xy 157.014855 111.65647) (xy 157.281901 111.707411) (xy 157.553224 111.690341) (xy 157.811778 111.606331)
+ (xy 158.041319 111.460661) (xy 158.22742 111.262484) (xy 158.245107 111.230311) (xy 158.264967 111.260033) (xy 158.372801 111.332086)
+ (xy 158.48759 111.354918) (xy 159.41241 111.354918) (xy 159.527199 111.332086) (xy 159.635033 111.260033) (xy 159.674477 111.201)
+ (xy 160.115024 111.201) (xy 160.115024 111.351) (xy 161.784976 111.351) (xy 161.784976 111.201) (xy 162.203701 111.201)
+ (xy 162.214402 111.204674) (xy 162.312249 111.201) (xy 162.353485 111.201) (xy 162.363895 111.199061) (xy 162.43525 111.196383)
+ (xy 162.464351 111.180352) (xy 162.510944 111.171674) (xy 162.693602 111.059082) (xy 162.735178 111.004407) (xy 162.836884 110.902701)
+ (xy 166.324553 107.415033) (xy 166.334721 107.410062) (xy 166.401337 107.338249) (xy 166.430465 107.309122) (xy 166.43645 107.300398)
+ (xy 166.485023 107.248035) (xy 166.494265 107.21612) (xy 166.521072 107.177043) (xy 166.570617 106.968269) (xy 166.561356 106.900224)
+ (xy 166.561356 106.101) (xy 171.191391 106.101)
)
)
(filled_polygon
(pts
- (xy 99.877763 105.257357) (xy 100.040578 105.420172) (xy 100.049659 105.465826) (xy 100.081415 105.513352) (xy 100.143593 105.606408)
- (xy 100.179078 105.630119) (xy 100.469881 105.920922) (xy 100.493592 105.956408) (xy 100.634173 106.050341) (xy 100.701384 106.06371)
- (xy 100.8 106.083326) (xy 100.841857 106.075) (xy 102.42396 106.075) (xy 102.675 106.326041) (xy 102.675001 108.108138)
- (xy 102.666674 108.15) (xy 102.699659 108.315826) (xy 102.730644 108.362198) (xy 102.793593 108.456408) (xy 102.829079 108.480119)
- (xy 102.87396 108.525) (xy 102.746387 108.525) (xy 102.27777 108.719107) (xy 101.919107 109.07777) (xy 101.725 109.546387)
- (xy 101.725 110.053613) (xy 101.919107 110.52223) (xy 102.27777 110.880893) (xy 102.625676 111.025) (xy 100.725 111.025)
- (xy 100.725 108.980387) (xy 101.35 108.980387) (xy 101.457299 108.959044) (xy 101.548264 108.898264) (xy 101.609044 108.807299)
- (xy 101.630387 108.7) (xy 101.630387 106.7) (xy 101.609044 106.592701) (xy 101.548264 106.501736) (xy 101.457299 106.440956)
- (xy 101.35 106.419613) (xy 99.725 106.419613) (xy 99.725 105.112437) (xy 99.790573 105.046864)
+ (xy 194.723746 99.98257) (xy 194.808616 100.166247) (xy 194.990125 100.401941) (xy 195.21934 100.591565) (xy 195.484872 100.725693)
+ (xy 195.773519 100.797661) (xy 196.070938 100.803891) (xy 196.362347 100.744074) (xy 196.633261 100.621182) (xy 196.870217 100.441323)
+ (xy 197.004002 100.281884) (xy 197.004001 102.92707) (xy 197.004002 102.927078) (xy 197.004001 105.162036) (xy 196.996412 105.211924)
+ (xy 197.004001 105.305228) (xy 197.004001 105.326547) (xy 197.009736 105.37573) (xy 197.019588 105.496865) (xy 197.02626 105.517461)
+ (xy 197.028766 105.538959) (xy 197.070234 105.653203) (xy 197.108918 105.772613) (xy 197.118673 105.786648) (xy 197.126309 105.807687)
+ (xy 197.283057 106.046769) (xy 197.375814 106.134638) (xy 198.917299 107.676123) (xy 198.773455 107.627714) (xy 198.529759 107.591729)
+ (xy 198.283543 107.599466) (xy 198.042587 107.650683) (xy 197.81451 107.743759) (xy 197.643848 107.852064) (xy 199.571953 109.780169)
+ (xy 199.66766 109.63614) (xy 199.765494 109.410058) (xy 199.822126 109.168608) (xy 199.830378 108.853469) (xy 199.78646 108.609386)
+ (xy 199.748507 108.507331) (xy 201.017349 109.776174) (xy 201.04726 109.816819) (xy 201.118613 109.877438) (xy 201.133678 109.892504)
+ (xy 201.172497 109.923216) (xy 201.265133 110.001916) (xy 201.284416 110.011762) (xy 201.301388 110.02519) (xy 201.411458 110.076633)
+ (xy 201.523282 110.133733) (xy 201.540104 110.13676) (xy 201.56038 110.146236) (xy 201.840274 110.204454) (xy 201.925469 110.202149)
+ (xy 195.346709 116.78091) (xy 186.968248 116.78091) (xy 186.796901 116.553525) (xy 186.604099 116.39309) (xy 186.394125 116.333113)
+ (xy 186.179678 116.352764) (xy 185.997447 116.44327) (xy 185.531179 116.794628) (xy 185.462823 116.803263) (xy 184.622207 115.962647)
+ (xy 184.569589 115.892969) (xy 184.464344 115.827804) (xy 184.408846 115.785679) (xy 184.284415 115.736413) (xy 184.49297 115.680531)
+ (xy 184.664166 115.536881) (xy 184.773048 115.348291) (xy 184.821413 115.074) (xy 183.450718 115.074) (xy 183.372051 114.995332)
+ (xy 183.320502 114.969066) (xy 183.273706 114.935067) (xy 183.218689 114.917191) (xy 183.167142 114.890926) (xy 183.109996 114.881875)
+ (xy 183.054986 114.864001) (xy 182.997655 114.864001) (xy 182.997649 114.864) (xy 182.856975 114.864) (xy 182.87917 114.84917)
+ (xy 182.996308 114.67386) (xy 183.005831 114.626) (xy 183.078587 114.626) (xy 183.726 114.626) (xy 184.174 114.626)
+ (xy 184.829395 114.626) (xy 184.730531 114.25703) (xy 184.586881 114.085834) (xy 184.398291 113.976952) (xy 184.174 113.937405)
+ (xy 184.174 114.626) (xy 183.726 114.626) (xy 183.726 113.934002) (xy 183.40703 114.019469) (xy 183.235834 114.163119)
+ (xy 183.126952 114.351709) (xy 183.078587 114.626) (xy 183.005831 114.626) (xy 183.032096 114.494) (xy 180.400992 114.494)
+ (xy 180.302349 114.432923) (xy 180.102346 114.395536) (xy 180.0665 114.395536) (xy 179.818455 114.342813) (xy 179.565251 114.358742)
+ (xy 179.459595 114.395536) (xy 178.9665 114.395536) (xy 178.718455 114.342813) (xy 178.465251 114.358742) (xy 178.359595 114.395536)
+ (xy 178.294712 114.395536) (xy 178.050553 114.452962) (xy 178.029918 114.468545) (xy 178.029918 114.160529) (xy 178.027028 114.146)
+ (xy 180.317904 114.146) (xy 181.501 114.146) (xy 181.501 113.635024) (xy 181.849 113.635024) (xy 181.849 114.146)
+ (xy 183.032096 114.146) (xy 182.996308 113.96614) (xy 182.87917 113.79083) (xy 182.703857 113.67369) (xy 182.509471 113.635024)
+ (xy 181.849 113.635024) (xy 181.501 113.635024) (xy 180.840529 113.635024) (xy 180.646143 113.67369) (xy 180.47083 113.79083)
+ (xy 180.353692 113.96614) (xy 180.317904 114.146) (xy 178.027028 114.146) (xy 177.995443 113.987213) (xy 177.890238 113.829762)
+ (xy 177.732787 113.724557) (xy 177.559471 113.690082) (xy 177.087869 113.690082) (xy 176.952142 113.620926) (xy 176.782137 113.594)
+ (xy 175.317889 113.594) (xy 175.321416 113.574) (xy 172.476 113.574) (xy 172.476 112.561715) (xy 172.60031 112.437405)
+ (xy 172.924 112.437405) (xy 172.924 113.126) (xy 174.226 113.126) (xy 174.226 112.489985) (xy 174.501799 112.489985)
+ (xy 174.65012 112.593835) (xy 174.674 112.605276) (xy 174.674 113.126) (xy 175.329395 113.126) (xy 175.239684 112.791192)
+ (xy 175.391904 112.809343) (xy 175.651449 112.797557) (xy 175.905584 112.74354) (xy 176.147483 112.64874) (xy 176.404027 112.495811)
+ (xy 175.45 111.541784) (xy 174.501799 112.489985) (xy 174.226 112.489985) (xy 174.226 112.434002) (xy 173.90703 112.519469)
+ (xy 173.735834 112.663119) (xy 173.626952 112.851709) (xy 173.578908 113.124181) (xy 173.480531 112.75703) (xy 173.336881 112.585834)
+ (xy 173.148291 112.476952) (xy 172.924 112.437405) (xy 172.60031 112.437405) (xy 173.870872 111.166843) (xy 173.86722 111.316263)
+ (xy 173.90338 111.573546) (xy 173.981073 111.821469) (xy 174.167562 112.190654) (xy 175.45 110.908215) (xy 176.720388 112.178604)
+ (xy 176.871296 111.927448) (xy 176.96694 111.685882) (xy 177.022172 111.43042) (xy 177.029175 111.143866) (xy 177.787159 111.90185)
+ (xy 177.796341 111.916319) (xy 177.883105 111.997796) (xy 177.919021 112.033712) (xy 177.93242 112.044106) (xy 177.994518 112.10242)
+ (xy 178.039424 112.127107) (xy 178.07992 112.158519) (xy 178.158103 112.192351) (xy 178.236291 112.235336) (xy 178.274101 112.242548)
+ (xy 178.329422 112.266488) (xy 178.597935 112.309016) (xy 178.682735 112.301) (xy 178.920082 112.301) (xy 178.920082 112.43741)
+ (xy 178.942914 112.552199) (xy 179.014967 112.660033) (xy 179.122801 112.732086) (xy 179.23759 112.754918) (xy 181.66241 112.754918)
+ (xy 181.777199 112.732086) (xy 181.885033 112.660033) (xy 181.957086 112.552199) (xy 181.979918 112.43741) (xy 181.979918 110.01259)
+ (xy 181.957086 109.897801) (xy 181.885033 109.789967) (xy 181.777199 109.717914) (xy 181.66241 109.695082) (xy 179.23759 109.695082)
+ (xy 179.122801 109.717914) (xy 179.014967 109.789967) (xy 178.942914 109.897801) (xy 178.9236 109.994905) (xy 177.958433 109.029738)
+ (xy 178.013409 109.036995) (xy 178.030272 109.034224) (xy 178.052554 109.036377) (xy 178.335807 108.997672) (xy 178.410541 108.968863)
+ (xy 178.427191 108.970966) (xy 178.54803 108.958049) (xy 178.673228 108.949073) (xy 178.689196 108.942959) (xy 178.711454 108.94058)
+ (xy 178.981104 108.845614) (xy 179.02201 108.819351) (xy 192.603438 108.819351) (xy 192.610789 108.948833) (xy 192.661637 109.054249)
+ (xy 194.181111 111.07066) (xy 194.268429 111.148594) (xy 194.390868 111.19135) (xy 194.52035 111.183999) (xy 194.527894 111.18036)
+ (xy 194.881818 111.534284) (xy 194.876139 111.557757) (xy 194.871985 111.855213) (xy 194.933836 112.146197) (xy 195.058616 112.416247)
+ (xy 195.240125 112.651941) (xy 195.46934 112.841565) (xy 195.734872 112.975693) (xy 196.023519 113.047661) (xy 196.320938 113.053891)
+ (xy 196.612347 112.994074) (xy 196.883261 112.871182) (xy 197.120217 112.691323) (xy 197.311436 112.463437) (xy 197.447416 112.198849)
+ (xy 197.521619 111.909846) (xy 197.526264 111.577127) (xy 197.46016 111.286166) (xy 197.426 111.214869) (xy 197.426 111.211606)
+ (xy 197.433589 111.161718) (xy 197.426 111.068414) (xy 197.426 111.047095) (xy 197.420265 110.997913) (xy 197.410413 110.876777)
+ (xy 197.403742 110.856183) (xy 197.401235 110.834682) (xy 197.359763 110.720427) (xy 197.321084 110.601028) (xy 197.311328 110.586991)
+ (xy 197.303692 110.565954) (xy 197.146943 110.326873) (xy 197.054206 110.239022) (xy 196.928735 110.113551) (xy 197.628233 110.113551)
+ (xy 197.973836 110.27618) (xy 198.211781 110.339937) (xy 198.457254 110.36055) (xy 198.7025 110.337368) (xy 198.939764 110.271122)
+ (xy 199.269795 110.111579) (xy 198.45 109.291784) (xy 197.628233 110.113551) (xy 196.928735 110.113551) (xy 195.753914 108.93873)
+ (xy 197.064906 108.93873) (xy 197.080374 109.184583) (xy 197.139133 109.42381) (xy 197.307115 109.801101) (xy 198.133216 108.975)
+ (xy 197.316986 108.15877) (xy 197.164419 108.4582) (xy 197.09322 108.694024) (xy 197.064906 108.93873) (xy 195.753914 108.93873)
+ (xy 195.351076 108.535892) (xy 194.626149 107.573882) (xy 194.538831 107.495948) (xy 194.416392 107.453192) (xy 194.28691 107.460543)
+ (xy 194.181494 107.511391) (xy 192.724128 108.609594) (xy 192.646194 108.696912) (xy 192.603438 108.819351) (xy 179.02201 108.819351)
+ (xy 179.088537 108.77664) (xy 179.627275 108.451) (xy 181.227033 108.451) (xy 181.276921 108.458589) (xy 181.370225 108.451)
+ (xy 181.391544 108.451) (xy 181.440724 108.445266) (xy 181.561862 108.435413) (xy 181.582453 108.428743) (xy 181.603956 108.426236)
+ (xy 181.718209 108.384764) (xy 181.837609 108.346084) (xy 181.851644 108.33633) (xy 181.872686 108.328692) (xy 182.111766 108.171943)
+ (xy 182.199634 108.079188) (xy 183.19888 107.079942) (xy 191.104949 107.079942) (xy 191.729881 107.909253) (xy 191.833224 108.00149)
+ (xy 191.975944 108.051331) (xy 192.126875 108.04276) (xy 192.251641 107.982581) (xy 192.801429 107.568285) (xy 191.952282 106.44143)
+ (xy 191.104949 107.079942) (xy 183.19888 107.079942) (xy 184.661675 105.617147) (xy 190.141655 105.617147) (xy 190.150226 105.768076)
+ (xy 190.210405 105.892842) (xy 190.835337 106.722153) (xy 191.565655 106.171817) (xy 192.310071 106.171817) (xy 193.159218 107.298673)
+ (xy 193.709007 106.884376) (xy 193.801244 106.781033) (xy 193.851085 106.638311) (xy 193.842514 106.487382) (xy 193.782335 106.362616)
+ (xy 193.157403 105.533305) (xy 192.310071 106.171817) (xy 191.565655 106.171817) (xy 191.682669 106.083641) (xy 190.833522 104.956785)
+ (xy 190.283733 105.371082) (xy 190.191496 105.474425) (xy 190.141655 105.617147) (xy 184.661675 105.617147) (xy 185.591649 104.687173)
+ (xy 191.191311 104.687173) (xy 192.040458 105.814028) (xy 192.887791 105.175516) (xy 192.262859 104.346205) (xy 192.159516 104.253968)
+ (xy 192.016796 104.204127) (xy 191.865865 104.212698) (xy 191.741099 104.272877) (xy 191.191311 104.687173) (xy 185.591649 104.687173)
+ (xy 187.077822 103.201) (xy 188.220082 103.201) (xy 188.220082 103.23741) (xy 188.242914 103.352199) (xy 188.314967 103.460033)
+ (xy 188.422801 103.532086) (xy 188.53759 103.554918) (xy 190.36241 103.554918) (xy 190.477199 103.532086) (xy 190.585033 103.460033)
+ (xy 190.657086 103.352199) (xy 190.679918 103.23741) (xy 190.679918 102.478906) (xy 190.959824 102.199) (xy 192.165024 102.199)
+ (xy 192.165024 103.23741) (xy 192.192047 103.373269) (xy 192.276035 103.498965) (xy 192.401731 103.582953) (xy 192.53759 103.609976)
+ (xy 193.226 103.609976) (xy 193.226 102.199) (xy 193.674 102.199) (xy 193.674 103.609976) (xy 194.36241 103.609976)
+ (xy 194.498269 103.582953) (xy 194.623965 103.498965) (xy 194.707953 103.373269) (xy 194.734976 103.23741) (xy 194.734976 102.199)
+ (xy 193.674 102.199) (xy 193.226 102.199) (xy 192.165024 102.199) (xy 190.959824 102.199) (xy 192.165024 100.9938)
+ (xy 192.165024 101.751) (xy 193.226 101.751) (xy 193.226 100.340024) (xy 193.674 100.340024) (xy 193.674 101.751)
+ (xy 194.734976 101.751) (xy 194.734976 100.71259) (xy 194.707953 100.576731) (xy 194.623965 100.451035) (xy 194.498269 100.367047)
+ (xy 194.36241 100.340024) (xy 193.674 100.340024) (xy 193.226 100.340024) (xy 192.8188 100.340024) (xy 193.603906 99.554918)
+ (xy 194.296094 99.554918)
)
)
(filled_polygon
(pts
- (xy 96.099478 91.565903) (xy 96.05 91.685354) (xy 96.05 92.09375) (xy 96.13125 92.175) (xy 96.575 92.175)
- (xy 96.575 92.155) (xy 96.925 92.155) (xy 96.925 92.175) (xy 97.36875 92.175) (xy 97.45 92.09375)
- (xy 97.45 91.685354) (xy 97.400522 91.565903) (xy 97.359618 91.524999) (xy 97.769613 91.524999) (xy 97.769613 92.429346)
- (xy 97.45 92.748959) (xy 97.45 92.60625) (xy 97.36875 92.525) (xy 96.925 92.525) (xy 96.925 93.19375)
- (xy 96.965105 93.233855) (xy 96.829347 93.369613) (xy 96.375 93.369613) (xy 96.267701 93.390956) (xy 96.176736 93.451736)
- (xy 96.115956 93.542701) (xy 96.094613 93.65) (xy 96.094613 94.85) (xy 96.115956 94.957299) (xy 96.176736 95.048264)
- (xy 96.267701 95.109044) (xy 96.322647 95.119973) (xy 96.316674 95.15) (xy 96.349659 95.315826) (xy 96.400462 95.391858)
- (xy 96.443593 95.456408) (xy 96.479079 95.480119) (xy 97.568572 96.569613) (xy 96.325 96.569613) (xy 96.217701 96.590956)
- (xy 96.129334 96.65) (xy 95.401041 96.65) (xy 94.925 96.17396) (xy 94.925 95.230387) (xy 94.95 95.230387)
- (xy 95.057299 95.209044) (xy 95.148264 95.148264) (xy 95.209044 95.057299) (xy 95.230387 94.95) (xy 95.230387 93.75)
- (xy 95.209044 93.642701) (xy 95.148264 93.551736) (xy 95.057299 93.490956) (xy 94.95 93.469613) (xy 94.05 93.469613)
- (xy 93.942701 93.490956) (xy 93.851736 93.551736) (xy 93.790956 93.642701) (xy 93.769613 93.75) (xy 93.769613 94.95)
- (xy 93.790956 95.057299) (xy 93.851736 95.148264) (xy 93.942701 95.209044) (xy 94.05 95.230387) (xy 94.075001 95.230387)
- (xy 94.075 96.308142) (xy 94.066674 96.35) (xy 94.075 96.391857) (xy 94.099659 96.515826) (xy 94.193592 96.656408)
- (xy 94.229081 96.680121) (xy 94.894881 97.345922) (xy 94.918592 97.381408) (xy 95.059173 97.475341) (xy 95.108552 97.485163)
- (xy 95.225 97.508326) (xy 95.266857 97.5) (xy 96.044613 97.5) (xy 96.044613 97.95) (xy 96.064504 98.05)
- (xy 96.044613 98.15) (xy 96.044613 98.6) (xy 94.801041 98.6) (xy 94.730387 98.529346) (xy 94.730387 97.8)
- (xy 94.709044 97.692701) (xy 94.648264 97.601736) (xy 94.557299 97.540956) (xy 94.45 97.519613) (xy 93.55 97.519613)
- (xy 93.442701 97.540956) (xy 93.351736 97.601736) (xy 93.290956 97.692701) (xy 93.269613 97.8) (xy 93.269613 99)
- (xy 93.290956 99.107299) (xy 93.351736 99.198264) (xy 93.442701 99.259044) (xy 93.55 99.280387) (xy 94.279346 99.280387)
- (xy 94.294881 99.295922) (xy 94.318592 99.331408) (xy 94.43786 99.4111) (xy 94.129347 99.719613) (xy 93.55 99.719613)
- (xy 93.442701 99.740956) (xy 93.351736 99.801736) (xy 93.290956 99.892701) (xy 93.269613 100) (xy 93.269613 100.025)
- (xy 93.076041 100.025) (xy 91.175 98.12396) (xy 91.175 97.880387) (xy 91.6 97.880387) (xy 91.707299 97.859044)
- (xy 91.798264 97.798264) (xy 91.859044 97.707299) (xy 91.880387 97.6) (xy 91.880387 95.9) (xy 91.859044 95.792701)
- (xy 91.798264 95.701736) (xy 91.707299 95.640956) (xy 91.6 95.619613) (xy 91.098324 95.619613) (xy 91.255379 95.462558)
- (xy 91.358142 95.214467) (xy 91.358142 94.945935) (xy 91.255379 94.697844) (xy 91.065499 94.507964) (xy 90.817408 94.405201)
- (xy 90.548876 94.405201) (xy 90.300785 94.507964) (xy 90.128655 94.680094) (xy 90.089797 94.672365) (xy 90.050403 94.680201)
- (xy 89.933725 94.70341) (xy 89.801413 94.791817) (xy 89.779096 94.825217) (xy 89.244778 95.359536) (xy 89.244174 95.359656)
- (xy 89.103593 95.453589) (xy 89.00966 95.594171) (xy 88.985001 95.71814) (xy 88.985001 95.914813) (xy 88.745954 95.755087)
- (xy 92.094791 92.40625) (xy 93.725 92.40625) (xy 93.725 92.814646) (xy 93.774478 92.934097) (xy 93.865902 93.025521)
- (xy 93.985353 93.075) (xy 94.24375 93.075) (xy 94.325 92.99375) (xy 94.325 92.325) (xy 94.675 92.325)
- (xy 94.675 92.99375) (xy 94.75625 93.075) (xy 95.014647 93.075) (xy 95.134098 93.025521) (xy 95.225522 92.934097)
- (xy 95.275 92.814646) (xy 95.275 92.60625) (xy 96.05 92.60625) (xy 96.05 93.014646) (xy 96.099478 93.134097)
- (xy 96.190902 93.225521) (xy 96.310353 93.275) (xy 96.49375 93.275) (xy 96.575 93.19375) (xy 96.575 92.525)
- (xy 96.13125 92.525) (xy 96.05 92.60625) (xy 95.275 92.60625) (xy 95.275 92.40625) (xy 95.19375 92.325)
- (xy 94.675 92.325) (xy 94.325 92.325) (xy 93.80625 92.325) (xy 93.725 92.40625) (xy 92.094791 92.40625)
- (xy 92.37602 92.125022) (xy 93.072361 92.12502) (xy 93.114223 92.133347) (xy 93.280049 92.100362) (xy 93.385145 92.030139)
- (xy 93.420631 92.006428) (xy 93.444342 91.970942) (xy 93.725 91.690285) (xy 93.725 91.89375) (xy 93.80625 91.975)
- (xy 94.325 91.975) (xy 94.325 91.955) (xy 94.675 91.955) (xy 94.675 91.975) (xy 95.19375 91.975)
- (xy 95.275 91.89375) (xy 95.275 91.524999) (xy 96.140382 91.524999)
+ (xy 165.409356 106.701058) (xy 164.884976 107.225438) (xy 164.884976 107.199) (xy 164.124 107.199) (xy 164.124 107.734976)
+ (xy 164.375438 107.734976) (xy 162.061415 110.049) (xy 159.674477 110.049) (xy 159.635033 109.989967) (xy 159.527199 109.917914)
+ (xy 159.41241 109.895082) (xy 158.497959 109.895082) (xy 158.547416 109.798849) (xy 158.621619 109.509846) (xy 158.626264 109.177127)
+ (xy 158.566242 108.912935) (xy 158.805158 109.151852) (xy 158.814339 109.166319) (xy 158.901103 109.247796) (xy 158.937018 109.283711)
+ (xy 158.950417 109.294105) (xy 159.012516 109.35242) (xy 159.057422 109.377107) (xy 159.097918 109.408519) (xy 159.176099 109.44235)
+ (xy 159.254288 109.485335) (xy 159.292102 109.492549) (xy 159.34742 109.516487) (xy 159.615932 109.559016) (xy 159.700733 109.551)
+ (xy 161.767692 109.551) (xy 161.969717 109.525478) (xy 162.222486 109.425399) (xy 162.442426 109.265604) (xy 162.615717 109.056132)
+ (xy 162.73147 108.810144) (xy 162.747319 108.727054) (xy 162.764032 108.7001) (xy 162.814321 108.633847) (xy 162.830594 108.592746)
+ (xy 162.856381 108.551155) (xy 162.867185 108.500329) (xy 162.907717 108.397957) (xy 162.934236 108.145641) (xy 162.926 108.096951)
+ (xy 162.926 107.417592) (xy 162.942047 107.498269) (xy 163.026035 107.623965) (xy 163.151731 107.707953) (xy 163.28759 107.734976)
+ (xy 163.676 107.734976) (xy 163.676 106.215024) (xy 164.124 106.215024) (xy 164.124 106.751) (xy 164.884976 106.751)
+ (xy 164.884976 106.58759) (xy 164.857953 106.451731) (xy 164.773965 106.326035) (xy 164.648269 106.242047) (xy 164.51241 106.215024)
+ (xy 164.124 106.215024) (xy 163.676 106.215024) (xy 163.28759 106.215024) (xy 163.151731 106.242047) (xy 163.026035 106.326035)
+ (xy 162.942047 106.451731) (xy 162.926 106.532408) (xy 162.926 105.417592) (xy 162.942047 105.498269) (xy 163.026035 105.623965)
+ (xy 163.151731 105.707953) (xy 163.28759 105.734976) (xy 163.676 105.734976) (xy 163.676 105.199) (xy 164.124 105.199)
+ (xy 164.124 105.734976) (xy 164.51241 105.734976) (xy 164.648269 105.707953) (xy 164.773965 105.623965) (xy 164.857953 105.498269)
+ (xy 164.884976 105.36241) (xy 164.884976 105.199) (xy 164.124 105.199) (xy 163.676 105.199) (xy 163.676 104.215024)
+ (xy 164.124 104.215024) (xy 164.124 104.751) (xy 164.884976 104.751) (xy 164.884976 104.58759) (xy 164.857953 104.451731)
+ (xy 164.773965 104.326035) (xy 164.648269 104.242047) (xy 164.51241 104.215024) (xy 164.124 104.215024) (xy 163.676 104.215024)
+ (xy 163.28759 104.215024) (xy 163.151731 104.242047) (xy 163.026035 104.326035) (xy 162.942047 104.451731) (xy 162.922471 104.55015)
+ (xy 162.907086 104.472801) (xy 162.835033 104.364967) (xy 162.727199 104.292914) (xy 162.726 104.292676) (xy 162.726 103.354918)
+ (xy 162.812409 103.354918) (xy 162.832107 103.351) (xy 164.181214 103.351) (xy 164.197935 103.354738) (xy 164.316871 103.351)
+ (xy 164.367694 103.351) (xy 164.384524 103.348873) (xy 164.46966 103.346198) (xy 164.518868 103.331902) (xy 164.569717 103.325478)
+ (xy 164.648936 103.294114) (xy 164.734606 103.269224) (xy 164.76644 103.247589) (xy 164.822486 103.225399) (xy 165.042426 103.065604)
+ (xy 165.084078 103.015256) (xy 165.09132 103.01066) (xy 165.172812 102.92388) (xy 165.208713 102.88798) (xy 165.219101 102.874588)
+ (xy 165.277421 102.812483) (xy 165.302111 102.767573) (xy 165.33352 102.727079) (xy 165.367345 102.648912) (xy 165.409356 102.572494)
)
)
(filled_polygon
(pts
- (xy 87.776849 95.689119) (xy 87.771047 95.690273) (xy 87.39892 95.93892) (xy 87.150273 96.311047) (xy 87.06296 96.75)
- (xy 87.150273 97.188953) (xy 87.39892 97.56108) (xy 87.771047 97.809727) (xy 88.099197 97.875) (xy 88.320803 97.875)
- (xy 88.648953 97.809727) (xy 88.985002 97.585187) (xy 88.985002 97.698141) (xy 88.976675 97.740003) (xy 89.00966 97.905829)
- (xy 89.068302 97.993593) (xy 89.103594 98.046411) (xy 89.13908 98.070122) (xy 89.93857 98.869613) (xy 86.7 98.869613)
- (xy 86.592701 98.890956) (xy 86.501736 98.951736) (xy 86.440956 99.042701) (xy 86.419613 99.15) (xy 86.419613 99.833353)
- (xy 86.406408 99.81359) (xy 86.370922 99.789879) (xy 84.440122 97.85908) (xy 84.416409 97.823591) (xy 84.275828 97.729658)
- (xy 84.151859 97.704999) (xy 84.151858 97.704999) (xy 84.110001 97.696673) (xy 84.068144 97.704999) (xy 83.72569 97.704999)
- (xy 83.94108 97.56108) (xy 84.189727 97.188953) (xy 84.206504 97.104609) (xy 84.54977 97.104609) (xy 84.770745 97.50631)
- (xy 85.128624 97.79287) (xy 85.315395 97.870214) (xy 85.495001 97.829659) (xy 85.495001 96.925) (xy 85.845001 96.925)
- (xy 85.845001 97.829659) (xy 86.024607 97.870214) (xy 86.211378 97.79287) (xy 86.569257 97.50631) (xy 86.790232 97.104609)
- (xy 86.750663 96.925) (xy 85.845001 96.925) (xy 85.495001 96.925) (xy 84.589339 96.925) (xy 84.54977 97.104609)
- (xy 84.206504 97.104609) (xy 84.27704 96.75) (xy 84.206505 96.395391) (xy 84.54977 96.395391) (xy 84.589339 96.575)
- (xy 85.495001 96.575) (xy 85.495001 95.670341) (xy 85.845001 95.670341) (xy 85.845001 96.575) (xy 86.750663 96.575)
- (xy 86.790232 96.395391) (xy 86.569257 95.99369) (xy 86.211378 95.70713) (xy 86.024607 95.629786) (xy 85.845001 95.670341)
- (xy 85.495001 95.670341) (xy 85.315395 95.629786) (xy 85.128624 95.70713) (xy 84.770745 95.99369) (xy 84.54977 96.395391)
- (xy 84.206505 96.395391) (xy 84.189727 96.311047) (xy 84.181823 96.299218) (xy 84.956041 95.525) (xy 87.809495 95.524998)
+ (xy 201.8824 78.896254) (xy 201.884642 78.911709) (xy 201.957859 79.082107) (xy 201.966251 79.092751) (xy 201.970987 79.105452)
+ (xy 202.073537 79.249486) (xy 202.083161 79.257434) (xy 202.089816 79.267996) (xy 202.219672 79.388188) (xy 202.250227 79.404289)
+ (xy 205.719768 82.01903) (xy 205.719767 107.726813) (xy 205.705351 107.700645) (xy 205.696675 107.654055) (xy 205.584082 107.471396)
+ (xy 205.529412 107.429824) (xy 203.397756 105.298169) (xy 203.670938 105.303891) (xy 203.962347 105.244074) (xy 204.233261 105.121182)
+ (xy 204.470217 104.941323) (xy 204.661436 104.713437) (xy 204.797416 104.448849) (xy 204.871619 104.159846) (xy 204.876264 103.827127)
+ (xy 204.81016 103.536166) (xy 204.681621 103.267884) (xy 204.626 103.197708) (xy 204.626 102.501022) (xy 204.625998 87.02706)
+ (xy 204.625999 87.027055) (xy 204.625999 83.743783) (xy 204.629736 83.727064) (xy 204.625999 83.608146) (xy 204.625999 83.557307)
+ (xy 204.623873 83.540477) (xy 204.621197 83.455338) (xy 204.606901 83.406131) (xy 204.600477 83.355281) (xy 204.569114 83.276066)
+ (xy 204.544223 83.190392) (xy 204.522588 83.158558) (xy 204.500398 83.102513) (xy 204.340603 82.882572) (xy 204.27496 82.828268)
+ (xy 195.660438 74.213746)
)
)
(filled_polygon
(pts
- (xy 101.844613 94.8) (xy 101.865956 94.907299) (xy 101.926736 94.998264) (xy 102.017701 95.059044) (xy 102.125 95.080387)
- (xy 102.800002 95.080387) (xy 102.800002 96.098958) (xy 102.67908 96.21988) (xy 102.643592 96.243592) (xy 102.555789 96.375)
- (xy 102.549659 96.384174) (xy 102.516674 96.55) (xy 102.520575 96.569613) (xy 102.225 96.569613) (xy 102.117701 96.590956)
- (xy 102.026736 96.651736) (xy 101.965956 96.742701) (xy 101.944613 96.85) (xy 101.944613 97.3) (xy 101.964504 97.4)
- (xy 101.944613 97.5) (xy 101.944613 97.95) (xy 101.964504 98.05) (xy 101.944613 98.15) (xy 101.944613 98.6)
- (xy 101.964504 98.7) (xy 101.944613 98.8) (xy 101.944613 98.982033) (xy 101.904845 98.955461) (xy 101.751706 98.925)
- (xy 100.847704 98.925) (xy 100.795998 98.914715) (xy 100.744292 98.925) (xy 100.591153 98.955461) (xy 100.417494 99.071496)
- (xy 100.388201 99.115336) (xy 100.378537 99.125) (xy 99.951705 99.125) (xy 99.899999 99.114715) (xy 99.848293 99.125)
- (xy 98.151704 99.125) (xy 98.099999 99.114715) (xy 98.055387 99.123589) (xy 98.055387 98.8) (xy 98.090263 98.8)
- (xy 98.13212 98.808326) (xy 98.173977 98.8) (xy 98.173978 98.8) (xy 98.297947 98.775341) (xy 98.438528 98.681408)
- (xy 98.462241 98.645919) (xy 100.620923 96.487238) (xy 100.656408 96.463528) (xy 100.750341 96.322947) (xy 100.775 96.198978)
- (xy 100.775 96.198977) (xy 100.783326 96.15712) (xy 100.775 96.115263) (xy 100.775 95.330387) (xy 100.95 95.330387)
- (xy 101.057299 95.309044) (xy 101.148264 95.248264) (xy 101.209044 95.157299) (xy 101.230387 95.05) (xy 101.230387 94.775)
- (xy 101.844613 94.775)
+ (xy 163.324001 94.620694) (xy 163.324002 101.199) (xy 162.832107 101.199) (xy 162.812409 101.195082) (xy 162.328635 101.195082)
+ (xy 162.376568 101.17839) (xy 162.574165 101.054918) (xy 162.81241 101.054918) (xy 162.927199 101.032086) (xy 163.035033 100.960033)
+ (xy 163.107086 100.852199) (xy 163.129918 100.73741) (xy 163.129918 100.021495) (xy 163.134351 99.984316) (xy 163.129918 99.942136)
+ (xy 163.129918 99.21259) (xy 163.107086 99.097801) (xy 163.035033 98.989967) (xy 162.927199 98.917914) (xy 162.81241 98.895082)
+ (xy 162.572232 98.895082) (xy 162.440172 98.809976) (xy 162.81241 98.809976) (xy 162.948269 98.782953) (xy 163.073965 98.698965)
+ (xy 163.157953 98.573269) (xy 163.184976 98.43741) (xy 163.184976 97.899) (xy 160.415024 97.899) (xy 160.415024 98.43741)
+ (xy 160.442047 98.573269) (xy 160.492649 98.649) (xy 160.026 98.649) (xy 160.026 97.650262) (xy 160.001223 97.42937)
+ (xy 159.903391 97.148432) (xy 159.829918 97.030851) (xy 159.829918 96.91259) (xy 160.415024 96.91259) (xy 160.415024 97.451)
+ (xy 161.576 97.451) (xy 161.576 96.540024) (xy 162.024 96.540024) (xy 162.024 97.451) (xy 163.184976 97.451)
+ (xy 163.184976 96.91259) (xy 163.157953 96.776731) (xy 163.073965 96.651035) (xy 162.948269 96.567047) (xy 162.81241 96.540024)
+ (xy 162.024 96.540024) (xy 161.576 96.540024) (xy 160.78759 96.540024) (xy 160.651731 96.567047) (xy 160.526035 96.651035)
+ (xy 160.442047 96.776731) (xy 160.415024 96.91259) (xy 159.829918 96.91259) (xy 159.807086 96.797802) (xy 159.776 96.751278)
+ (xy 159.776 96.578788) (xy 159.779738 96.562067) (xy 159.776 96.443131) (xy 159.776 96.392308) (xy 159.773873 96.375478)
+ (xy 159.771198 96.290341) (xy 159.756902 96.241134) (xy 159.750478 96.190284) (xy 159.719115 96.111069) (xy 159.694224 96.025396)
+ (xy 159.67259 95.993563) (xy 159.650399 95.937516) (xy 159.490604 95.717576) (xy 159.424967 95.663276) (xy 158.496666 94.734976)
+ (xy 158.676 94.734976) (xy 158.676 94.199) (xy 159.124 94.199) (xy 159.124 94.734976) (xy 159.51241 94.734976)
+ (xy 159.648269 94.707953) (xy 159.773965 94.623965) (xy 159.857953 94.498269) (xy 159.884976 94.36241) (xy 159.884976 94.199)
+ (xy 159.124 94.199) (xy 158.676 94.199) (xy 158.676 93.751) (xy 159.884976 93.751) (xy 159.884976 93.58759)
+ (xy 159.872725 93.526) (xy 162.229308 93.526)
)
)
(filled_polygon
(pts
- (xy 101.8 91.635354) (xy 101.8 92.04375) (xy 101.88125 92.125) (xy 102.325 92.125) (xy 102.325 92.105)
- (xy 102.675 92.105) (xy 102.675 92.125) (xy 102.695 92.125) (xy 102.695 92.475) (xy 102.675 92.475)
- (xy 102.675 93.14375) (xy 102.75625 93.225) (xy 102.800001 93.225) (xy 102.800001 93.319613) (xy 102.125 93.319613)
- (xy 102.017701 93.340956) (xy 101.926736 93.401736) (xy 101.865956 93.492701) (xy 101.844613 93.6) (xy 101.844613 93.925)
- (xy 101.230387 93.925) (xy 101.230387 93.65) (xy 101.209044 93.542701) (xy 101.148264 93.451736) (xy 101.057299 93.390956)
- (xy 100.95 93.369613) (xy 99.75 93.369613) (xy 99.642701 93.390956) (xy 99.551736 93.451736) (xy 99.531931 93.481376)
- (xy 99.525522 93.465903) (xy 99.434098 93.374479) (xy 99.314647 93.325) (xy 98.90625 93.325) (xy 98.825 93.40625)
- (xy 98.825 94.175) (xy 98.845 94.175) (xy 98.845 94.525) (xy 98.825 94.525) (xy 98.825 95.29375)
- (xy 98.90625 95.375) (xy 99.314647 95.375) (xy 99.434098 95.325521) (xy 99.525522 95.234097) (xy 99.531931 95.218624)
- (xy 99.551736 95.248264) (xy 99.642701 95.309044) (xy 99.75 95.330387) (xy 99.925 95.330387) (xy 99.925 95.391857)
- (xy 99.925001 95.391862) (xy 99.925 95.981079) (xy 98.925 96.98108) (xy 98.925 96.941857) (xy 98.933326 96.9)
- (xy 98.900341 96.734173) (xy 98.84838 96.656408) (xy 98.806408 96.593592) (xy 98.770923 96.569882) (xy 97.278928 95.077888)
- (xy 97.323264 95.048264) (xy 97.384044 94.957299) (xy 97.405387 94.85) (xy 97.405387 94.60625) (xy 97.725 94.60625)
- (xy 97.725 95.114646) (xy 97.774478 95.234097) (xy 97.865902 95.325521) (xy 97.985353 95.375) (xy 98.39375 95.375)
- (xy 98.475 95.29375) (xy 98.475 94.525) (xy 97.80625 94.525) (xy 97.725 94.60625) (xy 97.405387 94.60625)
- (xy 97.405387 93.995653) (xy 97.725 93.67604) (xy 97.725 94.09375) (xy 97.80625 94.175) (xy 98.475 94.175)
- (xy 98.475 93.40625) (xy 98.39375 93.325) (xy 98.076041 93.325) (xy 98.270654 93.130387) (xy 99.25 93.130387)
- (xy 99.357299 93.109044) (xy 99.448264 93.048264) (xy 99.468069 93.018624) (xy 99.474478 93.034097) (xy 99.565902 93.125521)
- (xy 99.685353 93.175) (xy 100.09375 93.175) (xy 100.175 93.09375) (xy 100.175 92.325) (xy 100.525 92.325)
- (xy 100.525 93.09375) (xy 100.60625 93.175) (xy 101.014647 93.175) (xy 101.134098 93.125521) (xy 101.225522 93.034097)
- (xy 101.275 92.914646) (xy 101.275 92.55625) (xy 101.8 92.55625) (xy 101.8 92.964646) (xy 101.849478 93.084097)
- (xy 101.940902 93.175521) (xy 102.060353 93.225) (xy 102.24375 93.225) (xy 102.325 93.14375) (xy 102.325 92.475)
- (xy 101.88125 92.475) (xy 101.8 92.55625) (xy 101.275 92.55625) (xy 101.275 92.40625) (xy 101.19375 92.325)
- (xy 100.525 92.325) (xy 100.175 92.325) (xy 100.155 92.325) (xy 100.155 91.975) (xy 100.175 91.975)
- (xy 100.175 91.955) (xy 100.525 91.955) (xy 100.525 91.975) (xy 101.19375 91.975) (xy 101.275 91.89375)
- (xy 101.275 91.524999) (xy 101.84571 91.524999)
- )
- )
- )
- (zone (net 2) (net_name GND) (layer B.Cu) (tstamp 5C55131C) (hatch edge 0.508)
- (priority 1)
- (connect_pads (clearance 0.15))
- (min_thickness 0.15)
- (fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.5))
- (polygon
- (pts
- (xy 80 100) (xy 80 98.75) (xy 80.5 95.5) (xy 81.5 92.25) (xy 83.25 89)
- (xy 84.75 87) (xy 86.75 85) (xy 88.25 83.75) (xy 90.75 82.25) (xy 93.75 81)
- (xy 96.5 80.25) (xy 98.75 80) (xy 101.25 80) (xy 103 80.25) (xy 105.5 80.75)
- (xy 107.5 81.5) (xy 109.25 82.25) (xy 111 83.25) (xy 112.25 84.25) (xy 114 85.75)
- (xy 115.25 87) (xy 116.5 88.75) (xy 117.5 90.5) (xy 118.5 92.75) (xy 119.25 94.5)
- (xy 119.75 96.5) (xy 120 100) (xy 120 100.75) (xy 119.75 103.5) (xy 119.5 104.5)
- (xy 118.75 107) (xy 117.75 109.25) (xy 116.5 111.25) (xy 115 113.25) (xy 114 114.25)
- (xy 113 115.25) (xy 111.25 116.5) (xy 109.25 117.75) (xy 107 118.75) (xy 105.5 119.25)
- (xy 103.5 119.75) (xy 100.5 120) (xy 99.25 120) (xy 96.75 119.75) (xy 94.5 119.25)
- (xy 92.5 118.5) (xy 89.75 117.25) (xy 88 116) (xy 86.25 114.5) (xy 84.75 113)
- (xy 83.75 111.75) (xy 82.25 109.25) (xy 81.25 107) (xy 80.5 104.5) (xy 80.25 102.75)
- (xy 80 100.75) (xy 80 100.25)
+ (xy 167.516381 85.52162) (xy 167.514901 85.523435) (xy 167.513059 85.524884) (xy 167.42094 85.638642) (xy 167.328359 85.752157)
+ (xy 167.32732 85.754251) (xy 167.325849 85.756067) (xy 167.261176 85.8875) (xy 167.196095 86.018604) (xy 167.195541 86.020893)
+ (xy 167.194502 86.023005) (xy 167.160592 86.165346) (xy 167.126141 86.307748) (xy 167.126108 86.310104) (xy 167.125564 86.312388)
+ (xy 167.124035 86.458381) (xy 167.121985 86.605205) (xy 167.122473 86.607504) (xy 167.122449 86.609854) (xy 167.153323 86.752648)
+ (xy 167.183834 86.896187) (xy 167.184822 86.898327) (xy 167.18532 86.900626) (xy 167.247104 87.033123) (xy 167.30861 87.166233)
+ (xy 167.310053 87.168107) (xy 167.311235 87.170641) (xy 167.347041 87.216142) (xy 167.490125 87.401941) (xy 167.557114 87.457359)
+ (xy 172.15562 92.055866) (xy 172.25936 92.161802) (xy 172.327922 92.205988) (xy 172.391667 92.256874) (xy 172.452564 92.286313)
+ (xy 172.509416 92.322952) (xy 172.586054 92.350845) (xy 172.659498 92.386349) (xy 172.72541 92.401567) (xy 172.789378 92.424849)
+ (xy 172.865659 92.433946) (xy 172.949358 92.453269) (xy 173.030087 92.453551) (xy 173.031586 92.453729) (xy 173.081327 92.453729)
+ (xy 173.246839 92.454307) (xy 173.249425 92.453729) (xy 191.48207 92.453729) (xy 191.361169 92.495948) (xy 191.273851 92.573882)
+ (xy 190.821915 93.173622) (xy 190.80074 93.183496) (xy 190.740369 93.231002) (xy 190.66752 93.276524) (xy 190.610256 93.333391)
+ (xy 190.609071 93.334323) (xy 190.574205 93.36919) (xy 190.456435 93.486141) (xy 190.455012 93.488383) (xy 188.521815 95.42158)
+ (xy 188.415928 95.525272) (xy 188.371744 95.593831) (xy 188.320855 95.657579) (xy 188.291414 95.71848) (xy 188.254778 95.775328)
+ (xy 188.226883 95.851971) (xy 188.19138 95.925411) (xy 188.176165 95.991315) (xy 188.152879 96.05529) (xy 188.143783 96.131574)
+ (xy 188.12446 96.215271) (xy 188.124178 96.295999) (xy 188.124 96.297498) (xy 188.124 96.34724) (xy 188.123422 96.512751)
+ (xy 188.124 96.515337) (xy 188.124 98.049737) (xy 188.148777 98.270629) (xy 188.220082 98.475389) (xy 188.220082 99.23741)
+ (xy 188.242914 99.352199) (xy 188.314967 99.460033) (xy 188.422801 99.532086) (xy 188.53759 99.554918) (xy 190.136258 99.554918)
+ (xy 189.296094 100.395082) (xy 188.53759 100.395082) (xy 188.422801 100.417914) (xy 188.314967 100.489967) (xy 188.242914 100.597801)
+ (xy 188.220082 100.71259) (xy 188.220082 100.749) (xy 186.662957 100.749) (xy 186.613075 100.741412) (xy 186.519783 100.749)
+ (xy 186.498452 100.749) (xy 186.449245 100.754737) (xy 186.331839 100.764287) (xy 186.368905 100.733977) (xy 186.370725 100.731447)
+ (xy 186.37336 100.729783) (xy 186.492826 100.60046) (xy 186.497904 100.5908) (xy 186.505831 100.583305) (xy 186.600755 100.429022)
+ (xy 186.604228 100.417375) (xy 186.611193 100.407417) (xy 186.675857 100.22949) (xy 186.67718 100.214285) (xy 186.682918 100.200142)
+ (xy 186.709265 99.988071) (xy 186.708086 99.979593) (xy 186.709851 99.971218) (xy 186.703431 99.852592) (xy 186.701023 99.845224)
+ (xy 186.7013 99.837474) (xy 186.655334 99.637617) (xy 186.648803 99.625308) (xy 186.64631 99.611598) (xy 186.567645 99.445213)
+ (xy 186.56037 99.436637) (xy 186.556236 99.426177) (xy 186.450869 99.284134) (xy 186.442715 99.277664) (xy 186.437123 99.268884)
+ (xy 186.308146 99.150671) (xy 186.278278 99.135119) (xy 178.595567 93.329549) (xy 178.581208 93.31176) (xy 178.534433 93.277664)
+ (xy 178.534303 93.277536) (xy 178.534105 93.277424) (xy 178.533944 93.277307) (xy 178.533796 93.27725) (xy 178.375763 93.188104)
+ (xy 178.363252 93.184885) (xy 178.352357 93.177948) (xy 178.165067 93.118938) (xy 178.148528 93.118219) (xy 178.132879 93.112809)
+ (xy 177.913055 93.097159) (xy 177.899044 93.099864) (xy 177.884869 93.098228) (xy 177.713583 93.12357) (xy 177.70297 93.128167)
+ (xy 177.691447 93.129136) (xy 177.511761 93.193858) (xy 177.501755 93.200814) (xy 177.490064 93.204267) (xy 177.33684 93.29799)
+ (xy 177.313399 93.32265) (xy 174.676 95.311989) (xy 174.676 94.367925) (xy 174.667797 94.295115) (xy 174.60741 94.169722)
+ (xy 174.492852 94.078364) (xy 174.364197 94.049) (xy 166.561356 94.049) (xy 166.561356 85.78252) (xy 166.842877 85.501)
+ (xy 167.542773 85.501)
)
)
(filled_polygon
(pts
- (xy 82.009645 104.762767) (xy 82.080777 104.869223) (xy 82.50252 105.151023) (xy 82.874427 105.225) (xy 82.874431 105.225)
- (xy 82.999999 105.249977) (xy 83.125567 105.225) (xy 89.201353 105.225) (xy 88.998286 105.295914) (xy 88.626635 105.627625)
- (xy 87.104077 107.648127) (xy 86.887657 108.096813) (xy 86.849878 108.762096) (xy 87.06957 109.391195) (xy 87.513284 109.888336)
- (xy 87.92807 110.088405) (xy 87.928071 112.877497) (xy 87.903093 113.00307) (xy 88.002048 113.50055) (xy 88.20184 113.799559)
- (xy 88.283848 113.922293) (xy 88.390303 113.993424) (xy 90.209645 115.812767) (xy 90.280777 115.919223) (xy 90.70252 116.201023)
- (xy 91.074427 116.275) (xy 91.074431 116.275) (xy 91.199999 116.299977) (xy 91.325567 116.275) (xy 93.773802 116.275)
- (xy 93.424904 116.40332) (xy 93.198714 116.576883) (xy 93.128024 116.775556) (xy 94.065575 117.316851) (xy 94.60687 116.3793)
- (xy 94.484378 116.275) (xy 96.171879 116.275) (xy 96.509645 116.612766) (xy 96.580777 116.719223) (xy 96.743719 116.828097)
- (xy 97.00252 117.001023) (xy 97.5 117.099977) (xy 97.750022 117.050245) (xy 108.693909 117.050245) (xy 108.052686 117.22206)
- (xy 107.954566 117.270447) (xy 107.882433 117.352699) (xy 107.847267 117.456295) (xy 107.854422 117.565462) (xy 108.027467 118.211274)
- (xy 106.972846 118.679995) (xy 105.77908 119.077917) (xy 105.672956 118.78937) (xy 105.499393 118.56318) (xy 105.30072 118.49249)
- (xy 104.808145 119.345655) (xy 104.183672 119.501773) (xy 103.343766 119.016854) (xy 103.207055 119.17741) (xy 103.185964 119.700909)
- (xy 100.49688 119.925) (xy 99.253743 119.925) (xy 96.761907 119.675816) (xy 94.521408 119.177928) (xy 93.333628 118.73251)
- (xy 93.652388 118.73251) (xy 93.812944 118.869221) (xy 94.339635 118.890441) (xy 94.820063 118.713746) (xy 103.518766 118.713746)
- (xy 104.456317 119.255041) (xy 104.997612 118.31749) (xy 104.837056 118.180779) (xy 104.310365 118.159559) (xy 103.815646 118.34151)
- (xy 103.589456 118.515073) (xy 103.518766 118.713746) (xy 94.820063 118.713746) (xy 94.834354 118.70849) (xy 95.060544 118.534927)
- (xy 95.131234 118.336254) (xy 94.193683 117.794959) (xy 93.652388 118.73251) (xy 93.333628 118.73251) (xy 92.528708 118.430665)
- (xy 91.06625 117.765911) (xy 92.795093 117.765911) (xy 92.977044 118.26063) (xy 93.150607 118.48682) (xy 93.34928 118.55751)
- (xy 93.890575 117.619959) (xy 93.668686 117.491851) (xy 94.368683 117.491851) (xy 95.306234 118.033146) (xy 95.442945 117.87259)
- (xy 95.464165 117.345899) (xy 95.282214 116.85118) (xy 95.108651 116.62499) (xy 94.909978 116.5543) (xy 94.368683 117.491851)
- (xy 93.668686 117.491851) (xy 92.953024 117.078664) (xy 92.816313 117.23922) (xy 92.795093 117.765911) (xy 91.06625 117.765911)
- (xy 89.787669 117.184738) (xy 88.563337 116.310215) (xy 87.074992 114.821871) (xy 87.074992 111.496395) (xy 87.025993 111.3781)
- (xy 87.001015 111.252528) (xy 86.929885 111.146074) (xy 86.880885 111.027778) (xy 86.790344 110.937237) (xy 86.719215 110.830785)
- (xy 86.612763 110.759656) (xy 86.522222 110.669115) (xy 86.403926 110.620115) (xy 86.297472 110.548985) (xy 86.1719 110.524007)
- (xy 86.053605 110.475008) (xy 85.925565 110.475008) (xy 85.799992 110.45003) (xy 85.674419 110.475008) (xy 85.546379 110.475008)
- (xy 85.428084 110.524007) (xy 85.302513 110.548985) (xy 85.196061 110.620114) (xy 85.077762 110.669115) (xy 84.987219 110.759658)
- (xy 84.88077 110.830785) (xy 84.809642 110.937235) (xy 84.719099 111.027778) (xy 84.670099 111.146075) (xy 84.598969 111.252528)
- (xy 84.573991 111.378101) (xy 84.524992 111.496395) (xy 84.524992 112.598682) (xy 83.811717 111.707088) (xy 82.316673 109.215347)
- (xy 81.320469 106.97389) (xy 80.573451 104.48383) (xy 80.380575 103.133696)
+ (xy 185.699001 73.61727) (xy 185.699 76.987546) (xy 185.693992 77.083096) (xy 185.714644 77.123628) (xy 185.730438 77.19793)
+ (xy 185.849378 77.361639) (xy 185.882732 77.380896) (xy 186.874001 78.372167) (xy 186.874 79.977836) (xy 186.508936 80.3429)
+ (xy 186.529918 80.23741) (xy 186.529918 78.71259) (xy 186.507086 78.597801) (xy 186.435033 78.489967) (xy 186.327199 78.417914)
+ (xy 186.21241 78.395082) (xy 185.113956 78.395082) (xy 185.083574 78.3647) (xy 185.054225 78.320775) (xy 184.880235 78.20452)
+ (xy 184.738976 78.176421) (xy 184.675 78.163695) (xy 184.623195 78.174) (xy 182.182536 78.174) (xy 182.187509 78.149)
+ (xy 181.807107 78.149) (xy 181.787409 78.145082) (xy 180.312591 78.145082) (xy 180.292893 78.149) (xy 179.912493 78.149)
+ (xy 179.967047 78.423269) (xy 179.995082 78.465226) (xy 179.995082 78.93741) (xy 180.012504 79.025) (xy 179.995082 79.11259)
+ (xy 179.995082 79.58741) (xy 180.012504 79.675) (xy 179.995082 79.76259) (xy 179.995082 80.23741) (xy 180.012504 80.325)
+ (xy 179.995082 80.41259) (xy 179.995082 80.88741) (xy 180.017914 81.002199) (xy 180.089967 81.110033) (xy 180.197801 81.182086)
+ (xy 180.31259 81.204918) (xy 180.374001 81.204918) (xy 180.374001 81.395082) (xy 180.18759 81.395082) (xy 180.072801 81.417914)
+ (xy 179.964967 81.489967) (xy 179.892914 81.597801) (xy 179.870082 81.71259) (xy 179.870082 83.23741) (xy 179.892914 83.352199)
+ (xy 179.964967 83.460033) (xy 180.072801 83.532086) (xy 180.18759 83.554918) (xy 181.71241 83.554918) (xy 181.827199 83.532086)
+ (xy 181.935033 83.460033) (xy 182.007086 83.352199) (xy 182.029918 83.23741) (xy 182.029918 81.71259) (xy 182.007086 81.597801)
+ (xy 181.935033 81.489967) (xy 181.827199 81.417914) (xy 181.71241 81.395082) (xy 181.526 81.395082) (xy 181.526 81.204918)
+ (xy 181.78741 81.204918) (xy 181.902199 81.182086) (xy 182.010033 81.110033) (xy 182.082086 81.002199) (xy 182.104918 80.88741)
+ (xy 182.104918 80.798079) (xy 186.784599 85.477762) (xy 186.848635 85.548882) (xy 186.891903 85.562941) (xy 186.955609 85.604311)
+ (xy 187.155469 85.635966) (xy 187.192665 85.626) (xy 198.047785 85.626) (xy 198.067914 85.727199) (xy 198.139967 85.835033)
+ (xy 198.247801 85.907086) (xy 198.36259 85.929918) (xy 199.18741 85.929918) (xy 199.302199 85.907086) (xy 199.410033 85.835033)
+ (xy 199.482086 85.727199) (xy 199.504918 85.61241) (xy 199.504918 84.68759) (xy 199.482086 84.572801) (xy 199.410033 84.464967)
+ (xy 199.302199 84.392914) (xy 199.18741 84.370082) (xy 198.36259 84.370082) (xy 198.247801 84.392914) (xy 198.139967 84.464967)
+ (xy 198.067914 84.572801) (xy 198.047785 84.674) (xy 187.327167 84.674) (xy 186.027167 83.374) (xy 198.940024 83.374)
+ (xy 198.940024 83.61241) (xy 198.967047 83.748269) (xy 199.051035 83.873965) (xy 199.176731 83.957953) (xy 199.31259 83.984976)
+ (xy 199.501 83.984976) (xy 199.501 83.374) (xy 199.949 83.374) (xy 199.949 83.984976) (xy 200.13741 83.984976)
+ (xy 200.273269 83.957953) (xy 200.398965 83.873965) (xy 200.482953 83.748269) (xy 200.509976 83.61241) (xy 200.509976 83.374)
+ (xy 199.949 83.374) (xy 199.501 83.374) (xy 198.940024 83.374) (xy 186.027167 83.374) (xy 185.340757 82.68759)
+ (xy 198.940024 82.68759) (xy 198.940024 82.926) (xy 199.501 82.926) (xy 199.501 82.315024) (xy 199.949 82.315024)
+ (xy 199.949 82.926) (xy 200.509976 82.926) (xy 200.509976 82.68759) (xy 200.482953 82.551731) (xy 200.398965 82.426035)
+ (xy 200.273269 82.342047) (xy 200.13741 82.315024) (xy 199.949 82.315024) (xy 199.501 82.315024) (xy 199.31259 82.315024)
+ (xy 199.176731 82.342047) (xy 199.051035 82.426035) (xy 198.967047 82.551731) (xy 198.940024 82.68759) (xy 185.340757 82.68759)
+ (xy 184.70259 82.049423) (xy 184.775468 82.060966) (xy 184.812663 82.051) (xy 185.937545 82.051) (xy 186.033097 82.056009)
+ (xy 186.073629 82.035356) (xy 186.147931 82.019563) (xy 186.311639 81.900622) (xy 186.330896 81.867268) (xy 187.677784 80.520382)
+ (xy 187.748882 80.456367) (xy 187.762941 80.413099) (xy 187.804311 80.349393) (xy 187.835966 80.149532) (xy 187.826 80.112337)
+ (xy 187.826 79.978765) (xy 188.750005 80.902772) (xy 188.814032 80.973882) (xy 188.8573 80.987941) (xy 188.921006 81.029311)
+ (xy 189.120866 81.060966) (xy 189.158062 81.051) (xy 191.137545 81.051) (xy 191.233097 81.056009) (xy 191.273629 81.035356)
+ (xy 191.347931 81.019563) (xy 191.511639 80.900622) (xy 191.530896 80.867268) (xy 192.127774 80.270392) (xy 192.198882 80.206367)
+ (xy 192.212941 80.163099) (xy 192.254311 80.099393) (xy 192.285966 79.899533) (xy 192.276 79.862337) (xy 192.276 74.901)
+ (xy 193.304308 74.901) (xy 202.473999 84.070692) (xy 202.474 85.970536) (xy 202.201996 85.970536) (xy 201.963505 86.026629)
+ (xy 201.792969 86.155411) (xy 201.682064 86.334528) (xy 201.645536 86.529938) (xy 201.645536 87.023004) (xy 201.701629 87.261495)
+ (xy 201.830411 87.432031) (xy 202.009528 87.542936) (xy 202.204938 87.579464) (xy 202.473999 87.579464) (xy 202.473999 88.159413)
+ (xy 201.553451 87.238866) (xy 201.567936 87.215472) (xy 201.604464 87.020062) (xy 201.604464 86.526996) (xy 201.548371 86.288505)
+ (xy 201.419589 86.117969) (xy 201.240472 86.007064) (xy 201.226 86.004359) (xy 201.226 85.891182) (xy 201.310033 85.835033)
+ (xy 201.382086 85.727199) (xy 201.404918 85.61241) (xy 201.404918 84.68759) (xy 201.382086 84.572801) (xy 201.310033 84.464967)
+ (xy 201.202199 84.392914) (xy 201.08741 84.370082) (xy 200.26259 84.370082) (xy 200.147801 84.392914) (xy 200.039967 84.464967)
+ (xy 199.967914 84.572801) (xy 199.945082 84.68759) (xy 199.945082 85.61241) (xy 199.967914 85.727199) (xy 200.039967 85.835033)
+ (xy 200.147801 85.907086) (xy 200.26259 85.929918) (xy 200.274001 85.929918) (xy 200.274 86.012401) (xy 200.213505 86.026629)
+ (xy 200.042969 86.155411) (xy 199.932064 86.334528) (xy 199.895536 86.529938) (xy 199.895536 87.023004) (xy 199.951629 87.261495)
+ (xy 200.080411 87.432031) (xy 200.250374 87.537267) (xy 200.315918 87.643602) (xy 200.37059 87.685175) (xy 201.773991 89.088577)
+ (xy 201.773992 97.326112) (xy 201.646943 97.132331) (xy 201.554207 97.044481) (xy 195.526936 91.017211) (xy 195.645623 90.859707)
+ (xy 195.696471 90.754291) (xy 195.703822 90.624809) (xy 195.661066 90.50237) (xy 195.583132 90.415052) (xy 194.125766 89.316849)
+ (xy 194.02035 89.266001) (xy 193.890868 89.25865) (xy 193.768429 89.301406) (xy 193.681111 89.37934) (xy 193.362819 89.801729)
+ (xy 182.001 89.801729) (xy 182.001 88.492863) (xy 181.974074 88.322858) (xy 181.869667 88.117948) (xy 181.858564 88.106846)
+ (xy 181.848371 88.063505) (xy 181.719589 87.892969) (xy 181.540472 87.782064) (xy 181.345062 87.745536) (xy 180.751996 87.745536)
+ (xy 180.513505 87.801629) (xy 180.342969 87.930411) (xy 180.232064 88.109528) (xy 180.195536 88.304938) (xy 180.195536 88.798004)
+ (xy 180.251629 89.036495) (xy 180.380411 89.207031) (xy 180.549 89.311416) (xy 180.549001 89.801729) (xy 173.651975 89.801729)
+ (xy 172.649246 88.799) (xy 173.790024 88.799) (xy 173.790024 89.08741) (xy 173.817047 89.223269) (xy 173.901035 89.348965)
+ (xy 174.026731 89.432953) (xy 174.16259 89.459976) (xy 174.451 89.459976) (xy 174.451 88.799) (xy 174.899 88.799)
+ (xy 174.899 89.459976) (xy 175.18741 89.459976) (xy 175.323269 89.432953) (xy 175.448965 89.348965) (xy 175.532953 89.223269)
+ (xy 175.559976 89.08741) (xy 175.559976 88.799) (xy 174.899 88.799) (xy 174.451 88.799) (xy 173.790024 88.799)
+ (xy 172.649246 88.799) (xy 171.912836 88.06259) (xy 173.790024 88.06259) (xy 173.790024 88.351) (xy 174.451 88.351)
+ (xy 174.451 87.690024) (xy 174.899 87.690024) (xy 174.899 88.351) (xy 175.559976 88.351) (xy 175.559976 88.06259)
+ (xy 175.532953 87.926731) (xy 175.448965 87.801035) (xy 175.323269 87.717047) (xy 175.18741 87.690024) (xy 174.899 87.690024)
+ (xy 174.451 87.690024) (xy 174.16259 87.690024) (xy 174.026731 87.717047) (xy 173.901035 87.801035) (xy 173.817047 87.926731)
+ (xy 173.790024 88.06259) (xy 171.912836 88.06259) (xy 170.009635 86.159389) (xy 170.051805 86.151) (xy 175.048195 86.151)
+ (xy 175.1 86.161305) (xy 175.163976 86.148579) (xy 175.305234 86.12048) (xy 175.479224 86.004226) (xy 175.508574 85.960301)
+ (xy 176.158616 85.31026) (xy 176.158618 85.310257) (xy 176.649 84.819876) (xy 176.649 87.747785) (xy 176.547801 87.767914)
+ (xy 176.439967 87.839967) (xy 176.367914 87.947801) (xy 176.345082 88.06259) (xy 176.345082 89.08741) (xy 176.367914 89.202199)
+ (xy 176.439967 89.310033) (xy 176.547801 89.382086) (xy 176.66259 89.404918) (xy 177.68741 89.404918) (xy 177.802199 89.382086)
+ (xy 177.910033 89.310033) (xy 177.932773 89.276) (xy 178.7418 89.276) (xy 178.809528 89.317936) (xy 179.004938 89.354464)
+ (xy 179.598004 89.354464) (xy 179.836495 89.298371) (xy 180.007031 89.169589) (xy 180.117936 88.990472) (xy 180.154464 88.795062)
+ (xy 180.154464 88.301996) (xy 180.098371 88.063505) (xy 179.969589 87.892969) (xy 179.790472 87.782064) (xy 179.595062 87.745536)
+ (xy 179.001996 87.745536) (xy 178.763505 87.801629) (xy 178.733881 87.824) (xy 177.886136 87.824) (xy 177.802199 87.767914)
+ (xy 177.701 87.747785) (xy 177.701 79.001803) (xy 177.711305 78.949999) (xy 177.693819 78.862095) (xy 177.67048 78.744765)
+ (xy 177.554225 78.570775) (xy 177.510309 78.541432) (xy 176.844875 77.876) (xy 179.927411 77.876) (xy 179.912493 77.951)
+ (xy 180.292893 77.951) (xy 180.312591 77.954918) (xy 181.787409 77.954918) (xy 181.807107 77.951) (xy 182.187509 77.951)
+ (xy 182.182536 77.926) (xy 184.698195 77.926) (xy 184.75 77.936305) (xy 184.813976 77.923579) (xy 184.955234 77.89548)
+ (xy 185.129225 77.779225) (xy 185.158573 77.735302) (xy 185.485309 77.408568) (xy 185.529225 77.379225) (xy 185.64548 77.205235)
+ (xy 185.673579 77.063976) (xy 185.686305 77.000001) (xy 185.676 76.948197) (xy 185.676 73.612695)
)
)
(filled_polygon
(pts
- (xy 94.509645 107.812767) (xy 94.580777 107.919223) (xy 95.00252 108.201023) (xy 95.374427 108.275) (xy 95.374431 108.275)
- (xy 95.499999 108.299977) (xy 95.625567 108.275) (xy 103.320865 108.275) (xy 104.883961 109.838096) (xy 104.887657 109.903187)
- (xy 105.104077 110.351873) (xy 106.626635 112.372375) (xy 106.998286 112.704086) (xy 107.344531 112.825) (xy 106.562436 112.825)
- (xy 104.133254 110.395818) (xy 104.275 110.053613) (xy 104.275 109.546387) (xy 104.080893 109.07777) (xy 103.72223 108.719107)
- (xy 103.253613 108.525) (xy 102.746387 108.525) (xy 102.27777 108.719107) (xy 101.919107 109.07777) (xy 101.725 109.546387)
- (xy 101.725 110.053613) (xy 101.919107 110.52223) (xy 102.160245 110.763368) (xy 102.175768 110.841407) (xy 102.36916 111.13084)
- (xy 102.442223 111.179659) (xy 105.520341 114.257777) (xy 105.56916 114.33084) (xy 105.822693 114.500245) (xy 98.003366 114.500245)
- (xy 97.690356 114.187235) (xy 97.619223 114.080777) (xy 97.19748 113.798977) (xy 96.825573 113.725) (xy 96.825568 113.725)
- (xy 96.7 113.700023) (xy 96.574432 113.725) (xy 91.728122 113.725) (xy 90.47807 112.474949) (xy 90.47807 111.568575)
- (xy 90.66343 112.099363) (xy 91.107144 112.596504) (xy 91.707331 112.885999) (xy 92.372614 112.923778) (xy 93.001713 112.704086)
- (xy 93.373364 112.372376) (xy 94.895923 110.351874) (xy 95.112343 109.903189) (xy 95.150122 109.237905) (xy 94.93043 108.608805)
- (xy 94.486715 108.111665) (xy 93.886529 107.822169) (xy 93.861059 107.820723) (xy 93.969365 107.559248) (xy 93.969365 107.272487)
+ (xy 165.409357 93.792477) (xy 165.394225 93.740395) (xy 165.372591 93.708562) (xy 165.3504 93.652515) (xy 165.190605 93.432574)
+ (xy 165.124968 93.378275) (xy 163.519846 91.773154) (xy 163.510661 91.758681) (xy 163.423881 91.677189) (xy 163.387981 91.641288)
+ (xy 163.374589 91.6309) (xy 163.312484 91.57258) (xy 163.267574 91.54789) (xy 163.22708 91.516481) (xy 163.148913 91.482656)
+ (xy 163.070711 91.439664) (xy 163.032894 91.43245) (xy 162.977579 91.408513) (xy 162.709066 91.365984) (xy 162.624268 91.374)
+ (xy 159.132308 91.374) (xy 158.930283 91.399522) (xy 158.751309 91.470383) (xy 159.058713 91.16298) (xy 159.183519 91.002081)
+ (xy 159.291488 90.75258) (xy 159.334016 90.484067) (xy 159.308432 90.213413) (xy 159.216342 89.957624) (xy 159.063533 89.732774)
+ (xy 158.910106 89.597509) (xy 158.957086 89.527199) (xy 158.979918 89.41241) (xy 158.979918 88.48759) (xy 158.97264 88.451)
+ (xy 159.42736 88.451) (xy 159.420082 88.48759) (xy 159.420082 89.41241) (xy 159.442914 89.527199) (xy 159.514967 89.635033)
+ (xy 159.612196 89.7) (xy 159.514967 89.764967) (xy 159.442914 89.872801) (xy 159.420082 89.98759) (xy 159.420082 90.91241)
+ (xy 159.442914 91.027199) (xy 159.514967 91.135033) (xy 159.622801 91.207086) (xy 159.73759 91.229918) (xy 160.56241 91.229918)
+ (xy 160.677199 91.207086) (xy 160.785033 91.135033) (xy 160.857086 91.027199) (xy 160.86727 90.976) (xy 161.187401 90.976)
+ (xy 161.201629 91.036495) (xy 161.330411 91.207031) (xy 161.509528 91.317936) (xy 161.704938 91.354464) (xy 162.198004 91.354464)
+ (xy 162.436495 91.298371) (xy 162.607031 91.169589) (xy 162.717936 90.990472) (xy 162.754464 90.795062) (xy 162.754464 90.201996)
+ (xy 162.698371 89.963505) (xy 162.569589 89.792969) (xy 162.390472 89.682064) (xy 162.195062 89.645536) (xy 161.701996 89.645536)
+ (xy 161.463505 89.701629) (xy 161.292969 89.830411) (xy 161.182064 90.009528) (xy 161.179359 90.024) (xy 160.879918 90.024)
+ (xy 160.879918 89.98759) (xy 160.857086 89.872801) (xy 160.785033 89.764967) (xy 160.687804 89.7) (xy 160.785033 89.635033)
+ (xy 160.857086 89.527199) (xy 160.879918 89.41241) (xy 160.879918 88.48759) (xy 160.87264 88.451) (xy 162.834715 88.451)
+ (xy 162.930267 88.456009) (xy 162.970799 88.435356) (xy 163.045101 88.419563) (xy 163.208809 88.300622) (xy 163.228067 88.267266)
+ (xy 165.409357 86.085977)
)
)
(filled_polygon
(pts
- (xy 102.987321 80.32395) (xy 105.479346 80.822355) (xy 107.472047 81.569618) (xy 109.216518 82.317248) (xy 110.957712 83.312217)
- (xy 112.20216 84.307775) (xy 112.835712 84.850819) (xy 112.76443 84.864998) (xy 111.496387 84.864998) (xy 111.378093 84.913997)
- (xy 111.25252 84.938975) (xy 111.146066 85.010105) (xy 111.02777 85.059105) (xy 110.937229 85.149646) (xy 110.830777 85.220775)
- (xy 110.759648 85.327227) (xy 110.669107 85.417768) (xy 110.620107 85.536064) (xy 110.548977 85.642518) (xy 110.523999 85.76809)
- (xy 110.475 85.886385) (xy 110.475 86.014425) (xy 110.450022 86.139998) (xy 110.475 86.265571) (xy 110.475 86.393611)
- (xy 110.523999 86.511906) (xy 110.548977 86.637478) (xy 110.620107 86.743932) (xy 110.669107 86.862228) (xy 110.759648 86.952769)
- (xy 110.830777 87.059221) (xy 110.937229 87.13035) (xy 111.02777 87.220891) (xy 111.146066 87.269891) (xy 111.25252 87.341021)
- (xy 111.378093 87.365999) (xy 111.496387 87.414998) (xy 112.361877 87.414998) (xy 113.147385 88.200507) (xy 111.545111 89.802782)
- (xy 111.221245 89.784391) (xy 110.592146 90.004082) (xy 110.220495 90.335793) (xy 108.697937 92.356295) (xy 108.481517 92.804981)
- (xy 108.443738 93.470264) (xy 108.597229 93.909793) (xy 108.07807 93.390634) (xy 108.07807 91.267998) (xy 109.302063 89.643706)
- (xy 109.518483 89.195021) (xy 109.556262 88.529737) (xy 109.33657 87.900637) (xy 108.892855 87.403497) (xy 108.292669 87.114001)
- (xy 107.627385 87.076223) (xy 106.998286 87.295914) (xy 106.626635 87.627625) (xy 105.104077 89.648127) (xy 104.887657 90.096813)
- (xy 104.849878 90.762096) (xy 105.06957 91.391195) (xy 105.513284 91.888336) (xy 106.113471 92.177831) (xy 106.328071 92.190017)
- (xy 106.32807 93.666892) (xy 106.310928 93.75307) (xy 106.32807 93.839248) (xy 106.378838 94.094477) (xy 106.57223 94.38391)
- (xy 106.645293 94.432729) (xy 108.370341 96.157777) (xy 108.41916 96.23084) (xy 108.708592 96.424232) (xy 108.963821 96.475)
- (xy 109.049999 96.492142) (xy 109.136177 96.475) (xy 111.263822 96.475) (xy 111.35 96.492142) (xy 111.436178 96.475)
- (xy 111.436179 96.475) (xy 111.691408 96.424232) (xy 111.98084 96.23084) (xy 112.029659 96.157777) (xy 115.324742 92.862695)
- (xy 115.52223 92.780893) (xy 115.880893 92.42223) (xy 116.075 91.953613) (xy 116.075 91.446387) (xy 115.880893 90.97777)
- (xy 115.52223 90.619107) (xy 115.053613 90.425) (xy 114.546387 90.425) (xy 114.516937 90.437198) (xy 114.950507 90.003629)
- (xy 117.725001 92.778123) (xy 117.725 106.271878) (xy 111.558258 112.438621) (xy 111.621162 112.344478) (xy 111.67193 112.089249)
- (xy 111.689072 112.003071) (xy 111.67193 111.916893) (xy 111.67193 110.190017) (xy 111.886529 110.177831) (xy 112.486715 109.888335)
- (xy 112.93043 109.391195) (xy 113.150122 108.762095) (xy 113.112343 108.096811) (xy 112.895923 107.648126) (xy 111.373364 105.627624)
- (xy 111.001713 105.295914) (xy 110.372614 105.076222) (xy 109.707331 105.114001) (xy 109.107144 105.403496) (xy 108.66343 105.900637)
- (xy 108.443738 106.529736) (xy 108.481517 107.195019) (xy 108.697937 107.643705) (xy 109.92193 109.267999) (xy 109.921931 111.640633)
- (xy 108.737564 112.825) (xy 108.419133 112.825) (xy 108.892855 112.596503) (xy 109.33657 112.099363) (xy 109.556262 111.470263)
- (xy 109.518483 110.804979) (xy 109.302063 110.356294) (xy 107.809049 108.375) (xy 108.034266 108.375) (xy 108.282357 108.272237)
- (xy 108.472237 108.082357) (xy 108.575 107.834266) (xy 108.575 107.565734) (xy 108.472237 107.317643) (xy 108.282357 107.127763)
- (xy 108.034266 107.025) (xy 107.765734 107.025) (xy 107.517643 107.127763) (xy 107.327763 107.317643) (xy 107.225 107.565734)
- (xy 107.225 107.809316) (xy 107.127973 107.906343) (xy 106.778754 107.78439) (xy 106.454889 107.802781) (xy 104.839342 106.187235)
- (xy 104.768209 106.080777) (xy 104.346466 105.798977) (xy 103.974559 105.725) (xy 103.974554 105.725) (xy 103.848986 105.700023)
- (xy 103.723418 105.725) (xy 96.028122 105.725) (xy 94.703122 104.4) (xy 98.307858 104.4) (xy 98.325 104.486178)
- (xy 98.325 104.574048) (xy 98.358627 104.655231) (xy 98.375769 104.741408) (xy 98.424583 104.814464) (xy 98.458211 104.895648)
- (xy 98.520347 104.957784) (xy 98.569161 105.030839) (xy 98.642216 105.079653) (xy 98.704352 105.141789) (xy 98.785536 105.175417)
- (xy 98.858592 105.224231) (xy 98.944769 105.241373) (xy 99.025952 105.275) (xy 99.113822 105.275) (xy 99.2 105.292142)
- (xy 99.286178 105.275) (xy 99.374048 105.275) (xy 99.455231 105.241373) (xy 99.541408 105.224231) (xy 99.614464 105.175416)
- (xy 99.695648 105.141789) (xy 99.790573 105.046864) (xy 99.877763 105.257357) (xy 100.067643 105.447237) (xy 100.315734 105.55)
- (xy 100.584266 105.55) (xy 100.832357 105.447237) (xy 100.9625 105.317094) (xy 101.117643 105.472237) (xy 101.365734 105.575)
- (xy 101.634266 105.575) (xy 101.882357 105.472237) (xy 102.072237 105.282357) (xy 102.175 105.034266) (xy 102.175 104.765734)
- (xy 102.072237 104.517643) (xy 101.925 104.370406) (xy 101.925 103.679615) (xy 102.022258 103.582357) (xy 102.125021 103.334266)
- (xy 102.125021 103.065734) (xy 102.022258 102.817643) (xy 101.832378 102.627763) (xy 101.704712 102.574882) (xy 101.797237 102.482357)
- (xy 101.9 102.234266) (xy 101.9 101.965734) (xy 101.797237 101.717643) (xy 101.607357 101.527763) (xy 101.359266 101.425)
- (xy 101.090734 101.425) (xy 100.842643 101.527763) (xy 100.652763 101.717643) (xy 100.61645 101.80531) (xy 100.591374 101.744772)
- (xy 100.574232 101.658592) (xy 100.525415 101.585532) (xy 100.491789 101.504352) (xy 100.429657 101.44222) (xy 100.38084 101.36916)
- (xy 100.30778 101.320343) (xy 100.245648 101.258211) (xy 100.164468 101.224585) (xy 100.091408 101.175768) (xy 100.005228 101.158626)
- (xy 99.924048 101.125) (xy 99.836179 101.125) (xy 99.75 101.107858) (xy 99.663821 101.125) (xy 99.575952 101.125)
- (xy 99.494771 101.158626) (xy 99.408593 101.175768) (xy 99.335534 101.224584) (xy 99.254352 101.258211) (xy 99.192218 101.320345)
- (xy 99.119161 101.36916) (xy 99.070346 101.442217) (xy 99.008211 101.504352) (xy 98.974584 101.585536) (xy 98.925769 101.658592)
- (xy 98.908628 101.744768) (xy 98.875 101.825952) (xy 98.875 102.174048) (xy 98.875001 102.17405) (xy 98.875 103.487564)
- (xy 98.704354 103.65821) (xy 98.704352 103.658211) (xy 98.458211 103.904352) (xy 98.424584 103.985536) (xy 98.375769 104.058592)
- (xy 98.358627 104.144769) (xy 98.325 104.225952) (xy 98.325 104.313822) (xy 98.307858 104.4) (xy 94.703122 104.4)
- (xy 93.440356 103.137235) (xy 93.369223 103.030777) (xy 92.94748 102.748977) (xy 92.575573 102.675) (xy 92.575568 102.675)
- (xy 92.45 102.650023) (xy 92.324432 102.675) (xy 83.528122 102.675) (xy 81.924999 101.071878) (xy 81.924999 96.75)
- (xy 81.98296 96.75) (xy 82.070273 97.188953) (xy 82.31892 97.56108) (xy 82.691047 97.809727) (xy 83.019197 97.875)
- (xy 83.240803 97.875) (xy 83.568953 97.809727) (xy 83.94108 97.56108) (xy 84.189727 97.188953) (xy 84.206504 97.104609)
- (xy 84.54977 97.104609) (xy 84.770745 97.50631) (xy 85.128624 97.79287) (xy 85.315395 97.870214) (xy 85.495001 97.829659)
- (xy 85.495001 96.925) (xy 85.845001 96.925) (xy 85.845001 97.829659) (xy 86.024607 97.870214) (xy 86.211378 97.79287)
- (xy 86.569257 97.50631) (xy 86.790232 97.104609) (xy 86.750663 96.925) (xy 85.845001 96.925) (xy 85.495001 96.925)
- (xy 84.589339 96.925) (xy 84.54977 97.104609) (xy 84.206504 97.104609) (xy 84.27704 96.75) (xy 87.06296 96.75)
- (xy 87.150273 97.188953) (xy 87.39892 97.56108) (xy 87.771047 97.809727) (xy 88.099197 97.875) (xy 88.320803 97.875)
- (xy 88.648953 97.809727) (xy 89.02108 97.56108) (xy 89.269727 97.188953) (xy 89.35704 96.75) (xy 89.269727 96.311047)
- (xy 89.02108 95.93892) (xy 88.648953 95.690273) (xy 88.320803 95.625) (xy 88.099197 95.625) (xy 87.771047 95.690273)
- (xy 87.39892 95.93892) (xy 87.150273 96.311047) (xy 87.06296 96.75) (xy 84.27704 96.75) (xy 84.206505 96.395391)
- (xy 84.54977 96.395391) (xy 84.589339 96.575) (xy 85.495001 96.575) (xy 85.495001 95.670341) (xy 85.845001 95.670341)
- (xy 85.845001 96.575) (xy 86.750663 96.575) (xy 86.790232 96.395391) (xy 86.569257 95.99369) (xy 86.211378 95.70713)
- (xy 86.024607 95.629786) (xy 85.845001 95.670341) (xy 85.495001 95.670341) (xy 85.315395 95.629786) (xy 85.128624 95.70713)
- (xy 84.770745 95.99369) (xy 84.54977 96.395391) (xy 84.206505 96.395391) (xy 84.189727 96.311047) (xy 83.94108 95.93892)
- (xy 83.568953 95.690273) (xy 83.240803 95.625) (xy 83.019197 95.625) (xy 82.691047 95.690273) (xy 82.31892 95.93892)
- (xy 82.070273 96.311047) (xy 81.98296 96.75) (xy 81.924999 96.75) (xy 81.924999 95.31812) (xy 89.295506 87.947614)
- (xy 90.477821 89.129928) (xy 90.481517 89.195019) (xy 90.697937 89.643705) (xy 92.220495 91.664207) (xy 92.592146 91.995918)
- (xy 93.221245 92.215609) (xy 93.886529 92.177831) (xy 94.486715 91.888335) (xy 94.93043 91.391195) (xy 95.150122 90.762095)
- (xy 95.112343 90.096811) (xy 94.895923 89.648126) (xy 94.124608 88.624558) (xy 94.246387 88.675) (xy 94.537564 88.675)
- (xy 95.225 89.362437) (xy 95.225001 92.337562) (xy 93.937563 93.625001) (xy 92.162436 93.625001) (xy 91.529083 92.991649)
- (xy 91.518483 92.804979) (xy 91.302063 92.356294) (xy 89.779504 90.335792) (xy 89.407853 90.004082) (xy 88.778754 89.78439)
- (xy 88.113471 89.822169) (xy 87.513284 90.111664) (xy 87.06957 90.608805) (xy 86.849878 91.237904) (xy 86.887657 91.903187)
- (xy 87.104077 92.351873) (xy 88.626635 94.372375) (xy 88.998286 94.704086) (xy 89.627385 94.923777) (xy 90.026713 94.901101)
- (xy 90.008142 94.945935) (xy 90.008142 95.214467) (xy 90.110905 95.462558) (xy 90.26796 95.619613) (xy 89.9 95.619613)
- (xy 89.792701 95.640956) (xy 89.701736 95.701736) (xy 89.640956 95.792701) (xy 89.619613 95.9) (xy 89.619613 97.6)
- (xy 89.640956 97.707299) (xy 89.701736 97.798264) (xy 89.792701 97.859044) (xy 89.9 97.880387) (xy 91.6 97.880387)
- (xy 91.707299 97.859044) (xy 91.798264 97.798264) (xy 91.859044 97.707299) (xy 91.880387 97.6) (xy 91.880387 95.9)
- (xy 91.876449 95.8802) (xy 94.846091 95.8802) (xy 94.885485 95.888036) (xy 94.924879 95.8802) (xy 95.041557 95.856991)
- (xy 95.173869 95.768584) (xy 95.196188 95.735181) (xy 97.354988 93.576383) (xy 97.388384 93.554069) (xy 97.476791 93.421757)
- (xy 97.5 93.305079) (xy 97.5 93.305078) (xy 97.507836 93.265686) (xy 97.5 93.226293) (xy 97.5 90.890737)
- (xy 97.86576 90.524978) (xy 98.109341 90.524978) (xy 98.357432 90.422215) (xy 98.547312 90.232335) (xy 98.650075 89.984244)
- (xy 98.650075 89.715712) (xy 98.547312 89.467621) (xy 98.357432 89.277741) (xy 98.109341 89.174978) (xy 97.840809 89.174978)
- (xy 97.592718 89.277741) (xy 97.402838 89.467621) (xy 97.300075 89.715712) (xy 97.300075 89.959293) (xy 96.975 90.284369)
- (xy 96.975 89.086179) (xy 96.992142 89) (xy 96.924232 88.658592) (xy 96.805497 88.480893) (xy 96.73084 88.36916)
- (xy 96.65778 88.320343) (xy 95.775 87.437564) (xy 95.775 87.146387) (xy 95.580893 86.67777) (xy 95.22223 86.319107)
- (xy 94.753613 86.125) (xy 94.246387 86.125) (xy 93.77777 86.319107) (xy 93.419107 86.67777) (xy 93.225 87.146387)
- (xy 93.225 87.495205) (xy 93.001713 87.295914) (xy 92.372614 87.076222) (xy 92.048749 87.094613) (xy 90.44137 85.487235)
- (xy 90.370237 85.380777) (xy 90.310291 85.340723) (xy 90.270237 85.280777) (xy 90.264856 85.277182) (xy 90.261261 85.271801)
- (xy 89.839518 84.990001) (xy 89.467611 84.916024) (xy 89.467606 84.916024) (xy 89.342038 84.891047) (xy 89.21647 84.916024)
- (xy 87.696387 84.916024) (xy 87.578093 84.965023) (xy 87.45252 84.990001) (xy 87.346066 85.061131) (xy 87.22777 85.110131)
- (xy 87.137229 85.200672) (xy 87.030777 85.271801) (xy 86.959648 85.378253) (xy 86.869107 85.468794) (xy 86.820107 85.58709)
- (xy 86.748977 85.693544) (xy 86.723999 85.819116) (xy 86.675 85.937411) (xy 86.675 86.065451) (xy 86.650022 86.191024)
- (xy 86.675 86.316597) (xy 86.675 86.444637) (xy 86.723999 86.562932) (xy 86.748977 86.688504) (xy 86.820107 86.794958)
- (xy 86.826496 86.810381) (xy 81.816537 91.82034) (xy 83.313369 89.040508) (xy 84.806802 87.049264) (xy 86.800624 85.055442)
- (xy 88.293562 83.811327) (xy 90.783895 82.317127) (xy 93.774393 81.071086) (xy 96.514094 80.323895) (xy 98.754151 80.075)
- (xy 101.244671 80.075)
+ (xy 173.274001 81.052526) (xy 173.268992 81.148093) (xy 173.289646 81.188629) (xy 173.305439 81.26293) (xy 173.424379 81.426637)
+ (xy 173.45773 81.445892) (xy 174.22686 82.215024) (xy 174.124 82.215024) (xy 174.124 83.734976) (xy 174.51241 83.734976)
+ (xy 174.648269 83.707953) (xy 174.674001 83.690759) (xy 174.674001 83.777833) (xy 174.452845 83.99899) (xy 170.532107 83.99899)
+ (xy 170.130335 83.597219) (xy 170.066299 83.526099) (xy 170.023031 83.512041) (xy 169.959326 83.470671) (xy 169.759465 83.439016)
+ (xy 169.72227 83.448982) (xy 158.217266 83.448982) (xy 158.207086 83.397801) (xy 158.135033 83.289967) (xy 158.027199 83.217914)
+ (xy 157.91241 83.195082) (xy 157.08759 83.195082) (xy 156.972801 83.217914) (xy 156.864967 83.289967) (xy 156.792914 83.397801)
+ (xy 156.770082 83.51259) (xy 156.770082 84.43741) (xy 156.792914 84.552199) (xy 156.864967 84.660033) (xy 156.972801 84.732086)
+ (xy 157.08759 84.754918) (xy 157.91241 84.754918) (xy 158.027199 84.732086) (xy 158.135033 84.660033) (xy 158.207086 84.552199)
+ (xy 158.229918 84.43741) (xy 158.229918 84.400982) (xy 165.748022 84.400982) (xy 162.650006 87.499) (xy 159.967753 87.499)
+ (xy 159.984976 87.41241) (xy 159.984976 87.174) (xy 158.415024 87.174) (xy 158.415024 87.41241) (xy 158.431665 87.496076)
+ (xy 158.391903 87.493991) (xy 158.35137 87.514644) (xy 158.277068 87.530438) (xy 158.113361 87.649378) (xy 158.094104 87.682731)
+ (xy 157.92223 87.854605) (xy 157.851118 87.918634) (xy 157.837061 87.961899) (xy 157.795689 88.025607) (xy 157.770699 88.183387)
+ (xy 157.722801 88.192914) (xy 157.614967 88.264967) (xy 157.542914 88.372801) (xy 157.520082 88.48759) (xy 157.520082 88.904605)
+ (xy 157.347498 88.765845) (xy 157.08012 88.635435) (xy 156.790496 88.567505) (xy 156.493018 88.565427) (xy 156.202473 88.629308)
+ (xy 155.933301 88.755971) (xy 155.69888 88.939121) (xy 155.510861 89.169655) (xy 155.378589 89.436115) (xy 155.308638 89.725258)
+ (xy 155.304484 90.022714) (xy 155.366335 90.313698) (xy 155.491115 90.583748) (xy 155.672624 90.819442) (xy 155.901839 91.009066)
+ (xy 156.167371 91.143194) (xy 156.425977 91.207672) (xy 156.787656 91.569352) (xy 156.796837 91.583819) (xy 156.883601 91.665296)
+ (xy 156.919516 91.701211) (xy 156.932915 91.711605) (xy 156.995014 91.76992) (xy 157.03992 91.794607) (xy 157.080416 91.826019)
+ (xy 157.158597 91.85985) (xy 157.236786 91.902835) (xy 157.2746 91.910049) (xy 157.329918 91.933987) (xy 157.598431 91.976516)
+ (xy 157.68323 91.9685) (xy 157.688714 91.9685) (xy 157.705435 91.972238) (xy 157.824371 91.9685) (xy 157.875194 91.9685)
+ (xy 157.892024 91.966373) (xy 157.97716 91.963698) (xy 158.026368 91.949402) (xy 158.077217 91.942978) (xy 158.156436 91.911614)
+ (xy 158.242106 91.886724) (xy 158.27394 91.865089) (xy 158.29397 91.857159) (xy 158.284283 91.868868) (xy 158.16853 92.114855)
+ (xy 158.117589 92.381901) (xy 158.134659 92.653225) (xy 158.218669 92.91178) (xy 158.364339 93.141319) (xy 158.442827 93.215024)
+ (xy 158.28759 93.215024) (xy 158.151731 93.242047) (xy 158.026035 93.326035) (xy 157.945776 93.44615) (xy 157.890384 93.369909)
+ (xy 157.835661 93.283681) (xy 157.810717 93.260257) (xy 157.790604 93.232574) (xy 157.711923 93.167483) (xy 157.637484 93.09758)
+ (xy 157.607497 93.081094) (xy 157.581131 93.059283) (xy 157.488743 93.015809) (xy 157.39571 92.964664) (xy 157.369382 92.959642)
+ (xy 157.335145 92.943531) (xy 157.068099 92.892589) (xy 156.966206 92.899) (xy 155.345694 92.899) (xy 155.275999 92.829306)
+ (xy 155.275999 87.885542) (xy 155.345467 88.0508) (xy 155.503056 88.249628) (xy 155.708308 88.398752) (xy 155.946102 88.487187)
+ (xy 156.198918 88.508416) (xy 156.44813 88.460877) (xy 156.675378 88.34807) (xy 156.863918 88.178308) (xy 156.999861 87.964096)
+ (xy 157.073384 87.720575) (xy 157.076231 87.448795) (xy 157.071752 87.432754) (xy 157.085716 87.412399) (xy 157.135261 87.203625)
+ (xy 157.126 87.13558) (xy 157.126 86.699477) (xy 157.185033 86.660033) (xy 157.257086 86.552199) (xy 157.269936 86.48759)
+ (xy 158.415024 86.48759) (xy 158.415024 86.726) (xy 158.976 86.726) (xy 158.976 86.115024) (xy 159.424 86.115024)
+ (xy 159.424 86.726) (xy 159.984976 86.726) (xy 159.984976 86.48759) (xy 159.957953 86.351731) (xy 159.873965 86.226035)
+ (xy 159.748269 86.142047) (xy 159.61241 86.115024) (xy 159.424 86.115024) (xy 158.976 86.115024) (xy 158.78759 86.115024)
+ (xy 158.651731 86.142047) (xy 158.526035 86.226035) (xy 158.442047 86.351731) (xy 158.415024 86.48759) (xy 157.269936 86.48759)
+ (xy 157.279918 86.43741) (xy 157.279918 85.51259) (xy 157.257086 85.397801) (xy 157.185033 85.289967) (xy 157.077199 85.217914)
+ (xy 156.96241 85.195082) (xy 156.13759 85.195082) (xy 156.022801 85.217914) (xy 155.914967 85.289967) (xy 155.842914 85.397801)
+ (xy 155.820082 85.51259) (xy 155.820082 86.43741) (xy 155.842914 86.552199) (xy 155.914967 86.660033) (xy 155.930925 86.670696)
+ (xy 155.725656 86.742179) (xy 155.517325 86.886972) (xy 155.355607 87.082456) (xy 155.275999 87.261259) (xy 155.275999 84.809976)
+ (xy 155.376 84.809976) (xy 155.376 84.199) (xy 155.824 84.199) (xy 155.824 84.809976) (xy 156.01241 84.809976)
+ (xy 156.148269 84.782953) (xy 156.273965 84.698965) (xy 156.357953 84.573269) (xy 156.384976 84.43741) (xy 156.384976 84.199)
+ (xy 155.824 84.199) (xy 155.376 84.199) (xy 155.376 83.140024) (xy 155.824 83.140024) (xy 155.824 83.751)
+ (xy 156.384976 83.751) (xy 156.384976 83.51259) (xy 156.357953 83.376731) (xy 156.273965 83.251035) (xy 156.148269 83.167047)
+ (xy 156.01241 83.140024) (xy 155.824 83.140024) (xy 155.376 83.140024) (xy 155.275999 83.140024) (xy 155.275999 81.684691)
+ (xy 155.659691 81.300999) (xy 170.963315 81.300999) (xy 171.001087 81.317344) (xy 171.079289 81.360336) (xy 171.117106 81.36755)
+ (xy 171.172421 81.391487) (xy 171.274001 81.407576) (xy 171.274 82.292675) (xy 171.272801 82.292914) (xy 171.164967 82.364967)
+ (xy 171.092914 82.472801) (xy 171.070082 82.58759) (xy 171.070082 83.36241) (xy 171.092914 83.477199) (xy 171.164967 83.585033)
+ (xy 171.272801 83.657086) (xy 171.38759 83.679918) (xy 171.809754 83.679918) (xy 172 83.71005) (xy 172.190245 83.679918)
+ (xy 172.61241 83.679918) (xy 172.727199 83.657086) (xy 172.835033 83.585033) (xy 172.907086 83.477199) (xy 172.922471 83.39985)
+ (xy 172.942047 83.498269) (xy 173.026035 83.623965) (xy 173.151731 83.707953) (xy 173.28759 83.734976) (xy 173.676 83.734976)
+ (xy 173.676 82.215024) (xy 173.28759 82.215024) (xy 173.151731 82.242047) (xy 173.026035 82.326035) (xy 172.942047 82.451731)
+ (xy 172.922471 82.55015) (xy 172.907086 82.472801) (xy 172.835033 82.364967) (xy 172.727199 82.292914) (xy 172.726 82.292676)
+ (xy 172.726 81.101) (xy 172.748195 81.101) (xy 172.8 81.111305) (xy 172.863976 81.098579) (xy 173.005234 81.07048)
+ (xy 173.179225 80.954225) (xy 173.208574 80.9103) (xy 173.274001 80.844873)
)
)
)
- (zone (net 1) (net_name +12V) (layer F.Cu) (tstamp 5C551319) (hatch edge 0.508)
+ (zone (net 2) (net_name "GND") (layer "B.Cu") (tstamp 5DE87C9F) (hatch edge 0.508)
(connect_pads (clearance 0.15))
- (min_thickness 0.15)
- (fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.8))
+ (min_thickness 0.254)
+ (fill yes (thermal_gap 0.254) (thermal_bridge_width 0.7))
(polygon
(pts
- (xy 75 75) (xy 125 75) (xy 125 119) (xy 125 125) (xy 75 125)
+ (xy 169.019277 67.275) (xy 186.419277 67.275) (xy 205.819277 81.875) (xy 205.819277 118.075) (xy 186.319277 132.675)
+ (xy 169.019277 132.675) (xy 149.619277 117.975) (xy 149.619277 81.875)
)
)
(filled_polygon
(pts
- (xy 103.227907 75.576836) (xy 106.381157 76.209398) (xy 109.425348 77.246699) (xy 112.283273 78.659544) (xy 112.284359 78.66076)
- (xy 112.341112 78.688137) (xy 112.368455 78.701654) (xy 112.36999 78.702067) (xy 112.400812 78.716935) (xy 112.431813 78.718697)
- (xy 112.461794 78.726761) (xy 112.495722 78.722328) (xy 112.529895 78.72427) (xy 112.559211 78.714033) (xy 112.589997 78.710011)
- (xy 112.619652 78.692929) (xy 112.65196 78.681647) (xy 112.675125 78.660973) (xy 112.702031 78.645474) (xy 112.722891 78.618344)
- (xy 112.724075 78.617287) (xy 112.742424 78.592938) (xy 112.746726 78.587344) (xy 115.633561 80.539135) (xy 117.466402 82.202638)
- (xy 119.757864 84.820158) (xy 119.741917 84.841318) (xy 119.710998 84.87319) (xy 119.69148 84.92241) (xy 119.668473 84.9701)
- (xy 119.667788 84.982154) (xy 119.663337 84.993378) (xy 119.66414 85.046315) (xy 119.661135 85.099183) (xy 119.665116 85.110584)
- (xy 119.665299 85.122654) (xy 119.6863 85.171258) (xy 119.703755 85.221249) (xy 119.733318 85.254376) (xy 120.862002 86.889326)
- (xy 121.854001 88.607578) (xy 122.70226 90.394218) (xy 123.403745 92.240178) (xy 123.955383 94.136291) (xy 124.354132 96.073469)
- (xy 124.596943 98.042593) (xy 124.680736 100.033912) (xy 124.593545 102.055934) (xy 124.342503 104.05442) (xy 123.930869 106.019431)
- (xy 123.361885 107.941445) (xy 122.638795 109.810944) (xy 121.764842 111.618408) (xy 120.743269 113.354322) (xy 119.580364 115.004855)
- (xy 119.549761 115.039144) (xy 119.532815 115.087672) (xy 119.511979 115.134654) (xy 119.511641 115.148309) (xy 119.507137 115.161208)
- (xy 119.510052 115.212526) (xy 119.508781 115.263906) (xy 119.513695 115.276653) (xy 119.51447 115.290292) (xy 119.536802 115.336588)
- (xy 119.55529 115.384544) (xy 119.566786 115.396623) (xy 117.716756 117.56685) (xy 115.02459 119.870147) (xy 112.506034 121.558212)
- (xy 112.490039 121.537068) (xy 112.462151 121.520711) (xy 112.438034 121.499185) (xy 112.406933 121.488324) (xy 112.378514 121.471655)
- (xy 112.346491 121.467215) (xy 112.315971 121.456557) (xy 112.28308 121.458424) (xy 112.250447 121.4539) (xy 112.219164 121.462053)
- (xy 112.186887 121.463885) (xy 112.157211 121.478198) (xy 112.156913 121.478276) (xy 112.128398 121.492096) (xy 112.070432 121.520054)
- (xy 112.07022 121.520291) (xy 109.257224 122.883593) (xy 106.264067 123.885502) (xy 103.167511 124.497114) (xy 100.005651 124.708407)
- (xy 96.867878 124.505411) (xy 93.793094 123.90802) (xy 90.818763 122.925911) (xy 87.963499 121.559964) (xy 87.905702 121.532091)
- (xy 87.872603 121.530214) (xy 87.840481 121.522016) (xy 87.808688 121.526588) (xy 87.776616 121.524769) (xy 87.745319 121.535701)
- (xy 87.712506 121.54042) (xy 87.684879 121.556813) (xy 87.654556 121.567405) (xy 87.629826 121.58948) (xy 87.601315 121.606398)
- (xy 87.599113 121.60934) (xy 84.945809 119.87035) (xy 84.62714 119.585343) (xy 88.125294 119.585343) (xy 88.262236 120.096418)
- (xy 88.295699 120.221305) (xy 88.374408 120.32388) (xy 88.48638 120.388526) (xy 88.614566 120.405402) (xy 89.250528 120.234997)
- (xy 89.30798 120.135486) (xy 89.07019 119.248042) (xy 88.182746 119.485832) (xy 88.125294 119.585343) (xy 84.62714 119.585343)
- (xy 84.061899 119.07981) (xy 89.698042 119.07981) (xy 89.935832 119.967254) (xy 90.035343 120.024706) (xy 90.671304 119.854301)
- (xy 90.773879 119.775593) (xy 90.838526 119.663622) (xy 90.855403 119.535435) (xy 90.821939 119.410547) (xy 90.684997 118.899472)
- (xy 90.585486 118.84202) (xy 89.698042 119.07981) (xy 84.061899 119.07981) (xy 83.038553 118.164565) (xy 87.744597 118.164565)
- (xy 87.778061 118.289453) (xy 87.915003 118.800528) (xy 88.014514 118.85798) (xy 88.901958 118.62019) (xy 88.664168 117.732746)
- (xy 88.564657 117.675294) (xy 87.928696 117.845699) (xy 87.826121 117.924407) (xy 87.761474 118.036378) (xy 87.744597 118.164565)
- (xy 83.038553 118.164565) (xy 82.113501 117.33723) (xy 80.507124 115.491227) (xy 80.513109 115.484896) (xy 80.531244 115.437382)
- (xy 80.553339 115.391575) (xy 80.554145 115.377384) (xy 80.559214 115.364103) (xy 80.557786 115.313259) (xy 80.560668 115.26249)
- (xy 80.555982 115.249072) (xy 80.555583 115.234862) (xy 80.534807 115.188437) (xy 80.518041 115.140426) (xy 80.487079 115.105736)
- (xy 79.309558 113.446289) (xy 78.27566 111.700396) (xy 77.391408 109.881576) (xy 76.66012 107.999532) (xy 76.085139 106.064024)
- (xy 75.669783 104.084762) (xy 75.417379 102.07146) (xy 75.33127 100.034159) (xy 75.386152 98.75) (xy 79.725 98.75)
- (xy 79.725 100.75) (xy 79.727124 100.78411) (xy 79.977124 102.78411) (xy 79.977764 102.788891) (xy 80.227764 104.538891)
- (xy 80.236598 104.579021) (xy 80.986598 107.079021) (xy 80.998702 107.111688) (xy 81.469613 108.171238) (xy 81.469613 108.7)
- (xy 81.490956 108.807299) (xy 81.550992 108.89715) (xy 81.564164 108.963372) (xy 81.713353 109.186648) (xy 81.769714 109.224307)
- (xy 82.130133 109.584727) (xy 82.724729 110.575719) (xy 82.740956 110.657299) (xy 82.801736 110.748264) (xy 82.846002 110.777841)
- (xy 83.514189 111.891486) (xy 83.535261 111.921791) (xy 84.535261 113.171791) (xy 84.555546 113.194454) (xy 86.055546 114.694454)
- (xy 86.071032 114.708796) (xy 87.821032 116.208796) (xy 87.840159 116.223777) (xy 89.515568 117.420498) (xy 89.349472 117.465003)
- (xy 89.29202 117.564514) (xy 89.52981 118.451958) (xy 90.417254 118.214168) (xy 90.474706 118.114657) (xy 90.403564 117.849151)
- (xy 92.386204 118.750351) (xy 92.403441 118.757491) (xy 94.403441 119.507491) (xy 94.440344 119.518451) (xy 96.690344 120.018451)
- (xy 96.722636 120.023635) (xy 99.222636 120.273635) (xy 99.25 120.275) (xy 100.5 120.275) (xy 100.522838 120.27405)
- (xy 103.365274 120.03718) (xy 103.439478 120.216325) (xy 103.798141 120.574988) (xy 104.266758 120.769095) (xy 104.773984 120.769095)
- (xy 105.242601 120.574988) (xy 105.601264 120.216325) (xy 105.795371 119.747708) (xy 105.795371 119.441419) (xy 107.086963 119.010888)
- (xy 107.111688 119.001298) (xy 108.119173 118.553527) (xy 108.37206 119.497314) (xy 108.420447 119.595434) (xy 108.502699 119.667567)
- (xy 108.606295 119.702733) (xy 108.715462 119.695578) (xy 110.647314 119.17794) (xy 110.745434 119.129553) (xy 110.817567 119.047301)
- (xy 110.852733 118.943705) (xy 110.845578 118.834538) (xy 110.442213 117.32916) (xy 111.39575 116.7332) (xy 111.409841 116.723777)
- (xy 113.159841 115.473777) (xy 113.194454 115.444454) (xy 115.194454 113.444454) (xy 115.22 113.415) (xy 116.72 111.415)
- (xy 116.7332 111.39575) (xy 117.9832 109.39575) (xy 118.001298 109.361688) (xy 119.001298 107.111688) (xy 119.013402 107.079021)
- (xy 119.672472 104.882121) (xy 120.480286 104.074308) (xy 120.536648 104.036648) (xy 120.554754 104.00955) (xy 120.557299 104.009044)
- (xy 120.648264 103.948264) (xy 120.709044 103.857299) (xy 120.730387 103.75) (xy 120.730387 103.589399) (xy 120.738224 103.55)
- (xy 120.730387 103.510601) (xy 120.730387 102.85) (xy 120.709044 102.742701) (xy 120.648264 102.651736) (xy 120.557299 102.590956)
- (xy 120.45 102.569613) (xy 120.110715 102.569613) (xy 120.273871 100.774897) (xy 120.275 100.75) (xy 120.275 100)
- (xy 120.274301 99.980407) (xy 120.245728 99.580387) (xy 120.7 99.580387) (xy 120.807299 99.559044) (xy 120.898264 99.498264)
- (xy 120.959044 99.407299) (xy 120.980387 99.3) (xy 120.980387 96.6) (xy 120.959044 96.492701) (xy 120.898264 96.401736)
- (xy 120.807299 96.340956) (xy 120.7 96.319613) (xy 119.988366 96.319613) (xy 119.516789 94.433303) (xy 119.502765 94.391672)
- (xy 118.752765 92.641672) (xy 118.751298 92.638312) (xy 118.345382 91.725) (xy 118.69375 91.725) (xy 118.775 91.64375)
- (xy 118.775 90.375) (xy 119.425 90.375) (xy 119.425 91.64375) (xy 119.50625 91.725) (xy 120.764647 91.725)
- (xy 120.884098 91.675521) (xy 120.975522 91.584097) (xy 121.025 91.464646) (xy 121.025 90.45625) (xy 120.94375 90.375)
- (xy 119.425 90.375) (xy 118.775 90.375) (xy 118.755 90.375) (xy 118.755 89.725) (xy 118.775 89.725)
- (xy 118.775 88.45625) (xy 119.425 88.45625) (xy 119.425 89.725) (xy 120.94375 89.725) (xy 121.025 89.64375)
- (xy 121.025 88.635354) (xy 120.975522 88.515903) (xy 120.884098 88.424479) (xy 120.764647 88.375) (xy 119.50625 88.375)
- (xy 119.425 88.45625) (xy 118.775 88.45625) (xy 118.69375 88.375) (xy 117.435353 88.375) (xy 117.315902 88.424479)
- (xy 117.224478 88.515903) (xy 117.175 88.635354) (xy 117.175 89.37697) (xy 116.738767 88.613562) (xy 116.730387 88.600479)
- (xy 116.730387 87.6) (xy 116.709044 87.492701) (xy 116.648264 87.401736) (xy 116.557299 87.340956) (xy 116.45 87.319613)
- (xy 115.816244 87.319613) (xy 115.473777 86.840159) (xy 115.444454 86.805546) (xy 114.194454 85.555546) (xy 114.178968 85.541204)
- (xy 113.810063 85.225) (xy 114.29375 85.225) (xy 114.375 85.14375) (xy 114.375 84.075) (xy 115.025 84.075)
- (xy 115.025 85.14375) (xy 115.10625 85.225) (xy 116.514646 85.225) (xy 116.634097 85.175522) (xy 116.725521 85.084098)
- (xy 116.775 84.964647) (xy 116.775 84.15625) (xy 116.69375 84.075) (xy 115.025 84.075) (xy 114.375 84.075)
- (xy 112.70625 84.075) (xy 112.625 84.15625) (xy 112.625 84.209231) (xy 112.428968 84.041204) (xy 112.421791 84.035261)
- (xy 111.330387 83.162138) (xy 111.330387 82.6) (xy 111.317528 82.535353) (xy 112.625 82.535353) (xy 112.625 83.34375)
- (xy 112.70625 83.425) (xy 114.375 83.425) (xy 114.375 82.35625) (xy 115.025 82.35625) (xy 115.025 83.425)
- (xy 116.69375 83.425) (xy 116.775 83.34375) (xy 116.775 82.535353) (xy 116.725521 82.415902) (xy 116.634097 82.324478)
- (xy 116.514646 82.275) (xy 115.10625 82.275) (xy 115.025 82.35625) (xy 114.375 82.35625) (xy 114.29375 82.275)
- (xy 112.885354 82.275) (xy 112.765903 82.324478) (xy 112.674479 82.415902) (xy 112.625 82.535353) (xy 111.317528 82.535353)
- (xy 111.309044 82.492701) (xy 111.248264 82.401736) (xy 111.157299 82.340956) (xy 111.05 82.319613) (xy 109.926103 82.319613)
- (xy 109.386438 82.011233) (xy 109.358328 81.997235) (xy 107.608328 81.247235) (xy 107.596559 81.242509) (xy 105.596559 80.492509)
- (xy 105.553932 80.48034) (xy 103.053932 79.98034) (xy 103.038891 79.977764) (xy 101.288891 79.727764) (xy 101.25 79.725)
- (xy 98.75 79.725) (xy 98.719631 79.726682) (xy 96.469631 79.976682) (xy 96.427643 79.98469) (xy 93.677643 80.73469)
- (xy 93.644231 80.746154) (xy 90.644231 81.996154) (xy 90.608514 82.014189) (xy 90.245341 82.232093) (xy 89.128544 82.232093)
- (xy 89.021245 82.253436) (xy 88.93028 82.314216) (xy 88.8695 82.405181) (xy 88.848157 82.51248) (xy 88.848157 83.070403)
- (xy 88.108514 83.514189) (xy 88.073949 83.538739) (xy 87.501006 84.016192) (xy 87.472294 83.98748) (xy 85.803544 83.98748)
- (xy 85.803544 85.05623) (xy 85.884794 85.13748) (xy 86.223612 85.13748) (xy 84.555546 86.805546) (xy 84.53 86.835)
- (xy 84.23218 87.232093) (xy 83.728544 87.232093) (xy 83.621245 87.253436) (xy 83.53028 87.314216) (xy 83.4695 87.405181)
- (xy 83.448157 87.51248) (xy 83.448157 88.277457) (xy 83.03 88.835) (xy 83.00787 88.869623) (xy 81.25787 92.119623)
- (xy 81.237161 92.169126) (xy 80.237161 95.419126) (xy 80.228198 95.458184) (xy 79.728198 98.708184) (xy 79.725 98.75)
- (xy 75.386152 98.75) (xy 75.416945 98.029495) (xy 75.663723 96.047647) (xy 76.068448 94.098449) (xy 76.627987 92.19117)
- (xy 77.339202 90.335113) (xy 78.198964 88.539547) (xy 79.204116 86.813797) (xy 80.347973 85.172231) (xy 80.378006 85.13858)
- (xy 80.395229 85.089258) (xy 80.416162 85.041387) (xy 80.416412 85.028596) (xy 80.42063 85.016516) (xy 80.417667 84.964352)
- (xy 80.418687 84.912119) (xy 80.414023 84.900205) (xy 80.413297 84.887431) (xy 80.390596 84.840369) (xy 80.371551 84.791725)
- (xy 80.340287 84.759215) (xy 80.327077 84.741685) (xy 80.92003 84.06873) (xy 83.403544 84.06873) (xy 83.403544 84.877127)
- (xy 83.453023 84.996578) (xy 83.544447 85.088002) (xy 83.663898 85.13748) (xy 85.072294 85.13748) (xy 85.153544 85.05623)
- (xy 85.153544 83.98748) (xy 83.484794 83.98748) (xy 83.403544 84.06873) (xy 80.92003 84.06873) (xy 82.348233 82.447833)
- (xy 83.403544 82.447833) (xy 83.403544 83.25623) (xy 83.484794 83.33748) (xy 85.153544 83.33748) (xy 85.153544 82.26873)
- (xy 85.803544 82.26873) (xy 85.803544 83.33748) (xy 87.472294 83.33748) (xy 87.553544 83.25623) (xy 87.553544 82.447833)
- (xy 87.504065 82.328382) (xy 87.412641 82.236958) (xy 87.29319 82.18748) (xy 85.884794 82.18748) (xy 85.803544 82.26873)
- (xy 85.153544 82.26873) (xy 85.072294 82.18748) (xy 83.663898 82.18748) (xy 83.544447 82.236958) (xy 83.453023 82.328382)
- (xy 83.403544 82.447833) (xy 82.348233 82.447833) (xy 82.642506 82.113857) (xy 85.454451 79.760598) (xy 87.361925 78.540502)
- (xy 87.366923 78.547045) (xy 87.385575 78.571799) (xy 87.386391 78.572528) (xy 87.406867 78.599329) (xy 87.434149 78.615157)
- (xy 87.457684 78.636165) (xy 87.489528 78.647287) (xy 87.518701 78.664212) (xy 87.549966 78.668395) (xy 87.579746 78.678796)
- (xy 87.613421 78.676885) (xy 87.646851 78.681358) (xy 87.677337 78.673258) (xy 87.708831 78.671471) (xy 87.739213 78.656818)
- (xy 87.740267 78.656538) (xy 87.767987 78.642941) (xy 87.825288 78.615305) (xy 87.826037 78.614466) (xy 90.663271 77.222734)
- (xy 93.684074 76.200106) (xy 96.811536 75.575637) (xy 100.006028 75.3598)
+ (xy 193.103638 72.511921) (xy 193.126973 72.536494) (xy 193.272302 72.625486) (xy 193.285018 72.629248) (xy 193.295961 72.636742)
+ (xy 193.36792 72.662087) (xy 194.658266 73.633171) (xy 193.204653 75.562183) (xy 192.05013 75.562183) (xy 191.901968 75.560631)
+ (xy 191.822249 75.577867) (xy 191.741187 75.58696) (xy 191.677304 75.609205) (xy 191.611202 75.623497) (xy 191.53728 75.657967)
+ (xy 191.460248 75.684793) (xy 191.402884 75.720638) (xy 191.341187 75.749408) (xy 191.280816 75.796914) (xy 191.207967 75.842436)
+ (xy 191.150703 75.899303) (xy 191.149518 75.900235) (xy 191.114652 75.935102) (xy 190.996882 76.052053) (xy 190.995459 76.054295)
+ (xy 189.07012 77.979634) (xy 189.016381 78.02162) (xy 188.964403 78.08535) (xy 188.959528 78.090225) (xy 188.918015 78.142228)
+ (xy 188.828362 78.252154) (xy 188.825238 78.258448) (xy 188.820855 78.263938) (xy 188.759168 78.391546) (xy 188.696089 78.518617)
+ (xy 188.694438 78.525444) (xy 188.691381 78.531766) (xy 188.659476 78.66996) (xy 188.626139 78.807755) (xy 188.626041 78.814785)
+ (xy 188.62446 78.821633) (xy 188.623966 78.963315) (xy 188.621985 79.105211) (xy 188.623447 79.112091) (xy 188.623423 79.119114)
+ (xy 188.654317 79.257323) (xy 188.683836 79.396198) (xy 188.686785 79.402579) (xy 188.688316 79.409431) (xy 188.749096 79.537432)
+ (xy 188.808616 79.666246) (xy 188.812905 79.671816) (xy 188.815918 79.678161) (xy 188.90355 79.789521) (xy 188.990127 79.901944)
+ (xy 188.995539 79.906421) (xy 188.999881 79.911939) (xy 189.110066 80.001164) (xy 189.219341 80.091565) (xy 189.225616 80.094734)
+ (xy 189.231071 80.099152) (xy 189.358237 80.161727) (xy 189.484875 80.225695) (xy 189.49169 80.227394) (xy 189.497992 80.230495)
+ (xy 189.635935 80.263357) (xy 189.773522 80.297662) (xy 189.780548 80.297809) (xy 189.787378 80.299436) (xy 189.929159 80.300921)
+ (xy 189.96629 80.301699) (xy 189.953655 80.411741) (xy 189.964077 80.689359) (xy 190.016373 80.962206) (xy 190.109338 81.224004)
+ (xy 190.240832 81.468729) (xy 190.407831 81.690748) (xy 190.606492 81.88495) (xy 190.832241 82.046869) (xy 191.079886 82.172779)
+ (xy 191.343727 82.25978) (xy 191.61769 82.305872) (xy 191.895473 82.309992) (xy 192.170684 82.272048) (xy 192.436989 82.192914)
+ (xy 192.688259 82.074406) (xy 192.92147 81.917399) (xy 193.213772 81.61839) (xy 197.576253 75.829182) (xy 197.854309 76.03844)
+ (xy 193.477452 81.846727) (xy 193.365737 82.021074) (xy 193.252962 82.274969) (xy 193.179888 82.543) (xy 193.148197 82.819001)
+ (xy 193.158619 83.096619) (xy 193.210915 83.369466) (xy 193.30388 83.631264) (xy 193.435374 83.875989) (xy 193.602373 84.098008)
+ (xy 193.801034 84.29221) (xy 194.026783 84.454129) (xy 194.274428 84.580039) (xy 194.538269 84.66704) (xy 194.724001 84.698288)
+ (xy 194.724001 84.933383) (xy 194.724 98.962389) (xy 194.69609 99.018614) (xy 194.626139 99.307757) (xy 194.621985 99.605213)
+ (xy 194.683836 99.896197) (xy 194.808616 100.166247) (xy 194.990125 100.401941) (xy 195.21934 100.591565) (xy 195.484872 100.725693)
+ (xy 195.773519 100.797661) (xy 196.070938 100.803891) (xy 196.362347 100.744074) (xy 196.633261 100.621182) (xy 196.870217 100.441323)
+ (xy 197.061436 100.213437) (xy 197.197416 99.948849) (xy 197.271619 99.659846) (xy 197.276264 99.327127) (xy 197.21016 99.036166)
+ (xy 197.176 98.964869) (xy 197.176 83.006896) (xy 200.772296 78.234451) (xy 202.018702 79.172468) (xy 202.073537 79.249486)
+ (xy 202.083161 79.257434) (xy 202.089816 79.267996) (xy 202.219672 79.388188) (xy 202.250227 79.404289) (xy 205.693277 81.999066)
+ (xy 205.693277 117.913003) (xy 202.263667 120.497574) (xy 202.233613 120.513231) (xy 202.108005 120.628406) (xy 202.101632 120.638417)
+ (xy 202.092411 120.645893) (xy 201.993783 120.781813) (xy 200.771158 121.697215) (xy 199.710323 120.28944) (xy 200.092188 119.907575)
+ (xy 200.132833 119.877664) (xy 200.193452 119.806311) (xy 200.208518 119.791246) (xy 200.23923 119.752427) (xy 200.31793 119.659791)
+ (xy 200.327776 119.640508) (xy 200.341204 119.623536) (xy 200.392647 119.513466) (xy 200.449747 119.401642) (xy 200.452774 119.38482)
+ (xy 200.46225 119.364544) (xy 200.520468 119.08465) (xy 200.517014 118.957003) (xy 200.517014 117.631533) (xy 200.53843 117.589863)
+ (xy 200.612633 117.30086) (xy 200.617278 116.968141) (xy 200.551174 116.67718) (xy 200.422635 116.408899) (xy 200.237854 116.175762)
+ (xy 200.006013 115.989358) (xy 199.738635 115.858948) (xy 199.449011 115.791018) (xy 199.151533 115.78894) (xy 198.860988 115.852821)
+ (xy 198.591816 115.979484) (xy 198.357395 116.162634) (xy 198.169376 116.393168) (xy 198.037104 116.659628) (xy 197.967153 116.948771)
+ (xy 197.962999 117.246227) (xy 198.02485 117.537211) (xy 198.065014 117.624135) (xy 198.065015 118.106043) (xy 196.369067 115.855446)
+ (xy 196.232267 115.700002) (xy 196.019293 115.521612) (xy 195.781786 115.37749) (xy 195.525212 115.270951) (xy 195.255475 115.204447)
+ (xy 194.978782 115.179509) (xy 194.701503 115.196712) (xy 194.430013 115.255657) (xy 194.386512 115.272312) (xy 196.708259 112.950566)
+ (xy 196.883261 112.871182) (xy 197.120217 112.691323) (xy 197.311436 112.463437) (xy 197.447416 112.198849) (xy 197.521619 111.909846)
+ (xy 197.526264 111.577127) (xy 197.46016 111.286166) (xy 197.426 111.214869) (xy 197.426 110.113551) (xy 197.628233 110.113551)
+ (xy 197.973836 110.27618) (xy 198.211781 110.339937) (xy 198.457254 110.36055) (xy 198.7025 110.337368) (xy 198.939764 110.271122)
+ (xy 199.269795 110.111579) (xy 198.45 109.291784) (xy 197.628233 110.113551) (xy 197.426 110.113551) (xy 197.426 109.682216)
+ (xy 198.133216 108.975) (xy 198.766784 108.975) (xy 199.571953 109.780169) (xy 199.66766 109.63614) (xy 199.765494 109.410058)
+ (xy 199.822126 109.168608) (xy 199.830378 108.853469) (xy 199.78646 108.609386) (xy 199.700594 108.378496) (xy 199.575242 108.166543)
+ (xy 198.766784 108.975) (xy 198.133216 108.975) (xy 197.426 108.267784) (xy 197.426 107.852064) (xy 197.643848 107.852064)
+ (xy 198.45 108.658216) (xy 199.261808 107.846408) (xy 199.006927 107.706287) (xy 198.773455 107.627714) (xy 198.529759 107.591729)
+ (xy 198.283543 107.599466) (xy 198.042587 107.650683) (xy 197.81451 107.743759) (xy 197.643848 107.852064) (xy 197.426 107.852064)
+ (xy 197.426 106.340933) (xy 200.065985 106.340933) (xy 200.074002 106.425742) (xy 200.074001 112.481215) (xy 200.070263 112.497936)
+ (xy 200.074001 112.616872) (xy 200.074001 112.667694) (xy 200.076128 112.684524) (xy 200.078803 112.769661) (xy 200.093099 112.818868)
+ (xy 200.099523 112.869718) (xy 200.130886 112.948933) (xy 200.155777 113.034606) (xy 200.177411 113.066439) (xy 200.199602 113.122486)
+ (xy 200.359397 113.342427) (xy 200.42504 113.396732) (xy 203.039116 116.010809) (xy 203.083836 116.221197) (xy 203.208616 116.491247)
+ (xy 203.390125 116.726941) (xy 203.61934 116.916565) (xy 203.884872 117.050693) (xy 204.173519 117.122661) (xy 204.470938 117.128891)
+ (xy 204.762347 117.069074) (xy 205.033261 116.946182) (xy 205.270217 116.766323) (xy 205.461436 116.538437) (xy 205.597416 116.273849)
+ (xy 205.671619 115.984846) (xy 205.676264 115.652127) (xy 205.61016 115.361166) (xy 205.481621 115.092885) (xy 205.29684 114.859748)
+ (xy 205.064999 114.673344) (xy 204.797621 114.542934) (xy 204.558555 114.486862) (xy 202.226001 112.154309) (xy 202.226001 110.217406)
+ (xy 202.322801 110.282086) (xy 202.43759 110.304918) (xy 204.46241 110.304918) (xy 204.577199 110.282086) (xy 204.685033 110.210033)
+ (xy 204.757086 110.102199) (xy 204.779918 109.98741) (xy 204.779918 107.96259) (xy 204.757086 107.847801) (xy 204.685033 107.739967)
+ (xy 204.577199 107.667914) (xy 204.46241 107.645082) (xy 202.43759 107.645082) (xy 202.322801 107.667914) (xy 202.226001 107.732594)
+ (xy 202.226001 106.820691) (xy 203.359439 105.687255) (xy 203.359445 105.687247) (xy 203.761362 105.28533) (xy 203.962347 105.244074)
+ (xy 204.233261 105.121182) (xy 204.470217 104.941323) (xy 204.661436 104.713437) (xy 204.797416 104.448849) (xy 204.871619 104.159846)
+ (xy 204.876264 103.827127) (xy 204.81016 103.536166) (xy 204.681621 103.267885) (xy 204.49684 103.034748) (xy 204.264999 102.848344)
+ (xy 203.997621 102.717934) (xy 203.707997 102.650004) (xy 203.410519 102.647926) (xy 203.119974 102.711807) (xy 202.850802 102.83847)
+ (xy 202.616381 103.02162) (xy 202.428362 103.252154) (xy 202.29609 103.518614) (xy 202.235901 103.767405) (xy 201.825965 104.177342)
+ (xy 201.825962 104.177344) (xy 200.473152 105.530156) (xy 200.458683 105.539338) (xy 200.377207 105.626101) (xy 200.34129 105.662018)
+ (xy 200.330896 105.675417) (xy 200.272581 105.737516) (xy 200.247894 105.782422) (xy 200.216482 105.822918) (xy 200.18265 105.901103)
+ (xy 200.139666 105.979288) (xy 200.132454 106.017099) (xy 200.108514 106.07242) (xy 200.065985 106.340933) (xy 197.426 106.340933)
+ (xy 197.426 105.932824) (xy 200.617263 102.741563) (xy 200.617271 102.741553) (xy 201.208258 102.150566) (xy 201.383261 102.071182)
+ (xy 201.620217 101.891323) (xy 201.811436 101.663437) (xy 201.947416 101.398849) (xy 202.021619 101.109846) (xy 202.026264 100.777127)
+ (xy 201.96016 100.486166) (xy 201.831621 100.217885) (xy 201.64684 99.984748) (xy 201.414999 99.798344) (xy 201.147621 99.667934)
+ (xy 200.857997 99.600004) (xy 200.560519 99.597926) (xy 200.269974 99.661807) (xy 200.000802 99.78847) (xy 199.766381 99.97162)
+ (xy 199.578362 100.202154) (xy 199.469283 100.421893) (xy 198.871344 101.019832) (xy 198.871338 101.019836) (xy 195.398827 104.492349)
+ (xy 195.358182 104.52226) (xy 195.297563 104.593613) (xy 195.282496 104.608679) (xy 195.251771 104.647514) (xy 195.173086 104.740132)
+ (xy 195.163241 104.759412) (xy 195.149809 104.77639) (xy 195.098355 104.886482) (xy 195.041268 104.99828) (xy 195.038241 105.015103)
+ (xy 195.028764 105.035382) (xy 194.970546 105.315275) (xy 194.974001 105.442958) (xy 194.974 111.21239) (xy 194.969283 111.221892)
+ (xy 190.64883 115.542346) (xy 190.608181 115.57226) (xy 190.547556 115.64362) (xy 190.532496 115.658679) (xy 190.501807 115.69747)
+ (xy 190.423085 115.790132) (xy 190.413238 115.809416) (xy 190.39981 115.826389) (xy 190.348363 115.936465) (xy 190.291267 116.048283)
+ (xy 190.28824 116.065105) (xy 190.278764 116.085381) (xy 190.220546 116.365275) (xy 190.224 116.492922) (xy 190.224001 118.427301)
+ (xy 190.171067 118.505045) (xy 190.051245 118.755691) (xy 189.970716 119.021577) (xy 189.931332 119.296586) (xy 189.933998 119.574387)
+ (xy 189.978655 119.848588) (xy 190.065299 120.116043) (xy 190.235351 120.414782) (xy 190.239587 120.466863) (xy 190.24626 120.487463)
+ (xy 190.248766 120.50896) (xy 190.290231 120.623193) (xy 190.328916 120.742611) (xy 190.338672 120.756648) (xy 190.346309 120.777688)
+ (xy 190.503058 121.016768) (xy 190.595794 121.104618) (xy 191.194173 121.702997) (xy 194.645761 126.283409) (xy 193.357941 127.247623)
+ (xy 193.320577 127.260171) (xy 193.308529 127.268166) (xy 193.294638 127.272182) (xy 193.141315 127.364778) (xy 193.117232 127.389831)
+ (xy 186.478244 132.393029) (xy 183.876 132.393029) (xy 183.876 122.101) (xy 184.336686 122.101) (xy 184.484848 122.102552)
+ (xy 184.564565 122.085316) (xy 184.64563 122.076224) (xy 184.70951 122.053978) (xy 184.775614 122.039686) (xy 184.849535 122.005216)
+ (xy 184.926568 121.978391) (xy 184.983942 121.94254) (xy 185.04563 121.913774) (xy 185.105991 121.866275) (xy 185.17885 121.820747)
+ (xy 185.23612 121.763875) (xy 185.237296 121.762949) (xy 185.272024 121.728221) (xy 185.389924 121.611141) (xy 185.391348 121.608898)
+ (xy 187.27816 119.722086) (xy 187.384073 119.618369) (xy 187.428259 119.549807) (xy 187.479145 119.486062) (xy 187.508584 119.425165)
+ (xy 187.545223 119.368313) (xy 187.573116 119.291675) (xy 187.60862 119.218231) (xy 187.623838 119.152319) (xy 187.64712 119.088351)
+ (xy 187.656217 119.01207) (xy 187.67554 118.928371) (xy 187.675822 118.847642) (xy 187.676 118.846143) (xy 187.676 118.796402)
+ (xy 187.676578 118.63089) (xy 187.676 118.628304) (xy 187.676 117.188313) (xy 187.677552 117.040151) (xy 187.660316 116.960432)
+ (xy 187.651223 116.87937) (xy 187.628978 116.815487) (xy 187.614686 116.749385) (xy 187.580216 116.675463) (xy 187.55339 116.598431)
+ (xy 187.517545 116.541067) (xy 187.488775 116.47937) (xy 187.441269 116.418999) (xy 187.395747 116.34615) (xy 187.33888 116.288886)
+ (xy 187.337948 116.287701) (xy 187.30308 116.252834) (xy 187.18613 116.135065) (xy 187.183888 116.133642) (xy 181.979918 110.929672)
+ (xy 181.979918 110.01259) (xy 181.957086 109.897801) (xy 181.885033 109.789967) (xy 181.777199 109.717914) (xy 181.66241 109.695082)
+ (xy 179.23759 109.695082) (xy 179.122801 109.717914) (xy 179.014967 109.789967) (xy 178.942914 109.897801) (xy 178.920082 110.01259)
+ (xy 178.920082 112.43741) (xy 178.942914 112.552199) (xy 179.014967 112.660033) (xy 179.122801 112.732086) (xy 179.23759 112.754918)
+ (xy 180.054673 112.754918) (xy 180.624 113.324245) (xy 180.624 113.839968) (xy 180.314968 114.149) (xy 176.585032 114.149)
+ (xy 175.225537 112.789505) (xy 175.391904 112.809343) (xy 175.651449 112.797557) (xy 175.905584 112.74354) (xy 176.147483 112.64874)
+ (xy 176.404027 112.495811) (xy 175.133216 111.225) (xy 175.766784 111.225) (xy 176.720388 112.178604) (xy 176.871296 111.927448)
+ (xy 176.96694 111.685882) (xy 177.022172 111.43042) (xy 177.03033 111.096625) (xy 176.987643 110.838771) (xy 176.903914 110.59282)
+ (xy 176.727665 110.264119) (xy 175.766784 111.225) (xy 175.133216 111.225) (xy 174.176344 110.268128) (xy 174.011965 110.557486)
+ (xy 173.922253 110.801318) (xy 173.873569 111.056528) (xy 173.86722 111.316263) (xy 173.90338 111.573546) (xy 173.981073 111.821469)
+ (xy 174.098217 112.053374) (xy 174.168205 112.149) (xy 166.245694 112.149) (xy 165.394845 111.298151) (xy 165.385661 111.283681)
+ (xy 165.298881 111.202189) (xy 165.262981 111.166288) (xy 165.249589 111.1559) (xy 165.187484 111.09758) (xy 165.142574 111.07289)
+ (xy 165.10208 111.041481) (xy 165.023913 111.007656) (xy 164.945711 110.964664) (xy 164.907894 110.95745) (xy 164.852579 110.933513)
+ (xy 164.584066 110.890984) (xy 164.499268 110.899) (xy 162.781695 110.899) (xy 161.839532 109.956837) (xy 174.498621 109.956837)
+ (xy 175.45 110.908216) (xy 176.399439 109.958777) (xy 176.216201 109.837032) (xy 175.97922 109.730528) (xy 175.728028 109.664161)
+ (xy 175.469369 109.63971) (xy 175.21019 109.657834) (xy 174.957451 109.718045) (xy 174.717941 109.818725) (xy 174.498621 109.956837)
+ (xy 161.839532 109.956837) (xy 160.530848 108.648154) (xy 160.521663 108.633681) (xy 160.434883 108.552189) (xy 160.398983 108.516288)
+ (xy 160.385591 108.5059) (xy 160.342263 108.465212) (xy 160.765353 108.465212) (xy 160.797152 108.716918) (xy 160.895467 108.9508)
+ (xy 161.053056 109.149628) (xy 161.258308 109.298752) (xy 161.496102 109.387187) (xy 161.748918 109.408416) (xy 161.99813 109.360877)
+ (xy 162.225378 109.24807) (xy 162.413918 109.078308) (xy 162.549861 108.864096) (xy 162.623384 108.620575) (xy 162.626231 108.348795)
+ (xy 162.557823 108.103787) (xy 162.426397 107.886776) (xy 162.241453 107.713102) (xy 162.016617 107.595561) (xy 161.768455 107.542813)
+ (xy 161.515251 107.558742) (xy 161.275656 107.642179) (xy 161.067325 107.786972) (xy 160.905607 107.982456) (xy 160.802416 108.214228)
+ (xy 160.765353 108.465212) (xy 160.342263 108.465212) (xy 160.323486 108.44758) (xy 160.278576 108.42289) (xy 160.238082 108.391481)
+ (xy 160.159915 108.357656) (xy 160.081713 108.314664) (xy 160.043896 108.30745) (xy 159.988581 108.283513) (xy 159.720068 108.240984)
+ (xy 159.63527 108.249) (xy 158.078003 108.249) (xy 158.014999 108.198344) (xy 157.747621 108.067934) (xy 157.457997 108.000004)
+ (xy 157.160519 107.997926) (xy 156.869974 108.061807) (xy 156.600802 108.18847) (xy 156.366381 108.37162) (xy 156.178362 108.602154)
+ (xy 156.04609 108.868614) (xy 155.976139 109.157757) (xy 155.971985 109.455213) (xy 156.033836 109.746197) (xy 156.158616 110.016247)
+ (xy 156.340125 110.251941) (xy 156.56934 110.441565) (xy 156.834872 110.575693) (xy 157.123519 110.647661) (xy 157.420938 110.653891)
+ (xy 157.712347 110.594074) (xy 157.983261 110.471182) (xy 158.075722 110.401) (xy 159.24031 110.401) (xy 161.491159 112.65185)
+ (xy 161.500341 112.666319) (xy 161.587105 112.747796) (xy 161.62302 112.783711) (xy 161.636419 112.794105) (xy 161.698518 112.85242)
+ (xy 161.743424 112.877107) (xy 161.78392 112.908519) (xy 161.862101 112.94235) (xy 161.94029 112.985335) (xy 161.978104 112.992549)
+ (xy 162.033422 113.016487) (xy 162.301934 113.059016) (xy 162.386735 113.051) (xy 164.104308 113.051) (xy 164.661987 113.608679)
+ (xy 163.763585 114.771317) (xy 163.71016 114.536166) (xy 163.581621 114.267885) (xy 163.39684 114.034748) (xy 163.164999 113.848344)
+ (xy 162.897621 113.717934) (xy 162.607997 113.650004) (xy 162.310519 113.647926) (xy 162.019974 113.711807) (xy 161.750802 113.83847)
+ (xy 161.516381 114.02162) (xy 161.328362 114.252154) (xy 161.19609 114.518614) (xy 161.126139 114.807757) (xy 161.121985 115.105213)
+ (xy 161.134816 115.165577) (xy 161.112768 115.161868) (xy 160.834984 115.157747) (xy 160.559773 115.195692) (xy 160.293469 115.274826)
+ (xy 160.042198 115.393334) (xy 159.808987 115.550341) (xy 159.516685 115.84935) (xy 154.975602 121.875572) (xy 154.019012 121.150734)
+ (xy 153.999395 121.034862) (xy 153.992452 121.019706) (xy 153.990111 121.003198) (xy 153.917195 120.832022) (xy 153.908684 120.821157)
+ (xy 153.903882 120.808224) (xy 153.800712 120.662886) (xy 153.790956 120.654807) (xy 153.784205 120.64409) (xy 153.654381 120.523904)
+ (xy 153.623815 120.507793) (xy 150.153747 117.893031) (xy 150.153747 82.019042) (xy 153.6101 79.414697) (xy 153.639906 79.399258)
+ (xy 153.76316 79.286775) (xy 153.769333 79.277129) (xy 153.778267 79.269963) (xy 153.882758 79.127552) (xy 153.887682 79.114902)
+ (xy 153.896236 79.104363) (xy 153.971843 78.935045) (xy 153.974408 78.918966) (xy 153.981484 78.9043) (xy 154.015737 78.724018)
+ (xy 154.972737 78.003802) (xy 159.474001 83.97718) (xy 159.474001 85.529306) (xy 156.931514 88.071794) (xy 156.999861 87.964096)
+ (xy 157.073384 87.720575) (xy 157.076231 87.448795) (xy 157.007823 87.203787) (xy 156.876397 86.986776) (xy 156.691453 86.813102)
+ (xy 156.466617 86.695561) (xy 156.218455 86.642813) (xy 155.965251 86.658742) (xy 155.725656 86.742179) (xy 155.517325 86.886972)
+ (xy 155.355607 87.082456) (xy 155.252416 87.314228) (xy 155.215353 87.565212) (xy 155.229917 87.680497) (xy 152.010801 90.899613)
+ (xy 152.000634 90.904584) (xy 151.93402 90.976395) (xy 151.904888 91.005526) (xy 151.898905 91.014249) (xy 151.850335 91.066607)
+ (xy 151.841093 91.098522) (xy 151.814283 91.137603) (xy 151.764739 91.346377) (xy 151.774001 91.414429) (xy 151.774 98.145082)
+ (xy 151.23759 98.145082) (xy 151.122801 98.167914) (xy 151.014967 98.239967) (xy 150.942914 98.347801) (xy 150.920082 98.46259)
+ (xy 150.920082 100.98741) (xy 150.942914 101.102199) (xy 151.014967 101.210033) (xy 151.074781 101.25) (xy 151.014967 101.289967)
+ (xy 150.942914 101.397801) (xy 150.920082 101.51259) (xy 150.920082 103.08741) (xy 150.942914 103.202199) (xy 151.014967 103.310033)
+ (xy 151.122801 103.382086) (xy 151.23759 103.404918) (xy 153.46241 103.404918) (xy 153.577199 103.382086) (xy 153.685033 103.310033)
+ (xy 153.757086 103.202199) (xy 153.779918 103.08741) (xy 153.779918 102.860548) (xy 153.789351 102.855352) (xy 153.835944 102.846674)
+ (xy 154.018602 102.734082) (xy 154.060178 102.679407) (xy 154.844164 101.895421) (xy 154.998918 101.908416) (xy 155.24813 101.860877)
+ (xy 155.475378 101.74807) (xy 155.663918 101.578308) (xy 155.799861 101.364096) (xy 155.873384 101.120575) (xy 155.876231 100.848795)
+ (xy 155.807823 100.603787) (xy 155.676397 100.386776) (xy 155.491453 100.213102) (xy 155.266617 100.095561) (xy 155.018455 100.042813)
+ (xy 154.765251 100.058742) (xy 154.525656 100.142179) (xy 154.317325 100.286972) (xy 154.155607 100.482456) (xy 154.052416 100.714228)
+ (xy 154.015353 100.965212) (xy 154.029917 101.080496) (xy 153.739272 101.371141) (xy 153.685033 101.289967) (xy 153.625219 101.25)
+ (xy 153.685033 101.210033) (xy 153.757086 101.102199) (xy 153.779918 100.98741) (xy 153.779918 99.956) (xy 169.19114 99.956)
+ (xy 169.198276 100.077255) (xy 169.200663 100.084443) (xy 169.200418 100.092014) (xy 169.24602 100.287194) (xy 169.252371 100.299063)
+ (xy 169.254779 100.3123) (xy 169.333301 100.478348) (xy 169.340577 100.486924) (xy 169.344711 100.497381) (xy 169.450275 100.639666)
+ (xy 169.458476 100.646173) (xy 169.464105 100.655) (xy 169.587066 100.767587) (xy 169.588224 100.769075) (xy 169.5909 100.771098)
+ (xy 169.593381 100.77337) (xy 169.595058 100.774242) (xy 177.302834 106.602069) (xy 177.304151 106.603469) (xy 177.307093 106.605289)
+ (xy 177.309845 106.60737) (xy 177.311621 106.608091) (xy 177.454845 106.696705) (xy 177.466292 106.700149) (xy 177.476056 106.707036)
+ (xy 177.654774 106.77278) (xy 177.669997 106.774165) (xy 177.684141 106.779965) (xy 177.895108 106.806978) (xy 177.912508 106.804625)
+ (xy 177.929877 106.807212) (xy 178.143926 106.782721) (xy 178.158384 106.777018) (xy 178.173878 106.77579) (xy 178.353318 106.712138)
+ (xy 178.363309 106.705263) (xy 178.374955 106.701891) (xy 178.528236 106.60924) (xy 178.551968 106.584535) (xy 186.267839 100.807783)
+ (xy 186.291938 100.796915) (xy 186.368905 100.733977) (xy 186.370725 100.731447) (xy 186.37336 100.729783) (xy 186.492826 100.60046)
+ (xy 186.497904 100.5908) (xy 186.505831 100.583305) (xy 186.600755 100.429022) (xy 186.604228 100.417375) (xy 186.611193 100.407417)
+ (xy 186.675857 100.22949) (xy 186.67718 100.214285) (xy 186.682918 100.200142) (xy 186.709265 99.988071) (xy 186.708086 99.979593)
+ (xy 186.709851 99.971218) (xy 186.703431 99.852592) (xy 186.701023 99.845224) (xy 186.7013 99.837474) (xy 186.655334 99.637617)
+ (xy 186.648803 99.625308) (xy 186.64631 99.611598) (xy 186.567645 99.445213) (xy 186.56037 99.436637) (xy 186.556236 99.426177)
+ (xy 186.450869 99.284134) (xy 186.442715 99.277664) (xy 186.437123 99.268884) (xy 186.308146 99.150671) (xy 186.278278 99.135119)
+ (xy 178.595567 93.329549) (xy 178.581208 93.31176) (xy 178.534433 93.277664) (xy 178.534303 93.277536) (xy 178.534105 93.277424)
+ (xy 178.533944 93.277307) (xy 178.533796 93.27725) (xy 178.375763 93.188104) (xy 178.363252 93.184885) (xy 178.352357 93.177948)
+ (xy 178.165067 93.118938) (xy 178.148528 93.118219) (xy 178.132879 93.112809) (xy 177.913055 93.097159) (xy 177.899044 93.099864)
+ (xy 177.884869 93.098228) (xy 177.713583 93.12357) (xy 177.70297 93.128167) (xy 177.691447 93.129136) (xy 177.511761 93.193858)
+ (xy 177.501755 93.200814) (xy 177.490064 93.204267) (xy 177.33684 93.29799) (xy 177.313399 93.32265) (xy 169.629037 99.118813)
+ (xy 169.604878 99.129836) (xy 169.529042 99.192407) (xy 169.527321 99.194823) (xy 169.524825 99.19641) (xy 169.406303 99.325565)
+ (xy 169.401341 99.335081) (xy 169.393561 99.342467) (xy 169.29957 99.495924) (xy 169.296141 99.507511) (xy 169.289234 99.517417)
+ (xy 169.224686 99.695865) (xy 169.223376 99.711203) (xy 169.217625 99.725477) (xy 169.191687 99.938501) (xy 169.192932 99.947295)
+ (xy 169.19114 99.956) (xy 153.779918 99.956) (xy 153.779918 98.717525) (xy 156.024259 98.717525) (xy 156.333597 98.852671)
+ (xy 156.559607 98.902363) (xy 156.790966 98.907209) (xy 157.018859 98.867025) (xy 157.38372 98.725504) (xy 156.7 98.041784)
+ (xy 156.024259 98.717525) (xy 153.779918 98.717525) (xy 153.779918 98.46259) (xy 153.757086 98.347801) (xy 153.685033 98.239967)
+ (xy 153.577199 98.167914) (xy 153.46241 98.145082) (xy 152.926 98.145082) (xy 152.926 97.824217) (xy 155.518455 97.824217)
+ (xy 155.560229 98.051824) (xy 155.700955 98.407261) (xy 156.383216 97.725) (xy 157.016784 97.725) (xy 157.570082 98.278298)
+ (xy 157.570082 98.53741) (xy 157.592914 98.652199) (xy 157.664967 98.760033) (xy 157.772801 98.832086) (xy 157.88759 98.854918)
+ (xy 159.51241 98.854918) (xy 159.627199 98.832086) (xy 159.735033 98.760033) (xy 159.807086 98.652199) (xy 159.829918 98.53741)
+ (xy 159.829918 96.91259) (xy 159.807086 96.797801) (xy 159.735033 96.689967) (xy 159.627199 96.617914) (xy 159.51241 96.595082)
+ (xy 157.88759 96.595082) (xy 157.772801 96.617914) (xy 157.664967 96.689967) (xy 157.592914 96.797801) (xy 157.570082 96.91259)
+ (xy 157.570082 97.171702) (xy 157.016784 97.725) (xy 156.383216 97.725) (xy 155.706274 97.048058) (xy 155.569799 97.366479)
+ (xy 155.521686 97.59283) (xy 155.518455 97.824217) (xy 152.926 97.824217) (xy 152.926 96.727364) (xy 156.019148 96.727364)
+ (xy 156.7 97.408216) (xy 157.378193 96.730023) (xy 157.050622 96.592324) (xy 156.82394 96.545792) (xy 156.592536 96.544177)
+ (xy 156.365226 96.587539) (xy 156.019148 96.727364) (xy 152.926 96.727364) (xy 152.926 91.613585) (xy 156.044165 88.495421)
+ (xy 156.198918 88.508416) (xy 156.44813 88.460877) (xy 156.635385 88.367923) (xy 156.422341 88.580967) (xy 156.202473 88.629308)
+ (xy 155.933301 88.755971) (xy 155.69888 88.939121) (xy 155.510861 89.169655) (xy 155.378589 89.436115) (xy 155.308638 89.725258)
+ (xy 155.304484 90.022714) (xy 155.366335 90.313698) (xy 155.491115 90.583748) (xy 155.672624 90.819442) (xy 155.901839 91.009066)
+ (xy 156.167371 91.143194) (xy 156.456018 91.215162) (xy 156.753437 91.221392) (xy 157.044846 91.161575) (xy 157.31576 91.038683)
+ (xy 157.552716 90.858824) (xy 157.743935 90.630938) (xy 157.879915 90.36635) (xy 157.948858 90.097834) (xy 161.22685 86.819843)
+ (xy 161.241319 86.810661) (xy 161.322796 86.723897) (xy 161.358711 86.687982) (xy 161.369105 86.674583) (xy 161.42742 86.612484)
+ (xy 161.452107 86.567578) (xy 161.483519 86.527082) (xy 161.51735 86.448901) (xy 161.560335 86.370712) (xy 161.567549 86.332898)
+ (xy 161.591487 86.27758) (xy 161.634016 86.009067) (xy 161.626 85.924268) (xy 161.626 84.550039) (xy 161.704436 84.520008)
+ (xy 161.945873 84.382574) (xy 162.163745 84.210201) (xy 162.353037 84.006854) (xy 162.509392 83.777216) (xy 162.629213 83.526569)
+ (xy 162.709742 83.260683) (xy 162.749126 82.985674) (xy 162.74646 82.707873) (xy 162.701803 82.433671) (xy 162.615159 82.166217)
+ (xy 162.408302 81.802822) (xy 157.890723 75.807792) (xy 158.168779 75.598533) (xy 162.700474 81.612294) (xy 162.837274 81.767738)
+ (xy 163.050248 81.946128) (xy 163.287755 82.09025) (xy 163.544329 82.196789) (xy 163.814066 82.263293) (xy 164.090759 82.288231)
+ (xy 164.36804 82.271028) (xy 164.639529 82.212083) (xy 164.814735 82.145002) (xy 167.124001 84.454269) (xy 167.124 86.460887)
+ (xy 167.121985 86.605213) (xy 167.139426 86.687269) (xy 167.148777 86.77063) (xy 167.170256 86.832308) (xy 167.183836 86.896197)
+ (xy 167.219024 86.972352) (xy 167.24661 87.051568) (xy 167.281222 87.106958) (xy 167.308616 87.166246) (xy 167.3598 87.232711)
+ (xy 167.404252 87.303849) (xy 167.450274 87.350194) (xy 167.490125 87.401941) (xy 167.554764 87.455415) (xy 167.613871 87.514935)
+ (xy 167.669011 87.549929) (xy 167.719341 87.591565) (xy 167.79422 87.629388) (xy 167.865045 87.674335) (xy 167.926568 87.696242)
+ (xy 167.984871 87.725693) (xy 168.066268 87.745988) (xy 168.145292 87.774127) (xy 168.210152 87.781862) (xy 168.273519 87.797661)
+ (xy 168.357377 87.799418) (xy 168.440684 87.809351) (xy 168.505648 87.802523) (xy 168.570938 87.803891) (xy 168.653109 87.787025)
+ (xy 168.736539 87.778255) (xy 168.798374 87.757205) (xy 168.862347 87.744074) (xy 168.938737 87.709422) (xy 169.018152 87.682386)
+ (xy 169.073781 87.648163) (xy 169.133261 87.621182) (xy 169.200088 87.570457) (xy 169.271527 87.526508) (xy 169.318185 87.480817)
+ (xy 169.370217 87.441323) (xy 169.424143 87.377056) (xy 169.484073 87.318369) (xy 169.519452 87.263471) (xy 169.561436 87.213437)
+ (xy 169.599786 87.138816) (xy 169.645223 87.068313) (xy 169.667558 87.006946) (xy 169.697416 86.948849) (xy 169.718277 86.8676)
+ (xy 169.74712 86.788351) (xy 169.754489 86.726563) (xy 169.771619 86.659846) (xy 169.772836 86.572699) (xy 169.776 86.546162)
+ (xy 169.776 86.346087) (xy 169.776264 86.327132) (xy 169.776 86.325969) (xy 169.776 83.918335) (xy 169.777552 83.770173)
+ (xy 169.760317 83.69046) (xy 169.751223 83.609392) (xy 169.728975 83.545503) (xy 169.714684 83.479407) (xy 169.680219 83.405496)
+ (xy 169.65339 83.328454) (xy 169.617547 83.271092) (xy 169.588775 83.209392) (xy 169.541269 83.149021) (xy 169.495747 83.076172)
+ (xy 169.43888 83.018908) (xy 169.437948 83.017723) (xy 169.40308 82.982856) (xy 169.28613 82.865087) (xy 169.283888 82.863664)
+ (xy 164.951738 78.531514) (xy 161.086765 73.402523) (xy 161.993639 72.72003) (xy 162.070222 72.734593) (xy 162.090168 72.733116)
+ (xy 162.109799 72.736914) (xy 162.330516 72.721279) (xy 162.348447 72.715087) (xy 162.367378 72.713945) (xy 162.551419 72.652546)
+ (xy 162.563607 72.644495) (xy 162.577641 72.640451) (xy 162.731969 72.547454) (xy 162.756172 72.522328) (xy 165.484028 70.46675)
+ (xy 172.898345 70.46675) (xy 172.919246 70.696425) (xy 172.984362 70.917667) (xy 173.091209 71.122047) (xy 173.235719 71.301781)
+ (xy 173.412388 71.450024) (xy 173.614485 71.561127) (xy 173.834738 71.630996) (xy 174.025064 71.651) (xy 174.137971 71.651)
+ (xy 174.309524 71.634179) (xy 174.530305 71.567521) (xy 174.733934 71.45925) (xy 174.912655 71.313489) (xy 175.059661 71.135789)
+ (xy 175.169351 70.932921) (xy 175.237548 70.71261) (xy 175.238978 70.699) (xy 175.408761 70.699) (xy 175.451725 70.87727)
+ (xy 175.548869 71.090928) (xy 175.684664 71.282362) (xy 175.854206 71.444664) (xy 176.051382 71.571979) (xy 176.396001 71.710864)
+ (xy 176.396001 70.699) (xy 176.844001 70.699) (xy 176.844001 71.69113) (xy 177.078861 71.622231) (xy 177.287518 71.514765)
+ (xy 177.472091 71.369782) (xy 177.625916 71.192513) (xy 177.743447 70.989353) (xy 177.844274 70.699) (xy 176.844001 70.699)
+ (xy 176.396001 70.699) (xy 175.408761 70.699) (xy 175.238978 70.699) (xy 175.261655 70.48325) (xy 175.260154 70.46675)
+ (xy 177.978345 70.46675) (xy 177.999246 70.696425) (xy 178.064362 70.917667) (xy 178.171209 71.122047) (xy 178.315719 71.301781)
+ (xy 178.492388 71.450024) (xy 178.694485 71.561127) (xy 178.914738 71.630996) (xy 179.105064 71.651) (xy 179.217971 71.651)
+ (xy 179.389524 71.634179) (xy 179.610305 71.567521) (xy 179.813934 71.45925) (xy 179.992655 71.313489) (xy 180.139661 71.135789)
+ (xy 180.249351 70.932921) (xy 180.317548 70.71261) (xy 180.341655 70.48325) (xy 180.320754 70.253575) (xy 180.255638 70.032333)
+ (xy 180.148791 69.827953) (xy 180.004281 69.648219) (xy 179.961821 69.61259) (xy 180.520082 69.61259) (xy 180.520082 71.33741)
+ (xy 180.542914 71.452199) (xy 180.614967 71.560033) (xy 180.722801 71.632086) (xy 180.83759 71.654918) (xy 182.56241 71.654918)
+ (xy 182.677199 71.632086) (xy 182.785033 71.560033) (xy 182.857086 71.452199) (xy 182.879918 71.33741) (xy 182.879918 69.61259)
+ (xy 182.857086 69.497801) (xy 182.785033 69.389967) (xy 182.677199 69.317914) (xy 182.56241 69.295082) (xy 180.83759 69.295082)
+ (xy 180.722801 69.317914) (xy 180.614967 69.389967) (xy 180.542914 69.497801) (xy 180.520082 69.61259) (xy 179.961821 69.61259)
+ (xy 179.827612 69.499976) (xy 179.625515 69.388873) (xy 179.405262 69.319004) (xy 179.214936 69.299) (xy 179.102029 69.299)
+ (xy 178.930476 69.315821) (xy 178.709695 69.382479) (xy 178.506066 69.49075) (xy 178.327345 69.636511) (xy 178.180339 69.814211)
+ (xy 178.070649 70.017079) (xy 178.002452 70.23739) (xy 177.978345 70.46675) (xy 175.260154 70.46675) (xy 175.240754 70.253575)
+ (xy 175.239997 70.251) (xy 175.395728 70.251) (xy 176.396001 70.251) (xy 176.844001 70.251) (xy 177.831241 70.251)
+ (xy 177.788277 70.07273) (xy 177.691133 69.859072) (xy 177.555338 69.667638) (xy 177.385796 69.505336) (xy 177.18862 69.378021)
+ (xy 176.844001 69.239136) (xy 176.844001 70.251) (xy 176.396001 70.251) (xy 176.396001 69.25887) (xy 176.161141 69.327769)
+ (xy 175.952484 69.435235) (xy 175.767911 69.580218) (xy 175.614086 69.757487) (xy 175.496555 69.960647) (xy 175.395728 70.251)
+ (xy 175.239997 70.251) (xy 175.175638 70.032333) (xy 175.068791 69.827953) (xy 174.924281 69.648219) (xy 174.747612 69.499976)
+ (xy 174.545515 69.388873) (xy 174.325262 69.319004) (xy 174.134936 69.299) (xy 174.022029 69.299) (xy 173.850476 69.315821)
+ (xy 173.629695 69.382479) (xy 173.426066 69.49075) (xy 173.247345 69.636511) (xy 173.100339 69.814211) (xy 172.990649 70.017079)
+ (xy 172.922452 70.23739) (xy 172.898345 70.46675) (xy 165.484028 70.46675) (xy 169.395782 67.519046) (xy 186.477731 67.519046)
)
)
)
- (zone (net 1) (net_name +12V) (layer B.Cu) (tstamp 5C551316) (hatch edge 0.508)
+ (zone (net 0) (net_name "") (layers F&B.Cu) (tstamp 0) (hatch edge 0.508)
(connect_pads (clearance 0.15))
- (min_thickness 0.15)
- (fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.8))
+ (min_thickness 0.254)
+ (keepout (tracks allowed) (vias allowed) (copperpour not_allowed))
+ (fill (thermal_gap 0.254) (thermal_bridge_width 0.7))
(polygon
(pts
- (xy 75 75) (xy 125 75) (xy 125 119) (xy 125 125) (xy 75 125)
- )
- )
- (filled_polygon
- (pts
- (xy 103.227907 75.576836) (xy 106.381157 76.209398) (xy 109.425348 77.246699) (xy 112.283273 78.659544) (xy 112.284359 78.66076)
- (xy 112.341112 78.688137) (xy 112.368455 78.701654) (xy 112.36999 78.702067) (xy 112.400812 78.716935) (xy 112.431813 78.718697)
- (xy 112.461794 78.726761) (xy 112.495722 78.722328) (xy 112.529895 78.72427) (xy 112.559211 78.714033) (xy 112.589997 78.710011)
- (xy 112.619652 78.692929) (xy 112.65196 78.681647) (xy 112.675125 78.660973) (xy 112.702031 78.645474) (xy 112.722891 78.618344)
- (xy 112.724075 78.617287) (xy 112.742424 78.592938) (xy 112.746726 78.587344) (xy 115.633561 80.539135) (xy 117.466402 82.202638)
- (xy 119.757864 84.820158) (xy 119.741917 84.841318) (xy 119.710998 84.87319) (xy 119.69148 84.92241) (xy 119.668473 84.9701)
- (xy 119.667788 84.982154) (xy 119.663337 84.993378) (xy 119.66414 85.046315) (xy 119.661135 85.099183) (xy 119.665116 85.110584)
- (xy 119.665299 85.122654) (xy 119.6863 85.171258) (xy 119.703755 85.221249) (xy 119.733318 85.254376) (xy 120.862002 86.889326)
- (xy 121.854001 88.607578) (xy 122.70226 90.394218) (xy 123.403745 92.240178) (xy 123.955383 94.136291) (xy 124.354132 96.073469)
- (xy 124.596943 98.042593) (xy 124.680736 100.033912) (xy 124.593545 102.055934) (xy 124.342503 104.05442) (xy 123.930869 106.019431)
- (xy 123.361885 107.941445) (xy 122.638795 109.810944) (xy 121.764842 111.618408) (xy 120.743269 113.354322) (xy 119.580364 115.004855)
- (xy 119.549761 115.039144) (xy 119.532815 115.087672) (xy 119.511979 115.134654) (xy 119.511641 115.148309) (xy 119.507137 115.161208)
- (xy 119.510052 115.212526) (xy 119.508781 115.263906) (xy 119.513695 115.276653) (xy 119.51447 115.290292) (xy 119.536802 115.336588)
- (xy 119.55529 115.384544) (xy 119.566786 115.396623) (xy 117.716756 117.56685) (xy 115.02459 119.870147) (xy 112.506034 121.558212)
- (xy 112.490039 121.537068) (xy 112.462151 121.520711) (xy 112.438034 121.499185) (xy 112.406933 121.488324) (xy 112.378514 121.471655)
- (xy 112.346491 121.467215) (xy 112.315971 121.456557) (xy 112.28308 121.458424) (xy 112.250447 121.4539) (xy 112.219164 121.462053)
- (xy 112.186887 121.463885) (xy 112.157211 121.478198) (xy 112.156913 121.478276) (xy 112.128398 121.492096) (xy 112.070432 121.520054)
- (xy 112.07022 121.520291) (xy 109.257224 122.883593) (xy 106.264067 123.885502) (xy 103.167511 124.497114) (xy 100.005651 124.708407)
- (xy 96.867878 124.505411) (xy 93.793094 123.90802) (xy 90.818763 122.925911) (xy 87.963499 121.559964) (xy 87.905702 121.532091)
- (xy 87.872603 121.530214) (xy 87.840481 121.522016) (xy 87.808688 121.526588) (xy 87.776616 121.524769) (xy 87.745319 121.535701)
- (xy 87.712506 121.54042) (xy 87.684879 121.556813) (xy 87.654556 121.567405) (xy 87.629826 121.58948) (xy 87.601315 121.606398)
- (xy 87.599113 121.60934) (xy 84.945809 119.87035) (xy 84.62714 119.585343) (xy 88.125294 119.585343) (xy 88.262236 120.096418)
- (xy 88.295699 120.221305) (xy 88.374408 120.32388) (xy 88.48638 120.388526) (xy 88.614566 120.405402) (xy 89.250528 120.234997)
- (xy 89.30798 120.135486) (xy 89.07019 119.248042) (xy 88.182746 119.485832) (xy 88.125294 119.585343) (xy 84.62714 119.585343)
- (xy 84.061899 119.07981) (xy 89.698042 119.07981) (xy 89.935832 119.967254) (xy 90.035343 120.024706) (xy 90.671304 119.854301)
- (xy 90.773879 119.775593) (xy 90.838526 119.663622) (xy 90.855403 119.535435) (xy 90.821939 119.410547) (xy 90.684997 118.899472)
- (xy 90.585486 118.84202) (xy 89.698042 119.07981) (xy 84.061899 119.07981) (xy 83.038553 118.164565) (xy 87.744597 118.164565)
- (xy 87.778061 118.289453) (xy 87.915003 118.800528) (xy 88.014514 118.85798) (xy 88.901958 118.62019) (xy 88.664168 117.732746)
- (xy 88.564657 117.675294) (xy 87.928696 117.845699) (xy 87.826121 117.924407) (xy 87.761474 118.036378) (xy 87.744597 118.164565)
- (xy 83.038553 118.164565) (xy 82.113501 117.33723) (xy 80.507124 115.491227) (xy 80.513109 115.484896) (xy 80.531244 115.437382)
- (xy 80.553339 115.391575) (xy 80.554145 115.377384) (xy 80.559214 115.364103) (xy 80.557786 115.313259) (xy 80.560668 115.26249)
- (xy 80.555982 115.249072) (xy 80.555583 115.234862) (xy 80.534807 115.188437) (xy 80.518041 115.140426) (xy 80.487079 115.105736)
- (xy 79.309558 113.446289) (xy 78.27566 111.700396) (xy 77.391408 109.881576) (xy 76.66012 107.999532) (xy 76.085139 106.064024)
- (xy 75.669783 104.084762) (xy 75.417379 102.07146) (xy 75.33127 100.034159) (xy 75.416945 98.029495) (xy 75.663723 96.047647)
- (xy 75.924856 94.789999) (xy 79.350022 94.789999) (xy 79.375 94.915572) (xy 79.374999 101.474431) (xy 79.350022 101.599999)
- (xy 79.374999 101.725567) (xy 79.374999 101.725571) (xy 79.448976 102.097478) (xy 79.730776 102.519222) (xy 79.837234 102.590355)
- (xy 79.969429 102.72255) (xy 79.977124 102.78411) (xy 79.977764 102.788891) (xy 80.227764 104.538891) (xy 80.236598 104.579021)
- (xy 80.986598 107.079021) (xy 80.998702 107.111688) (xy 81.998702 109.361688) (xy 82.014189 109.391486) (xy 83.514189 111.891486)
- (xy 83.535261 111.921791) (xy 84.535261 113.171791) (xy 84.555546 113.194454) (xy 86.055546 114.694454) (xy 86.071032 114.708796)
- (xy 87.821032 116.208796) (xy 87.840159 116.223777) (xy 89.515568 117.420498) (xy 89.349472 117.465003) (xy 89.29202 117.564514)
- (xy 89.52981 118.451958) (xy 90.417254 118.214168) (xy 90.474706 118.114657) (xy 90.403564 117.849151) (xy 92.386204 118.750351)
- (xy 92.403441 118.757491) (xy 94.403441 119.507491) (xy 94.440344 119.518451) (xy 96.690344 120.018451) (xy 96.722636 120.023635)
- (xy 99.222636 120.273635) (xy 99.25 120.275) (xy 100.5 120.275) (xy 100.522838 120.27405) (xy 103.365274 120.03718)
- (xy 103.439478 120.216325) (xy 103.798141 120.574988) (xy 104.266758 120.769095) (xy 104.773984 120.769095) (xy 105.242601 120.574988)
- (xy 105.601264 120.216325) (xy 105.795371 119.747708) (xy 105.795371 119.441419) (xy 107.086963 119.010888) (xy 107.111688 119.001298)
- (xy 108.119173 118.553527) (xy 108.37206 119.497314) (xy 108.420447 119.595434) (xy 108.502699 119.667567) (xy 108.606295 119.702733)
- (xy 108.715462 119.695578) (xy 110.647314 119.17794) (xy 110.745434 119.129553) (xy 110.817567 119.047301) (xy 110.852733 118.943705)
- (xy 110.845578 118.834538) (xy 110.442213 117.32916) (xy 111.39575 116.7332) (xy 111.409841 116.723777) (xy 113.159841 115.473777)
- (xy 113.194454 115.444454) (xy 115.194454 113.444454) (xy 115.22 113.415) (xy 116.72 111.415) (xy 116.7332 111.39575)
- (xy 117.60958 109.993541) (xy 119.812768 107.790354) (xy 119.919223 107.719223) (xy 120.201023 107.29748) (xy 120.275 106.925573)
- (xy 120.275 106.925569) (xy 120.299977 106.800001) (xy 120.275 106.674433) (xy 120.275 92.375567) (xy 120.299977 92.249999)
- (xy 120.275 92.124431) (xy 120.275 92.124427) (xy 120.201023 91.75252) (xy 119.919223 91.330777) (xy 119.812768 91.259646)
- (xy 116.140356 87.587235) (xy 116.069223 87.480777) (xy 115.962768 87.409646) (xy 115.675017 87.121896) (xy 115.473777 86.840159)
- (xy 115.444454 86.805546) (xy 114.194454 85.555546) (xy 114.178968 85.541204) (xy 113.814699 85.228973) (xy 113.809221 85.220775)
- (xy 113.790683 85.208388) (xy 112.428968 84.041204) (xy 112.421791 84.035261) (xy 111.171791 83.035261) (xy 111.136438 83.011233)
- (xy 109.386438 82.011233) (xy 109.358328 81.997235) (xy 107.608328 81.247235) (xy 107.596559 81.242509) (xy 105.596559 80.492509)
- (xy 105.553932 80.48034) (xy 103.053932 79.98034) (xy 103.038891 79.977764) (xy 101.288891 79.727764) (xy 101.25 79.725)
- (xy 98.75 79.725) (xy 98.719631 79.726682) (xy 96.469631 79.976682) (xy 96.427643 79.98469) (xy 93.677643 80.73469)
- (xy 93.644231 80.746154) (xy 90.644231 81.996154) (xy 90.608514 82.014189) (xy 88.108514 83.514189) (xy 88.073949 83.538739)
- (xy 86.573949 84.788739) (xy 86.555546 84.805546) (xy 84.555546 86.805546) (xy 84.53 86.835) (xy 83.03 88.835)
- (xy 83.00787 88.869623) (xy 81.25787 92.119623) (xy 81.237161 92.169126) (xy 81.134677 92.5022) (xy 79.837232 93.799645)
- (xy 79.730777 93.870776) (xy 79.659646 93.977231) (xy 79.659645 93.977232) (xy 79.448977 94.292519) (xy 79.350022 94.789999)
- (xy 75.924856 94.789999) (xy 76.068448 94.098449) (xy 76.627987 92.19117) (xy 77.339202 90.335113) (xy 78.198964 88.539547)
- (xy 79.204116 86.813797) (xy 80.347973 85.172231) (xy 80.378006 85.13858) (xy 80.395229 85.089258) (xy 80.416162 85.041387)
- (xy 80.416412 85.028596) (xy 80.42063 85.016516) (xy 80.417667 84.964352) (xy 80.418687 84.912119) (xy 80.414023 84.900205)
- (xy 80.413297 84.887431) (xy 80.390596 84.840369) (xy 80.371551 84.791725) (xy 80.340287 84.759215) (xy 80.327077 84.741685)
- (xy 82.642506 82.113857) (xy 85.454451 79.760598) (xy 87.361925 78.540502) (xy 87.366923 78.547045) (xy 87.385575 78.571799)
- (xy 87.386391 78.572528) (xy 87.406867 78.599329) (xy 87.434149 78.615157) (xy 87.457684 78.636165) (xy 87.489528 78.647287)
- (xy 87.518701 78.664212) (xy 87.549966 78.668395) (xy 87.579746 78.678796) (xy 87.613421 78.676885) (xy 87.646851 78.681358)
- (xy 87.677337 78.673258) (xy 87.708831 78.671471) (xy 87.739213 78.656818) (xy 87.740267 78.656538) (xy 87.767987 78.642941)
- (xy 87.825288 78.615305) (xy 87.826037 78.614466) (xy 90.663271 77.222734) (xy 93.684074 76.200106) (xy 96.811536 75.575637)
- (xy 100.006028 75.3598)
+ (xy 183.75 132.575) (xy 183.75 119.175) (xy 170.75 119.175) (xy 170.75 132.575)
)
)
)
- (zone (net 0) (net_name "") (layers F&B.Cu) (tstamp 0) (hatch edge 0.508)
- (connect_pads (clearance 0.15))
- (min_thickness 0.15)
- (keepout (tracks not_allowed) (vias not_allowed) (copperpour not_allowed))
- (fill (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.8))
+ (zone (net 32) (net_name "/PAD") (layer "F.Cu") (tstamp 0) (hatch edge 0.508)
+ (priority 1)
+ (connect_pads yes (clearance 0.15))
+ (min_thickness 0.254)
+ (fill yes (thermal_gap 0.254) (thermal_bridge_width 0.7))
(polygon
(pts
- (xy 111.54 123.35) (xy 112.28 121.8) (xy 115.07 119.93) (xy 117.77 117.62) (xy 119.85 115.18)
- (xy 126.74 115.07) (xy 130.78 122.26) (xy 116.1 134.5) (xy 111.07 126.55)
+ (xy 165.75 98.775) (xy 165.75 94.375) (xy 174.35 94.375) (xy 174.35 105.775) (xy 165.75 105.775)
)
)
- )
- (zone (net 0) (net_name "") (layers F&B.Cu) (tstamp 0) (hatch edge 0.508)
- (connect_pads (clearance 0.15))
- (min_thickness 0.15)
- (keepout (tracks not_allowed) (vias not_allowed) (copperpour not_allowed))
- (fill (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.8))
- (polygon
+ (filled_polygon
(pts
- (xy 80.25 115.31) (xy 82.06 117.39) (xy 84.9 119.93) (xy 87.86 121.87) (xy 88.55 127.6)
- (xy 84.63 133.85) (xy 69.25 123.6) (xy 74.99 115.87)
+ (xy 174.224 95.652923) (xy 169.629037 99.118813) (xy 169.604878 99.129836) (xy 169.529042 99.192407) (xy 169.527321 99.194823)
+ (xy 169.524825 99.19641) (xy 169.406303 99.325565) (xy 169.401341 99.335081) (xy 169.393561 99.342467) (xy 169.29957 99.495924)
+ (xy 169.296141 99.507511) (xy 169.289234 99.517417) (xy 169.224686 99.695865) (xy 169.223376 99.711203) (xy 169.217625 99.725477)
+ (xy 169.191687 99.938501) (xy 169.192932 99.947295) (xy 169.19114 99.956) (xy 169.198276 100.077255) (xy 169.200663 100.084443)
+ (xy 169.200418 100.092014) (xy 169.24602 100.287194) (xy 169.252371 100.299063) (xy 169.254779 100.3123) (xy 169.333301 100.478348)
+ (xy 169.340577 100.486924) (xy 169.344711 100.497381) (xy 169.450275 100.639666) (xy 169.458476 100.646173) (xy 169.464105 100.655)
+ (xy 169.587066 100.767587) (xy 169.588224 100.769075) (xy 169.5909 100.771098) (xy 169.593381 100.77337) (xy 169.595058 100.774242)
+ (xy 174.224 104.274171) (xy 174.224 105.649) (xy 166.561356 105.649) (xy 166.561356 94.501) (xy 174.224 94.501)
)
)
)
- (zone (net 0) (net_name "") (layers F&B.Cu) (tstamp 0) (hatch edge 0.508)
+ (zone (net 31) (net_name "/ILIM") (layer "B.Cu") (tstamp 0) (hatch edge 0.508)
+ (priority 1)
(connect_pads (clearance 0.15))
- (min_thickness 0.15)
- (keepout (tracks not_allowed) (vias not_allowed) (copperpour not_allowed))
- (fill (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.8))
+ (min_thickness 0.254)
+ (fill yes (thermal_gap 0.254) (thermal_bridge_width 0.7))
(polygon
(pts
- (xy 80.07 84.92) (xy 82.59 82.06) (xy 85.41 79.7) (xy 87.63 78.28) (xy 88.47 71.92)
- (xy 84.21 67.25) (xy 69 78.07) (xy 75.39 84.5)
+ (xy 183.45 132.475) (xy 183.45 119.475) (xy 185.95 119.475) (xy 185.95 116.475) (xy 180.95 111.475)
+ (xy 180.95 113.975) (xy 180.45 114.475) (xy 176.45 114.475) (xy 174.45 112.475) (xy 170.95 112.475)
+ (xy 165.95 112.475) (xy 157.45 123.475) (xy 169.45 132.975)
)
)
- )
- (zone (net 0) (net_name "") (layers F&B.Cu) (tstamp 0) (hatch edge 0.508)
- (connect_pads (clearance 0.15))
- (min_thickness 0.15)
- (keepout (tracks not_allowed) (vias not_allowed) (copperpour not_allowed))
- (fill (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.8))
- (polygon
+ (filled_polygon
(pts
- (xy 112.5 78.33) (xy 115.68 80.48) (xy 117.52 82.15) (xy 120.05 85.04) (xy 126.55 85.04)
- (xy 133.06 78.53) (xy 120.45 65.92) (xy 114.71 65.92) (xy 110.32 72.09)
+ (xy 176.397809 114.601) (xy 180.502191 114.601) (xy 181.076 114.027191) (xy 181.076 113.776245) (xy 185.024 117.724247)
+ (xy 185.024 118.225754) (xy 183.900754 119.349) (xy 183.876 119.349) (xy 183.876 119.049) (xy 170.624 119.049)
+ (xy 170.624 132.393029) (xy 169.395783 132.393029) (xy 162.770219 127.400407) (xy 162.747258 127.376076) (xy 162.605903 127.288912)
+ (xy 162.593202 127.285111) (xy 162.582289 127.277588) (xy 162.39812 127.212072) (xy 162.379288 127.210563) (xy 162.364918 127.205271)
+ (xy 161.222345 126.300734) (xy 165.64209 120.435534) (xy 165.753805 120.261187) (xy 165.866581 120.007292) (xy 165.939654 119.739261)
+ (xy 165.971345 119.46326) (xy 165.960923 119.185641) (xy 165.94486 119.101832) (xy 166.826846 118.219846) (xy 166.841319 118.210661)
+ (xy 166.922811 118.123881) (xy 166.95871 118.087983) (xy 166.969101 118.074588) (xy 167.027421 118.012483) (xy 167.052109 117.967575)
+ (xy 167.083518 117.927083) (xy 167.11735 117.848902) (xy 167.160335 117.770712) (xy 167.167549 117.732898) (xy 167.191487 117.67758)
+ (xy 167.234016 117.409068) (xy 167.226 117.324267) (xy 167.226 113.693786) (xy 167.229738 113.677065) (xy 167.226 113.558115)
+ (xy 167.226 113.507307) (xy 167.223874 113.490485) (xy 167.221199 113.405339) (xy 167.206901 113.356124) (xy 167.200478 113.305283)
+ (xy 167.169119 113.226077) (xy 167.144225 113.140394) (xy 167.122589 113.108558) (xy 167.100399 113.052514) (xy 166.940604 112.832573)
+ (xy 166.874967 112.778274) (xy 166.697693 112.601) (xy 174.39781 112.601)
)
)
)
diff --git a/center/center.pretty/led_tape_3528_2835.kicad_mod b/center/center.pretty/led_tape_3528_2835.kicad_mod
new file mode 100644
index 0000000..dfc9e55
--- /dev/null
+++ b/center/center.pretty/led_tape_3528_2835.kicad_mod
@@ -0,0 +1,31 @@
+(module "led_tape_3528_2835" (layer F.Cu) (tedit 5DE7D961)
+ (fp_text reference "Jr" (at 0 0.499999) (layer F.SilkS) hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "bottom left" (at 0 -0.499999) (layer F.Fab) hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -4 1.000001) (end 1 3.000001) (layer F.SilkS) (width 0.5))
+ (fp_line (start -4 2.000001) (end -1.5 3.000001) (layer F.SilkS) (width 0.5))
+ (fp_line (start 1 0.000001) (end 4 1.000001) (layer F.SilkS) (width 0.5))
+ (fp_line (start 4 2.000001) (end -1.5 0.000001) (layer F.SilkS) (width 0.5))
+ (fp_line (start -4 0) (end 4 0) (layer F.SilkS) (width 0.5))
+ (fp_line (start 4 3.000001) (end -4 0) (layer F.SilkS) (width 0.5))
+ (fp_line (start -4 3.000001) (end 4 3.000001) (layer F.SilkS) (width 0.5))
+ (fp_line (start -4 0) (end -4 29) (layer F.SilkS) (width 0.5))
+ (fp_line (start 4 29) (end 4 0) (layer F.SilkS) (width 0.5))
+ (fp_line (start -5 29) (end 5 29) (layer Dwgs.User) (width 0.12))
+ (fp_line (start 5 29) (end 5 31.5) (layer Dwgs.User) (width 0.12))
+ (fp_line (start 5 31.5) (end -5 31.5) (layer Dwgs.User) (width 0.12))
+ (fp_line (start -5 31.5) (end -5 29) (layer Dwgs.User) (width 0.12))
+ (fp_line (start -5 0) (end -7 0) (layer Dwgs.User) (width 0.12))
+ (fp_line (start -7 0) (end -7 3) (layer Dwgs.User) (width 0.12))
+ (fp_line (start -7 3) (end -5 3) (layer Dwgs.User) (width 0.12))
+ (fp_line (start -5 3) (end -5 0) (layer Dwgs.User) (width 0.12))
+ (fp_line (start 5 3) (end 7 3) (layer Dwgs.User) (width 0.12))
+ (fp_line (start 7 0) (end 7 3) (layer Dwgs.User) (width 0.12))
+ (fp_line (start 7 0) (end 5 0) (layer Dwgs.User) (width 0.12))
+ (fp_line (start 5 3) (end 5 0) (layer Dwgs.User) (width 0.12))
+ (pad "1" smd oval (at -2 6) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask"))
+ (pad "2" smd oval (at 2 6) (size 3 12) (layers "B.Cu" "B.Paste" "B.Mask"))
+)
diff --git a/center/center.pro b/center/center.pro
index 152769c..68e8c91 100644
--- a/center/center.pro
+++ b/center/center.pro
@@ -1,29 +1,10 @@
-update=22/05/2015 07:44:53
+update=Wed Dec 4 20:56:18 2019
version=1
last_client=kicad
[general]
version=1
RootSch=
BoardNm=
-[pcbnew]
-version=1
-LastNetListRead=
-UseCmpFile=1
-PadDrill=0.600000000000
-PadDrillOvalY=0.600000000000
-PadSizeH=1.500000000000
-PadSizeV=1.500000000000
-PcbTextSizeV=1.500000000000
-PcbTextSizeH=1.500000000000
-PcbTextThickness=0.300000000000
-ModuleTextSizeV=1.000000000000
-ModuleTextSizeH=1.000000000000
-ModuleTextSizeThickness=0.150000000000
-SolderMaskClearance=0.000000000000
-SolderMaskMinWidth=0.000000000000
-DrawSegmentWidth=0.200000000000
-BoardOutlineThickness=0.100000000000
-ModuleOutlineThickness=0.150000000000
[cvpcb]
version=1
NetIExt=net
@@ -31,3 +12,256 @@ NetIExt=net
version=1
LibDir=
[eeschema/libraries]
+[pcbnew]
+version=1
+PageLayoutDescrFile=
+LastNetListRead=
+LastSTEPExportPath=
+LastIDFExportPath=
+LastVRMLExportPath=
+LastSpecctraDSNExportPath=
+LastGenCADExportPath=
+CopperLayerCount=2
+BoardThickness=1.6
+AllowMicroVias=0
+AllowBlindVias=0
+RequireCourtyardDefinitions=0
+ProhibitOverlappingCourtyards=1
+MinTrackWidth=0.09999999999999999
+MinViaDiameter=0.4
+MinViaDrill=0.3
+MinMicroViaDiameter=0.2
+MinMicroViaDrill=0.09999999999999999
+MinHoleToHole=0.25
+CopperEdgeClearance=0.01
+TrackWidth1=0.25
+TrackWidth2=0.1
+TrackWidth3=0.15
+TrackWidth4=0.2
+TrackWidth5=0.3
+TrackWidth6=0.4
+TrackWidth7=0.5
+TrackWidth8=0.8
+TrackWidth9=1.2
+TrackWidth10=1.5
+TrackWidth11=1.8
+TrackWidth12=2
+ViaDiameter1=0.8
+ViaDrill1=0.4
+ViaDiameter2=0.6
+ViaDrill2=0.3
+ViaDiameter3=0.8
+ViaDrill3=0.4
+ViaDiameter4=1.2
+ViaDrill4=0.6
+ViaDiameter5=2
+ViaDrill5=1
+ViaDiameter6=2.5
+ViaDrill6=1.5
+ViaDiameter7=3
+ViaDrill7=2
+dPairWidth1=0.2
+dPairGap1=0.25
+dPairViaGap1=0.25
+SilkLineWidth=0.15
+SilkTextSizeV=1
+SilkTextSizeH=1
+SilkTextSizeThickness=0.15
+SilkTextItalic=0
+SilkTextUpright=1
+CopperLineWidth=0.15
+CopperTextSizeV=1.5
+CopperTextSizeH=1.5
+CopperTextThickness=0.3
+CopperTextItalic=0
+CopperTextUpright=1
+EdgeCutLineWidth=0.15
+CourtyardLineWidth=0.05
+OthersLineWidth=0.09999999999999999
+OthersTextSizeV=1
+OthersTextSizeH=1
+OthersTextSizeThickness=0.15
+OthersTextItalic=0
+OthersTextUpright=1
+SolderMaskClearance=0.051
+SolderMaskMinWidth=0.25
+SolderPasteClearance=0
+SolderPasteRatio=-0
+[pcbnew/Layer.F.Cu]
+Name=F.Cu
+Type=0
+Enabled=1
+[pcbnew/Layer.In1.Cu]
+Name=In1.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In2.Cu]
+Name=In2.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In3.Cu]
+Name=In3.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In4.Cu]
+Name=In4.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In5.Cu]
+Name=In5.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In6.Cu]
+Name=In6.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In7.Cu]
+Name=In7.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In8.Cu]
+Name=In8.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In9.Cu]
+Name=In9.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In10.Cu]
+Name=In10.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In11.Cu]
+Name=In11.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In12.Cu]
+Name=In12.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In13.Cu]
+Name=In13.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In14.Cu]
+Name=In14.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In15.Cu]
+Name=In15.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In16.Cu]
+Name=In16.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In17.Cu]
+Name=In17.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In18.Cu]
+Name=In18.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In19.Cu]
+Name=In19.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In20.Cu]
+Name=In20.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In21.Cu]
+Name=In21.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In22.Cu]
+Name=In22.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In23.Cu]
+Name=In23.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In24.Cu]
+Name=In24.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In25.Cu]
+Name=In25.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In26.Cu]
+Name=In26.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In27.Cu]
+Name=In27.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In28.Cu]
+Name=In28.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In29.Cu]
+Name=In29.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In30.Cu]
+Name=In30.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.B.Cu]
+Name=B.Cu
+Type=0
+Enabled=1
+[pcbnew/Layer.B.Adhes]
+Enabled=1
+[pcbnew/Layer.F.Adhes]
+Enabled=1
+[pcbnew/Layer.B.Paste]
+Enabled=1
+[pcbnew/Layer.F.Paste]
+Enabled=1
+[pcbnew/Layer.B.SilkS]
+Enabled=1
+[pcbnew/Layer.F.SilkS]
+Enabled=1
+[pcbnew/Layer.B.Mask]
+Enabled=1
+[pcbnew/Layer.F.Mask]
+Enabled=1
+[pcbnew/Layer.Dwgs.User]
+Enabled=1
+[pcbnew/Layer.Cmts.User]
+Enabled=1
+[pcbnew/Layer.Eco1.User]
+Enabled=1
+[pcbnew/Layer.Eco2.User]
+Enabled=1
+[pcbnew/Layer.Edge.Cuts]
+Enabled=1
+[pcbnew/Layer.Margin]
+Enabled=1
+[pcbnew/Layer.B.CrtYd]
+Enabled=1
+[pcbnew/Layer.F.CrtYd]
+Enabled=1
+[pcbnew/Layer.B.Fab]
+Enabled=1
+[pcbnew/Layer.F.Fab]
+Enabled=1
+[pcbnew/Layer.Rescue]
+Enabled=0
+[pcbnew/Netclasses]
+[pcbnew/Netclasses/Default]
+Name=Default
+Clearance=0.2
+TrackWidth=0.25
+ViaDiameter=0.8
+ViaDrill=0.4
+uViaDiameter=0.3
+uViaDrill=0.1
+dPairWidth=0.2
+dPairGap=0.25
+dPairViaGap=0.25
diff --git a/center/center.sch b/center/center.sch
index 2e87317..f2bb7a1 100644
--- a/center/center.sch
+++ b/center/center.sch
@@ -1,1264 +1,1443 @@
-EESchema Schematic File Version 4
-LIBS:center-cache
-EELAYER 26 0
-EELAYER END
-$Descr A4 11693 8268
-encoding utf-8
-Sheet 1 1
-Title ""
-Date ""
-Rev ""
-Comp ""
-Comment1 ""
-Comment2 ""
-Comment3 ""
-Comment4 ""
-$EndDescr
-$Comp
-L MCU_ST_STM32F0:STM32F030F4Px U2
-U 1 1 5C1966AA
-P 3300 4850
-F 0 "U2" H 3600 5500 50 0000 C CNN
-F 1 "STM32F030F4P6" H 3800 5600 50 0000 C CNN
-F 2 "Housings_SSOP:TSSOP-20_4.4x6.5mm_Pitch0.65mm" H 2900 4150 50 0001 R CNN
-F 3 "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/DM00088500.pdf" H 3300 4850 50 0001 C CNN
- 1 3300 4850
- 1 0 0 -1
-$EndComp
-$Comp
-L Regulator_Linear:AP1117-33 U1
-U 1 1 5C19682A
-P 7050 1650
-F 0 "U1" H 7050 1892 50 0000 C CNN
-F 1 "AP1117-33" H 7050 1801 50 0000 C CNN
-F 2 "TO_SOT_Packages_SMD:SOT-223-3Lead_TabPin2" H 7050 1850 50 0001 C CNN
-F 3 "http://www.diodes.com/datasheets/AP1117.pdf" H 7150 1400 50 0001 C CNN
- 1 7050 1650
- 1 0 0 -1
-$EndComp
-$Comp
-L Connector_Generic:Conn_01x02 J1
-U 1 1 5C196961
-P 2500 1700
-F 0 "J1" H 2650 1650 50 0000 C CNN
-F 1 "top left" H 2420 1466 50 0000 C CNN
-F 2 "footprints:led_tape_3528_2835" H 2500 1700 50 0001 C CNN
-F 3 "~" H 2500 1700 50 0001 C CNN
- 1 2500 1700
- -1 0 0 -1
-$EndComp
-$Comp
-L Connector_Generic:Conn_01x02 J2
-U 1 1 5C196B9D
-P 2500 2100
-F 0 "J2" H 2650 2050 50 0000 C CNN
-F 1 "top right" H 2420 1866 50 0000 C CNN
-F 2 "footprints:led_tape_3528_2835" H 2500 2100 50 0001 C CNN
-F 3 "~" H 2500 2100 50 0001 C CNN
- 1 2500 2100
- -1 0 0 -1
-$EndComp
-$Comp
-L Connector_Generic:Conn_01x02 J3
-U 1 1 5C196C4D
-P 2500 2500
-F 0 "J3" H 2650 2450 50 0000 C CNN
-F 1 "bottom right" H 2420 2266 50 0000 C CNN
-F 2 "footprints:led_tape_3528_2835" H 2500 2500 50 0001 C CNN
-F 3 "~" H 2500 2500 50 0001 C CNN
- 1 2500 2500
- -1 0 0 -1
-$EndComp
-$Comp
-L Connector_Generic:Conn_01x02 J4
-U 1 1 5C196D8E
-P 2500 2900
-F 0 "J4" H 2650 2850 50 0000 C CNN
-F 1 "bottom left" H 2420 2666 50 0000 C CNN
-F 2 "footprints:led_tape_3528_2835" H 2500 2900 50 0001 C CNN
-F 3 "~" H 2500 2900 50 0001 C CNN
- 1 2500 2900
- -1 0 0 -1
-$EndComp
-$Comp
-L Device:D_Schottky D1
-U 1 1 5C1985B1
-P 4850 1900
-F 0 "D1" V 4804 1821 50 0000 R CNN
-F 1 "SS510" V 4895 1821 50 0000 R CNN
-F 2 "Diodes_SMD:D_SMB_Handsoldering" H 4850 1900 50 0001 C CNN
-F 3 "~" H 4850 1900 50 0001 C CNN
- 1 4850 1900
- 0 -1 1 0
-$EndComp
-$Comp
-L Device:D_Schottky D3
-U 1 1 5C19926C
-P 4850 2400
-F 0 "D3" V 4804 2321 50 0000 R CNN
-F 1 "SS510" V 4895 2321 50 0000 R CNN
-F 2 "Diodes_SMD:D_SMB_Handsoldering" H 4850 2400 50 0001 C CNN
-F 3 "~" H 4850 2400 50 0001 C CNN
- 1 4850 2400
- 0 -1 1 0
-$EndComp
-$Comp
-L Device:D_Schottky D2
-U 1 1 5C199CCC
-P 5250 1900
-F 0 "D2" V 5204 1821 50 0000 R CNN
-F 1 "SS510" V 5295 1821 50 0000 R CNN
-F 2 "Diodes_SMD:D_SMB_Handsoldering" H 5250 1900 50 0001 C CNN
-F 3 "~" H 5250 1900 50 0001 C CNN
- 1 5250 1900
- 0 -1 1 0
-$EndComp
-$Comp
-L Device:D_Schottky D4
-U 1 1 5C199DAE
-P 5250 2400
-F 0 "D4" V 5204 2321 50 0000 R CNN
-F 1 "SS510" V 5295 2321 50 0000 R CNN
-F 2 "Diodes_SMD:D_SMB_Handsoldering" H 5250 2400 50 0001 C CNN
-F 3 "~" H 5250 2400 50 0001 C CNN
- 1 5250 2400
- 0 -1 1 0
-$EndComp
-Wire Wire Line
- 6750 1650 6500 1650
-Wire Wire Line
- 4850 1650 4850 1750
-Wire Wire Line
- 5250 1750 5250 1650
-Connection ~ 5250 1650
-Wire Wire Line
- 5250 1650 4850 1650
-Wire Wire Line
- 4850 2050 4850 2100
-Wire Wire Line
- 2700 1800 3150 1800
-Wire Wire Line
- 3150 1800 3150 2100
-Wire Wire Line
- 3150 2600 2700 2600
-Wire Wire Line
- 2700 2200 3250 2200
-Wire Wire Line
- 3250 2200 3250 3000
-Wire Wire Line
- 3250 3000 2700 3000
-Wire Wire Line
- 5250 2050 5250 2200
-Wire Wire Line
- 3250 2200 4250 2200
-Connection ~ 3250 2200
-Connection ~ 5250 2200
-Wire Wire Line
- 5250 2200 5250 2250
-Wire Wire Line
- 4850 2100 3950 2100
-Connection ~ 4850 2100
-Wire Wire Line
- 4850 2100 4850 2250
-Connection ~ 3150 2100
-Wire Wire Line
- 3150 2100 3150 2600
-Wire Wire Line
- 4850 2550 4850 3350
-Wire Wire Line
- 4850 3350 5250 3350
-Wire Wire Line
- 5250 3350 5250 2550
-Wire Wire Line
- 3300 4150 3300 4050
-Wire Wire Line
- 3400 4150 3400 4050
-Wire Wire Line
- 3400 4050 3300 4050
-Wire Wire Line
- 3300 5750 3300 5650
-$Comp
-L Device:CP_Small C1
-U 1 1 5C1A6A7D
-P 5700 2200
-F 0 "C1" H 5788 2246 50 0000 L CNN
-F 1 "1000uF" H 5788 2155 50 0000 L CNN
-F 2 "Capacitor_THT:CP_Radial_D10.0mm_P5.00mm" H 5700 2200 50 0001 C CNN
-F 3 "~" H 5700 2200 50 0001 C CNN
- 1 5700 2200
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:CP_Small C4
-U 1 1 5C1A7520
-P 7600 2200
-F 0 "C4" H 7688 2246 50 0000 L CNN
-F 1 "470uF" H 7688 2155 50 0000 L CNN
-F 2 "Capacitor_THT:CP_Radial_D10.0mm_P5.00mm" H 7600 2200 50 0001 C CNN
-F 3 "~" H 7600 2200 50 0001 C CNN
- 1 7600 2200
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 7600 1650 7600 2100
-Connection ~ 7600 1650
-Wire Wire Line
- 7600 1650 7350 1650
-Wire Wire Line
- 7600 2300 7600 3350
-Wire Wire Line
- 7600 3350 7050 3350
-Connection ~ 7050 3350
-Wire Wire Line
- 7050 3350 7050 1950
-Wire Wire Line
- 7050 3350 6500 3350
-Wire Wire Line
- 5700 3350 5700 2300
-Wire Wire Line
- 5700 2100 5700 1650
-Connection ~ 5700 1650
-Wire Wire Line
- 5700 1650 5250 1650
-$Comp
-L Device:C_Small C2
-U 1 1 5C1AAFA9
-P 6150 2200
-F 0 "C2" H 6242 2246 50 0000 L CNN
-F 1 "10u" H 6242 2155 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 6150 2200 50 0001 C CNN
-F 3 "~" H 6150 2200 50 0001 C CNN
- 1 6150 2200
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:C_Small C3
-U 1 1 5C1AF3BD
-P 6500 2200
-F 0 "C3" H 6592 2246 50 0000 L CNN
-F 1 "100n" H 6592 2155 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 6500 2200 50 0001 C CNN
-F 3 "~" H 6500 2200 50 0001 C CNN
- 1 6500 2200
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:C_Small C5
-U 1 1 5C1AFB91
-P 8050 2200
-F 0 "C5" H 8142 2246 50 0000 L CNN
-F 1 "10u" H 8142 2155 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 8050 2200 50 0001 C CNN
-F 3 "~" H 8050 2200 50 0001 C CNN
- 1 8050 2200
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:C_Small C6
-U 1 1 5C1B07A6
-P 8400 2200
-F 0 "C6" H 8492 2246 50 0000 L CNN
-F 1 "100n" H 8492 2155 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 8400 2200 50 0001 C CNN
-F 3 "~" H 8400 2200 50 0001 C CNN
- 1 8400 2200
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 8050 1650 8050 2100
-Connection ~ 8050 1650
-Wire Wire Line
- 8050 1650 7600 1650
-Wire Wire Line
- 8400 1650 8400 2100
-Wire Wire Line
- 8400 1650 8050 1650
-Wire Wire Line
- 8400 2300 8400 3350
-Wire Wire Line
- 8400 3350 8050 3350
-Wire Wire Line
- 8050 3350 8050 2300
-Wire Wire Line
- 8050 3350 7600 3350
-Connection ~ 8050 3350
-Connection ~ 7600 3350
-Wire Wire Line
- 6500 3350 6500 2300
-Connection ~ 6500 3350
-Wire Wire Line
- 6500 3350 6150 3350
-Wire Wire Line
- 6500 2100 6500 1650
-Connection ~ 6500 1650
-Wire Wire Line
- 6500 1650 6150 1650
-Wire Wire Line
- 6150 1650 6150 2100
-Connection ~ 6150 1650
-Wire Wire Line
- 6150 1650 5700 1650
-Wire Wire Line
- 6150 2300 6150 3350
-Connection ~ 6150 3350
-Wire Wire Line
- 6150 3350 5700 3350
-$Comp
-L Device:R_Small R1
-U 1 1 5C1B72F8
-P 3950 2500
-F 0 "R1" H 4009 2546 50 0000 L CNN
-F 1 "10k" H 4009 2455 50 0000 L CNN
-F 2 "Resistors_SMD:R_0603_HandSoldering" H 3950 2500 50 0001 C CNN
-F 3 "~" H 3950 2500 50 0001 C CNN
- 1 3950 2500
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R2
-U 1 1 5C1BABDF
-P 4250 2500
-F 0 "R2" H 4309 2546 50 0000 L CNN
-F 1 "10k" H 4309 2455 50 0000 L CNN
-F 2 "Resistors_SMD:R_0603_HandSoldering" H 4250 2500 50 0001 C CNN
-F 3 "~" H 4250 2500 50 0001 C CNN
- 1 4250 2500
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R4
-U 1 1 5C1BB077
-P 4250 3100
-F 0 "R4" H 4309 3146 50 0000 L CNN
-F 1 "3k3" H 4309 3055 50 0000 L CNN
-F 2 "Resistors_SMD:R_0603_HandSoldering" H 4250 3100 50 0001 C CNN
-F 3 "~" H 4250 3100 50 0001 C CNN
- 1 4250 3100
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R3
-U 1 1 5C1BC19C
-P 3950 3100
-F 0 "R3" H 4009 3146 50 0000 L CNN
-F 1 "3k3" H 4009 3055 50 0000 L CNN
-F 2 "Resistors_SMD:R_0603_HandSoldering" H 3950 3100 50 0001 C CNN
-F 3 "~" H 3950 3100 50 0001 C CNN
- 1 3950 3100
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 3950 3000 3950 2850
-Wire Wire Line
- 3950 2400 3950 2100
-Connection ~ 3950 2100
-Wire Wire Line
- 3950 2100 3150 2100
-Wire Wire Line
- 4250 2200 4250 2400
-Connection ~ 4250 2200
-Wire Wire Line
- 4250 2200 5250 2200
-Wire Wire Line
- 4250 2600 4250 2750
-Wire Wire Line
- 4250 3200 4250 3350
-Wire Wire Line
- 4250 3350 3950 3350
-Wire Wire Line
- 3950 3350 3950 3200
-Wire Wire Line
- 4250 3350 4550 3350
-Connection ~ 4250 3350
-Connection ~ 4850 3350
-$Comp
-L Device:D_Schottky D6
-U 1 1 5C1CFB2A
-P 4550 3100
-F 0 "D6" V 4550 3179 50 0000 L CNN
-F 1 "D_Schottky" V 4595 3179 50 0001 L CNN
-F 2 "Diodes_SMD:D_MiniMELF_Handsoldering" H 4550 3100 50 0001 C CNN
-F 3 "~" H 4550 3100 50 0001 C CNN
- 1 4550 3100
- 0 1 1 0
-$EndComp
-$Comp
-L Device:D_Schottky D5
-U 1 1 5C1D3E90
-P 3650 3100
-F 0 "D5" V 3650 3179 50 0000 L CNN
-F 1 "D_Schottky" V 3695 3179 50 0001 L CNN
-F 2 "Diodes_SMD:D_MiniMELF_Handsoldering" H 3650 3100 50 0001 C CNN
-F 3 "~" H 3650 3100 50 0001 C CNN
- 1 3650 3100
- 0 1 1 0
-$EndComp
-Wire Wire Line
- 3650 2950 3650 2850
-Wire Wire Line
- 3650 2850 3950 2850
-Connection ~ 3950 2850
-Wire Wire Line
- 3950 2850 3950 2750
-Wire Wire Line
- 4250 2850 4550 2850
-Wire Wire Line
- 4550 2850 4550 2950
-Connection ~ 4250 2850
-Wire Wire Line
- 4250 2850 4250 3000
-Wire Wire Line
- 4550 3250 4550 3350
-Wire Wire Line
- 4550 3350 4850 3350
-Connection ~ 4550 3350
-Wire Wire Line
- 3950 3350 3650 3350
-Wire Wire Line
- 3650 3350 3650 3250
-Connection ~ 3950 3350
-Wire Wire Line
- 3550 2750 3950 2750
-Connection ~ 3950 2750
-Wire Wire Line
- 3950 2750 3950 2600
-Wire Wire Line
- 4650 2750 4250 2750
-Connection ~ 4250 2750
-Wire Wire Line
- 4250 2750 4250 2850
-Text Label 3550 2750 0 50 ~ 0
-Vmeas_A
-Text Label 4650 2750 2 50 ~ 0
-Vmeas_B
-Text Label 4200 4450 2 50 ~ 0
-Vmeas_B
-Text Label 4200 4350 2 50 ~ 0
-Vmeas_A
-Wire Wire Line
- 4200 4350 3800 4350
-Wire Wire Line
- 3800 4450 4200 4450
-$Comp
-L Device:R_Small R10
-U 1 1 5C1F9EE6
-P 5350 5050
-F 0 "R10" H 5409 5096 50 0000 L CNN
-F 1 "620" H 5409 5005 50 0000 L CNN
-F 2 "Resistors_SMD:R_2512_HandSoldering" H 5350 5050 50 0001 C CNN
-F 3 "~" H 5350 5050 50 0001 C CNN
- 1 5350 5050
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 5250 3350 5700 3350
-Connection ~ 5250 3350
-Connection ~ 5700 3350
-$Comp
-L power:+3.3V #PWR0101
-U 1 1 5C2174D4
-P 8750 1650
-F 0 "#PWR0101" H 8750 1500 50 0001 C CNN
-F 1 "+3.3V" V 8765 1778 50 0000 L CNN
-F 2 "" H 8750 1650 50 0001 C CNN
-F 3 "" H 8750 1650 50 0001 C CNN
- 1 8750 1650
- 0 1 1 0
-$EndComp
-Wire Wire Line
- 8750 1650 8400 1650
-Connection ~ 8400 1650
-$Comp
-L power:GND #PWR0102
-U 1 1 5C21CDD5
-P 8750 3350
-F 0 "#PWR0102" H 8750 3100 50 0001 C CNN
-F 1 "GND" V 8755 3222 50 0000 R CNN
-F 2 "" H 8750 3350 50 0001 C CNN
-F 3 "" H 8750 3350 50 0001 C CNN
- 1 8750 3350
- 0 -1 -1 0
-$EndComp
-Wire Wire Line
- 8750 3350 8400 3350
-Connection ~ 8400 3350
-$Comp
-L power:+12V #PWR0103
-U 1 1 5C2201CD
-P 6650 1200
-F 0 "#PWR0103" H 6650 1050 50 0001 C CNN
-F 1 "+12V" V 6665 1328 50 0000 L CNN
-F 2 "" H 6650 1200 50 0001 C CNN
-F 3 "" H 6650 1200 50 0001 C CNN
- 1 6650 1200
- 0 1 1 0
-$EndComp
-Wire Wire Line
- 6650 1200 6500 1200
-Wire Wire Line
- 6500 1200 6500 1650
-$Comp
-L power:+3.3V #PWR0104
-U 1 1 5C223C57
-P 3400 3950
-F 0 "#PWR0104" H 3400 3800 50 0001 C CNN
-F 1 "+3.3V" H 3415 4123 50 0000 C CNN
-F 2 "" H 3400 3950 50 0001 C CNN
-F 3 "" H 3400 3950 50 0001 C CNN
- 1 3400 3950
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 3400 3950 3400 4050
-Connection ~ 3400 4050
-$Comp
-L power:GND #PWR0105
-U 1 1 5C22656D
-P 3300 5750
-F 0 "#PWR0105" H 3300 5500 50 0001 C CNN
-F 1 "GND" H 3305 5577 50 0000 C CNN
-F 2 "" H 3300 5750 50 0001 C CNN
-F 3 "" H 3300 5750 50 0001 C CNN
- 1 3300 5750
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0106
-U 1 1 5C2270D7
-P 5350 5900
-F 0 "#PWR0106" H 5350 5650 50 0001 C CNN
-F 1 "GND" H 5355 5727 50 0000 C CNN
-F 2 "" H 5350 5900 50 0001 C CNN
-F 3 "" H 5350 5900 50 0001 C CNN
- 1 5350 5900
- 1 0 0 -1
-$EndComp
-$Comp
-L power:+12V #PWR0107
-U 1 1 5C227D6B
-P 5350 4850
-F 0 "#PWR0107" H 5350 4700 50 0001 C CNN
-F 1 "+12V" H 5365 5023 50 0000 C CNN
-F 2 "" H 5350 4850 50 0001 C CNN
-F 3 "" H 5350 4850 50 0001 C CNN
- 1 5350 4850
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 5350 5650 5350 5850
-Wire Wire Line
- 5350 5150 5350 5250
-Wire Wire Line
- 5350 4850 5350 4950
-$Comp
-L Device:R_Small R11
-U 1 1 5C234E1F
-P 4750 5450
-F 0 "R11" V 4554 5450 50 0000 C CNN
-F 1 "0" V 4645 5450 50 0000 C CNN
-F 2 "Resistors_SMD:R_0603_HandSoldering" H 4750 5450 50 0001 C CNN
-F 3 "~" H 4750 5450 50 0001 C CNN
- 1 4750 5450
- 0 1 1 0
-$EndComp
-$Comp
-L Device:C_Small C12
-U 1 1 5C23632F
-P 4950 5650
-F 0 "C12" H 5042 5696 50 0000 L CNN
-F 1 "0" H 5042 5605 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0805" H 4950 5650 50 0001 C CNN
-F 3 "~" H 4950 5650 50 0001 C CNN
- 1 4950 5650
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 5350 5850 4950 5850
-Wire Wire Line
- 4950 5850 4950 5750
-Connection ~ 5350 5850
-Wire Wire Line
- 5350 5850 5350 5900
-Wire Wire Line
- 4950 5550 4950 5450
-Wire Wire Line
- 4950 5450 4850 5450
-Wire Wire Line
- 4950 5450 5050 5450
-Connection ~ 4950 5450
-Wire Wire Line
- 4400 5450 4650 5450
-Text Label 4400 5450 0 50 ~ 0
-LOAD
-$Comp
-L power:GND #PWR0108
-U 1 1 5C24FD42
-P 6950 5900
-F 0 "#PWR0108" H 6950 5650 50 0001 C CNN
-F 1 "GND" H 6955 5727 50 0000 C CNN
-F 2 "" H 6950 5900 50 0001 C CNN
-F 3 "" H 6950 5900 50 0001 C CNN
- 1 6950 5900
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 6950 5650 6950 5850
-Wire Wire Line
- 6950 5150 6950 5250
-$Comp
-L Device:R_Small R12
-U 1 1 5C24FD4B
-P 6350 5450
-F 0 "R12" V 6154 5450 50 0000 C CNN
-F 1 "0" V 6245 5450 50 0000 C CNN
-F 2 "Resistors_SMD:R_0603_HandSoldering" H 6350 5450 50 0001 C CNN
-F 3 "~" H 6350 5450 50 0001 C CNN
- 1 6350 5450
- 0 1 1 0
-$EndComp
-$Comp
-L Device:C_Small C13
-U 1 1 5C24FD51
-P 6550 5650
-F 0 "C13" H 6642 5696 50 0000 L CNN
-F 1 "0" H 6642 5605 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0805" H 6550 5650 50 0001 C CNN
-F 3 "~" H 6550 5650 50 0001 C CNN
- 1 6550 5650
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 6950 5850 6550 5850
-Wire Wire Line
- 6550 5850 6550 5750
-Connection ~ 6950 5850
-Wire Wire Line
- 6950 5850 6950 5900
-Wire Wire Line
- 6550 5550 6550 5450
-Wire Wire Line
- 6550 5450 6450 5450
-Wire Wire Line
- 6550 5450 6650 5450
-Connection ~ 6550 5450
-Wire Wire Line
- 6050 5450 6250 5450
-Text Label 6050 5450 0 50 ~ 0
-CH1
-Text Label 7150 5150 2 50 ~ 0
-Q1
-Wire Wire Line
- 7150 5150 6950 5150
-$Comp
-L power:GND #PWR0109
-U 1 1 5C265A60
-P 6950 4800
-F 0 "#PWR0109" H 6950 4550 50 0001 C CNN
-F 1 "GND" H 6955 4627 50 0000 C CNN
-F 2 "" H 6950 4800 50 0001 C CNN
-F 3 "" H 6950 4800 50 0001 C CNN
- 1 6950 4800
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 6950 4550 6950 4750
-Wire Wire Line
- 6950 4050 6950 4150
-$Comp
-L Device:R_Small R6
-U 1 1 5C265A68
-P 6350 4350
-F 0 "R6" V 6154 4350 50 0000 C CNN
-F 1 "0" V 6245 4350 50 0000 C CNN
-F 2 "Resistors_SMD:R_0603_HandSoldering" H 6350 4350 50 0001 C CNN
-F 3 "~" H 6350 4350 50 0001 C CNN
- 1 6350 4350
- 0 1 1 0
-$EndComp
-$Comp
-L Device:C_Small C8
-U 1 1 5C265A6E
-P 6550 4550
-F 0 "C8" H 6642 4596 50 0000 L CNN
-F 1 "0" H 6642 4505 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 6550 4550 50 0001 C CNN
-F 3 "~" H 6550 4550 50 0001 C CNN
- 1 6550 4550
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 6950 4750 6550 4750
-Wire Wire Line
- 6550 4750 6550 4650
-Connection ~ 6950 4750
-Wire Wire Line
- 6950 4750 6950 4800
-Wire Wire Line
- 6550 4450 6550 4350
-Wire Wire Line
- 6550 4350 6450 4350
-Wire Wire Line
- 6550 4350 6650 4350
-Connection ~ 6550 4350
-Wire Wire Line
- 6050 4350 6250 4350
-Text Label 6050 4350 0 50 ~ 0
-CH0
-Text Label 7150 4050 2 50 ~ 0
-Q0
-Wire Wire Line
- 7150 4050 6950 4050
-$Comp
-L Transistor_FET:IRLML6402 Q2
-U 1 1 5C26D08A
-P 8700 4600
-F 0 "Q2" H 8905 4554 50 0000 L CNN
-F 1 "AO3401" H 8905 4645 50 0000 L CNN
-F 2 "TO_SOT_Packages_SMD:SOT-23" H 8900 4525 50 0001 L CIN
-F 3 "https://www.infineon.com/dgdl/irlml6402pbf.pdf?fileId=5546d462533600a401535668d5c2263c" H 8700 4600 50 0001 L CNN
- 1 8700 4600
- 1 0 0 1
-$EndComp
-$Comp
-L Device:R_Small R7
-U 1 1 5C270B70
-P 8400 4400
-F 0 "R7" H 8341 4354 50 0000 R CNN
-F 1 "10k" H 8341 4445 50 0000 R CNN
-F 2 "Resistors_SMD:R_0603_HandSoldering" H 8400 4400 50 0001 C CNN
-F 3 "~" H 8400 4400 50 0001 C CNN
- 1 8400 4400
- -1 0 0 1
-$EndComp
-$Comp
-L power:+12V #PWR0110
-U 1 1 5C271E21
-P 8800 4100
-F 0 "#PWR0110" H 8800 3950 50 0001 C CNN
-F 1 "+12V" H 8815 4273 50 0000 C CNN
-F 2 "" H 8800 4100 50 0001 C CNN
-F 3 "" H 8800 4100 50 0001 C CNN
- 1 8800 4100
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 8800 4100 8800 4200
-Wire Wire Line
- 8800 4200 8400 4200
-Wire Wire Line
- 8400 4200 8400 4300
-Wire Wire Line
- 8800 4200 8800 4400
-Connection ~ 8800 4200
-Wire Wire Line
- 8500 4600 8400 4600
-Wire Wire Line
- 8400 4600 8400 4500
-$Comp
-L Device:C_Small C7
-U 1 1 5C27E2DD
-P 8200 4400
-F 0 "C7" H 8000 4450 50 0000 L CNN
-F 1 "0" H 8050 4350 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 8200 4400 50 0001 C CNN
-F 3 "~" H 8200 4400 50 0001 C CNN
- 1 8200 4400
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:D_Zener_Small_ALT D7
-U 1 1 5C280B09
-P 7700 4600
-F 0 "D7" H 7700 4500 50 0000 L CNN
-F 1 "8V2" H 7650 4700 50 0000 L CNN
-F 2 "Diodes_SMD:D_MiniMELF_Handsoldering" V 7700 4600 50 0001 C CNN
-F 3 "~" V 7700 4600 50 0001 C CNN
- 1 7700 4600
- -1 0 0 1
-$EndComp
-Connection ~ 8400 4600
-Wire Wire Line
- 8200 4300 8200 4200
-Wire Wire Line
- 8200 4200 8400 4200
-Connection ~ 8400 4200
-Wire Wire Line
- 8200 4500 8200 4600
-Wire Wire Line
- 8200 4600 8400 4600
-$Comp
-L Device:R_Small R9
-U 1 1 5C2AA0AC
-P 8000 4600
-F 0 "R9" V 7900 4550 50 0000 C CNN
-F 1 "0" V 8100 4600 50 0000 C CNN
-F 2 "Resistors_SMD:R_0603_HandSoldering" H 8000 4600 50 0001 C CNN
-F 3 "~" H 8000 4600 50 0001 C CNN
- 1 8000 4600
- 0 1 1 0
-$EndComp
-Wire Wire Line
- 8800 4800 8800 4900
-Wire Wire Line
- 8800 4900 9050 4900
-Text Label 9050 4900 2 50 ~ 0
-Q2
-Wire Wire Line
- 8200 4600 8100 4600
-Connection ~ 8200 4600
-Wire Wire Line
- 7900 4600 7800 4600
-Wire Wire Line
- 7400 4600 7600 4600
-Text Label 7400 4600 0 50 ~ 0
-CH2
-$Comp
-L Transistor_FET:IRLML6402 Q5
-U 1 1 5C2DA7FA
-P 8700 5700
-F 0 "Q5" H 8905 5654 50 0000 L CNN
-F 1 "AO3401" H 8905 5745 50 0000 L CNN
-F 2 "TO_SOT_Packages_SMD:SOT-23" H 8900 5625 50 0001 L CIN
-F 3 "https://www.infineon.com/dgdl/irlml6402pbf.pdf?fileId=5546d462533600a401535668d5c2263c" H 8700 5700 50 0001 L CNN
- 1 8700 5700
- 1 0 0 1
-$EndComp
-$Comp
-L Device:R_Small R13
-U 1 1 5C2DA800
-P 8400 5500
-F 0 "R13" H 8341 5454 50 0000 R CNN
-F 1 "10k" H 8341 5545 50 0000 R CNN
-F 2 "Resistors_SMD:R_0603_HandSoldering" H 8400 5500 50 0001 C CNN
-F 3 "~" H 8400 5500 50 0001 C CNN
- 1 8400 5500
- -1 0 0 1
-$EndComp
-$Comp
-L power:+12V #PWR0111
-U 1 1 5C2DA806
-P 8800 5200
-F 0 "#PWR0111" H 8800 5050 50 0001 C CNN
-F 1 "+12V" H 8815 5373 50 0000 C CNN
-F 2 "" H 8800 5200 50 0001 C CNN
-F 3 "" H 8800 5200 50 0001 C CNN
- 1 8800 5200
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 8800 5200 8800 5300
-Wire Wire Line
- 8800 5300 8400 5300
-Wire Wire Line
- 8400 5300 8400 5400
-Wire Wire Line
- 8800 5300 8800 5500
-Connection ~ 8800 5300
-Wire Wire Line
- 8500 5700 8400 5700
-Wire Wire Line
- 8400 5700 8400 5600
-$Comp
-L Device:C_Small C11
-U 1 1 5C2DA813
-P 8200 5500
-F 0 "C11" H 8000 5550 50 0000 L CNN
-F 1 "0" H 8050 5450 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0805" H 8200 5500 50 0001 C CNN
-F 3 "~" H 8200 5500 50 0001 C CNN
- 1 8200 5500
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:D_Zener_Small_ALT D8
-U 1 1 5C2DA819
-P 7700 5700
-F 0 "D8" H 7700 5600 50 0000 L CNN
-F 1 "8V2" H 7650 5800 50 0000 L CNN
-F 2 "Diodes_SMD:D_MiniMELF_Handsoldering" V 7700 5700 50 0001 C CNN
-F 3 "~" V 7700 5700 50 0001 C CNN
- 1 7700 5700
- -1 0 0 1
-$EndComp
-Connection ~ 8400 5700
-Wire Wire Line
- 8200 5400 8200 5300
-Wire Wire Line
- 8200 5300 8400 5300
-Connection ~ 8400 5300
-Wire Wire Line
- 8200 5600 8200 5700
-Wire Wire Line
- 8200 5700 8400 5700
-$Comp
-L Device:R_Small R14
-U 1 1 5C2DA825
-P 8000 5700
-F 0 "R14" V 7900 5650 50 0000 C CNN
-F 1 "0" V 8100 5700 50 0000 C CNN
-F 2 "Resistors_SMD:R_0603_HandSoldering" H 8000 5700 50 0001 C CNN
-F 3 "~" H 8000 5700 50 0001 C CNN
- 1 8000 5700
- 0 1 1 0
-$EndComp
-Wire Wire Line
- 8800 5900 8800 6000
-Wire Wire Line
- 8800 6000 9050 6000
-Text Label 9050 6000 2 50 ~ 0
-Q3
-Wire Wire Line
- 8200 5700 8100 5700
-Connection ~ 8200 5700
-Wire Wire Line
- 7900 5700 7800 5700
-Wire Wire Line
- 7400 5700 7600 5700
-Text Label 7400 5700 0 50 ~ 0
-CH3
-Wire Wire Line
- 4200 4550 3800 4550
-Wire Wire Line
- 4200 4650 3800 4650
-Wire Wire Line
- 4200 4750 3800 4750
-Wire Wire Line
- 4200 4950 3800 4950
-Wire Wire Line
- 4200 5050 3800 5050
-Text Label 4200 4550 2 50 ~ 0
-LOAD
-Text Label 4200 4650 2 50 ~ 0
-CH0
-Text Label 4200 5050 2 50 ~ 0
-CH1
-Text Label 4200 4950 2 50 ~ 0
-CH2
-Text Label 4200 4750 2 50 ~ 0
-CH3
-$Comp
-L Device:Crystal_GND24_Small Y1
-U 1 1 5C30EB37
-P 2250 5200
-F 0 "Y1" V 2050 5050 50 0000 L CNN
-F 1 "8MHz" V 2050 5250 50 0000 L CNN
-F 2 "Crystals:Crystal_SMD_3225-4pin_3.2x2.5mm" H 2250 5200 50 0001 C CNN
-F 3 "~" H 2250 5200 50 0001 C CNN
- 1 2250 5200
- 0 1 1 0
-$EndComp
-$Comp
-L Device:C_Small C10
-U 1 1 5C310CD7
-P 2250 5500
-F 0 "C10" H 2342 5546 50 0000 L CNN
-F 1 "12p" H 2342 5455 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 2250 5500 50 0001 C CNN
-F 3 "~" H 2250 5500 50 0001 C CNN
- 1 2250 5500
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:C_Small C9
-U 1 1 5C31388F
-P 1900 5500
-F 0 "C9" H 1700 5600 50 0000 L CNN
-F 1 "12p" H 1700 5400 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 1900 5500 50 0001 C CNN
-F 3 "~" H 1900 5500 50 0001 C CNN
- 1 1900 5500
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0112
-U 1 1 5C31422D
-P 2250 5800
-F 0 "#PWR0112" H 2250 5550 50 0001 C CNN
-F 1 "GND" H 2255 5627 50 0000 C CNN
-F 2 "" H 2250 5800 50 0001 C CNN
-F 3 "" H 2250 5800 50 0001 C CNN
- 1 2250 5800
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 2250 5800 2250 5750
-Wire Wire Line
- 1900 5600 1900 5750
-Wire Wire Line
- 1900 5750 2050 5750
-Connection ~ 2250 5750
-Wire Wire Line
- 2250 5750 2250 5650
-Wire Wire Line
- 2250 5650 2500 5650
-Wire Wire Line
- 2500 5650 2500 5200
-Wire Wire Line
- 2375 5200 2500 5200
-Connection ~ 2250 5650
-Wire Wire Line
- 2250 5650 2250 5600
-Wire Wire Line
- 2050 5200 2050 5750
-Wire Wire Line
- 2050 5200 2125 5200
-Connection ~ 2050 5750
-Wire Wire Line
- 2050 5750 2250 5750
-Wire Wire Line
- 2250 5300 2250 5400
-Wire Wire Line
- 2250 5100 1900 5100
-Wire Wire Line
- 1900 5100 1900 5400
-$Comp
-L Device:R_Small R5
-U 1 1 5C36FD60
-P 2600 4350
-F 0 "R5" V 2500 4250 50 0000 C CNN
-F 1 "0" V 2500 4450 50 0000 C CNN
-F 2 "Resistors_SMD:R_0603_HandSoldering" H 2600 4350 50 0001 C CNN
-F 3 "~" H 2600 4350 50 0001 C CNN
- 1 2600 4350
- 0 1 1 0
-$EndComp
-Wire Wire Line
- 2700 4350 2800 4350
-$Comp
-L power:+3.3V #PWR0113
-U 1 1 5C3785CA
-P 2400 4350
-F 0 "#PWR0113" H 2400 4200 50 0001 C CNN
-F 1 "+3.3V" V 2415 4478 50 0000 L CNN
-F 2 "" H 2400 4350 50 0001 C CNN
-F 3 "" H 2400 4350 50 0001 C CNN
- 1 2400 4350
- 0 -1 -1 0
-$EndComp
-Wire Wire Line
- 2400 4350 2500 4350
-$Comp
-L power:GND #PWR0114
-U 1 1 5C38237A
-P 2400 4550
-F 0 "#PWR0114" H 2400 4300 50 0001 C CNN
-F 1 "GND" V 2405 4422 50 0000 R CNN
-F 2 "" H 2400 4550 50 0001 C CNN
-F 3 "" H 2400 4550 50 0001 C CNN
- 1 2400 4550
- 0 1 1 0
-$EndComp
-$Comp
-L Device:R_Small R8
-U 1 1 5C382D39
-P 2600 4550
-F 0 "R8" V 2500 4450 50 0000 C CNN
-F 1 "0" V 2500 4650 50 0000 C CNN
-F 2 "Resistors_SMD:R_0603_HandSoldering" H 2600 4550 50 0001 C CNN
-F 3 "~" H 2600 4550 50 0001 C CNN
- 1 2600 4550
- 0 1 1 0
-$EndComp
-Wire Wire Line
- 2400 4550 2500 4550
-Wire Wire Line
- 2700 4550 2800 4550
-Wire Wire Line
- 4200 5350 3800 5350
-Wire Wire Line
- 4200 5450 3800 5450
-Text Label 4200 5350 2 50 ~ 0
-SWDIO
-Text Label 4200 5450 2 50 ~ 0
-SWCLK
-$Comp
-L Connector_Generic:Conn_01x04 J5
-U 1 1 5C3B6CB2
-P 4700 4100
-F 0 "J5" H 4620 4417 50 0000 C CNN
-F 1 "SWD" H 4620 4326 50 0000 C CNN
-F 2 "Pin_Headers:Pin_Header_Straight_1x04_Pitch2.54mm" H 4700 4100 50 0001 C CNN
-F 3 "~" H 4700 4100 50 0001 C CNN
- 1 4700 4100
- -1 0 0 -1
-$EndComp
-$Comp
-L power:+3.3V #PWR0115
-U 1 1 5C3BBC57
-P 5250 4000
-F 0 "#PWR0115" H 5250 3850 50 0001 C CNN
-F 1 "+3.3V" V 5265 4128 50 0000 L CNN
-F 2 "" H 5250 4000 50 0001 C CNN
-F 3 "" H 5250 4000 50 0001 C CNN
- 1 5250 4000
- 0 1 1 0
-$EndComp
-$Comp
-L power:GND #PWR0116
-U 1 1 5C3D62AF
-P 5250 4200
-F 0 "#PWR0116" H 5250 3950 50 0001 C CNN
-F 1 "GND" V 5255 4072 50 0000 R CNN
-F 2 "" H 5250 4200 50 0001 C CNN
-F 3 "" H 5250 4200 50 0001 C CNN
- 1 5250 4200
- 0 -1 -1 0
-$EndComp
-Wire Wire Line
- 5250 4200 4900 4200
-Wire Wire Line
- 4900 4000 5250 4000
-Text Label 5200 4300 2 50 ~ 0
-SWDIO
-Text Label 5200 4100 2 50 ~ 0
-SWCLK
-Wire Wire Line
- 5200 4100 4900 4100
-Wire Wire Line
- 4900 4300 5200 4300
-Wire Wire Line
- 2950 2100 2700 2100
-Wire Wire Line
- 2950 1700 2700 1700
-Wire Wire Line
- 2950 2500 2700 2500
-Wire Wire Line
- 2950 2900 2700 2900
-Text Label 2950 2100 2 50 ~ 0
-Q0
-Text Label 2950 2900 2 50 ~ 0
-Q1
-Text Label 2950 1700 2 50 ~ 0
-Q2
-Text Label 2950 2500 2 50 ~ 0
-Q3
-Text Notes 6550 3850 0 50 ~ 0
-Pull drivers
-Text Notes 8200 3850 0 50 ~ 0
-Push drivers
-Text Notes 4550 5050 0 50 ~ 0
-Comms load
-Text Notes 4600 3000 0 50 ~ 0
-Opt
-Text Notes 3500 3000 0 50 ~ 0
-Opt
-Text Notes 6350 4600 0 50 ~ 0
-Opt
-Text Notes 6450 4250 0 50 ~ 0
-Opt
-Text Notes 6450 5350 0 50 ~ 0
-Opt
-Text Notes 6350 5700 0 50 ~ 0
-Opt
-Text Notes 8000 5400 0 50 ~ 0
-Opt
-Text Notes 8000 4300 0 50 ~ 0
-Opt
-Text Notes 7950 4800 0 50 ~ 0
-Opt
-Text Notes 7950 5900 0 50 ~ 0
-Opt
-Text Notes 2550 4200 0 50 ~ 0
-Opt
-Text Notes 2550 4700 0 50 ~ 0
-Opt
-$Comp
-L Connector:TestPoint TP1
-U 1 1 5C453DE6
-P 4100 4850
-F 0 "TP1" V 4100 5038 50 0000 L CNN
-F 1 "TestPoint" H 4158 4879 50 0001 L CNN
-F 2 "Measurement_Points:Measurement_Point_Square-SMD-Pad_Small" H 4300 4850 50 0001 C CNN
-F 3 "~" H 4300 4850 50 0001 C CNN
- 1 4100 4850
- 0 1 1 0
-$EndComp
-$Comp
-L Connector:TestPoint TP2
-U 1 1 5C45EF40
-P 4100 5150
-F 0 "TP2" V 4100 5338 50 0000 L CNN
-F 1 "TestPoint" H 4158 5179 50 0001 L CNN
-F 2 "Measurement_Points:Measurement_Point_Square-SMD-Pad_Small" H 4300 5150 50 0001 C CNN
-F 3 "~" H 4300 5150 50 0001 C CNN
- 1 4100 5150
- 0 1 1 0
-$EndComp
-$Comp
-L Connector:TestPoint TP3
-U 1 1 5C45F33E
-P 4100 5250
-F 0 "TP3" V 4100 5438 50 0000 L CNN
-F 1 "TestPoint" H 4158 5279 50 0001 L CNN
-F 2 "Measurement_Points:Measurement_Point_Square-SMD-Pad_Small" H 4300 5250 50 0001 C CNN
-F 3 "~" H 4300 5250 50 0001 C CNN
- 1 4100 5250
- 0 1 1 0
-$EndComp
-$Comp
-L Connector:TestPoint TP4
-U 1 1 5C45FC76
-P 2750 5450
-F 0 "TP4" V 2650 5550 50 0000 C CNN
-F 1 "TestPoint" H 2808 5479 50 0001 L CNN
-F 2 "Measurement_Points:Measurement_Point_Square-SMD-Pad_Small" H 2950 5450 50 0001 C CNN
-F 3 "~" H 2950 5450 50 0001 C CNN
- 1 2750 5450
- 0 -1 -1 0
-$EndComp
-Wire Wire Line
- 2750 5450 2800 5450
-Wire Wire Line
- 4100 5250 3800 5250
-Wire Wire Line
- 4100 5150 3800 5150
-Wire Wire Line
- 3800 4850 4100 4850
-Wire Wire Line
- 2250 5300 2600 5300
-Connection ~ 2250 5300
-Connection ~ 2250 5100
-Wire Wire Line
- 2800 5250 2750 5250
-Wire Wire Line
- 2750 5250 2750 5100
-Wire Wire Line
- 2250 5100 2750 5100
-Wire Wire Line
- 2600 5150 2800 5150
-Wire Wire Line
- 2600 5150 2600 5300
-$Comp
-L Transistor_FET:BSS83P Q1
-U 1 1 5C577582
-P 6850 4350
-F 0 "Q1" H 7055 4396 50 0000 L CNN
-F 1 "AO3400" H 7055 4305 50 0000 L CNN
-F 2 "Package_TO_SOT_SMD:SOT-23" H 7050 4275 50 0001 L CIN
-F 3 "http://www.farnell.com/datasheets/1835997.pdf" H 6850 4350 50 0001 L CNN
- 1 6850 4350
- 1 0 0 -1
-$EndComp
-$Comp
-L Transistor_FET:BSS83P Q3
-U 1 1 5C57CF20
-P 5250 5450
-F 0 "Q3" H 5455 5496 50 0000 L CNN
-F 1 "AO3400" H 5455 5405 50 0000 L CNN
-F 2 "Package_TO_SOT_SMD:SOT-23" H 5450 5375 50 0001 L CIN
-F 3 "http://www.farnell.com/datasheets/1835997.pdf" H 5250 5450 50 0001 L CNN
- 1 5250 5450
- 1 0 0 -1
-$EndComp
-$Comp
-L Transistor_FET:BSS83P Q4
-U 1 1 5C57D930
-P 6850 5450
-F 0 "Q4" H 7055 5496 50 0000 L CNN
-F 1 "AO3400" H 7055 5405 50 0000 L CNN
-F 2 "Package_TO_SOT_SMD:SOT-23" H 7050 5375 50 0001 L CIN
-F 3 "http://www.farnell.com/datasheets/1835997.pdf" H 6850 5450 50 0001 L CNN
- 1 6850 5450
- 1 0 0 -1
-$EndComp
-$EndSCHEMATC
+EESchema Schematic File Version 4
+EELAYER 30 0
+EELAYER END
+$Descr A3 16535 11693
+encoding utf-8
+Sheet 1 1
+Title ""
+Date ""
+Rev ""
+Comp ""
+Comment1 ""
+Comment2 ""
+Comment3 ""
+Comment4 ""
+$EndDescr
+$Comp
+L center-rescue:STM32F030F4Px-MCU_ST_STM32F0 U3
+U 1 1 5C1966AA
+P 2950 8050
+F 0 "U3" H 3250 8700 50 0000 C CNN
+F 1 "STM32F030F4P6" H 3450 8800 50 0000 C CNN
+F 2 "Housings_SSOP:TSSOP-20_4.4x6.5mm_Pitch0.65mm" H 2550 7350 50 0001 R CNN
+F 3 "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/DM00088500.pdf" H 2950 8050 50 0001 C CNN
+ 1 2950 8050
+ 1 0 0 -1
+$EndComp
+$Comp
+L center-rescue:Conn_01x02-Connector_Generic J1
+U 1 1 5C196961
+P 2200 3400
+F 0 "J1" H 2350 3350 50 0000 C CNN
+F 1 "top left" H 2120 3166 50 0000 C CNN
+F 2 "center:led_tape_3528_2835" H 2200 3400 50 0001 C CNN
+F 3 "~" H 2200 3400 50 0001 C CNN
+ 1 2200 3400
+ -1 0 0 -1
+$EndComp
+$Comp
+L center-rescue:Conn_01x02-Connector_Generic J2
+U 1 1 5C196B9D
+P 2200 3800
+F 0 "J2" H 2350 3750 50 0000 C CNN
+F 1 "top right" H 2120 3566 50 0000 C CNN
+F 2 "center:led_tape_3528_2835" H 2200 3800 50 0001 C CNN
+F 3 "~" H 2200 3800 50 0001 C CNN
+ 1 2200 3800
+ -1 0 0 -1
+$EndComp
+$Comp
+L center-rescue:Conn_01x02-Connector_Generic J3
+U 1 1 5C196C4D
+P 2200 4200
+F 0 "J3" H 2350 4150 50 0000 C CNN
+F 1 "bottom right" H 2120 3966 50 0000 C CNN
+F 2 "center:led_tape_3528_2835" H 2200 4200 50 0001 C CNN
+F 3 "~" H 2200 4200 50 0001 C CNN
+ 1 2200 4200
+ -1 0 0 -1
+$EndComp
+$Comp
+L center-rescue:Conn_01x02-Connector_Generic J4
+U 1 1 5C196D8E
+P 2200 4600
+F 0 "J4" H 2350 4550 50 0000 C CNN
+F 1 "bottom left" H 2120 4366 50 0000 C CNN
+F 2 "center:led_tape_3528_2835" H 2200 4600 50 0001 C CNN
+F 3 "~" H 2200 4600 50 0001 C CNN
+ 1 2200 4600
+ -1 0 0 -1
+$EndComp
+$Comp
+L center-rescue:D_Schottky_ALT-Device D2
+U 1 1 5C199CCC
+P 4950 3600
+F 0 "D2" V 4904 3521 50 0000 R CNN
+F 1 "SS510" V 4995 3521 50 0000 R CNN
+F 2 "Diode_SMD:D_SMA" H 4950 3600 50 0001 C CNN
+F 3 "~" H 4950 3600 50 0001 C CNN
+F 4 "C65010" V 4950 3600 50 0001 C CNN "LCSC"
+ 1 4950 3600
+ 0 -1 1 0
+$EndComp
+Wire Wire Line
+ 4950 3450 4950 3350
+Connection ~ 4950 3350
+Wire Wire Line
+ 4950 3350 4550 3350
+Wire Wire Line
+ 4550 3750 4550 3800
+Wire Wire Line
+ 2400 3500 2850 3500
+Wire Wire Line
+ 2850 3500 2850 3800
+Wire Wire Line
+ 2850 4300 2400 4300
+Wire Wire Line
+ 2400 3900 2950 3900
+Wire Wire Line
+ 2950 3900 2950 4700
+Wire Wire Line
+ 2950 4700 2400 4700
+Wire Wire Line
+ 4950 3750 4950 3900
+Connection ~ 2950 3900
+Connection ~ 4950 3900
+Connection ~ 4550 3800
+Wire Wire Line
+ 4550 3800 4550 3950
+Connection ~ 2850 3800
+Wire Wire Line
+ 2850 3800 2850 4300
+Wire Wire Line
+ 4550 4250 4550 5050
+Wire Wire Line
+ 4550 5050 4950 5050
+Wire Wire Line
+ 2950 7350 2950 7250
+Wire Wire Line
+ 3050 7350 3050 7250
+Wire Wire Line
+ 3050 7250 2950 7250
+Wire Wire Line
+ 2950 8950 2950 8850
+Text Label 3850 7650 2 50 ~ 0
+Vmeas_B
+Text Label 3850 7550 2 50 ~ 0
+Vmeas_A
+Wire Wire Line
+ 3850 7550 3450 7550
+Wire Wire Line
+ 3450 7650 3850 7650
+$Comp
+L center-rescue:R_Small-Device R9
+U 1 1 5C1F9EE6
+P 5950 7500
+F 0 "R9" H 6009 7546 50 0000 L CNN
+F 1 "180" H 6009 7455 50 0000 L CNN
+F 2 "Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder" H 5950 7500 50 0001 C CNN
+F 3 "~" H 5950 7500 50 0001 C CNN
+ 1 5950 7500
+ 1 0 0 -1
+$EndComp
+Connection ~ 4950 5050
+$Comp
+L center-rescue:+3.3V-power #PWR05
+U 1 1 5C223C57
+P 3050 7150
+F 0 "#PWR05" H 3050 7000 50 0001 C CNN
+F 1 "+3.3V" H 3065 7323 50 0000 C CNN
+F 2 "" H 3050 7150 50 0001 C CNN
+F 3 "" H 3050 7150 50 0001 C CNN
+ 1 3050 7150
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 3050 7150 3050 7250
+Connection ~ 3050 7250
+$Comp
+L center-rescue:GND-power #PWR013
+U 1 1 5C22656D
+P 2950 8950
+F 0 "#PWR013" H 2950 8700 50 0001 C CNN
+F 1 "GND" H 2955 8777 50 0000 C CNN
+F 2 "" H 2950 8950 50 0001 C CNN
+F 3 "" H 2950 8950 50 0001 C CNN
+ 1 2950 8950
+ 1 0 0 -1
+$EndComp
+$Comp
+L center-rescue:GND-power #PWR015
+U 1 1 5C2270D7
+P 5950 9100
+F 0 "#PWR015" H 5950 8850 50 0001 C CNN
+F 1 "GND" H 5955 8927 50 0000 C CNN
+F 2 "" H 5950 9100 50 0001 C CNN
+F 3 "" H 5950 9100 50 0001 C CNN
+ 1 5950 9100
+ 1 0 0 -1
+$EndComp
+$Comp
+L center-rescue:+12V-power #PWR09
+U 1 1 5C227D6B
+P 5950 7300
+F 0 "#PWR09" H 5950 7150 50 0001 C CNN
+F 1 "+12V" H 5965 7473 50 0000 C CNN
+F 2 "" H 5950 7300 50 0001 C CNN
+F 3 "" H 5950 7300 50 0001 C CNN
+ 1 5950 7300
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 5950 8350 5950 8450
+Wire Wire Line
+ 5400 8650 5650 8650
+Text Label 5400 8650 0 50 ~ 0
+LOAD
+Wire Wire Line
+ 3850 7750 3450 7750
+Wire Wire Line
+ 3850 7850 3450 7850
+Wire Wire Line
+ 3850 7950 3450 7950
+Wire Wire Line
+ 3850 8150 3450 8150
+Wire Wire Line
+ 3850 8250 3450 8250
+Text Label 3850 7750 2 50 ~ 0
+LOAD
+Text Label 3850 7850 2 50 ~ 0
+CH0
+Text Label 3850 8250 2 50 ~ 0
+CH1
+Text Label 3850 8150 2 50 ~ 0
+CH2
+Text Label 3850 7950 2 50 ~ 0
+CH3
+$Comp
+L center-rescue:Crystal_GND24_Small-Device Y1
+U 1 1 5C30EB37
+P 1900 8400
+F 0 "Y1" V 1700 8250 50 0000 L CNN
+F 1 "8MHz" V 1700 8450 50 0000 L CNN
+F 2 "Crystals:Crystal_SMD_3225-4pin_3.2x2.5mm" H 1900 8400 50 0001 C CNN
+F 3 "~" H 1900 8400 50 0001 C CNN
+ 1 1900 8400
+ 0 1 1 0
+$EndComp
+$Comp
+L center-rescue:C_Small-Device C12
+U 1 1 5C310CD7
+P 1900 8700
+F 0 "C12" H 1992 8746 50 0000 L CNN
+F 1 "12p" H 1992 8655 50 0000 L CNN
+F 2 "Capacitors_SMD:C_0603_HandSoldering" H 1900 8700 50 0001 C CNN
+F 3 "~" H 1900 8700 50 0001 C CNN
+ 1 1900 8700
+ 1 0 0 -1
+$EndComp
+$Comp
+L center-rescue:C_Small-Device C11
+U 1 1 5C31388F
+P 1550 8700
+F 0 "C11" H 1350 8800 50 0000 L CNN
+F 1 "12p" H 1350 8600 50 0000 L CNN
+F 2 "Capacitors_SMD:C_0603_HandSoldering" H 1550 8700 50 0001 C CNN
+F 3 "~" H 1550 8700 50 0001 C CNN
+ 1 1550 8700
+ 1 0 0 -1
+$EndComp
+$Comp
+L center-rescue:GND-power #PWR014
+U 1 1 5C31422D
+P 1900 9000
+F 0 "#PWR014" H 1900 8750 50 0001 C CNN
+F 1 "GND" H 1905 8827 50 0000 C CNN
+F 2 "" H 1900 9000 50 0001 C CNN
+F 3 "" H 1900 9000 50 0001 C CNN
+ 1 1900 9000
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 1900 9000 1900 8950
+Wire Wire Line
+ 1550 8800 1550 8950
+Wire Wire Line
+ 1550 8950 1700 8950
+Connection ~ 1900 8950
+Wire Wire Line
+ 1900 8950 1900 8850
+Wire Wire Line
+ 1900 8850 2150 8850
+Wire Wire Line
+ 2150 8850 2150 8400
+Wire Wire Line
+ 2025 8400 2150 8400
+Connection ~ 1900 8850
+Wire Wire Line
+ 1900 8850 1900 8800
+Wire Wire Line
+ 1700 8400 1700 8950
+Wire Wire Line
+ 1700 8400 1775 8400
+Connection ~ 1700 8950
+Wire Wire Line
+ 1700 8950 1900 8950
+Wire Wire Line
+ 1900 8500 1900 8600
+Wire Wire Line
+ 1900 8300 1550 8300
+Wire Wire Line
+ 1550 8300 1550 8600
+$Comp
+L center-rescue:R_Small-Device R7
+U 1 1 5C36FD60
+P 2250 7550
+F 0 "R7" V 2150 7450 50 0000 C CNN
+F 1 "0" V 2150 7650 50 0000 C CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 2250 7550 50 0001 C CNN
+F 3 "~" H 2250 7550 50 0001 C CNN
+ 1 2250 7550
+ 0 1 1 0
+$EndComp
+Wire Wire Line
+ 2350 7550 2450 7550
+$Comp
+L center-rescue:+3.3V-power #PWR08
+U 1 1 5C3785CA
+P 2050 7550
+F 0 "#PWR08" H 2050 7400 50 0001 C CNN
+F 1 "+3.3V" V 2065 7678 50 0000 L CNN
+F 2 "" H 2050 7550 50 0001 C CNN
+F 3 "" H 2050 7550 50 0001 C CNN
+ 1 2050 7550
+ 0 -1 -1 0
+$EndComp
+Wire Wire Line
+ 2050 7550 2150 7550
+$Comp
+L center-rescue:GND-power #PWR010
+U 1 1 5C38237A
+P 2050 7750
+F 0 "#PWR010" H 2050 7500 50 0001 C CNN
+F 1 "GND" V 2055 7622 50 0000 R CNN
+F 2 "" H 2050 7750 50 0001 C CNN
+F 3 "" H 2050 7750 50 0001 C CNN
+ 1 2050 7750
+ 0 1 1 0
+$EndComp
+$Comp
+L center-rescue:R_Small-Device R8
+U 1 1 5C382D39
+P 2250 7750
+F 0 "R8" V 2150 7650 50 0000 C CNN
+F 1 "0" V 2150 7850 50 0000 C CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 2250 7750 50 0001 C CNN
+F 3 "~" H 2250 7750 50 0001 C CNN
+ 1 2250 7750
+ 0 1 1 0
+$EndComp
+Wire Wire Line
+ 2050 7750 2150 7750
+Wire Wire Line
+ 2350 7750 2450 7750
+Wire Wire Line
+ 3850 8550 3450 8550
+Wire Wire Line
+ 3850 8650 3450 8650
+Text Label 3850 8550 2 50 ~ 0
+SWDIO
+Text Label 3850 8650 2 50 ~ 0
+SWCLK
+$Comp
+L center-rescue:Conn_01x04-Connector_Generic J5
+U 1 1 5C3B6CB2
+P 4350 7300
+F 0 "J5" H 4270 7617 50 0000 C CNN
+F 1 "SWD" H 4270 7526 50 0000 C CNN
+F 2 "Pin_Headers:Pin_Header_Straight_1x04_Pitch2.54mm" H 4350 7300 50 0001 C CNN
+F 3 "~" H 4350 7300 50 0001 C CNN
+ 1 4350 7300
+ -1 0 0 -1
+$EndComp
+$Comp
+L center-rescue:+3.3V-power #PWR06
+U 1 1 5C3BBC57
+P 4900 7200
+F 0 "#PWR06" H 4900 7050 50 0001 C CNN
+F 1 "+3.3V" V 4915 7328 50 0000 L CNN
+F 2 "" H 4900 7200 50 0001 C CNN
+F 3 "" H 4900 7200 50 0001 C CNN
+ 1 4900 7200
+ 0 1 1 0
+$EndComp
+$Comp
+L center-rescue:GND-power #PWR07
+U 1 1 5C3D62AF
+P 4900 7400
+F 0 "#PWR07" H 4900 7150 50 0001 C CNN
+F 1 "GND" V 4905 7272 50 0000 R CNN
+F 2 "" H 4900 7400 50 0001 C CNN
+F 3 "" H 4900 7400 50 0001 C CNN
+ 1 4900 7400
+ 0 -1 -1 0
+$EndComp
+Wire Wire Line
+ 4900 7400 4550 7400
+Wire Wire Line
+ 4550 7200 4900 7200
+Text Label 4850 7500 2 50 ~ 0
+SWDIO
+Text Label 4850 7300 2 50 ~ 0
+SWCLK
+Wire Wire Line
+ 4850 7300 4550 7300
+Wire Wire Line
+ 4550 7500 4850 7500
+Wire Wire Line
+ 2650 3800 2400 3800
+Wire Wire Line
+ 2650 3400 2400 3400
+Wire Wire Line
+ 2650 4200 2400 4200
+Wire Wire Line
+ 2650 4600 2400 4600
+Text Label 2650 3800 2 50 ~ 0
+Q0
+Text Label 2650 4600 2 50 ~ 0
+Q1
+Text Label 2650 3400 2 50 ~ 0
+Q2
+Text Label 2650 4200 2 50 ~ 0
+Q3
+$Comp
+L center-rescue:TestPoint-Connector TP1
+U 1 1 5C453DE6
+P 3750 8050
+F 0 "TP1" V 3750 8238 50 0000 L CNN
+F 1 "PA5" H 3808 8079 50 0001 L CNN
+F 2 "Measurement_Points:Measurement_Point_Square-SMD-Pad_Small" H 3950 8050 50 0001 C CNN
+F 3 "~" H 3950 8050 50 0001 C CNN
+ 1 3750 8050
+ 0 1 1 0
+$EndComp
+$Comp
+L center-rescue:TestPoint-Connector TP2
+U 1 1 5C45EF40
+P 3750 8350
+F 0 "TP2" V 3750 8538 50 0000 L CNN
+F 1 "PA9" H 3808 8379 50 0001 L CNN
+F 2 "Measurement_Points:Measurement_Point_Square-SMD-Pad_Small" H 3950 8350 50 0001 C CNN
+F 3 "~" H 3950 8350 50 0001 C CNN
+ 1 3750 8350
+ 0 1 1 0
+$EndComp
+$Comp
+L center-rescue:TestPoint-Connector TP3
+U 1 1 5C45F33E
+P 3750 8450
+F 0 "TP3" V 3750 8638 50 0000 L CNN
+F 1 "PA10" H 3808 8479 50 0001 L CNN
+F 2 "Measurement_Points:Measurement_Point_Square-SMD-Pad_Small" H 3950 8450 50 0001 C CNN
+F 3 "~" H 3950 8450 50 0001 C CNN
+ 1 3750 8450
+ 0 1 1 0
+$EndComp
+$Comp
+L center-rescue:TestPoint-Connector TP4
+U 1 1 5C45FC76
+P 2400 8650
+F 0 "TP4" V 2300 8750 50 0000 C CNN
+F 1 "PA4" H 2458 8679 50 0001 L CNN
+F 2 "Measurement_Points:Measurement_Point_Square-SMD-Pad_Small" H 2600 8650 50 0001 C CNN
+F 3 "~" H 2600 8650 50 0001 C CNN
+ 1 2400 8650
+ 0 -1 -1 0
+$EndComp
+Wire Wire Line
+ 2400 8650 2450 8650
+Wire Wire Line
+ 3750 8450 3450 8450
+Wire Wire Line
+ 3750 8350 3450 8350
+Wire Wire Line
+ 3450 8050 3750 8050
+Wire Wire Line
+ 1900 8500 2250 8500
+Connection ~ 1900 8500
+Connection ~ 1900 8300
+Wire Wire Line
+ 2450 8450 2400 8450
+Wire Wire Line
+ 2400 8450 2400 8300
+Wire Wire Line
+ 1900 8300 2400 8300
+Wire Wire Line
+ 2250 8350 2450 8350
+Wire Wire Line
+ 2250 8350 2250 8500
+$Comp
+L center-rescue:BSS83P-Transistor_FET Q3
+U 1 1 5C57CF20
+P 5850 8650
+F 0 "Q3" H 6055 8696 50 0000 L CNN
+F 1 "AO3400" H 6055 8605 50 0000 L CNN
+F 2 "Package_TO_SOT_SMD:SOT-23" H 6050 8575 50 0001 L CIN
+F 3 "http://www.farnell.com/datasheets/1835997.pdf" H 5850 8650 50 0001 L CNN
+ 1 5850 8650
+ 1 0 0 -1
+$EndComp
+$Comp
+L components:TX4138 U2
+U 1 1 5DE7FF76
+P 7000 4300
+F 0 "U2" H 7000 5065 50 0000 C CNN
+F 1 "TX4138" H 7000 4974 50 0000 C CNN
+F 2 "Package_SO:SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.29x3mm" H 7000 4300 50 0001 C CNN
+F 3 "" H 7000 4300 50 0001 C CNN
+F 4 "C329267" H 7000 4300 50 0001 C CNN "LCSC"
+ 1 7000 4300
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 2950 3900 4950 3900
+$Comp
+L center-rescue:R-Device R4
+U 1 1 5DEB5134
+P 6250 4000
+F 0 "R4" V 6043 4000 50 0000 C CNN
+F 1 "30mR 1/4W" V 6134 4000 50 0000 C CNN
+F 2 "Resistor_SMD:R_1210_3225Metric" V 6180 4000 50 0001 C CNN
+F 3 "~" H 6250 4000 50 0001 C CNN
+F 4 "Ralec" V 6250 4000 50 0001 C CNN "Mfg"
+F 5 "RTT12R033FTP" V 6250 4000 50 0001 C CNN "PN"
+F 6 "C159305" V 6250 4000 50 0001 C CNN "LCSC"
+ 1 6250 4000
+ 0 1 1 0
+$EndComp
+Wire Wire Line
+ 6500 4000 6400 4000
+Wire Wire Line
+ 6100 4000 6000 4000
+Text Notes 6100 4150 0 50 ~ 0
+Ilim=2A
+Wire Wire Line
+ 9800 5050 9800 4000
+$Comp
+L center-rescue:CP_Small-Device C4
+U 1 1 5C1A6A7D
+P 9800 3900
+F 0 "C4" H 9650 4050 50 0000 L CNN
+F 1 "2200uF/25V/2A" V 9850 3000 50 0000 L CNN
+F 2 "Capacitor_THT:CP_Radial_D13.0mm_P5.00mm" H 9800 3900 50 0001 C CNN
+F 3 "~" H 9800 3900 50 0001 C CNN
+F 4 "CapXon" V 9750 3000 50 0000 L CNN "Mfg"
+F 5 "63YXF220MFFCT810X20" V 9950 3000 50 0000 L CNN "PN"
+F 6 "C59339" H 9800 3900 50 0001 C CNN "LCSC"
+ 1 9800 3900
+ 1 0 0 -1
+$EndComp
+$Comp
+L center-rescue:+VSW-power #PWR01
+U 1 1 5DEC7BE3
+P 5300 3350
+F 0 "#PWR01" H 5300 3200 50 0001 C CNN
+F 1 "+VSW" H 5315 3523 50 0000 C CNN
+F 2 "" H 5300 3350 50 0001 C CNN
+F 3 "" H 5300 3350 50 0001 C CNN
+ 1 5300 3350
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 4950 3350 5300 3350
+Connection ~ 9800 5050
+$Comp
+L center-rescue:L-Device L1
+U 1 1 5DED4544
+P 8450 3750
+F 0 "L1" H 8502 3796 50 0000 L CNN
+F 1 "47uH/2A" H 8502 3705 50 0000 L CNN
+F 2 "Inductor_SMD:L_Neosid_SM-NE127_HandSoldering" H 8450 3750 50 0001 C CNN
+F 3 "~" H 8450 3750 50 0001 C CNN
+F 4 "Eaton" H 8450 3750 50 0001 C CNN "Mfg"
+F 5 "DR127-470" H 8450 3750 50 0001 C CNN "PN"
+F 6 "EATON DR127-470" H 8450 3750 50 0001 C CNN "Reichelt"
+ 1 8450 3750
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 8450 3600 8450 3350
+Connection ~ 8450 3350
+Wire Wire Line
+ 8450 3900 8450 4000
+Wire Wire Line
+ 8450 4800 8450 5050
+Connection ~ 8450 5050
+Wire Wire Line
+ 8450 5050 9100 5050
+Wire Wire Line
+ 7000 4900 7000 5050
+Wire Wire Line
+ 7000 5050 8450 5050
+Connection ~ 5300 3350
+Connection ~ 8450 4000
+Wire Wire Line
+ 8450 4000 8450 4500
+$Comp
+L center-rescue:R-Device R1
+U 1 1 5DF04917
+P 8200 3750
+F 0 "R1" H 8270 3841 50 0000 L CNN
+F 1 "1k" H 8270 3750 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 8130 3750 50 0001 C CNN
+F 3 "~" H 8200 3750 50 0001 C CNN
+F 4 "DNP" H 8270 3659 50 0000 L CNN "DNP"
+ 1 8200 3750
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 8200 3900 8200 4000
+Connection ~ 8200 4000
+Wire Wire Line
+ 8200 4000 8450 4000
+Wire Wire Line
+ 8200 3350 8200 3600
+Wire Wire Line
+ 8200 3350 8450 3350
+$Comp
+L center-rescue:GND-power #PWR04
+U 1 1 5C21CDD5
+P 12850 5050
+F 0 "#PWR04" H 12850 4800 50 0001 C CNN
+F 1 "GND" V 12855 4922 50 0000 R CNN
+F 2 "" H 12850 5050 50 0001 C CNN
+F 3 "" H 12850 5050 50 0001 C CNN
+ 1 12850 5050
+ 0 -1 -1 0
+$EndComp
+Wire Wire Line
+ 4950 5050 5400 5050
+$Comp
+L center-rescue:R-Device R3
+U 1 1 5DF1B471
+P 9450 3950
+F 0 "R3" H 9520 3996 50 0000 L CNN
+F 1 "300k" H 9520 3905 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 9380 3950 50 0001 C CNN
+F 3 "~" H 9450 3950 50 0001 C CNN
+ 1 9450 3950
+ 1 0 0 -1
+$EndComp
+$Comp
+L center-rescue:R-Device R6
+U 1 1 5DF1BE4C
+P 9450 4450
+F 0 "R6" H 9520 4496 50 0000 L CNN
+F 1 "20k" H 9520 4405 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 9380 4450 50 0001 C CNN
+F 3 "~" H 9450 4450 50 0001 C CNN
+ 1 9450 4450
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 9450 4100 9450 4200
+Wire Wire Line
+ 9450 4600 9450 5050
+Connection ~ 9450 5050
+Wire Wire Line
+ 9450 5050 9800 5050
+Wire Wire Line
+ 9450 3800 9450 3350
+Wire Wire Line
+ 8450 3350 9450 3350
+Connection ~ 9450 3350
+Wire Wire Line
+ 9450 4200 9100 4200
+Connection ~ 9450 4200
+Wire Wire Line
+ 9450 4200 9450 4300
+$Comp
+L center-rescue:C_Small-Device C5
+U 1 1 5DF24754
+P 9100 4450
+F 0 "C5" H 9192 4496 50 0000 L CNN
+F 1 "12p" H 9192 4405 50 0000 L CNN
+F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 9100 4450 50 0001 C CNN
+F 3 "~" H 9100 4450 50 0001 C CNN
+ 1 9100 4450
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 9100 4350 9100 4200
+Connection ~ 9100 4200
+Wire Wire Line
+ 9100 4200 7500 4200
+Wire Wire Line
+ 9100 4550 9100 5050
+Connection ~ 9100 5050
+Wire Wire Line
+ 9100 5050 9450 5050
+$Comp
+L center-rescue:CP_Small-Device C1
+U 1 1 5DF2DAD7
+P 5400 4100
+F 0 "C1" H 5500 4150 50 0000 L CNN
+F 1 "220u/63V" H 5500 4050 50 0000 L CNN
+F 2 "Capacitor_THT:CP_Radial_D10.0mm_P5.00mm" H 5400 4100 50 0001 C CNN
+F 3 "~" H 5400 4100 50 0001 C CNN
+F 4 "Rubycon" H 5500 3950 50 0000 L CNN "Mfg"
+F 5 "C324050" H 5400 4100 50 0001 C CNN "LCSC"
+F 6 "63YXF220MFFCT810X20" H 5500 3850 50 0000 L CNN "PN"
+ 1 5400 4100
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 5400 4000 5400 3350
+Connection ~ 5400 3350
+Wire Wire Line
+ 5400 3350 5300 3350
+Wire Wire Line
+ 5400 4200 5400 5050
+$Comp
+L center-rescue:C_Small-Device C2
+U 1 1 5DF3D7ED
+P 6000 4550
+F 0 "C2" H 6092 4596 50 0000 L CNN
+F 1 "100n/60V" H 6092 4505 50 0000 L CNN
+F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 6000 4550 50 0001 C CNN
+F 3 "~" H 6000 4550 50 0001 C CNN
+ 1 6000 4550
+ 1 0 0 -1
+$EndComp
+$Comp
+L center-rescue:C_Small-Device C3
+U 1 1 5DF449C0
+P 7950 3900
+F 0 "C3" H 8042 3946 50 0000 L CNN
+F 1 "100n/60V" H 8042 3855 50 0000 L CNN
+F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 7950 3900 50 0001 C CNN
+F 3 "~" H 7950 3900 50 0001 C CNN
+ 1 7950 3900
+ 1 0 0 -1
+$EndComp
+$Comp
+L center-rescue:+12V-power #PWR02
+U 1 1 5C2201CD
+P 12850 3350
+F 0 "#PWR02" H 12850 3200 50 0001 C CNN
+F 1 "+12V" V 12865 3478 50 0000 L CNN
+F 2 "" H 12850 3350 50 0001 C CNN
+F 3 "" H 12850 3350 50 0001 C CNN
+ 1 12850 3350
+ 0 1 1 0
+$EndComp
+Wire Wire Line
+ 9450 3350 9800 3350
+Connection ~ 9800 3350
+Connection ~ 12500 5050
+Wire Wire Line
+ 12850 5050 12500 5050
+Connection ~ 12500 3900
+Wire Wire Line
+ 12850 3900 12500 3900
+$Comp
+L center-rescue:+3.3V-power #PWR03
+U 1 1 5C2174D4
+P 12850 3900
+F 0 "#PWR03" H 12850 3750 50 0001 C CNN
+F 1 "+3.3V" V 12865 4028 50 0000 L CNN
+F 2 "" H 12850 3900 50 0001 C CNN
+F 3 "" H 12850 3900 50 0001 C CNN
+ 1 12850 3900
+ 0 1 1 0
+$EndComp
+Wire Wire Line
+ 10250 5050 9800 5050
+Connection ~ 10250 5050
+Wire Wire Line
+ 10250 4550 10250 5050
+Wire Wire Line
+ 10250 3900 10250 4350
+Wire Wire Line
+ 10600 3900 10250 3900
+Connection ~ 10600 3900
+Wire Wire Line
+ 10600 4350 10600 3900
+Wire Wire Line
+ 10600 5050 10250 5050
+Connection ~ 10600 5050
+Wire Wire Line
+ 10600 5050 10600 4550
+Connection ~ 11700 5050
+Connection ~ 12150 5050
+Wire Wire Line
+ 12150 5050 11700 5050
+Wire Wire Line
+ 12150 5050 12150 4550
+Wire Wire Line
+ 12500 5050 12150 5050
+Wire Wire Line
+ 12500 4550 12500 5050
+Wire Wire Line
+ 12500 3900 12150 3900
+Wire Wire Line
+ 12500 3900 12500 4350
+Wire Wire Line
+ 12150 3900 11700 3900
+Connection ~ 12150 3900
+Wire Wire Line
+ 12150 3900 12150 4350
+$Comp
+L center-rescue:C_Small-Device C10
+U 1 1 5C1B07A6
+P 12500 4450
+F 0 "C10" H 12592 4496 50 0000 L CNN
+F 1 "100n" H 12592 4405 50 0000 L CNN
+F 2 "Capacitors_SMD:C_0603_HandSoldering" H 12500 4450 50 0001 C CNN
+F 3 "~" H 12500 4450 50 0001 C CNN
+ 1 12500 4450
+ 1 0 0 -1
+$EndComp
+$Comp
+L center-rescue:C_Small-Device C9
+U 1 1 5C1AFB91
+P 12150 4450
+F 0 "C9" H 12242 4496 50 0000 L CNN
+F 1 "10u" H 12242 4405 50 0000 L CNN
+F 2 "Capacitors_SMD:C_0603_HandSoldering" H 12150 4450 50 0001 C CNN
+F 3 "~" H 12150 4450 50 0001 C CNN
+ 1 12150 4450
+ 1 0 0 -1
+$EndComp
+$Comp
+L center-rescue:C_Small-Device C7
+U 1 1 5C1AF3BD
+P 10600 4450
+F 0 "C7" H 10692 4496 50 0000 L CNN
+F 1 "100n" H 10692 4405 50 0000 L CNN
+F 2 "Capacitors_SMD:C_0603_HandSoldering" H 10600 4450 50 0001 C CNN
+F 3 "~" H 10600 4450 50 0001 C CNN
+ 1 10600 4450
+ 1 0 0 -1
+$EndComp
+$Comp
+L center-rescue:C_Small-Device C6
+U 1 1 5C1AAFA9
+P 10250 4450
+F 0 "C6" H 10342 4496 50 0000 L CNN
+F 1 "10u" H 10342 4405 50 0000 L CNN
+F 2 "Capacitors_SMD:C_0603_HandSoldering" H 10250 4450 50 0001 C CNN
+F 3 "~" H 10250 4450 50 0001 C CNN
+ 1 10250 4450
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 9800 3800 9800 3350
+Wire Wire Line
+ 11150 5050 10600 5050
+Wire Wire Line
+ 11150 5050 11150 4200
+Connection ~ 11150 5050
+Wire Wire Line
+ 11700 5050 11150 5050
+Wire Wire Line
+ 11700 4550 11700 5050
+Wire Wire Line
+ 11700 3900 11450 3900
+Connection ~ 11700 3900
+Wire Wire Line
+ 11700 3900 11700 4350
+$Comp
+L center-rescue:CP_Small-Device C8
+U 1 1 5C1A7520
+P 11700 4450
+F 0 "C8" H 11788 4496 50 0000 L CNN
+F 1 "47u" H 11788 4405 50 0000 L CNN
+F 2 "Capacitor_THT:CP_Radial_D5.0mm_P2.00mm" H 11700 4450 50 0001 C CNN
+F 3 "~" H 11700 4450 50 0001 C CNN
+F 4 "25YXF47MFFC5X11" H 11700 4450 50 0001 C CNN "PN"
+F 5 "C216203" H 11700 4450 50 0001 C CNN "LCSC"
+F 6 "Rubycon" H 11700 4450 50 0001 C CNN "Mfg"
+ 1 11700 4450
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 10850 3900 10600 3900
+Wire Wire Line
+ 9800 3350 10250 3350
+Wire Wire Line
+ 10250 3350 10250 3900
+Connection ~ 10250 3900
+Wire Wire Line
+ 10250 3350 12850 3350
+Connection ~ 10250 3350
+$Comp
+L center-rescue:LED_ALT-Device D7
+U 1 1 5DFA0B1D
+P 5950 8200
+F 0 "D7" V 6080 8083 50 0000 R CNN
+F 1 "LED_ALT" V 5989 8083 50 0000 R CNN
+F 2 "LED_SMD:LED_PLCC_2835_Handsoldering" H 5950 8200 50 0001 C CNN
+F 3 "~" H 5950 8200 50 0001 C CNN
+F 4 "Everlight" V 5898 8083 50 0000 R CNN "Mfg"
+F 5 "IR67-21C/TR8" V 5807 8083 50 0000 R CNN "PN"
+F 6 "C60109" V 5950 8200 50 0001 C CNN "LCSC"
+ 1 5950 8200
+ 0 -1 -1 0
+$EndComp
+Wire Wire Line
+ 5950 7300 5950 7400
+Wire Wire Line
+ 5950 8850 5950 9100
+Wire Wire Line
+ 4950 5050 4950 4250
+Wire Wire Line
+ 4950 3900 4950 3950
+$Comp
+L center-rescue:D_Schottky_ALT-Device D4
+U 1 1 5E02DC22
+P 4950 4100
+F 0 "D4" V 4904 4021 50 0000 R CNN
+F 1 "SS510" V 4995 4021 50 0000 R CNN
+F 2 "Diode_SMD:D_SMA" H 4950 4100 50 0001 C CNN
+F 3 "~" H 4950 4100 50 0001 C CNN
+F 4 "C65010" V 4950 4100 50 0001 C CNN "LCSC"
+ 1 4950 4100
+ 0 -1 1 0
+$EndComp
+Wire Wire Line
+ 4550 3350 4550 3450
+$Comp
+L center-rescue:D_Schottky_ALT-Device D1
+U 1 1 5E0395C8
+P 4550 3600
+F 0 "D1" V 4504 3521 50 0000 R CNN
+F 1 "SS510" V 4595 3521 50 0000 R CNN
+F 2 "Diode_SMD:D_SMA" H 4550 3600 50 0001 C CNN
+F 3 "~" H 4550 3600 50 0001 C CNN
+F 4 "C65010" V 4550 3600 50 0001 C CNN "LCSC"
+ 1 4550 3600
+ 0 -1 1 0
+$EndComp
+$Comp
+L center-rescue:D_Schottky_ALT-Device D3
+U 1 1 5E03ECDB
+P 4550 4100
+F 0 "D3" V 4504 4021 50 0000 R CNN
+F 1 "SS510" V 4595 4021 50 0000 R CNN
+F 2 "Diode_SMD:D_SMA" H 4550 4100 50 0001 C CNN
+F 3 "~" H 4550 4100 50 0001 C CNN
+F 4 "C65010" V 4550 4100 50 0001 C CNN "LCSC"
+ 1 4550 4100
+ 0 -1 1 0
+$EndComp
+$Comp
+L center-rescue:D_Schottky_ALT-Device D5
+U 1 1 5E0408EC
+P 8450 4650
+F 0 "D5" V 8404 4571 50 0000 R CNN
+F 1 "SS510" V 8495 4571 50 0000 R CNN
+F 2 "Diode_SMD:D_SMA" H 8450 4650 50 0001 C CNN
+F 3 "~" H 8450 4650 50 0001 C CNN
+F 4 "C65010" V 8450 4650 50 0001 C CNN "LCSC"
+ 1 8450 4650
+ 0 -1 1 0
+$EndComp
+$Comp
+L center-rescue:bom_item-4xxx E1
+U 1 1 5E05C19D
+P 3650 9800
+F 0 "E1" H 3568 9846 50 0000 L CNN
+F 1 "Zip ties: 100x2.5mm, 4pcs/board" H 3568 9755 50 0000 L CNN
+F 2 "" H 3650 9800 50 0001 C CNN
+F 3 "" H 3650 9800 50 0001 C CNN
+F 4 "KAB 100-2,5" H 3650 9800 50 0001 C CNN "Reichelt"
+ 1 3650 9800
+ 1 0 0 -1
+$EndComp
+Connection ~ 7000 5050
+$Comp
+L center-rescue:D_Zener_ALT-Device D6
+U 1 1 5DEB348D
+P 3950 4700
+F 0 "D6" V 3904 4779 50 0000 L CNN
+F 1 "2V7" V 3995 4779 50 0000 L CNN
+F 2 "Diode_SMD:D_SOD-323_HandSoldering" H 3950 4700 50 0001 C CNN
+F 3 "~" H 3950 4700 50 0001 C CNN
+F 4 "DIODES inc." V 3950 4700 50 0001 C CNN "Mfg"
+F 5 "MMSZ5223BS-7-F" V 3950 4700 50 0001 C CNN "PN"
+F 6 "C151000" V 3950 4700 50 0001 C CNN "LCSC"
+ 1 3950 4700
+ 0 1 1 0
+$EndComp
+Wire Wire Line
+ 3950 4350 3950 4550
+Wire Wire Line
+ 3950 4850 3950 5050
+Text Label 3550 4350 0 50 ~ 0
+Vmeas_A
+Wire Wire Line
+ 3950 4350 3950 4200
+Connection ~ 3950 4350
+Wire Wire Line
+ 3550 4350 3950 4350
+$Comp
+L center-rescue:R_Small-Device R5
+U 1 1 5C1B72F8
+P 3950 4100
+F 0 "R5" H 4009 4146 50 0000 L CNN
+F 1 "10k" H 4009 4055 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 3950 4100 50 0001 C CNN
+F 3 "~" H 3950 4100 50 0001 C CNN
+ 1 3950 4100
+ 1 0 0 -1
+$EndComp
+Connection ~ 7950 4000
+Wire Wire Line
+ 7950 4000 8200 4000
+Wire Wire Line
+ 7500 4000 7950 4000
+Wire Wire Line
+ 7800 3800 7950 3800
+$Comp
+L center-rescue:R-Device R2
+U 1 1 5DF4CC98
+P 7650 3800
+F 0 "R2" V 7443 3800 50 0000 C CNN
+F 1 "5R1" V 7534 3800 50 0000 C CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 7580 3800 50 0001 C CNN
+F 3 "~" H 7650 3800 50 0001 C CNN
+ 1 7650 3800
+ 0 1 1 0
+$EndComp
+Wire Wire Line
+ 5950 7950 5950 8050
+Wire Wire Line
+ 6500 4100 6500 4000
+Connection ~ 6500 4000
+Text Label 6450 4000 0 50 ~ 0
+ILIM
+$Comp
+L center-rescue:AP1117-33-Regulator_Linear U1
+U 1 1 5C19682A
+P 11150 3900
+F 0 "U1" H 11150 4142 50 0000 C CNN
+F 1 "AP1117-33" H 11150 4051 50 0000 C CNN
+F 2 "Package_TO_SOT_SMD:SOT-223" H 11150 4100 50 0001 C CNN
+F 3 "http://www.diodes.com/datasheets/AP1117.pdf" H 11250 3650 50 0001 C CNN
+ 1 11150 3900
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 11450 4000 11600 4000
+Text Label 11600 4000 2 50 ~ 0
+PAD
+Wire Wire Line
+ 6000 4450 6000 4000
+Connection ~ 6000 4000
+Wire Wire Line
+ 6000 4650 6000 5050
+Wire Wire Line
+ 3950 5050 4550 5050
+Connection ~ 6000 5050
+Wire Wire Line
+ 6000 5050 7000 5050
+Wire Wire Line
+ 6000 3800 6500 3800
+Wire Wire Line
+ 6000 3800 6000 4000
+Wire Wire Line
+ 2850 3800 3950 3800
+Connection ~ 4550 5050
+Connection ~ 5400 5050
+Wire Wire Line
+ 5400 5050 6000 5050
+Wire Wire Line
+ 3950 4000 3950 3800
+Connection ~ 3950 3800
+Wire Wire Line
+ 3950 3800 4550 3800
+Wire Wire Line
+ 5400 3350 6000 3350
+Wire Wire Line
+ 6000 3800 6000 3350
+Connection ~ 6000 3800
+Text Label 8350 7900 2 50 ~ 0
+Q0
+Wire Wire Line
+ 8150 7150 8150 7350
+Text Label 6950 7900 0 50 ~ 0
+CH0
+Wire Wire Line
+ 8350 7900 8150 7900
+Wire Wire Line
+ 8150 7900 8150 7750
+$Comp
+L center-rescue:+12V-power #PWR0101
+U 1 1 5DF15485
+P 8150 7150
+F 0 "#PWR0101" H 8150 7000 50 0001 C CNN
+F 1 "+12V" H 8165 7323 50 0000 C CNN
+F 2 "" H 8150 7150 50 0001 C CNN
+F 3 "" H 8150 7150 50 0001 C CNN
+ 1 8150 7150
+ 1 0 0 -1
+$EndComp
+Text Notes 12450 3300 0 50 ~ 0
+13V(!)
+Wire Wire Line
+ 6950 7900 7200 7900
+$Comp
+L center-rescue:GND-power #PWR0102
+U 1 1 5DF278BF
+P 7500 8200
+F 0 "#PWR0102" H 7500 7950 50 0001 C CNN
+F 1 "GND" H 7505 8027 50 0000 C CNN
+F 2 "" H 7500 8200 50 0001 C CNN
+F 3 "" H 7500 8200 50 0001 C CNN
+ 1 7500 8200
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 7500 8200 7500 8100
+Wire Wire Line
+ 7500 7700 7500 7550
+Wire Wire Line
+ 7500 7550 7850 7550
+$Comp
+L center-rescue:R_Small-Device R11
+U 1 1 5DF2C541
+P 7500 7350
+F 0 "R11" H 7559 7396 50 0000 L CNN
+F 1 "47k" H 7559 7305 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 7500 7350 50 0001 C CNN
+F 3 "~" H 7500 7350 50 0001 C CNN
+ 1 7500 7350
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 7500 7450 7500 7550
+Connection ~ 7500 7550
+$Comp
+L center-rescue:BSS83P-Transistor_FET Q1
+U 1 1 5C577582
+P 8050 7550
+F 0 "Q1" H 8255 7596 50 0000 L CNN
+F 1 "AO3401" H 8255 7505 50 0000 L CNN
+F 2 "Package_TO_SOT_SMD:SOT-23" H 8250 7475 50 0001 L CIN
+F 3 "http://www.farnell.com/datasheets/1835997.pdf" H 8050 7550 50 0001 L CNN
+ 1 8050 7550
+ 1 0 0 -1
+$EndComp
+Text Label 8750 7900 0 50 ~ 0
+CH1
+$Comp
+L center-rescue:GND-power #PWR0105
+U 1 1 5DF6A33E
+P 9300 8200
+F 0 "#PWR0105" H 9300 7950 50 0001 C CNN
+F 1 "GND" H 9305 8027 50 0000 C CNN
+F 2 "" H 9300 8200 50 0001 C CNN
+F 3 "" H 9300 8200 50 0001 C CNN
+ 1 9300 8200
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 9300 7700 9300 7550
+Wire Wire Line
+ 9950 7150 9950 7350
+Text Label 10150 7900 2 50 ~ 0
+Q1
+$Comp
+L center-rescue:BSS83P-Transistor_FET Q2
+U 1 1 5DF6A350
+P 9850 7550
+F 0 "Q2" H 10055 7596 50 0000 L CNN
+F 1 "AO3401" H 10055 7505 50 0000 L CNN
+F 2 "Package_TO_SOT_SMD:SOT-23" H 10050 7475 50 0001 L CIN
+F 3 "http://www.farnell.com/datasheets/1835997.pdf" H 9850 7550 50 0001 L CNN
+ 1 9850 7550
+ 1 0 0 -1
+$EndComp
+Connection ~ 9300 7550
+Wire Wire Line
+ 10150 7900 9950 7900
+$Comp
+L center-rescue:+12V-power #PWR0106
+U 1 1 5DF6A35F
+P 9950 7150
+F 0 "#PWR0106" H 9950 7000 50 0001 C CNN
+F 1 "+12V" H 9965 7323 50 0000 C CNN
+F 2 "" H 9950 7150 50 0001 C CNN
+F 3 "" H 9950 7150 50 0001 C CNN
+ 1 9950 7150
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 9950 7900 9950 7750
+Wire Wire Line
+ 9300 8200 9300 8100
+Wire Wire Line
+ 9300 7450 9300 7550
+Wire Wire Line
+ 9300 7550 9650 7550
+$Comp
+L center-rescue:R_Small-Device R12
+U 1 1 5DF6A371
+P 9300 7350
+F 0 "R12" H 9359 7396 50 0000 L CNN
+F 1 "47k" H 9359 7305 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 9300 7350 50 0001 C CNN
+F 3 "~" H 9300 7350 50 0001 C CNN
+ 1 9300 7350
+ 1 0 0 -1
+$EndComp
+$Comp
+L center-rescue:BSS83P-Transistor_FET Q7
+U 1 1 5DF6A380
+P 9200 7900
+F 0 "Q7" H 9405 7946 50 0000 L CNN
+F 1 "AO3400" H 9405 7855 50 0000 L CNN
+F 2 "Package_TO_SOT_SMD:SOT-23" H 9400 7825 50 0001 L CIN
+F 3 "http://www.farnell.com/datasheets/1835997.pdf" H 9200 7900 50 0001 L CNN
+ 1 9200 7900
+ 1 0 0 1
+$EndComp
+Wire Wire Line
+ 8750 7900 9000 7900
+Text Label 10550 7900 0 50 ~ 0
+CH2
+$Comp
+L center-rescue:GND-power #PWR0107
+U 1 1 5DF76E8A
+P 11100 8200
+F 0 "#PWR0107" H 11100 7950 50 0001 C CNN
+F 1 "GND" H 11105 8027 50 0000 C CNN
+F 2 "" H 11100 8200 50 0001 C CNN
+F 3 "" H 11100 8200 50 0001 C CNN
+ 1 11100 8200
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 11100 7700 11100 7550
+Wire Wire Line
+ 11750 7150 11750 7350
+Text Label 11950 7900 2 50 ~ 0
+Q2
+$Comp
+L center-rescue:BSS83P-Transistor_FET Q4
+U 1 1 5DF76E9C
+P 11650 7550
+F 0 "Q4" H 11855 7596 50 0000 L CNN
+F 1 "AO3401" H 11855 7505 50 0000 L CNN
+F 2 "Package_TO_SOT_SMD:SOT-23" H 11850 7475 50 0001 L CIN
+F 3 "http://www.farnell.com/datasheets/1835997.pdf" H 11650 7550 50 0001 L CNN
+ 1 11650 7550
+ 1 0 0 -1
+$EndComp
+Connection ~ 11100 7550
+Wire Wire Line
+ 11950 7900 11750 7900
+$Comp
+L center-rescue:+12V-power #PWR0108
+U 1 1 5DF76EAB
+P 11750 7150
+F 0 "#PWR0108" H 11750 7000 50 0001 C CNN
+F 1 "+12V" H 11765 7323 50 0000 C CNN
+F 2 "" H 11750 7150 50 0001 C CNN
+F 3 "" H 11750 7150 50 0001 C CNN
+ 1 11750 7150
+ 1 0 0 -1
+$EndComp
+$Comp
+L center-rescue:R_Small-Device R14
+U 1 1 5DF76EB9
+P 12900 7350
+F 0 "R14" H 12959 7396 50 0000 L CNN
+F 1 "47k" H 12959 7305 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 12900 7350 50 0001 C CNN
+F 3 "~" H 12900 7350 50 0001 C CNN
+ 1 12900 7350
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 13550 7150 13550 7350
+$Comp
+L center-rescue:BSS83P-Transistor_FET Q5
+U 1 1 5DF76EC9
+P 13450 7550
+F 0 "Q5" H 13655 7596 50 0000 L CNN
+F 1 "AO3401" H 13655 7505 50 0000 L CNN
+F 2 "Package_TO_SOT_SMD:SOT-23" H 13650 7475 50 0001 L CIN
+F 3 "http://www.farnell.com/datasheets/1835997.pdf" H 13450 7550 50 0001 L CNN
+ 1 13450 7550
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 13550 7900 13550 7750
+Wire Wire Line
+ 12900 7550 13250 7550
+Wire Wire Line
+ 11750 7900 11750 7750
+Connection ~ 12900 7550
+Wire Wire Line
+ 11100 8200 11100 8100
+Wire Wire Line
+ 11100 7450 11100 7550
+Wire Wire Line
+ 11100 7550 11450 7550
+$Comp
+L center-rescue:R_Small-Device R13
+U 1 1 5DF76EDE
+P 11100 7350
+F 0 "R13" H 11159 7396 50 0000 L CNN
+F 1 "47k" H 11159 7305 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 11100 7350 50 0001 C CNN
+F 3 "~" H 11100 7350 50 0001 C CNN
+ 1 11100 7350
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 10550 7900 10800 7900
+$Comp
+L center-rescue:BSS83P-Transistor_FET Q9
+U 1 1 5DF76EFD
+P 12800 7900
+F 0 "Q9" H 13005 7946 50 0000 L CNN
+F 1 "AO3400" H 13005 7855 50 0000 L CNN
+F 2 "Package_TO_SOT_SMD:SOT-23" H 13000 7825 50 0001 L CIN
+F 3 "http://www.farnell.com/datasheets/1835997.pdf" H 12800 7900 50 0001 L CNN
+ 1 12800 7900
+ 1 0 0 1
+$EndComp
+Wire Wire Line
+ 12900 8200 12900 8100
+Wire Wire Line
+ 12900 7450 12900 7550
+Wire Wire Line
+ 12900 7700 12900 7550
+$Comp
+L center-rescue:+12V-power #PWR0109
+U 1 1 5DF76F0D
+P 13550 7150
+F 0 "#PWR0109" H 13550 7000 50 0001 C CNN
+F 1 "+12V" H 13565 7323 50 0000 C CNN
+F 2 "" H 13550 7150 50 0001 C CNN
+F 3 "" H 13550 7150 50 0001 C CNN
+ 1 13550 7150
+ 1 0 0 -1
+$EndComp
+Text Label 13750 7900 2 50 ~ 0
+Q3
+Text Label 12350 7900 0 50 ~ 0
+CH3
+Wire Wire Line
+ 12900 7250 12900 7150
+Wire Wire Line
+ 12350 7900 12600 7900
+$Comp
+L center-rescue:GND-power #PWR0110
+U 1 1 5DF76F1F
+P 12900 8200
+F 0 "#PWR0110" H 12900 7950 50 0001 C CNN
+F 1 "GND" H 12905 8027 50 0000 C CNN
+F 2 "" H 12900 8200 50 0001 C CNN
+F 3 "" H 12900 8200 50 0001 C CNN
+ 1 12900 8200
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 13750 7900 13550 7900
+$Comp
+L center-rescue:+12V-power #PWR0103
+U 1 1 5DF6DD8B
+P 12900 7150
+F 0 "#PWR0103" H 12900 7000 50 0001 C CNN
+F 1 "+12V" H 12915 7323 50 0000 C CNN
+F 2 "" H 12900 7150 50 0001 C CNN
+F 3 "" H 12900 7150 50 0001 C CNN
+ 1 12900 7150
+ 1 0 0 -1
+$EndComp
+$Comp
+L center-rescue:+12V-power #PWR0104
+U 1 1 5DF7D96A
+P 11100 7150
+F 0 "#PWR0104" H 11100 7000 50 0001 C CNN
+F 1 "+12V" H 11115 7323 50 0000 C CNN
+F 2 "" H 11100 7150 50 0001 C CNN
+F 3 "" H 11100 7150 50 0001 C CNN
+ 1 11100 7150
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 11100 7150 11100 7250
+$Comp
+L center-rescue:+12V-power #PWR0111
+U 1 1 5DF985A9
+P 9300 7150
+F 0 "#PWR0111" H 9300 7000 50 0001 C CNN
+F 1 "+12V" H 9315 7323 50 0000 C CNN
+F 2 "" H 9300 7150 50 0001 C CNN
+F 3 "" H 9300 7150 50 0001 C CNN
+ 1 9300 7150
+ 1 0 0 -1
+$EndComp
+$Comp
+L center-rescue:+12V-power #PWR0112
+U 1 1 5DFADEB5
+P 7500 7150
+F 0 "#PWR0112" H 7500 7000 50 0001 C CNN
+F 1 "+12V" H 7515 7323 50 0000 C CNN
+F 2 "" H 7500 7150 50 0001 C CNN
+F 3 "" H 7500 7150 50 0001 C CNN
+ 1 7500 7150
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 7500 7150 7500 7250
+$Comp
+L center-rescue:BSS83P-Transistor_FET Q6
+U 1 1 5DF1D708
+P 7400 7900
+F 0 "Q6" H 7605 7946 50 0000 L CNN
+F 1 "AO3400" H 7605 7855 50 0000 L CNN
+F 2 "Package_TO_SOT_SMD:SOT-23" H 7600 7825 50 0001 L CIN
+F 3 "http://www.farnell.com/datasheets/1835997.pdf" H 7400 7900 50 0001 L CNN
+ 1 7400 7900
+ 1 0 0 1
+$EndComp
+$Comp
+L center-rescue:BSS83P-Transistor_FET Q8
+U 1 1 5DF76EED
+P 11000 7900
+F 0 "Q8" H 11205 7946 50 0000 L CNN
+F 1 "AO3400" H 11205 7855 50 0000 L CNN
+F 2 "Package_TO_SOT_SMD:SOT-23" H 11200 7825 50 0001 L CIN
+F 3 "http://www.farnell.com/datasheets/1835997.pdf" H 11000 7900 50 0001 L CNN
+ 1 11000 7900
+ 1 0 0 1
+$EndComp
+Wire Wire Line
+ 9300 7150 9300 7250
+$Comp
+L center-rescue:LED_ALT-Device D8
+U 1 1 5DF69147
+P 5950 7800
+F 0 "D8" V 6080 7683 50 0000 R CNN
+F 1 "LED_ALT" V 5989 7683 50 0000 R CNN
+F 2 "LED_SMD:LED_PLCC_2835_Handsoldering" H 5950 7800 50 0001 C CNN
+F 3 "~" H 5950 7800 50 0001 C CNN
+F 4 "Everlight" V 5898 7683 50 0000 R CNN "Mfg"
+F 5 "IR67-21C/TR8" V 5807 7683 50 0000 R CNN "PN"
+F 6 "C60109" V 5950 7800 50 0001 C CNN "LCSC"
+ 1 5950 7800
+ 0 -1 -1 0
+$EndComp
+Wire Wire Line
+ 5950 7650 5950 7600
+Text Notes 2200 7400 0 50 ~ 0
+Opt
+Text Notes 2500 5650 0 50 ~ 0
+TODO:\n* xtal missing from bom?\n* TX4138 EN pin?\n* R2, R4 missing from bom?\n* R9 missing from bom?
+$EndSCHEMATC
diff --git a/center/center.xml b/center/center.xml
index 7d96288..45a071a 100644
--- a/center/center.xml
+++ b/center/center.xml
@@ -1,9 +1,9 @@
<?xml version="1.0" encoding="UTF-8"?>
<export version="D">
<design>
- <source>/home/user/toys/led_tape_display/center/center.sch</source>
- <date>Tue Nov 27 14:30:12 2018</date>
- <tool>Eeschema (5.0.1)</tool>
+ <source>/home/user/toys/8seg/center/center.sch</source>
+ <date>Wed Dec 4 23:58:24 2019</date>
+ <tool>Eeschema (5.99.0-52-gefbc802f4)</tool>
<sheet number="1" name="/" tstamps="/">
<title_block>
<title/>
@@ -15,11 +15,16 @@
<comment number="2" value=""/>
<comment number="3" value=""/>
<comment number="4" value=""/>
+ <comment number="5" value=""/>
+ <comment number="6" value=""/>
+ <comment number="7" value=""/>
+ <comment number="8" value=""/>
+ <comment number="9" value=""/>
</title_block>
</sheet>
</design>
<components>
- <comp ref="U2">
+ <comp ref="U3">
<value>STM32F030F4P6</value>
<footprint>Housings_SSOP:TSSOP-20_4.4x6.5mm_Pitch0.65mm</footprint>
<datasheet>http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/DM00088500.pdf</datasheet>
@@ -27,17 +32,9 @@
<sheetpath names="/" tstamps="/"/>
<tstamp>5C1966AA</tstamp>
</comp>
- <comp ref="U1">
- <value>AP1117-33</value>
- <footprint>TO_SOT_Packages_SMD:SOT-223-3Lead_TabPin2</footprint>
- <datasheet>http://www.diodes.com/datasheets/AP1117.pdf</datasheet>
- <libsource lib="Regulator_Linear" part="AP1117-33" description="1A Low Dropout regulator, positive, 3.3V fixed output, SOT-223"/>
- <sheetpath names="/" tstamps="/"/>
- <tstamp>5C19682A</tstamp>
- </comp>
<comp ref="J1">
<value>top left</value>
- <footprint>footprints:led_tape_3528_2835</footprint>
+ <footprint>center:led_tape_3528_2835</footprint>
<datasheet>~</datasheet>
<libsource lib="Connector_Generic" part="Conn_01x02" description="Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)"/>
<sheetpath names="/" tstamps="/"/>
@@ -45,7 +42,7 @@
</comp>
<comp ref="J2">
<value>top right</value>
- <footprint>footprints:led_tape_3528_2835</footprint>
+ <footprint>center:led_tape_3528_2835</footprint>
<datasheet>~</datasheet>
<libsource lib="Connector_Generic" part="Conn_01x02" description="Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)"/>
<sheetpath names="/" tstamps="/"/>
@@ -53,7 +50,7 @@
</comp>
<comp ref="J3">
<value>bottom right</value>
- <footprint>footprints:led_tape_3528_2835</footprint>
+ <footprint>center:led_tape_3528_2835</footprint>
<datasheet>~</datasheet>
<libsource lib="Connector_Generic" part="Conn_01x02" description="Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)"/>
<sheetpath names="/" tstamps="/"/>
@@ -61,382 +58,420 @@
</comp>
<comp ref="J4">
<value>bottom left</value>
- <footprint>footprints:led_tape_3528_2835</footprint>
+ <footprint>center:led_tape_3528_2835</footprint>
<datasheet>~</datasheet>
<libsource lib="Connector_Generic" part="Conn_01x02" description="Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)"/>
<sheetpath names="/" tstamps="/"/>
<tstamp>5C196D8E</tstamp>
</comp>
- <comp ref="D1">
- <value>SS510</value>
- <footprint>Diodes_SMD:D_SMB_Handsoldering</footprint>
- <datasheet>~</datasheet>
- <libsource lib="Device" part="D_Schottky" description="Schottky diode"/>
- <sheetpath names="/" tstamps="/"/>
- <tstamp>5C1985B1</tstamp>
- </comp>
- <comp ref="D3">
- <value>SS510</value>
- <footprint>Diodes_SMD:D_SMB_Handsoldering</footprint>
- <datasheet>~</datasheet>
- <libsource lib="Device" part="D_Schottky" description="Schottky diode"/>
- <sheetpath names="/" tstamps="/"/>
- <tstamp>5C19926C</tstamp>
- </comp>
<comp ref="D2">
<value>SS510</value>
- <footprint>Diodes_SMD:D_SMB_Handsoldering</footprint>
+ <footprint>Diode_SMD:D_SMA</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="D_Schottky" description="Schottky diode"/>
+ <fields>
+ <field name="LCSC">C329267</field>
+ </fields>
+ <libsource lib="Device" part="D_Schottky_ALT" description="Schottky diode, filled shape"/>
<sheetpath names="/" tstamps="/"/>
<tstamp>5C199CCC</tstamp>
</comp>
- <comp ref="D4">
- <value>SS510</value>
- <footprint>Diodes_SMD:D_SMB_Handsoldering</footprint>
- <datasheet>~</datasheet>
- <libsource lib="Device" part="D_Schottky" description="Schottky diode"/>
- <sheetpath names="/" tstamps="/"/>
- <tstamp>5C199DAE</tstamp>
- </comp>
- <comp ref="C1">
- <value>1000uF</value>
- <footprint>Capacitor_THT:CP_Radial_D10.0mm_P5.00mm</footprint>
- <datasheet>~</datasheet>
- <libsource lib="Device" part="CP_Small" description="Polarized capacitor, small symbol"/>
- <sheetpath names="/" tstamps="/"/>
- <tstamp>5C1A6A7D</tstamp>
- </comp>
- <comp ref="C4">
- <value>470uF</value>
- <footprint>Capacitor_THT:CP_Radial_D10.0mm_P5.00mm</footprint>
- <datasheet>~</datasheet>
- <libsource lib="Device" part="CP_Small" description="Polarized capacitor, small symbol"/>
- <sheetpath names="/" tstamps="/"/>
- <tstamp>5C1A7520</tstamp>
- </comp>
- <comp ref="C2">
- <value>10u</value>
- <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
+ <comp ref="R9">
+ <value>180</value>
+ <footprint>Resistors_SMD:R_2512_HandSoldering</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C1AAFA9</tstamp>
+ <tstamp>5C1F9EE6</tstamp>
</comp>
- <comp ref="C3">
- <value>100n</value>
- <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
+ <comp ref="Y1">
+ <value>8MHz</value>
+ <footprint>Crystals:Crystal_SMD_3225-4pin_3.2x2.5mm</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <libsource lib="Device" part="Crystal_GND24_Small" description="Four pin crystal, GND on pins 2 and 4, small symbol"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C1AF3BD</tstamp>
+ <tstamp>5C30EB37</tstamp>
</comp>
- <comp ref="C5">
- <value>10u</value>
+ <comp ref="C12">
+ <value>12p</value>
<footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
<datasheet>~</datasheet>
<libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C1AFB91</tstamp>
+ <tstamp>5C310CD7</tstamp>
</comp>
- <comp ref="C6">
- <value>100n</value>
+ <comp ref="C11">
+ <value>12p</value>
<footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
<datasheet>~</datasheet>
<libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C1B07A6</tstamp>
+ <tstamp>5C31388F</tstamp>
</comp>
- <comp ref="R1">
- <value>10k</value>
+ <comp ref="R7">
+ <value>0</value>
<footprint>Resistors_SMD:R_0603_HandSoldering</footprint>
<datasheet>~</datasheet>
<libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C1B72F8</tstamp>
+ <tstamp>5C36FD60</tstamp>
</comp>
- <comp ref="R2">
- <value>10k</value>
+ <comp ref="R8">
+ <value>0</value>
<footprint>Resistors_SMD:R_0603_HandSoldering</footprint>
<datasheet>~</datasheet>
<libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C1BABDF</tstamp>
+ <tstamp>5C382D39</tstamp>
</comp>
- <comp ref="R4">
- <value>3k3</value>
- <footprint>Resistors_SMD:R_0603_HandSoldering</footprint>
+ <comp ref="J5">
+ <value>SWD</value>
+ <footprint>Pin_Headers:Pin_Header_Straight_1x04_Pitch2.54mm</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <libsource lib="Connector_Generic" part="Conn_01x04" description="Generic connector, single row, 01x04, script generated (kicad-library-utils/schlib/autogen/connector/)"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C1BB077</tstamp>
+ <tstamp>5C3B6CB2</tstamp>
</comp>
- <comp ref="R3">
- <value>3k3</value>
- <footprint>Resistors_SMD:R_0603_HandSoldering</footprint>
+ <comp ref="TP1">
+ <value>PA5</value>
+ <footprint>Measurement_Points:Measurement_Point_Square-SMD-Pad_Small</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <libsource lib="Connector" part="TestPoint" description="test point"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C1BC19C</tstamp>
+ <tstamp>5C453DE6</tstamp>
</comp>
- <comp ref="D6">
- <value>D_Schottky</value>
- <footprint>Diodes_SMD:D_MiniMELF_Handsoldering</footprint>
+ <comp ref="TP2">
+ <value>PA9</value>
+ <footprint>Measurement_Points:Measurement_Point_Square-SMD-Pad_Small</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="D_Schottky" description="Schottky diode"/>
+ <libsource lib="Connector" part="TestPoint" description="test point"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C1CFB2A</tstamp>
+ <tstamp>5C45EF40</tstamp>
</comp>
- <comp ref="D5">
- <value>D_Schottky</value>
- <footprint>Diodes_SMD:D_MiniMELF_Handsoldering</footprint>
+ <comp ref="TP3">
+ <value>PA10</value>
+ <footprint>Measurement_Points:Measurement_Point_Square-SMD-Pad_Small</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="D_Schottky" description="Schottky diode"/>
+ <libsource lib="Connector" part="TestPoint" description="test point"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C1D3E90</tstamp>
+ <tstamp>5C45F33E</tstamp>
</comp>
- <comp ref="R10">
- <value>620</value>
- <footprint>Resistors_SMD:R_2512_HandSoldering</footprint>
+ <comp ref="TP4">
+ <value>PA4</value>
+ <footprint>Measurement_Points:Measurement_Point_Square-SMD-Pad_Small</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <libsource lib="Connector" part="TestPoint" description="test point"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C1F9EE6</tstamp>
+ <tstamp>5C45FC76</tstamp>
</comp>
- <comp ref="R11">
- <value>0</value>
- <footprint>Resistors_SMD:R_0603_HandSoldering</footprint>
- <datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <comp ref="Q1">
+ <value>AO3400</value>
+ <footprint>Package_TO_SOT_SMD:SOT-23</footprint>
+ <datasheet>http://www.farnell.com/datasheets/1835997.pdf</datasheet>
+ <libsource lib="Transistor_FET" part="BSS83P" description="-0.33A Id, -60V Vds, P-Channel MOSFET, SOT-23-3"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C234E1F</tstamp>
+ <tstamp>5C577582</tstamp>
</comp>
- <comp ref="C12">
- <value>0</value>
- <footprint>Capacitors_SMD:C_0805</footprint>
- <datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <comp ref="Q3">
+ <value>AO3400</value>
+ <footprint>Package_TO_SOT_SMD:SOT-23</footprint>
+ <datasheet>http://www.farnell.com/datasheets/1835997.pdf</datasheet>
+ <libsource lib="Transistor_FET" part="BSS83P" description="-0.33A Id, -60V Vds, P-Channel MOSFET, SOT-23-3"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C23632F</tstamp>
+ <tstamp>5C57CF20</tstamp>
</comp>
- <comp ref="R12">
- <value>0</value>
- <footprint>Resistors_SMD:R_0603_HandSoldering</footprint>
- <datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <comp ref="Q4">
+ <value>AO3400</value>
+ <footprint>Package_TO_SOT_SMD:SOT-23</footprint>
+ <datasheet>http://www.farnell.com/datasheets/1835997.pdf</datasheet>
+ <libsource lib="Transistor_FET" part="BSS83P" description="-0.33A Id, -60V Vds, P-Channel MOSFET, SOT-23-3"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C24FD4B</tstamp>
+ <tstamp>5C57D930</tstamp>
</comp>
- <comp ref="C13">
- <value>0</value>
- <footprint>Capacitors_SMD:C_0805</footprint>
- <datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <comp ref="U2">
+ <value>TX4138</value>
+ <footprint>Package_SO:SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.29x3mm</footprint>
+ <fields>
+ <field name="LCSC">C329267</field>
+ </fields>
+ <libsource lib="components" part="TX4138" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C24FD51</tstamp>
+ <tstamp>5DE7FF76</tstamp>
</comp>
- <comp ref="R6">
- <value>0</value>
- <footprint>Resistors_SMD:R_0603_HandSoldering</footprint>
+ <comp ref="R4">
+ <value>30mR 1/4W</value>
+ <footprint>Resistor_SMD:R_1210_3225Metric</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="LCSC">C159305</field>
+ <field name="Mfg">Ralec</field>
+ <field name="PN">RTT12R033FTP</field>
+ </fields>
+ <libsource lib="Device" part="R" description="Resistor"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C265A68</tstamp>
+ <tstamp>5DEB5134</tstamp>
</comp>
- <comp ref="C8">
- <value>0</value>
- <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
+ <comp ref="C4">
+ <value>2200uF/25V/2A</value>
+ <footprint>Capacitor_THT:CP_Radial_D13.0mm_P5.00mm</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
- <sheetpath names="/" tstamps="/"/>
- <tstamp>5C265A6E</tstamp>
- </comp>
- <comp ref="Q2">
- <value>AO3401</value>
- <footprint>TO_SOT_Packages_SMD:SOT-23</footprint>
- <datasheet>https://www.infineon.com/dgdl/irlml6402pbf.pdf?fileId=5546d462533600a401535668d5c2263c</datasheet>
- <libsource lib="Transistor_FET" part="IRLML6402" description="-3.7A Id, -20V Vds, P-channel MOSFET, 65mOhm Rds, SOT-23"/>
+ <fields>
+ <field name="LCSC">C59339</field>
+ <field name="Mfg">CapXon</field>
+ <field name="PN">63YXF220MFFCT810X20</field>
+ </fields>
+ <libsource lib="Device" part="CP_Small" description="Polarized capacitor, small symbol"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C26D08A</tstamp>
+ <tstamp>5C1A6A7D</tstamp>
</comp>
- <comp ref="R7">
- <value>10k</value>
- <footprint>Resistors_SMD:R_0603_HandSoldering</footprint>
+ <comp ref="L1">
+ <value>47uH/2A</value>
+ <footprint>Inductor_SMD:L_Neosid_SM-NE127_HandSoldering</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="Mfg">Eaton</field>
+ <field name="PN">DR127-470</field>
+ <field name="Reichelt">EATON DR127-470</field>
+ </fields>
+ <libsource lib="Device" part="L" description="Inductor"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C270B70</tstamp>
+ <tstamp>5DED4544</tstamp>
</comp>
- <comp ref="C7">
- <value>0</value>
- <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
+ <comp ref="R1">
+ <value>1k</value>
+ <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <fields>
+ <field name="DNP">DNP</field>
+ </fields>
+ <libsource lib="Device" part="R" description="Resistor"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C27E2DD</tstamp>
+ <tstamp>5DF04917</tstamp>
</comp>
- <comp ref="D7">
- <value>8V2</value>
- <footprint>Diodes_SMD:D_MiniMELF_Handsoldering</footprint>
+ <comp ref="R3">
+ <value>R</value>
+ <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="D_Zener_Small_ALT" description="Zener diode, small symbol, filled shape"/>
+ <libsource lib="Device" part="R" description="Resistor"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C280B09</tstamp>
+ <tstamp>5DF1B471</tstamp>
</comp>
- <comp ref="R9">
- <value>0</value>
- <footprint>Resistors_SMD:R_0603_HandSoldering</footprint>
+ <comp ref="R6">
+ <value>R</value>
+ <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <libsource lib="Device" part="R" description="Resistor"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C2AA0AC</tstamp>
+ <tstamp>5DF1BE4C</tstamp>
</comp>
- <comp ref="Q5">
- <value>AO3401</value>
- <footprint>TO_SOT_Packages_SMD:SOT-23</footprint>
- <datasheet>https://www.infineon.com/dgdl/irlml6402pbf.pdf?fileId=5546d462533600a401535668d5c2263c</datasheet>
- <libsource lib="Transistor_FET" part="IRLML6402" description="-3.7A Id, -20V Vds, P-channel MOSFET, 65mOhm Rds, SOT-23"/>
+ <comp ref="C5">
+ <value>12p</value>
+ <footprint>Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
+ <datasheet>~</datasheet>
+ <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C2DA7FA</tstamp>
+ <tstamp>5DF24754</tstamp>
</comp>
- <comp ref="R13">
- <value>10k</value>
- <footprint>Resistors_SMD:R_0603_HandSoldering</footprint>
+ <comp ref="C1">
+ <value>220u/63V</value>
+ <footprint>Capacitor_THT:CP_Radial_D10.0mm_P5.00mm</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="LCSC">C324050</field>
+ <field name="Mfg">Rubycon</field>
+ <field name="PN">63YXF220MFFCT810X20</field>
+ </fields>
+ <libsource lib="Device" part="CP_Small" description="Polarized capacitor, small symbol"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C2DA800</tstamp>
+ <tstamp>5DF2DAD7</tstamp>
</comp>
- <comp ref="C11">
- <value>0</value>
- <footprint>Capacitors_SMD:C_0805</footprint>
+ <comp ref="C2">
+ <value>100n</value>
+ <footprint>Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
<libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C2DA813</tstamp>
+ <tstamp>5DF3D7ED</tstamp>
</comp>
- <comp ref="D8">
- <value>8V2</value>
- <footprint>Diodes_SMD:D_MiniMELF_Handsoldering</footprint>
+ <comp ref="C3">
+ <value>100n</value>
+ <footprint>Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="D_Zener_Small_ALT" description="Zener diode, small symbol, filled shape"/>
+ <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C2DA819</tstamp>
+ <tstamp>5DF449C0</tstamp>
</comp>
- <comp ref="R14">
- <value>0</value>
- <footprint>Resistors_SMD:R_0603_HandSoldering</footprint>
+ <comp ref="C10">
+ <value>100n</value>
+ <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C2DA825</tstamp>
+ <tstamp>5C1B07A6</tstamp>
</comp>
- <comp ref="Y1">
- <value>8MHz</value>
- <footprint>Crystals:Crystal_SMD_3225-4pin_3.2x2.5mm</footprint>
+ <comp ref="C9">
+ <value>10u</value>
+ <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="Crystal_GND24_Small" description="Four pin crystal, GND on pins 2 and 4, small symbol"/>
+ <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C30EB37</tstamp>
+ <tstamp>5C1AFB91</tstamp>
</comp>
- <comp ref="C10">
- <value>12p</value>
+ <comp ref="C7">
+ <value>100n</value>
<footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
<datasheet>~</datasheet>
<libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C310CD7</tstamp>
+ <tstamp>5C1AF3BD</tstamp>
</comp>
- <comp ref="C9">
- <value>12p</value>
+ <comp ref="C6">
+ <value>10u</value>
<footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
<datasheet>~</datasheet>
<libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C31388F</tstamp>
+ <tstamp>5C1AAFA9</tstamp>
</comp>
- <comp ref="R5">
- <value>0</value>
- <footprint>Resistors_SMD:R_0603_HandSoldering</footprint>
+ <comp ref="C8">
+ <value>47u</value>
+ <footprint>Capacitor_THT:CP_Radial_D5.0mm_P2.00mm</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="LCSC">C216203</field>
+ <field name="Mfg">Rubycon</field>
+ <field name="PN">25YXF47MFFC5X11</field>
+ </fields>
+ <libsource lib="Device" part="CP_Small" description="Polarized capacitor, small symbol"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C36FD60</tstamp>
+ <tstamp>5C1A7520</tstamp>
</comp>
- <comp ref="R8">
- <value>0</value>
- <footprint>Resistors_SMD:R_0603_HandSoldering</footprint>
+ <comp ref="Q2">
+ <value>AO3400</value>
+ <footprint>Package_TO_SOT_SMD:SOT-23</footprint>
+ <datasheet>http://www.farnell.com/datasheets/1835997.pdf</datasheet>
+ <libsource lib="Transistor_FET" part="BSS83P" description="-0.33A Id, -60V Vds, P-Channel MOSFET, SOT-23-3"/>
+ <sheetpath names="/" tstamps="/"/>
+ <tstamp>5DF8623C</tstamp>
+ </comp>
+ <comp ref="Q5">
+ <value>AO3400</value>
+ <footprint>Package_TO_SOT_SMD:SOT-23</footprint>
+ <datasheet>http://www.farnell.com/datasheets/1835997.pdf</datasheet>
+ <libsource lib="Transistor_FET" part="BSS83P" description="-0.33A Id, -60V Vds, P-Channel MOSFET, SOT-23-3"/>
+ <sheetpath names="/" tstamps="/"/>
+ <tstamp>5DF8624B</tstamp>
+ </comp>
+ <comp ref="D7">
+ <value>LED_ALT</value>
+ <footprint>LED_SMD:LED_PLCC_2835_Handsoldering</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="LCSC">C60109</field>
+ <field name="Mfg">Everlight</field>
+ <field name="PN">IR67-21C/TR8</field>
+ </fields>
+ <libsource lib="Device" part="LED_ALT" description="Light emitting diode, filled shape"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C382D39</tstamp>
+ <tstamp>5DFA0B1D</tstamp>
</comp>
- <comp ref="J5">
- <value>SWD</value>
- <footprint>Pin_Headers:Pin_Header_Straight_1x04_Pitch2.54mm</footprint>
+ <comp ref="D4">
+ <value>SS510</value>
+ <footprint>Diode_SMD:D_SMA</footprint>
<datasheet>~</datasheet>
- <libsource lib="Connector_Generic" part="Conn_01x04" description="Generic connector, single row, 01x04, script generated (kicad-library-utils/schlib/autogen/connector/)"/>
+ <fields>
+ <field name="LCSC">C329267</field>
+ </fields>
+ <libsource lib="Device" part="D_Schottky_ALT" description="Schottky diode, filled shape"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C3B6CB2</tstamp>
+ <tstamp>5E02DC22</tstamp>
</comp>
- <comp ref="TP1">
- <value>TestPoint</value>
- <footprint>Measurement_Points:Measurement_Point_Square-SMD-Pad_Small</footprint>
+ <comp ref="D1">
+ <value>SS510</value>
+ <footprint>Diode_SMD:D_SMA</footprint>
<datasheet>~</datasheet>
- <libsource lib="Connector" part="TestPoint" description="test point"/>
+ <fields>
+ <field name="LCSC">C329267</field>
+ </fields>
+ <libsource lib="Device" part="D_Schottky_ALT" description="Schottky diode, filled shape"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C453DE6</tstamp>
+ <tstamp>5E0395C8</tstamp>
</comp>
- <comp ref="TP2">
- <value>TestPoint</value>
- <footprint>Measurement_Points:Measurement_Point_Square-SMD-Pad_Small</footprint>
+ <comp ref="D3">
+ <value>SS510</value>
+ <footprint>Diode_SMD:D_SMA</footprint>
<datasheet>~</datasheet>
- <libsource lib="Connector" part="TestPoint" description="test point"/>
+ <fields>
+ <field name="LCSC">C329267</field>
+ </fields>
+ <libsource lib="Device" part="D_Schottky_ALT" description="Schottky diode, filled shape"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C45EF40</tstamp>
+ <tstamp>5E03ECDB</tstamp>
</comp>
- <comp ref="TP3">
- <value>TestPoint</value>
- <footprint>Measurement_Points:Measurement_Point_Square-SMD-Pad_Small</footprint>
+ <comp ref="D5">
+ <value>SS510</value>
+ <footprint>Diode_SMD:D_SMA</footprint>
<datasheet>~</datasheet>
- <libsource lib="Connector" part="TestPoint" description="test point"/>
+ <fields>
+ <field name="LCSC">C329267</field>
+ </fields>
+ <libsource lib="Device" part="D_Schottky_ALT" description="Schottky diode, filled shape"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C45F33E</tstamp>
+ <tstamp>5E0408EC</tstamp>
</comp>
- <comp ref="TP4">
- <value>TestPoint</value>
- <footprint>Measurement_Points:Measurement_Point_Square-SMD-Pad_Small</footprint>
+ <comp ref="E1">
+ <value>Zip ties: 100x2.5mm, 4pcs/board</value>
+ <fields>
+ <field name="Reichelt">KAB 100-2,5</field>
+ </fields>
+ <libsource lib="4xxx" part="bom_item" description=""/>
+ <sheetpath names="/" tstamps="/"/>
+ <tstamp>5E05C19D</tstamp>
+ </comp>
+ <comp ref="D6">
+ <value>2V7</value>
+ <footprint>Diode_SMD:D_SOD-323_HandSoldering</footprint>
<datasheet>~</datasheet>
- <libsource lib="Connector" part="TestPoint" description="test point"/>
+ <fields>
+ <field name="LCSC">C151000</field>
+ <field name="Mfg">DIODES inc.</field>
+ <field name="PN">MMSZ5223BS-7-F</field>
+ </fields>
+ <libsource lib="Device" part="D_Zener_ALT" description="Zener diode, filled shape"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C45FC76</tstamp>
+ <tstamp>5DEB348D</tstamp>
</comp>
- <comp ref="Q1">
- <value>AO3400</value>
- <footprint>Package_TO_SOT_SMD:SOT-23</footprint>
- <datasheet>http://www.farnell.com/datasheets/1835997.pdf</datasheet>
- <libsource lib="Transistor_FET" part="BSS83P" description="-0.33A Id, -60V Vds, P-Channel MOSFET, SOT-23-3"/>
+ <comp ref="R5">
+ <value>10k</value>
+ <footprint>Resistors_SMD:R_0603_HandSoldering</footprint>
+ <datasheet>~</datasheet>
+ <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C577582</tstamp>
+ <tstamp>5C1B72F8</tstamp>
</comp>
- <comp ref="Q3">
- <value>AO3400</value>
- <footprint>Package_TO_SOT_SMD:SOT-23</footprint>
- <datasheet>http://www.farnell.com/datasheets/1835997.pdf</datasheet>
- <libsource lib="Transistor_FET" part="BSS83P" description="-0.33A Id, -60V Vds, P-Channel MOSFET, SOT-23-3"/>
+ <comp ref="R2">
+ <value>5R1</value>
+ <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
+ <datasheet>~</datasheet>
+ <libsource lib="Device" part="R" description="Resistor"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C57CF20</tstamp>
+ <tstamp>5DF4CC98</tstamp>
</comp>
- <comp ref="Q4">
- <value>AO3400</value>
- <footprint>Package_TO_SOT_SMD:SOT-23</footprint>
- <datasheet>http://www.farnell.com/datasheets/1835997.pdf</datasheet>
- <libsource lib="Transistor_FET" part="BSS83P" description="-0.33A Id, -60V Vds, P-Channel MOSFET, SOT-23-3"/>
+ <comp ref="U1">
+ <value>AP1117-33</value>
+ <footprint>Package_TO_SOT_SMD:SOT-223</footprint>
+ <datasheet>http://www.diodes.com/datasheets/AP1117.pdf</datasheet>
+ <libsource lib="Regulator_Linear" part="AP1117-33" description="1A Low Dropout regulator, positive, 3.3V fixed output, SOT-223"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C57D930</tstamp>
+ <tstamp>5C19682A</tstamp>
</comp>
</components>
<libparts>
+ <libpart lib="4xxx" part="bom_item">
+ <fields>
+ <field name="Reference">E</field>
+ <field name="Value">bom_item</field>
+ </fields>
+ </libpart>
<libpart lib="Connector" part="TestPoint">
<description>test point</description>
<docs>~</docs>
@@ -531,8 +566,8 @@
<pin num="4" name="4" type="passive"/>
</pins>
</libpart>
- <libpart lib="Device" part="D_Schottky">
- <description>Schottky diode</description>
+ <libpart lib="Device" part="D_Schottky_ALT">
+ <description>Schottky diode, filled shape</description>
<docs>~</docs>
<footprints>
<fp>TO-???*</fp>
@@ -542,15 +577,15 @@
</footprints>
<fields>
<field name="Reference">D</field>
- <field name="Value">D_Schottky</field>
+ <field name="Value">D_Schottky_ALT</field>
</fields>
<pins>
<pin num="1" name="K" type="passive"/>
<pin num="2" name="A" type="passive"/>
</pins>
</libpart>
- <libpart lib="Device" part="D_Zener_Small_ALT">
- <description>Zener diode, small symbol, filled shape</description>
+ <libpart lib="Device" part="D_Zener_ALT">
+ <description>Zener diode, filled shape</description>
<docs>~</docs>
<footprints>
<fp>TO-???*</fp>
@@ -560,13 +595,63 @@
</footprints>
<fields>
<field name="Reference">D</field>
- <field name="Value">D_Zener_Small_ALT</field>
+ <field name="Value">D_Zener_ALT</field>
+ </fields>
+ <pins>
+ <pin num="1" name="K" type="passive"/>
+ <pin num="2" name="A" type="passive"/>
+ </pins>
+ </libpart>
+ <libpart lib="Device" part="L">
+ <description>Inductor</description>
+ <docs>~</docs>
+ <footprints>
+ <fp>Choke_*</fp>
+ <fp>*Coil*</fp>
+ <fp>Inductor_*</fp>
+ <fp>L_*</fp>
+ </footprints>
+ <fields>
+ <field name="Reference">L</field>
+ <field name="Value">L</field>
+ </fields>
+ <pins>
+ <pin num="1" name="1" type="passive"/>
+ <pin num="2" name="2" type="passive"/>
+ </pins>
+ </libpart>
+ <libpart lib="Device" part="LED_ALT">
+ <description>Light emitting diode, filled shape</description>
+ <docs>~</docs>
+ <footprints>
+ <fp>LED*</fp>
+ <fp>LED_SMD:*</fp>
+ <fp>LED_THT:*</fp>
+ </footprints>
+ <fields>
+ <field name="Reference">D</field>
+ <field name="Value">LED_ALT</field>
</fields>
<pins>
<pin num="1" name="K" type="passive"/>
<pin num="2" name="A" type="passive"/>
</pins>
</libpart>
+ <libpart lib="Device" part="R">
+ <description>Resistor</description>
+ <docs>~</docs>
+ <footprints>
+ <fp>R_*</fp>
+ </footprints>
+ <fields>
+ <field name="Reference">R</field>
+ <field name="Value">R</field>
+ </fields>
+ <pins>
+ <pin num="1" name="~" type="passive"/>
+ <pin num="2" name="~" type="passive"/>
+ </pins>
+ </libpart>
<libpart lib="Device" part="R_Small">
<description>Resistor, small symbol</description>
<docs>~</docs>
@@ -651,11 +736,13 @@
<field name="Reference">U</field>
<field name="Value">AP1117-15</field>
<field name="Footprint">Package_TO_SOT_SMD:SOT-223-3_TabPin2</field>
+ <field name="Datasheet">http://www.diodes.com/datasheets/AP1117.pdf</field>
</fields>
<pins>
<pin num="1" name="GND" type="power_in"/>
<pin num="2" name="VO" type="power_out"/>
<pin num="3" name="VI" type="power_in"/>
+ <pin num="4" name="PAD" type="power_out"/>
</pins>
</libpart>
<libpart lib="Transistor_FET" part="TP0610T">
@@ -683,8 +770,28 @@
<pin num="3" name="D" type="passive"/>
</pins>
</libpart>
+ <libpart lib="components" part="TX4138">
+ <fields>
+ <field name="Reference">U</field>
+ <field name="Value">TX4138</field>
+ </fields>
+ <pins>
+ <pin num="1" name="SW" type="input"/>
+ <pin num="2" name="ILIM" type="input"/>
+ <pin num="3" name="VIN" type="input"/>
+ <pin num="4" name="GND" type="input"/>
+ <pin num="5" name="FB" type="input"/>
+ <pin num="6" name="EN" type="input"/>
+ <pin num="7" name="POK" type="input"/>
+ <pin num="8" name="BS" type="input"/>
+ <pin num="9" name="ILIM" type="input"/>
+ </pins>
+ </libpart>
</libparts>
<libraries>
+ <library logical="4xxx">
+ <uri>/home/user/ref/kicad-symbols/4xxx.lib</uri>
+ </library>
<library logical="Connector">
<uri>/home/user/ref/kicad-symbols/Connector.lib</uri>
</library>
@@ -703,203 +810,210 @@
<library logical="Transistor_FET">
<uri>/home/user/ref/kicad-symbols/Transistor_FET.lib</uri>
</library>
+ <library logical="components">
+ <uri>/home/user/toys/8seg/center/components.lib</uri>
+ </library>
</libraries>
<nets>
- <net code="1" name="Net-(C7-Pad2)">
- <node ref="R7" pin="1"/>
- <node ref="Q2" pin="1"/>
- <node ref="C7" pin="2"/>
+ <net code="1" name="/PAD">
+ <node ref="U1" pin="4"/>
+ </net>
+ <net code="2" name="+12V">
+ <node ref="U1" pin="3"/>
+ <node ref="C7" pin="1"/>
+ <node ref="C6" pin="1"/>
+ <node ref="C4" pin="1"/>
+ <node ref="R3" pin="1"/>
+ <node ref="L1" pin="1"/>
+ <node ref="R1" pin="1"/>
+ <node ref="C2" pin="1"/>
+ <node ref="C1" pin="2"/>
+ <node ref="D4" pin="2"/>
+ <node ref="D3" pin="2"/>
<node ref="R9" pin="1"/>
</net>
- <net code="2" name="/CH2">
- <node ref="U2" pin="12"/>
- <node ref="D7" pin="2"/>
+ <net code="3" name="+3V3">
+ <node ref="U1" pin="2"/>
+ <node ref="C8" pin="1"/>
+ <node ref="C9" pin="1"/>
+ <node ref="C10" pin="1"/>
+ <node ref="J5" pin="1"/>
+ <node ref="R7" pin="2"/>
+ <node ref="U3" pin="5"/>
+ <node ref="U3" pin="16"/>
</net>
- <net code="3" name="Net-(D7-Pad1)">
- <node ref="R9" pin="2"/>
- <node ref="D7" pin="1"/>
+ <net code="4" name="GND">
+ <node ref="U1" pin="1"/>
+ <node ref="C8" pin="2"/>
+ <node ref="C7" pin="2"/>
+ <node ref="C9" pin="2"/>
+ <node ref="C6" pin="2"/>
+ <node ref="C10" pin="2"/>
+ <node ref="C4" pin="2"/>
+ <node ref="R6" pin="2"/>
+ <node ref="C5" pin="2"/>
+ <node ref="D5" pin="2"/>
+ <node ref="U2" pin="4"/>
+ <node ref="D6" pin="2"/>
+ <node ref="Q4" pin="2"/>
+ <node ref="Q1" pin="2"/>
+ <node ref="Q5" pin="2"/>
+ <node ref="Q2" pin="2"/>
+ <node ref="J5" pin="3"/>
+ <node ref="R8" pin="2"/>
+ <node ref="Y1" pin="2"/>
+ <node ref="C12" pin="2"/>
+ <node ref="C11" pin="2"/>
+ <node ref="Y1" pin="4"/>
+ <node ref="Q3" pin="2"/>
+ <node ref="U3" pin="15"/>
</net>
- <net code="4" name="/Q2">
- <node ref="J1" pin="2"/>
- <node ref="Q2" pin="3"/>
+ <net code="5" name="/ILIM">
+ <node ref="U2" pin="2"/>
+ <node ref="U2" pin="9"/>
+ <node ref="R4" pin="1"/>
+ </net>
+ <net code="6" name="Net-(R3-Pad2)">
+ <node ref="R2" pin="2"/>
+ <node ref="U2" pin="8"/>
</net>
- <net code="5" name="/Q3">
+ <net code="7" name="Net-(D1-Pad2)">
+ <node ref="D3" pin="1"/>
+ <node ref="D1" pin="2"/>
+ <node ref="R5" pin="1"/>
+ <node ref="J1" pin="2"/>
<node ref="J3" pin="2"/>
- <node ref="Q5" pin="3"/>
</net>
- <net code="6" name="Net-(D8-Pad1)">
- <node ref="R14" pin="2"/>
- <node ref="D8" pin="1"/>
+ <net code="8" name="/Vmeas_A">
+ <node ref="R5" pin="2"/>
+ <node ref="D6" pin="1"/>
+ <node ref="U3" pin="6"/>
</net>
- <net code="7" name="Net-(C11-Pad2)">
- <node ref="R14" pin="1"/>
- <node ref="R13" pin="1"/>
- <node ref="Q5" pin="1"/>
- <node ref="C11" pin="2"/>
+ <net code="9" name="Net-(C11-Pad2)">
+ <node ref="D5" pin="1"/>
+ <node ref="L1" pin="2"/>
+ <node ref="C3" pin="2"/>
+ <node ref="R1" pin="2"/>
+ <node ref="U2" pin="1"/>
</net>
- <net code="8" name="+12V">
- <node ref="C7" pin="1"/>
- <node ref="U1" pin="3"/>
- <node ref="Q2" pin="2"/>
- <node ref="R7" pin="2"/>
- <node ref="D2" pin="1"/>
- <node ref="Q5" pin="2"/>
+ <net code="10" name="+VSW">
<node ref="D1" pin="1"/>
- <node ref="C3" pin="1"/>
- <node ref="R10" pin="1"/>
- <node ref="C2" pin="1"/>
+ <node ref="D2" pin="1"/>
<node ref="C1" pin="1"/>
- <node ref="C11" pin="1"/>
- <node ref="R13" pin="2"/>
+ <node ref="U2" pin="3"/>
+ <node ref="C2" pin="2"/>
+ <node ref="R4" pin="2"/>
</net>
- <net code="9" name="/Q0">
- <node ref="Q1" pin="3"/>
+ <net code="11" name="Net-(D2-Pad2)">
+ <node ref="D4" pin="1"/>
+ <node ref="D2" pin="2"/>
<node ref="J2" pin="2"/>
- </net>
- <net code="10" name="/Q1">
- <node ref="Q4" pin="3"/>
<node ref="J4" pin="2"/>
</net>
- <net code="11" name="/CH1">
- <node ref="U2" pin="13"/>
- <node ref="R12" pin="2"/>
+ <net code="12" name="/Q3">
+ <node ref="Q5" pin="3"/>
+ <node ref="J3" pin="1"/>
</net>
- <net code="12" name="Net-(C13-Pad1)">
- <node ref="R12" pin="1"/>
- <node ref="C13" pin="1"/>
- <node ref="Q4" pin="1"/>
+ <net code="13" name="/Q2">
+ <node ref="Q2" pin="3"/>
+ <node ref="J1" pin="1"/>
</net>
- <net code="13" name="/LOAD">
- <node ref="R11" pin="2"/>
- <node ref="U2" pin="8"/>
+ <net code="14" name="/CH2">
+ <node ref="Q2" pin="1"/>
+ <node ref="U3" pin="12"/>
</net>
- <net code="14" name="/CH0">
- <node ref="R6" pin="2"/>
- <node ref="U2" pin="9"/>
+ <net code="15" name="/CH3">
+ <node ref="Q5" pin="1"/>
+ <node ref="U3" pin="10"/>
</net>
- <net code="15" name="Net-(C8-Pad1)">
- <node ref="Q1" pin="1"/>
- <node ref="C8" pin="1"/>
+ <net code="16" name="Net-(C12-Pad1)">
+ <node ref="U2" pin="5"/>
+ <node ref="C5" pin="1"/>
<node ref="R6" pin="1"/>
- </net>
- <net code="16" name="GND">
- <node ref="C3" pin="2"/>
- <node ref="C5" pin="2"/>
- <node ref="C6" pin="2"/>
- <node ref="C4" pin="2"/>
- <node ref="C1" pin="2"/>
- <node ref="C2" pin="2"/>
<node ref="R3" pin="2"/>
- <node ref="R4" pin="2"/>
- <node ref="D5" pin="2"/>
- <node ref="D6" pin="2"/>
- <node ref="U2" pin="15"/>
- <node ref="D4" pin="2"/>
- <node ref="D3" pin="2"/>
- <node ref="U1" pin="1"/>
- <node ref="C12" pin="2"/>
- <node ref="C13" pin="2"/>
- <node ref="R8" pin="2"/>
- <node ref="C8" pin="2"/>
- <node ref="Y1" pin="2"/>
- <node ref="Y1" pin="4"/>
- <node ref="C10" pin="2"/>
- <node ref="J5" pin="3"/>
- <node ref="Q4" pin="2"/>
- <node ref="Q3" pin="2"/>
- <node ref="C9" pin="2"/>
- <node ref="Q1" pin="2"/>
</net>
- <net code="17" name="/SWDIO">
- <node ref="U2" pin="19"/>
- <node ref="J5" pin="4"/>
+ <net code="17" name="Net-(D7-Pad2)">
+ <node ref="R9" pin="2"/>
+ <node ref="D7" pin="2"/>
</net>
- <net code="18" name="/SWCLK">
- <node ref="U2" pin="20"/>
- <node ref="J5" pin="2"/>
+ <net code="18" name="Net-(D7-Pad1)">
+ <node ref="D7" pin="1"/>
+ <node ref="Q3" pin="3"/>
</net>
- <net code="19" name="+3V3">
- <node ref="R5" pin="2"/>
- <node ref="J5" pin="1"/>
- <node ref="C4" pin="1"/>
- <node ref="C5" pin="1"/>
- <node ref="C6" pin="1"/>
- <node ref="U1" pin="2"/>
- <node ref="U2" pin="5"/>
- <node ref="U2" pin="16"/>
+ <net code="19" name="Net-(U2-Pad7)">
+ <node ref="U2" pin="7"/>
</net>
- <net code="20" name="Net-(R8-Pad1)">
- <node ref="R8" pin="1"/>
- <node ref="U2" pin="1"/>
+ <net code="20" name="Net-(U2-Pad6)">
+ <node ref="U2" pin="6"/>
</net>
- <net code="21" name="Net-(TP1-Pad1)">
- <node ref="U2" pin="11"/>
- <node ref="TP1" pin="1"/>
+ <net code="21" name="/Q1">
+ <node ref="Q4" pin="3"/>
+ <node ref="J4" pin="1"/>
</net>
- <net code="22" name="Net-(TP2-Pad1)">
- <node ref="U2" pin="17"/>
- <node ref="TP2" pin="1"/>
+ <net code="22" name="/CH1">
+ <node ref="Q4" pin="1"/>
+ <node ref="U3" pin="13"/>
</net>
- <net code="23" name="Net-(TP3-Pad1)">
- <node ref="U2" pin="18"/>
- <node ref="TP3" pin="1"/>
+ <net code="23" name="/LOAD">
+ <node ref="Q3" pin="1"/>
+ <node ref="U3" pin="8"/>
</net>
- <net code="24" name="Net-(TP4-Pad1)">
- <node ref="U2" pin="14"/>
- <node ref="TP4" pin="1"/>
+ <net code="24" name="/Q0">
+ <node ref="Q1" pin="3"/>
+ <node ref="J2" pin="1"/>
</net>
- <net code="25" name="Net-(C9-Pad1)">
- <node ref="C9" pin="1"/>
- <node ref="U2" pin="3"/>
- <node ref="Y1" pin="1"/>
+ <net code="25" name="/CH0">
+ <node ref="Q1" pin="1"/>
+ <node ref="U3" pin="9"/>
</net>
<net code="26" name="Net-(C10-Pad1)">
- <node ref="U2" pin="2"/>
+ <node ref="U3" pin="2"/>
<node ref="Y1" pin="3"/>
- <node ref="C10" pin="1"/>
+ <node ref="C12" pin="1"/>
</net>
- <net code="27" name="/CH3">
- <node ref="U2" pin="10"/>
- <node ref="D8" pin="2"/>
+ <net code="27" name="Net-(C11-Pad1)">
+ <node ref="R2" pin="1"/>
+ <node ref="C3" pin="1"/>
</net>
- <net code="28" name="Net-(R5-Pad1)">
- <node ref="R5" pin="1"/>
- <node ref="U2" pin="4"/>
+ <net code="28" name="Net-(C9-Pad1)">
+ <node ref="Y1" pin="1"/>
+ <node ref="U3" pin="3"/>
+ <node ref="C11" pin="1"/>
</net>
- <net code="29" name="Net-(D1-Pad2)">
- <node ref="D1" pin="2"/>
- <node ref="D3" pin="1"/>
- <node ref="J3" pin="1"/>
- <node ref="J1" pin="1"/>
- <node ref="R1" pin="1"/>
+ <net code="29" name="Net-(TP1-Pad1)">
+ <node ref="TP1" pin="1"/>
+ <node ref="U3" pin="11"/>
</net>
- <net code="30" name="/Vmeas_B">
- <node ref="R4" pin="1"/>
- <node ref="D6" pin="1"/>
- <node ref="R2" pin="2"/>
- <node ref="U2" pin="7"/>
+ <net code="30" name="Net-(TP2-Pad1)">
+ <node ref="TP2" pin="1"/>
+ <node ref="U3" pin="17"/>
</net>
- <net code="31" name="/Vmeas_A">
- <node ref="R1" pin="2"/>
- <node ref="R3" pin="1"/>
- <node ref="U2" pin="6"/>
- <node ref="D5" pin="1"/>
+ <net code="31" name="Net-(TP3-Pad1)">
+ <node ref="TP3" pin="1"/>
+ <node ref="U3" pin="18"/>
</net>
- <net code="32" name="Net-(D2-Pad2)">
- <node ref="R2" pin="1"/>
- <node ref="J4" pin="1"/>
- <node ref="D2" pin="2"/>
- <node ref="D4" pin="1"/>
- <node ref="J2" pin="1"/>
+ <net code="32" name="Net-(TP4-Pad1)">
+ <node ref="TP4" pin="1"/>
+ <node ref="U3" pin="14"/>
</net>
- <net code="33" name="Net-(Q3-Pad3)">
- <node ref="Q3" pin="3"/>
- <node ref="R10" pin="2"/>
+ <net code="33" name="/SWDIO">
+ <node ref="J5" pin="4"/>
+ <node ref="U3" pin="19"/>
</net>
- <net code="34" name="Net-(C12-Pad1)">
- <node ref="R11" pin="1"/>
- <node ref="C12" pin="1"/>
- <node ref="Q3" pin="1"/>
+ <net code="34" name="/SWCLK">
+ <node ref="J5" pin="2"/>
+ <node ref="U3" pin="20"/>
+ </net>
+ <net code="35" name="Net-(R8-Pad1)">
+ <node ref="R8" pin="1"/>
+ <node ref="U3" pin="1"/>
+ </net>
+ <net code="36" name="Net-(R5-Pad1)">
+ <node ref="R7" pin="1"/>
+ <node ref="U3" pin="4"/>
+ </net>
+ <net code="37" name="/Vmeas_B">
+ <node ref="U3" pin="7"/>
</net>
</nets>
</export>
diff --git a/center/circle.svg b/center/circle.svg
index 4f5fd81..4940235 100644
--- a/center/circle.svg
+++ b/center/circle.svg
@@ -9,12 +9,12 @@
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
- width="50mm"
- height="50mm"
- viewBox="0 0 50 50"
+ width="56.118019mm"
+ height="65.42598mm"
+ viewBox="0 0 56.118018 65.42598"
version="1.1"
id="svg8"
- inkscape:version="0.92.3 (2405546, 2018-03-11)"
+ inkscape:version="0.92.4 (unknown)"
sodipodi:docname="circle.svg">
<defs
id="defs2" />
@@ -25,22 +25,23 @@
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
- inkscape:zoom="2.8284271"
- inkscape:cx="9.9176005"
- inkscape:cy="105.63349"
+ inkscape:zoom="2.9748893"
+ inkscape:cx="103.8971"
+ inkscape:cy="129.32102"
inkscape:document-units="mm"
inkscape:current-layer="layer1"
showgrid="false"
- inkscape:window-width="1920"
- inkscape:window-height="1030"
- inkscape:window-x="0"
+ inkscape:window-width="1908"
+ inkscape:window-height="2104"
+ inkscape:window-x="6"
inkscape:window-y="50"
inkscape:window-maximized="0"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0"
- showguides="false" />
+ showguides="false"
+ inkscape:snap-global="false" />
<metadata
id="metadata5">
<rdf:RDF>
@@ -49,7 +50,7 @@
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
- <dc:title />
+ <dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
@@ -57,981 +58,229 @@
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
- transform="translate(-15.119049,-47.428587)">
+ transform="translate(-12.060039,-39.715597)">
+ <path
+ style="opacity:0.48800001;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
+ d="m 20.704989,39.715597 -8.64495,6.514848 v 1.974557 l 0.550871,0.731221 a 0.50005001,0.50005001 0 0 0 0.643888,0.134874 l 0.283187,-0.213424 c 0.309711,-0.233383 0.747436,-0.172251 0.980818,0.137462 l 1.263489,1.677416 c 0.233384,0.30971 0.172252,0.746919 -0.137459,0.980302 l -3.584794,2.701126 v 36.149215 l 3.597713,2.710946 c 0.309711,0.233383 0.370843,0.67059 0.137459,0.980301 l -1.263488,1.676901 c -0.233384,0.30971 -0.671108,0.37136 -0.980819,0.137976 l -0.295589,-0.222726 a 0.50005001,0.50005001 0 0 0 -0.644922,0.134359 l -0.550354,0.730188 v 1.97559 l 8.645984,6.514851 h 1.233516 l 1.053166,-1.39733 a 0.50005001,0.50005001 0 0 0 -0.04909,-0.65681 l -0.282156,-0.21291 c -0.309711,-0.23338 -0.370843,-0.67059 -0.137459,-0.9803 l 1.263488,-1.67742 c 0.233384,-0.309708 0.670591,-0.37084 0.980302,-0.13746 l 6.717937,5.06223 h 17.267161 l 6.730338,-5.07204 c 0.309714,-0.233389 0.746919,-0.172257 0.980303,0.13745 l 1.264007,1.67742 c 0.233384,0.30971 0.171733,0.74692 -0.137977,0.9803 l -0.29404,0.2217 a 0.50005001,0.50005001 0 0 0 -0.05013,0.65784 l 1.05265,1.39733 h 1.235067 l 8.644948,-6.514334 v -1.975074 l -0.55087,-0.731221 a 0.50005001,0.50005001 0 0 0 -0.644917,-0.133842 l -0.282152,0.21239 c -0.309713,0.233384 -0.746919,0.171735 -0.980302,-0.137976 l -1.264008,-1.6769 c -0.233383,-0.309711 -0.171733,-0.746918 0.137978,-0.980302 l 3.584276,-2.701127 V 54.353979 l -3.597196,-2.710944 c -0.30971,-0.233384 -0.371361,-0.670592 -0.137974,-0.980303 l 1.264004,-1.6769 c 0.233384,-0.309711 0.670592,-0.371364 0.980302,-0.137975 l 0.294556,0.222208 a 0.50005001,0.50005001 0 0 0 0.645954,-0.133842 l 0.550354,-0.730189 v -1.975589 l -8.644948,-6.514848 h -1.234035 l -1.053682,1.397331 a 0.50005001,0.50005001 0 0 0 0.04909,0.656807 l 0.282152,0.212907 c 0.309711,0.233384 0.371361,0.670592 0.137977,0.980302 l -1.264007,1.677416 c -0.233383,0.309711 -0.670589,0.370843 -0.980299,0.137459 L 48.752369,39.715597 H 31.485727 l -6.730856,5.072041 c -0.309709,0.233384 -0.746918,0.171736 -0.980302,-0.137975 l -1.263488,-1.6769 c -0.233384,-0.309711 -0.172252,-0.746919 0.137459,-0.980302 l 0.295072,-0.222208 a 0.50005001,0.50005001 0 0 0 0.04909,-0.657841 l -1.052649,-1.396815 z m 19.38693,26.135872 a 0.75007501,0.75007501 0 0 1 0.492477,0.150378 l 7.72821,5.839952 a 0.75007501,0.75007501 0 0 1 -0.0036,1.199412 l -7.758184,5.80843 a 0.75007501,0.75007501 0 0 1 -0.902269,-0.0041 l -7.695156,-5.818284 a 0.75007501,0.75007501 0 0 1 0,-1.197343 l 7.726661,-5.828067 a 0.75007501,0.75007501 0 0 1 0.411861,-0.150378 z"
+ id="rect1244"
+ inkscape:connector-curvature="0" />
+ <g
+ id="g1801" />
<g
- id="g4836-9-3-6-1"
- transform="matrix(-0.15923022,-0.21130565,0.21130565,-0.15923022,115.68933,111.85915)">
+ id="g1098"
+ transform="translate(-26.407374)">
<rect
- y="3.6504798"
- x="137.11844"
- height="60.472439"
- width="104.63454"
- id="rect4786-2-3-6-8-5"
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.83950293;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
+ y="0.13303514"
+ x="68.392471"
+ height="7.9999995"
+ width="18"
+ id="rect4686-2-1-17-3"
+ style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:0.28249767;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
+ transform="rotate(90)" />
+ <path
+ transform="matrix(0.26458333,0,0,0.26458333,15.119049,47.428587)"
+ id="rect4786-2-3-7"
+ d="M -97.330078,43.238281 V 54.53125 h 5.667969 v 13.228516 h -5.667969 v 97.966794 h 49.132812 V 67.759766 h -5.667968 V 54.53125 h 5.667968 V 43.238281 Z"
+ style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.83950293;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
+ inkscape:connector-curvature="0" />
+ <rect
+ transform="rotate(90)"
+ y="0.13303499"
+ x="54.392467"
+ height="7.9999995"
+ width="32.000004"
+ id="rect4686-2-1-17"
+ style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:0.28249767;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
<rect
- y="18.768589"
- x="137.11844"
- height="30.236219"
- width="86.173225"
- id="rect4686-2-1-1-9-9"
- style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:1.06770778;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
+ transform="rotate(90)"
+ y="-1.7669654"
+ x="62.106522"
+ height="11.8"
+ width="2.9999998"
+ id="rect4639-2-0-7-3-8-26"
+ style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:0.2382073;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
<g
- transform="translate(204.39402,20.748586)"
- id="g4558-5-7-2-8-9-0-2-7">
+ style="stroke-width:0.97111171"
+ transform="matrix(1,0,0,1.0603802,-0.1826225,-4.4495689)"
+ id="g901">
<rect
- ry="5.2913384"
- rx="5.2913384"
- style="opacity:0.278;vector-effect:none;fill:#cc0000;fill-opacity:1;stroke:none;stroke-width:1.0583005;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4539-6-0-0-9-4-6-6-7"
- width="18.897638"
- height="10.582677"
- x="-0.00069562939"
- y="-0.65716338" />
+ transform="rotate(90)"
+ y="4.3332691"
+ x="62.375771"
+ height="2.9901693"
+ width="11.316695"
+ id="rect4539-3-2-9-2-7-7-2"
+ style="opacity:0.278;vector-effect:none;fill:#cc0000;fill-opacity:1;stroke:none;stroke-width:0.2719197;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
+ rx="0.94305795"
+ ry="1" />
<rect
- ry="5.2913384"
- rx="5.2913384"
- style="opacity:0.278;vector-effect:none;fill:#cc0000;fill-opacity:1;stroke:none;stroke-width:1.0583005;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4539-3-2-9-2-7-7-3-6-6"
- width="18.897638"
- height="10.582677"
- x="0"
- y="16.35071" />
+ transform="rotate(90)"
+ y="0.57738608"
+ x="62.375771"
+ height="2.9901693"
+ width="11.316695"
+ id="rect4539-3-2-9-2-7-7-2-6"
+ style="opacity:0.278;vector-effect:none;fill:#cc0000;fill-opacity:1;stroke:none;stroke-width:0.2719197;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
+ rx="0.94305795"
+ ry="1" />
</g>
<rect
- y="9.7858925"
- x="156.01755"
- height="48.201611"
- width="11.338582"
- id="rect4639-2-0-7-3-8-2-4-7"
- style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:0.90031105;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <rect
- ry="0"
- rx="0"
- y="52.784336"
- x="156.01755"
- height="11.338582"
- width="15.11811"
- id="rect4761-6-4-0-9-3"
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.9005084;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
+ ry="0.69999999"
+ rx="0.69999999"
+ y="91.277023"
+ x="-9.1330347"
+ height="2.5"
+ width="10"
+ id="rect943"
+ style="opacity:0.48800001;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
<rect
- ry="0"
- rx="0"
- y="3.6504779"
- x="156.01755"
- height="11.338582"
- width="15.11811"
- id="rect4761-9-1-5-6-5-6"
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.9005084;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
+ ry="0.69999999"
+ rx="0.69999999"
+ y="61.856522"
+ x="-11.144859"
+ height="3.5000002"
+ width="2"
+ id="rect988"
+ style="opacity:0.48800001;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
<rect
- y="14.989059"
- x="137.11844"
- height="37.795277"
- width="44.09428"
- id="rect4784-2-0-1-0-5"
- style="opacity:0.275;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:0.96297592;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
+ ry="0.69999999"
+ rx="0.69999999"
+ y="61.856522"
+ x="0.86261541"
+ height="3.5000002"
+ width="2"
+ id="rect988-7"
+ style="opacity:0.48800001;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
</g>
- <path
- style="opacity:0.253;vector-effect:none;fill:#669900;fill-opacity:1;stroke:none;stroke-width:3.76097274;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- d="M 32.759766 -26.544922 L -15.535156 9.8496094 L -0.4375 29.882812 L 8.6171875 23.060547 L 18.974609 36.804688 A 94.488189 94.488189 0 0 0 -0.25 93.738281 A 94.488189 94.488189 0 0 0 19.503906 151.46875 L 8.6171875 165.91602 L -0.4375 159.09375 L -15.535156 179.12695 L 32.759766 215.52148 L 47.857422 195.48633 L 38.802734 188.66406 L 48.191406 176.20312 A 94.488189 94.488189 0 0 0 94.238281 188.22656 A 94.488189 94.488189 0 0 0 140.58984 175.94531 L 150.17383 188.66406 L 141.11914 195.48633 L 156.2168 215.52148 L 204.51172 179.12695 L 189.41406 159.09375 L 180.35938 165.91602 L 169.18359 151.08594 A 94.488189 94.488189 0 0 0 188.72656 93.738281 A 94.488189 94.488189 0 0 0 169.76562 37.117188 L 180.35938 23.060547 L 189.41406 29.882812 L 204.51172 9.8496094 L 156.2168 -26.544922 L 141.11914 -6.5097656 L 150.17383 0.3125 L 141.39844 11.957031 A 94.488189 94.488189 0 0 0 94.238281 -0.75 A 94.488189 94.488189 0 0 0 47.447266 11.785156 L 38.802734 0.3125 L 47.857422 -6.5097656 L 32.759766 -26.544922 z "
- transform="matrix(0.26458333,0,0,0.26458333,15.119049,47.428587)"
- id="path1898" />
<g
- id="g1980">
- <g
- inkscape:transform-center-y="-9.0270283"
- transform="matrix(0,0.26458333,-0.26458333,0,4.8328208,27.313217)"
- id="g4836-9-8">
- <rect
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.83950293;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4786-2-3-7"
- width="104.63454"
- height="60.472439"
- x="137.11844"
- y="3.6504798" />
- <rect
- style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:1.06770778;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4686-2-1-17"
- width="86.173225"
- height="30.236219"
- x="137.11844"
- y="18.768589" />
- <g
- id="g4558-5-7-2-8-9-2"
- transform="translate(204.39402,20.748586)">
- <rect
- y="-0.65716338"
- x="-0.00069562939"
- height="10.582677"
- width="18.897638"
- id="rect4539-6-0-0-9-4-7"
- style="opacity:0.278;vector-effect:none;fill:#cc0000;fill-opacity:1;stroke:none;stroke-width:1.0583005;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- rx="5.2913384"
- ry="5.2913384" />
- <rect
- y="16.35071"
- x="0"
- height="10.582677"
- width="18.897638"
- id="rect4539-3-2-9-2-7-7-2"
- style="opacity:0.278;vector-effect:none;fill:#cc0000;fill-opacity:1;stroke:none;stroke-width:1.0583005;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- rx="5.2913384"
- ry="5.2913384" />
- </g>
- <rect
- style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:0.90031105;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4639-2-0-7-3-8-26"
- width="11.338582"
- height="48.201611"
- x="156.01755"
- y="9.7858925" />
- <rect
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.9005084;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4761-6-4-1"
- width="15.11811"
- height="11.338582"
- x="162.20473"
- y="52.784336"
- rx="0"
- ry="0" />
- <rect
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.9005084;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4761-9-1-5-0"
- width="15.11811"
- height="11.338582"
- x="162.20473"
- y="3.6504779"
- rx="0"
- ry="0" />
- <rect
- style="opacity:0.275;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:0.96297592;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4784-2-0-6"
- width="44.09428"
- height="37.795277"
- x="137.11844"
- y="14.989059" />
- </g>
+ id="g1098-3"
+ transform="translate(-55.842269)">
+ <rect
+ y="0.13303514"
+ x="68.392471"
+ height="7.9999995"
+ width="18"
+ id="rect4686-2-1-17-3-6"
+ style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:0.28249767;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
+ transform="rotate(90)" />
+ <path
+ inkscape:connector-curvature="0"
+ transform="matrix(0.26458333,0,0,0.26458333,15.119049,47.428587)"
+ id="rect4786-2-3-7-0"
+ d="M -97.330078,43.238281 V 54.53125 h 5.667969 v 13.228516 h -5.667969 v 97.966794 h 49.132812 V 67.759766 h -5.667968 V 54.53125 h 5.667968 V 43.238281 Z"
+ style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.83950293;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
+ <rect
+ transform="rotate(90)"
+ y="0.13303499"
+ x="54.392467"
+ height="7.9999995"
+ width="32.000004"
+ id="rect4686-2-1-17-6"
+ style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:0.28249767;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
+ <rect
+ transform="rotate(90)"
+ y="-1.7669654"
+ x="62.106522"
+ height="11.8"
+ width="2.9999998"
+ id="rect4639-2-0-7-3-8-26-2"
+ style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:0.2382073;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
<g
- transform="translate(-51.354439,-2.3048657)"
- id="g1921">
+ style="stroke-width:0.97111171"
+ transform="matrix(1,0,0,1.0603802,-0.1826225,-4.4495689)"
+ id="g901-6">
<rect
- style="opacity:0.253;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:0.26458332;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect1900"
- width="10.809647"
- height="6.6807289"
- x="47.221405"
- y="88.697334" />
+ transform="rotate(90)"
+ y="4.3332691"
+ x="62.375771"
+ height="2.9901693"
+ width="11.316695"
+ id="rect4539-3-2-9-2-7-7-2-1"
+ style="opacity:0.278;vector-effect:none;fill:#cc0000;fill-opacity:1;stroke:none;stroke-width:0.2719197;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
+ rx="0.94305795"
+ ry="1" />
<rect
- style="opacity:0.253;vector-effect:none;fill:#669900;fill-opacity:1;stroke:none;stroke-width:0.26458332;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect1900-3"
- width="10.809647"
- height="6.6807294"
- x="36.411758"
- y="88.697334" />
- </g>
- </g>
- <g
- id="g2667"
- transform="translate(-84.751166)">
- <g
- id="g1980-3"
- transform="rotate(-37,-17.023158,21.480093)">
- <g
- transform="translate(0.31271798,-2.4381155)"
- id="g2049">
- <g
- inkscape:transform-center-y="-9.0270283"
- transform="matrix(0,0.26458333,-0.26458333,0,4.8328208,27.313217)"
- id="g4836-9-8-5">
- <rect
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.83950293;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4786-2-3-7-6"
- width="104.63454"
- height="60.472439"
- x="137.11844"
- y="3.6504798" />
- <rect
- style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:1.06770778;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4686-2-1-17-2"
- width="86.173225"
- height="30.236219"
- x="137.11844"
- y="18.768589" />
- <g
- id="g4558-5-7-2-8-9-2-9"
- transform="translate(204.39402,20.748586)">
- <rect
- y="-0.65716338"
- x="-0.00069562939"
- height="10.582677"
- width="18.897638"
- id="rect4539-6-0-0-9-4-7-1"
- style="opacity:0.278;vector-effect:none;fill:#cc0000;fill-opacity:1;stroke:none;stroke-width:1.0583005;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- rx="5.2913384"
- ry="5.2913384" />
- <rect
- y="16.35071"
- x="0"
- height="10.582677"
- width="18.897638"
- id="rect4539-3-2-9-2-7-7-2-2"
- style="opacity:0.278;vector-effect:none;fill:#cc0000;fill-opacity:1;stroke:none;stroke-width:1.0583005;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- rx="5.2913384"
- ry="5.2913384" />
- </g>
- <rect
- style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:0.90031105;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4639-2-0-7-3-8-26-7"
- width="11.338582"
- height="48.201611"
- x="156.01755"
- y="9.7858925" />
- <rect
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.9005084;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4761-6-4-1-0"
- width="15.11811"
- height="11.338582"
- x="156.01755"
- y="52.784336"
- rx="0"
- ry="0" />
- <rect
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.9005084;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4761-9-1-5-0-9"
- width="15.11811"
- height="11.338582"
- x="156.01755"
- y="3.6504779"
- rx="0"
- ry="0" />
- <rect
- style="opacity:0.275;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:0.96297592;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4784-2-0-6-3"
- width="44.09428"
- height="37.795277"
- x="137.11844"
- y="14.989059" />
- </g>
- <g
- transform="translate(-51.354439,-2.3048657)"
- id="g1921-60">
- <rect
- style="opacity:0.253;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:0.26458332;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect1900-6"
- width="10.809647"
- height="6.6807289"
- x="47.221405"
- y="88.697334" />
- <rect
- style="opacity:0.253;vector-effect:none;fill:#669900;fill-opacity:1;stroke:none;stroke-width:0.26458332;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect1900-3-2"
- width="10.809647"
- height="6.6807294"
- x="36.411758"
- y="88.697334" />
- </g>
- </g>
- </g>
- <g
- id="g1980-3-5"
- transform="rotate(36.999999,53.321892,152.80102)">
- <g
- transform="translate(0.31271798,-2.4381155)"
- id="g2049-4">
- <g
- inkscape:transform-center-y="-9.0270283"
- transform="matrix(0,0.26458333,-0.26458333,0,4.8328208,27.313217)"
- id="g4836-9-8-5-7">
- <rect
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.83950293;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4786-2-3-7-6-4"
- width="104.63454"
- height="60.472439"
- x="137.11844"
- y="3.6504798" />
- <rect
- style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:1.06770778;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4686-2-1-17-2-4"
- width="86.173225"
- height="30.236219"
- x="137.11844"
- y="18.768589" />
- <g
- id="g4558-5-7-2-8-9-2-9-3"
- transform="translate(204.39402,20.748586)">
- <rect
- y="-0.65716338"
- x="-0.00069562939"
- height="10.582677"
- width="18.897638"
- id="rect4539-6-0-0-9-4-7-1-0"
- style="opacity:0.278;vector-effect:none;fill:#cc0000;fill-opacity:1;stroke:none;stroke-width:1.0583005;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- rx="5.2913384"
- ry="5.2913384" />
- <rect
- y="16.35071"
- x="0"
- height="10.582677"
- width="18.897638"
- id="rect4539-3-2-9-2-7-7-2-2-7"
- style="opacity:0.278;vector-effect:none;fill:#cc0000;fill-opacity:1;stroke:none;stroke-width:1.0583005;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- rx="5.2913384"
- ry="5.2913384" />
- </g>
- <rect
- style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:0.90031105;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4639-2-0-7-3-8-26-7-8"
- width="11.338582"
- height="48.201611"
- x="156.01755"
- y="9.7858925" />
- <rect
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.9005084;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4761-6-4-1-0-6"
- width="15.11811"
- height="11.338582"
- x="156.01755"
- y="52.784336"
- rx="0"
- ry="0" />
- <rect
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.9005084;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4761-9-1-5-0-9-8"
- width="15.11811"
- height="11.338582"
- x="156.01755"
- y="3.6504779"
- rx="0"
- ry="0" />
- <rect
- style="opacity:0.275;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:0.96297592;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4784-2-0-6-3-8"
- width="44.09428"
- height="37.795277"
- x="137.11844"
- y="14.989059" />
- </g>
- <g
- transform="translate(-51.354439,-2.3048657)"
- id="g1921-60-4">
- <rect
- style="opacity:0.253;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:0.26458332;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect1900-6-3"
- width="10.809647"
- height="6.6807289"
- x="47.221405"
- y="88.697334" />
- <rect
- style="opacity:0.253;vector-effect:none;fill:#669900;fill-opacity:1;stroke:none;stroke-width:0.26458332;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect1900-3-2-1"
- width="10.809647"
- height="6.6807294"
- x="36.411758"
- y="88.697334" />
- </g>
- </g>
- </g>
- <g
- id="g1980-3-4"
- transform="rotate(143,23.071921,91.548103)">
- <g
- transform="translate(0.31271798,-2.4381155)"
- id="g2049-9">
- <g
- inkscape:transform-center-y="-9.0270283"
- transform="matrix(0,0.26458333,-0.26458333,0,4.8328208,27.313217)"
- id="g4836-9-8-5-2">
- <rect
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.83950293;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4786-2-3-7-6-0"
- width="104.63454"
- height="60.472439"
- x="137.11844"
- y="3.6504798" />
- <rect
- style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:1.06770778;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4686-2-1-17-2-6"
- width="86.173225"
- height="30.236219"
- x="137.11844"
- y="18.768589" />
- <g
- id="g4558-5-7-2-8-9-2-9-8"
- transform="translate(204.39402,20.748586)">
- <rect
- y="-0.65716338"
- x="-0.00069562939"
- height="10.582677"
- width="18.897638"
- id="rect4539-6-0-0-9-4-7-1-9"
- style="opacity:0.278;vector-effect:none;fill:#cc0000;fill-opacity:1;stroke:none;stroke-width:1.0583005;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- rx="5.2913384"
- ry="5.2913384" />
- <rect
- y="16.35071"
- x="0"
- height="10.582677"
- width="18.897638"
- id="rect4539-3-2-9-2-7-7-2-2-2"
- style="opacity:0.278;vector-effect:none;fill:#cc0000;fill-opacity:1;stroke:none;stroke-width:1.0583005;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- rx="5.2913384"
- ry="5.2913384" />
- </g>
- <rect
- style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:0.90031105;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4639-2-0-7-3-8-26-7-6"
- width="11.338582"
- height="48.201611"
- x="156.01755"
- y="9.7858925" />
- <rect
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.9005084;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4761-6-4-1-0-64"
- width="15.11811"
- height="11.338582"
- x="156.01755"
- y="52.784336"
- rx="0"
- ry="0" />
- <rect
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.9005084;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4761-9-1-5-0-9-9"
- width="15.11811"
- height="11.338582"
- x="156.01755"
- y="3.6504779"
- rx="0"
- ry="0" />
- <rect
- style="opacity:0.275;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:0.96297592;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4784-2-0-6-3-5"
- width="44.09428"
- height="37.795277"
- x="137.11844"
- y="14.989059" />
- </g>
- <g
- transform="translate(-51.354439,-2.3048657)"
- id="g1921-60-0">
- <rect
- style="opacity:0.253;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:0.26458332;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect1900-6-4"
- width="10.809647"
- height="6.6807289"
- x="47.221405"
- y="88.697334" />
- <rect
- style="opacity:0.253;vector-effect:none;fill:#669900;fill-opacity:1;stroke:none;stroke-width:0.26458332;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect1900-3-2-8"
- width="10.809647"
- height="6.6807294"
- x="36.411758"
- y="88.697334" />
- </g>
- </g>
- </g>
- <g
- id="g1980-3-5-7"
- transform="rotate(-143,13.226812,76.846197)">
- <g
- transform="translate(0.31271798,-2.4381155)"
- id="g2049-4-1">
- <g
- inkscape:transform-center-y="-9.0270283"
- transform="matrix(0,0.26458333,-0.26458333,0,4.8328208,27.313217)"
- id="g4836-9-8-5-7-7">
- <rect
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.83950293;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4786-2-3-7-6-4-2"
- width="104.63454"
- height="60.472439"
- x="137.11844"
- y="3.6504798" />
- <rect
- style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:1.06770778;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4686-2-1-17-2-4-7"
- width="86.173225"
- height="30.236219"
- x="137.11844"
- y="18.768589" />
- <g
- id="g4558-5-7-2-8-9-2-9-3-2"
- transform="translate(204.39402,20.748586)">
- <rect
- y="-0.65716338"
- x="-0.00069562939"
- height="10.582677"
- width="18.897638"
- id="rect4539-6-0-0-9-4-7-1-0-2"
- style="opacity:0.278;vector-effect:none;fill:#cc0000;fill-opacity:1;stroke:none;stroke-width:1.0583005;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- rx="5.2913384"
- ry="5.2913384" />
- <rect
- y="16.35071"
- x="0"
- height="10.582677"
- width="18.897638"
- id="rect4539-3-2-9-2-7-7-2-2-7-6"
- style="opacity:0.278;vector-effect:none;fill:#cc0000;fill-opacity:1;stroke:none;stroke-width:1.0583005;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- rx="5.2913384"
- ry="5.2913384" />
- </g>
- <rect
- style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:0.90031105;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4639-2-0-7-3-8-26-7-8-1"
- width="11.338582"
- height="48.201611"
- x="156.01755"
- y="9.7858925" />
- <rect
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.9005084;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4761-6-4-1-0-6-0"
- width="15.11811"
- height="11.338582"
- x="156.01755"
- y="52.784336"
- rx="0"
- ry="0" />
- <rect
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.9005084;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4761-9-1-5-0-9-8-6"
- width="15.11811"
- height="11.338582"
- x="156.01755"
- y="3.6504779"
- rx="0"
- ry="0" />
- <rect
- style="opacity:0.275;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:0.96297592;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4784-2-0-6-3-8-1"
- width="44.09428"
- height="37.795277"
- x="137.11844"
- y="14.989059" />
- </g>
- <g
- transform="translate(-51.354439,-2.3048657)"
- id="g1921-60-4-5">
- <rect
- style="opacity:0.253;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:0.26458332;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect1900-6-3-9"
- width="10.809647"
- height="6.6807289"
- x="47.221405"
- y="88.697334" />
- <rect
- style="opacity:0.253;vector-effect:none;fill:#669900;fill-opacity:1;stroke:none;stroke-width:0.26458332;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect1900-3-2-1-4"
- width="10.809647"
- height="6.6807294"
- x="36.411758"
- y="88.697334" />
- </g>
- </g>
+ transform="rotate(90)"
+ y="0.57738608"
+ x="62.375771"
+ height="2.9901693"
+ width="11.316695"
+ id="rect4539-3-2-9-2-7-7-2-6-8"
+ style="opacity:0.278;vector-effect:none;fill:#cc0000;fill-opacity:1;stroke:none;stroke-width:0.2719197;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
+ rx="0.94305795"
+ ry="1" />
</g>
- </g>
- <g
- id="g3129"
- transform="translate(-67.071875,-62.838541)">
- <circle
- r="25"
- cy="72.230156"
- cx="40.052902"
- id="path1898-6"
- style="opacity:0.253;vector-effect:none;fill:#669900;fill-opacity:1;stroke:none;stroke-width:0.26328442;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
<rect
- y="63.428589"
- x="31.119049"
- height="18"
- width="18"
- id="rect2605-2"
- style="opacity:0.253;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:0.48487327;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <g
- id="g1980-9-1"
- transform="rotate(37.000001,56.808907,154.48737)">
- <g
- id="g4836-9-8-0-7"
- transform="matrix(0,0.26458333,-0.26458333,0,4.8328208,27.313217)"
- inkscape:transform-center-y="-9.0270283">
- <rect
- y="3.6504798"
- x="137.11844"
- height="60.472439"
- width="104.63454"
- id="rect4786-2-3-7-9-8"
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.83950293;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <rect
- y="18.768589"
- x="137.11844"
- height="30.236219"
- width="86.173225"
- id="rect4686-2-1-17-1-5"
- style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:1.06770778;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <g
- transform="translate(204.39402,20.748586)"
- id="g4558-5-7-2-8-9-2-7-7">
- <rect
- ry="5.2913384"
- rx="5.2913384"
- style="opacity:0.278;vector-effect:none;fill:#cc0000;fill-opacity:1;stroke:none;stroke-width:1.0583005;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4539-6-0-0-9-4-7-7-41"
- width="18.897638"
- height="10.582677"
- x="-0.00069562939"
- y="-0.65716338" />
- <rect
- ry="5.2913384"
- rx="5.2913384"
- style="opacity:0.278;vector-effect:none;fill:#cc0000;fill-opacity:1;stroke:none;stroke-width:1.0583005;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4539-3-2-9-2-7-7-2-1-8"
- width="18.897638"
- height="10.582677"
- x="0"
- y="16.35071" />
- </g>
- <rect
- y="9.7858925"
- x="156.01755"
- height="48.201611"
- width="11.338582"
- id="rect4639-2-0-7-3-8-26-1-5"
- style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:0.90031105;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <rect
- ry="0"
- rx="0"
- y="52.784336"
- x="162.20473"
- height="11.338582"
- width="15.11811"
- id="rect4761-6-4-1-5-9"
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.9005084;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <rect
- ry="0"
- rx="0"
- y="3.6504779"
- x="162.20473"
- height="11.338582"
- width="15.11811"
- id="rect4761-9-1-5-0-97-7"
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.9005084;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <rect
- y="14.989059"
- x="137.11844"
- height="37.795277"
- width="44.09428"
- id="rect4784-2-0-6-7-5"
- style="opacity:0.275;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:0.96297592;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- </g>
- <g
- id="g1921-6-3"
- transform="translate(-51.354439,-2.3048657)">
- <rect
- y="88.697334"
- x="47.221405"
- height="6.6807289"
- width="10.809647"
- id="rect1900-7-8"
- style="opacity:0.253;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:0.26458332;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <rect
- y="88.697334"
- x="36.411758"
- height="6.6807294"
- width="10.809647"
- id="rect1900-3-3-8"
- style="opacity:0.253;vector-effect:none;fill:#669900;fill-opacity:1;stroke:none;stroke-width:0.26458332;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- </g>
- </g>
- <g
- id="g1980-6-3"
- transform="rotate(-37.000001,-20.822891,22.231844)">
- <g
- id="g4836-9-8-56-1"
- transform="matrix(0,0.26458333,-0.26458333,0,4.8328208,27.313217)"
- inkscape:transform-center-y="-9.0270283">
- <rect
- y="3.6504798"
- x="137.11844"
- height="60.472439"
- width="104.63454"
- id="rect4786-2-3-7-3-8"
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.83950293;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <rect
- y="18.768589"
- x="137.11844"
- height="30.236219"
- width="86.173225"
- id="rect4686-2-1-17-9-9"
- style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:1.06770778;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <g
- transform="translate(204.39402,20.748586)"
- id="g4558-5-7-2-8-9-2-4-6">
- <rect
- ry="5.2913384"
- rx="5.2913384"
- style="opacity:0.278;vector-effect:none;fill:#cc0000;fill-opacity:1;stroke:none;stroke-width:1.0583005;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4539-6-0-0-9-4-7-8-4"
- width="18.897638"
- height="10.582677"
- x="-0.00069562939"
- y="-0.65716338" />
- <rect
- ry="5.2913384"
- rx="5.2913384"
- style="opacity:0.278;vector-effect:none;fill:#cc0000;fill-opacity:1;stroke:none;stroke-width:1.0583005;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4539-3-2-9-2-7-7-2-12-3"
- width="18.897638"
- height="10.582677"
- x="0"
- y="16.35071" />
- </g>
- <rect
- y="9.7858925"
- x="156.01755"
- height="48.201611"
- width="11.338582"
- id="rect4639-2-0-7-3-8-26-9-3"
- style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:0.90031105;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <rect
- ry="0"
- rx="0"
- y="52.784336"
- x="162.20473"
- height="11.338582"
- width="15.11811"
- id="rect4761-6-4-1-3-3"
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.9005084;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <rect
- ry="0"
- rx="0"
- y="3.6504779"
- x="162.20473"
- height="11.338582"
- width="15.11811"
- id="rect4761-9-1-5-0-90-8"
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.9005084;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <rect
- y="14.989059"
- x="137.11844"
- height="37.795277"
- width="44.09428"
- id="rect4784-2-0-6-8-6"
- style="opacity:0.275;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:0.96297592;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- </g>
- <g
- id="g1921-8-0"
- transform="translate(-51.354439,-2.3048657)">
- <rect
- y="88.697334"
- x="47.221405"
- height="6.6807289"
- width="10.809647"
- id="rect1900-5-4"
- style="opacity:0.253;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:0.26458332;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <rect
- y="88.697334"
- x="36.411758"
- height="6.6807294"
- width="10.809647"
- id="rect1900-3-0-8"
- style="opacity:0.253;vector-effect:none;fill:#669900;fill-opacity:1;stroke:none;stroke-width:0.26458332;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- </g>
- </g>
- <g
- id="g1980-6-9-8"
- transform="rotate(143,23.323453,92.819479)">
- <g
- id="g4836-9-8-56-6-8"
- transform="matrix(0,0.26458333,-0.26458333,0,4.8328208,27.313217)"
- inkscape:transform-center-y="-9.0270283">
- <rect
- y="3.6504798"
- x="137.11844"
- height="60.472439"
- width="104.63454"
- id="rect4786-2-3-7-3-3-9"
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.83950293;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <rect
- y="18.768589"
- x="137.11844"
- height="30.236219"
- width="86.173225"
- id="rect4686-2-1-17-9-8-7"
- style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:1.06770778;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <g
- transform="translate(204.39402,20.748586)"
- id="g4558-5-7-2-8-9-2-4-5-7">
- <rect
- ry="5.2913384"
- rx="5.2913384"
- style="opacity:0.278;vector-effect:none;fill:#cc0000;fill-opacity:1;stroke:none;stroke-width:1.0583005;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4539-6-0-0-9-4-7-8-6-6"
- width="18.897638"
- height="10.582677"
- x="-0.00069562939"
- y="-0.65716338" />
- <rect
- ry="5.2913384"
- rx="5.2913384"
- style="opacity:0.278;vector-effect:none;fill:#cc0000;fill-opacity:1;stroke:none;stroke-width:1.0583005;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4539-3-2-9-2-7-7-2-12-1-4"
- width="18.897638"
- height="10.582677"
- x="0"
- y="16.35071" />
- </g>
- <rect
- y="9.7858925"
- x="156.01755"
- height="48.201611"
- width="11.338582"
- id="rect4639-2-0-7-3-8-26-9-1-3"
- style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:0.90031105;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <rect
- ry="0"
- rx="0"
- y="52.784336"
- x="162.20473"
- height="11.338582"
- width="15.11811"
- id="rect4761-6-4-1-3-5-0"
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.9005084;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <rect
- ry="0"
- rx="0"
- y="3.6504779"
- x="162.20473"
- height="11.338582"
- width="15.11811"
- id="rect4761-9-1-5-0-90-9-3"
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.9005084;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <rect
- y="14.989059"
- x="137.11844"
- height="37.795277"
- width="44.09428"
- id="rect4784-2-0-6-8-8-0"
- style="opacity:0.275;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:0.96297592;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- </g>
- <g
- id="g1921-8-4-9"
- transform="translate(-51.354439,-2.3048657)">
- <rect
- y="88.697334"
- x="47.221405"
- height="6.6807289"
- width="10.809647"
- id="rect1900-5-8-2"
- style="opacity:0.253;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:0.26458332;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <rect
- y="88.697334"
- x="36.411758"
- height="6.6807294"
- width="10.809647"
- id="rect1900-3-0-1-5"
- style="opacity:0.253;vector-effect:none;fill:#669900;fill-opacity:1;stroke:none;stroke-width:0.26458332;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- </g>
- </g>
- <g
- id="g1980-9-0-4"
- transform="rotate(-143,12.662564,78.012938)">
- <g
- id="g4836-9-8-0-3-0"
- transform="matrix(0,0.26458333,-0.26458333,0,4.8328208,27.313217)"
- inkscape:transform-center-y="-9.0270283">
- <rect
- y="3.6504798"
- x="137.11844"
- height="60.472439"
- width="104.63454"
- id="rect4786-2-3-7-9-0-5"
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.83950293;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <rect
- y="18.768589"
- x="137.11844"
- height="30.236219"
- width="86.173225"
- id="rect4686-2-1-17-1-4-9"
- style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:1.06770778;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <g
- transform="translate(204.39402,20.748586)"
- id="g4558-5-7-2-8-9-2-7-4-4">
- <rect
- ry="5.2913384"
- rx="5.2913384"
- style="opacity:0.278;vector-effect:none;fill:#cc0000;fill-opacity:1;stroke:none;stroke-width:1.0583005;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4539-6-0-0-9-4-7-7-4-6"
- width="18.897638"
- height="10.582677"
- x="-0.00069562939"
- y="-0.65716338" />
- <rect
- ry="5.2913384"
- rx="5.2913384"
- style="opacity:0.278;vector-effect:none;fill:#cc0000;fill-opacity:1;stroke:none;stroke-width:1.0583005;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect4539-3-2-9-2-7-7-2-1-4-9"
- width="18.897638"
- height="10.582677"
- x="0"
- y="16.35071" />
- </g>
- <rect
- y="9.7858925"
- x="156.01755"
- height="48.201611"
- width="11.338582"
- id="rect4639-2-0-7-3-8-26-1-7-2"
- style="opacity:0.275;vector-effect:none;fill:#ff9900;fill-opacity:1;stroke:none;stroke-width:0.90031105;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <rect
- ry="0"
- rx="0"
- y="52.784336"
- x="162.20473"
- height="11.338582"
- width="15.11811"
- id="rect4761-6-4-1-5-6-2"
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.9005084;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <rect
- ry="0"
- rx="0"
- y="3.6504779"
- x="162.20473"
- height="11.338582"
- width="15.11811"
- id="rect4761-9-1-5-0-97-3-4"
- style="opacity:0.275;vector-effect:none;fill:#003399;fill-opacity:1;stroke:none;stroke-width:0.9005084;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <rect
- y="14.989059"
- x="137.11844"
- height="37.795277"
- width="44.09428"
- id="rect4784-2-0-6-7-1-7"
- style="opacity:0.275;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:0.96297592;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- </g>
- <g
- id="g1921-6-7-7"
- transform="translate(-51.354439,-2.3048657)">
- <rect
- y="88.697334"
- x="47.221405"
- height="6.6807289"
- width="10.809647"
- id="rect1900-7-5-5"
- style="opacity:0.253;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:0.26458332;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- <rect
- y="88.697334"
- x="36.411758"
- height="6.6807294"
- width="10.809647"
- id="rect1900-3-3-9-4"
- style="opacity:0.253;vector-effect:none;fill:#669900;fill-opacity:1;stroke:none;stroke-width:0.26458332;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
- </g>
- </g>
+ ry="0.69999999"
+ rx="0.69999999"
+ y="91.277023"
+ x="-9.1330347"
+ height="2.5"
+ width="10"
+ id="rect943-7"
+ style="opacity:0.48800001;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
+ <rect
+ ry="0.69999999"
+ rx="0.69999999"
+ y="61.856522"
+ x="-11.144859"
+ height="3.5000002"
+ width="2"
+ id="rect988-9"
+ style="opacity:0.48800001;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
+ <rect
+ ry="0.69999999"
+ rx="0.69999999"
+ y="61.856522"
+ x="0.86261541"
+ height="3.5000002"
+ width="2"
+ id="rect988-7-2"
+ style="opacity:0.48800001;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
+ <rect
+ style="opacity:0.48800001;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
+ id="rect1246"
+ width="15.902537"
+ height="7.9512687"
+ x="-12.084274"
+ y="50.917446"
+ rx="2.7755586e-17"
+ ry="2.7755586e-17" />
+ <rect
+ ry="0.69999999"
+ rx="0.69999999"
+ y="61.856522"
+ x="0.86261493"
+ height="3.5000002"
+ width="11.882558"
+ id="rect988-7-2-2"
+ style="opacity:0.48800001;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
+ <rect
+ ry="0.69999999"
+ rx="0.69999999"
+ y="61.856522"
+ x="-23.645283"
+ height="3.5000002"
+ width="14.500422"
+ id="rect988-9-3"
+ style="opacity:0.48800001;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1" />
+ <path
+ style="color:#000000;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-size:medium;line-height:normal;font-family:sans-serif;font-variant-ligatures:normal;font-variant-position:normal;font-variant-caps:normal;font-variant-numeric:normal;font-variant-alternates:normal;font-feature-settings:normal;text-indent:0;text-align:start;text-decoration:none;text-decoration-line:none;text-decoration-style:solid;text-decoration-color:#000000;letter-spacing:normal;word-spacing:normal;text-transform:none;writing-mode:lr-tb;direction:ltr;text-orientation:mixed;dominant-baseline:auto;baseline-shift:baseline;text-anchor:start;white-space:normal;shape-padding:0;clip-rule:nonzero;display:inline;overflow:visible;visibility:visible;opacity:0.48800001;isolation:auto;mix-blend-mode:normal;color-interpolation:sRGB;color-interpolation-filters:linearRGB;solid-color:#000000;solid-opacity:1;vector-effect:none;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;stroke-width:1;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1;color-rendering:auto;image-rendering:auto;shape-rendering:auto;text-rendering:auto;enable-background:accumulate"
+ d="m -10.132812,58.865234 a 0.50005,0.50005 0 0 0 -0.5,0.5 v 1.996094 a 0.50005,0.50005 0 0 0 0.5,0.5 H 1.8671875 a 0.50005,0.50005 0 0 0 0.5,-0.5 v -1.996094 a 0.50005,0.50005 0 0 0 -0.5,-0.5 z"
+ id="path1422"
+ inkscape:connector-curvature="0" />
+ <path
+ style="opacity:0.48800001;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:#000000;stroke-width:0;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
+ d="m -357.37109,11.060547 v 48.478515 h 51.63281 v -4.990234 h -0.75977 a 1.8899528,1.8899528 0 0 1 -1.88867,-1.890625 v -7.542969 a 1.8899528,1.8899528 0 0 1 1.88867,-1.890625 h 0.75977 V 11.060547 Z"
+ transform="matrix(0.26458333,0,0,0.26458333,70.961318,47.428587)"
+ id="rect1424"
+ inkscape:connector-curvature="0" />
+ <path
+ style="opacity:0.48800001;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:#000000;stroke-width:0;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
+ d="m -261.94727,11.060547 v 32.164062 h 0.80469 a 1.8899528,1.8899528 0 0 1 1.88867,1.890625 v 7.542969 a 1.8899528,1.8899528 0 0 1 -1.88867,1.890625 h -0.80469 v 4.990234 h 51.63282 V 11.060547 Z"
+ transform="matrix(0.26458333,0,0,0.26458333,70.961318,47.428587)"
+ id="rect1424-2"
+ inkscape:connector-curvature="0" />
</g>
- <rect
- style="opacity:0.306;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:0.32434043;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
- id="rect958"
- width="50"
- height="60"
- x="15.119049"
- y="42.428589" />
</g>
</svg>
diff --git a/center/circle_template.dxf b/center/circle_template.dxf
new file mode 100644
index 0000000..e2e73a6
--- /dev/null
+++ b/center/circle_template.dxf
@@ -0,0 +1,3762 @@
+ 0
+SECTION
+ 2
+HEADER
+ 9
+$ACADVER
+ 1
+AC1014
+ 9
+$HANDSEED
+ 5
+FFFF
+ 9
+$MEASUREMENT
+ 70
+ 1
+ 0
+ENDSEC
+ 0
+SECTION
+ 2
+TABLES
+ 0
+TABLE
+ 2
+VPORT
+ 5
+8
+330
+0
+100
+AcDbSymbolTable
+ 70
+ 4
+ 0
+VPORT
+ 5
+2E
+330
+8
+100
+AcDbSymbolTableRecord
+100
+AcDbViewportTableRecord
+ 2
+*ACTIVE
+ 70
+ 0
+ 10
+0.0
+ 20
+0.0
+ 11
+1.0
+ 21
+1.0
+ 12
+210.0
+ 22
+148.5
+ 13
+0.0
+ 23
+0.0
+ 14
+10.0
+ 24
+10.0
+ 15
+10.0
+ 25
+10.0
+ 16
+0.0
+ 26
+0.0
+ 36
+1.0
+ 17
+0.0
+ 27
+0.0
+ 37
+0.0
+ 40
+341.0
+ 41
+1.24
+ 42
+50.0
+ 43
+0.0
+ 44
+0.0
+ 50
+0.0
+ 51
+0.0
+ 71
+ 0
+ 72
+ 100
+ 73
+ 1
+ 74
+ 3
+ 75
+ 0
+ 76
+ 0
+ 77
+ 0
+ 78
+ 0
+ 0
+ENDTAB
+ 0
+TABLE
+ 2
+LTYPE
+ 5
+5
+330
+0
+100
+AcDbSymbolTable
+ 70
+ 1
+ 0
+LTYPE
+ 5
+14
+330
+5
+100
+AcDbSymbolTableRecord
+100
+AcDbLinetypeTableRecord
+ 2
+BYBLOCK
+ 70
+ 0
+ 3
+
+ 72
+ 65
+ 73
+ 0
+ 40
+0.0
+ 0
+LTYPE
+ 5
+15
+330
+5
+100
+AcDbSymbolTableRecord
+100
+AcDbLinetypeTableRecord
+ 2
+BYLAYER
+ 70
+ 0
+ 3
+
+ 72
+ 65
+ 73
+ 0
+ 40
+0.0
+ 0
+LTYPE
+ 5
+16
+330
+5
+100
+AcDbSymbolTableRecord
+100
+AcDbLinetypeTableRecord
+ 2
+CONTINUOUS
+ 70
+ 0
+ 3
+Solid line
+ 72
+ 65
+ 73
+ 0
+ 40
+0.0
+ 0
+ENDTAB
+ 0
+TABLE
+ 2
+LAYER
+ 5
+2
+100
+AcDbSymbolTable
+ 70
+2
+ 0
+LAYER
+ 5
+50
+100
+AcDbSymbolTableRecord
+100
+AcDbLayerTableRecord
+ 2
+0
+ 70
+0
+ 6
+CONTINUOUS
+ 0
+LAYER
+ 5
+51
+100
+AcDbSymbolTableRecord
+100
+AcDbLayerTableRecord
+ 2
+Layer_1
+ 70
+0
+ 6
+CONTINUOUS
+ 0
+ENDTAB
+ 0
+TABLE
+ 2
+STYLE
+ 5
+3
+330
+0
+100
+AcDbSymbolTable
+ 70
+ 1
+ 0
+STYLE
+ 5
+11
+330
+3
+100
+AcDbSymbolTableRecord
+100
+AcDbTextStyleTableRecord
+ 2
+STANDARD
+ 70
+ 0
+ 40
+0.0
+ 41
+1.0
+ 50
+0.0
+ 71
+ 0
+ 42
+2.5
+ 3
+txt
+ 4
+
+ 0
+ENDTAB
+ 0
+TABLE
+ 2
+VIEW
+ 5
+6
+330
+0
+100
+AcDbSymbolTable
+ 70
+ 0
+ 0
+ENDTAB
+ 0
+TABLE
+ 2
+UCS
+ 5
+7
+330
+0
+100
+AcDbSymbolTable
+ 70
+ 0
+ 0
+ENDTAB
+ 0
+TABLE
+ 2
+APPID
+ 5
+9
+330
+0
+100
+AcDbSymbolTable
+ 70
+ 2
+ 0
+APPID
+ 5
+12
+330
+9
+100
+AcDbSymbolTableRecord
+100
+AcDbRegAppTableRecord
+ 2
+ACAD
+ 70
+ 0
+ 0
+ENDTAB
+ 0
+TABLE
+ 2
+DIMSTYLE
+ 5
+A
+330
+0
+100
+AcDbSymbolTable
+ 70
+ 1
+ 0
+DIMSTYLE
+105
+27
+330
+A
+100
+AcDbSymbolTableRecord
+100
+AcDbDimStyleTableRecord
+ 2
+ISO-25
+ 70
+ 0
+ 3
+
+ 4
+
+ 5
+
+ 6
+
+ 7
+
+ 40
+1.0
+ 41
+2.5
+ 42
+0.625
+ 43
+3.75
+ 44
+1.25
+ 45
+0.0
+ 46
+0.0
+ 47
+0.0
+ 48
+0.0
+140
+2.5
+141
+2.5
+142
+0.0
+143
+0.03937007874016
+144
+1.0
+145
+0.0
+146
+1.0
+147
+0.625
+ 71
+ 0
+ 72
+ 0
+ 73
+ 0
+ 74
+ 0
+ 75
+ 0
+ 76
+ 0
+ 77
+ 1
+ 78
+ 8
+170
+ 0
+171
+ 3
+172
+ 1
+173
+ 0
+174
+ 0
+175
+ 0
+176
+ 0
+177
+ 0
+178
+ 0
+270
+ 2
+271
+ 2
+272
+ 2
+273
+ 2
+274
+ 3
+340
+11
+275
+ 0
+280
+ 0
+281
+ 0
+282
+ 0
+283
+ 0
+284
+ 8
+285
+ 0
+286
+ 0
+287
+ 3
+288
+ 0
+ 0
+ENDTAB
+ 0
+TABLE
+ 2
+BLOCK_RECORD
+ 5
+1
+330
+0
+100
+AcDbSymbolTable
+ 70
+ 1
+ 0
+BLOCK_RECORD
+ 5
+1F
+330
+1
+100
+AcDbSymbolTableRecord
+100
+AcDbBlockTableRecord
+ 2
+*MODEL_SPACE
+ 0
+BLOCK_RECORD
+ 5
+1B
+330
+1
+100
+AcDbSymbolTableRecord
+100
+AcDbBlockTableRecord
+ 2
+*PAPER_SPACE
+ 0
+ENDTAB
+ 0
+ENDSEC
+ 0
+SECTION
+ 2
+BLOCKS
+ 0
+BLOCK
+ 5
+20
+330
+1F
+100
+AcDbEntity
+ 8
+0
+100
+AcDbBlockBegin
+ 2
+*MODEL_SPACE
+ 70
+ 0
+ 10
+0.0
+ 20
+0.0
+ 30
+0.0
+ 3
+*MODEL_SPACE
+ 1
+
+ 0
+ENDBLK
+ 5
+21
+330
+1F
+100
+AcDbEntity
+ 8
+0
+100
+AcDbBlockEnd
+ 0
+BLOCK
+ 5
+1C
+330
+1B
+100
+AcDbEntity
+ 67
+ 1
+ 8
+0
+100
+AcDbBlockBegin
+ 2
+*PAPER_SPACE
+ 1
+
+ 0
+ENDBLK
+ 5
+1D
+330
+1B
+100
+AcDbEntity
+ 67
+ 1
+ 8
+0
+100
+AcDbBlockEnd
+ 0
+ENDSEC
+ 0
+SECTION
+ 2
+ENTITIES
+ 0
+SPLINE
+ 5
+100
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+0.000000
+ 20
+56.936575
+ 30
+0.0
+ 10
+0.000000
+ 20
+56.936575
+ 30
+0.0
+ 10
+0.550871
+ 20
+56.205354
+ 30
+0.0
+ 10
+0.550871
+ 20
+56.205354
+ 30
+0.0
+ 0
+SPLINE
+ 5
+101
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+0.550871
+ 20
+56.205354
+ 30
+0.0
+ 10
+0.701550
+ 20
+56.005668
+ 30
+0.0
+ 10
+0.976608
+ 20
+55.948052
+ 30
+0.0
+ 10
+1.194759
+ 20
+56.070480
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+102
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+3
+ 70
+0
+ 10
+8.644950
+ 20
+65.425980
+ 30
+0.0
+ 10
+0.000000
+ 20
+58.911132
+ 30
+0.0
+ 10
+0.000000
+ 20
+56.936575
+ 30
+0.0
+ 0
+SPLINE
+ 5
+103
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+1.477946
+ 20
+56.283904
+ 30
+0.0
+ 10
+1.787657
+ 20
+56.517287
+ 30
+0.0
+ 10
+2.225382
+ 20
+56.456155
+ 30
+0.0
+ 10
+2.458764
+ 20
+56.146442
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+104
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+2
+ 70
+0
+ 10
+1.194759
+ 20
+56.070480
+ 30
+0.0
+ 10
+1.477946
+ 20
+56.283904
+ 30
+0.0
+ 0
+SPLINE
+ 5
+105
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+3.722253
+ 20
+54.469026
+ 30
+0.0
+ 10
+3.955637
+ 20
+54.159316
+ 30
+0.0
+ 10
+3.894505
+ 20
+53.722107
+ 30
+0.0
+ 10
+3.584794
+ 20
+53.488724
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+106
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+2
+ 70
+0
+ 10
+2.458764
+ 20
+56.146442
+ 30
+0.0
+ 10
+3.722253
+ 20
+54.469026
+ 30
+0.0
+ 0
+SPLINE
+ 5
+107
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+3.597713
+ 20
+11.927437
+ 30
+0.0
+ 10
+3.907424
+ 20
+11.694054
+ 30
+0.0
+ 10
+3.968556
+ 20
+11.256847
+ 30
+0.0
+ 10
+3.735172
+ 20
+10.947136
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+108
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+4
+ 70
+0
+ 10
+3.584794
+ 20
+53.488724
+ 30
+0.0
+ 10
+0.000000
+ 20
+50.787598
+ 30
+0.0
+ 10
+0.000000
+ 20
+14.638383
+ 30
+0.0
+ 10
+3.597713
+ 20
+11.927437
+ 30
+0.0
+ 0
+SPLINE
+ 5
+109
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+2.471684
+ 20
+9.270235
+ 30
+0.0
+ 10
+2.238300
+ 20
+8.960525
+ 30
+0.0
+ 10
+1.800576
+ 20
+8.898875
+ 30
+0.0
+ 10
+1.490865
+ 20
+9.132259
+ 30
+0.0
+ 0
+SPLINE
+ 5
+10a
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+1.490865
+ 20
+9.132259
+ 30
+0.0
+ 10
+1.490865
+ 20
+9.132259
+ 30
+0.0
+ 10
+1.195276
+ 20
+9.354985
+ 30
+0.0
+ 10
+1.195276
+ 20
+9.354985
+ 30
+0.0
+ 0
+SPLINE
+ 5
+10b
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+1.195276
+ 20
+9.354985
+ 30
+0.0
+ 10
+0.977007
+ 20
+9.478138
+ 30
+0.0
+ 10
+0.701285
+ 20
+9.420696
+ 30
+0.0
+ 10
+0.550354
+ 20
+9.220626
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+10c
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+2
+ 70
+0
+ 10
+3.735172
+ 20
+10.947136
+ 30
+0.0
+ 10
+2.471684
+ 20
+9.270235
+ 30
+0.0
+ 0
+SPLINE
+ 5
+10d
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+9.879500
+ 20
+-0.000003
+ 30
+0.0
+ 10
+9.879500
+ 20
+-0.000003
+ 30
+0.0
+ 10
+10.932666
+ 20
+1.397327
+ 30
+0.0
+ 10
+10.932666
+ 20
+1.397327
+ 30
+0.0
+ 0
+SPLINE
+ 5
+10e
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+10.932666
+ 20
+1.397327
+ 30
+0.0
+ 10
+11.083162
+ 20
+1.597644
+ 30
+0.0
+ 10
+11.062177
+ 20
+1.878416
+ 30
+0.0
+ 10
+10.883576
+ 20
+2.054137
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+10f
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+5
+ 70
+0
+ 10
+0.550354
+ 20
+9.220626
+ 30
+0.0
+ 10
+0.000000
+ 20
+8.490438
+ 30
+0.0
+ 10
+0.000000
+ 20
+6.514848
+ 30
+0.0
+ 10
+8.645984
+ 20
+-0.000003
+ 30
+0.0
+ 10
+9.879500
+ 20
+-0.000003
+ 30
+0.0
+ 0
+SPLINE
+ 5
+110
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+10.601420
+ 20
+2.267047
+ 30
+0.0
+ 10
+10.291709
+ 20
+2.500427
+ 30
+0.0
+ 10
+10.230577
+ 20
+2.937637
+ 30
+0.0
+ 10
+10.463961
+ 20
+3.247347
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+111
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+2
+ 70
+0
+ 10
+10.883576
+ 20
+2.054137
+ 30
+0.0
+ 10
+10.601420
+ 20
+2.267047
+ 30
+0.0
+ 0
+SPLINE
+ 5
+112
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+11.727449
+ 20
+4.924767
+ 30
+0.0
+ 10
+11.960833
+ 20
+5.234475
+ 30
+0.0
+ 10
+12.398040
+ 20
+5.295607
+ 30
+0.0
+ 10
+12.707751
+ 20
+5.062227
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+113
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+2
+ 70
+0
+ 10
+10.463961
+ 20
+3.247347
+ 30
+0.0
+ 10
+11.727449
+ 20
+4.924767
+ 30
+0.0
+ 0
+SPLINE
+ 5
+114
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+43.423187
+ 20
+5.072037
+ 30
+0.0
+ 10
+43.732901
+ 20
+5.305426
+ 30
+0.0
+ 10
+44.170106
+ 20
+5.244294
+ 30
+0.0
+ 10
+44.403490
+ 20
+4.934587
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+115
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+4
+ 70
+0
+ 10
+12.707751
+ 20
+5.062227
+ 30
+0.0
+ 10
+19.425688
+ 20
+-0.000003
+ 30
+0.0
+ 10
+36.692849
+ 20
+-0.000003
+ 30
+0.0
+ 10
+43.423187
+ 20
+5.072037
+ 30
+0.0
+ 0
+SPLINE
+ 5
+116
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+45.667497
+ 20
+3.257167
+ 30
+0.0
+ 10
+45.900881
+ 20
+2.947457
+ 30
+0.0
+ 10
+45.839230
+ 20
+2.510247
+ 30
+0.0
+ 10
+45.529520
+ 20
+2.276867
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+117
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+2
+ 70
+0
+ 10
+44.403490
+ 20
+4.934587
+ 30
+0.0
+ 10
+45.667497
+ 20
+3.257167
+ 30
+0.0
+ 0
+SPLINE
+ 5
+118
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+45.235480
+ 20
+2.055167
+ 30
+0.0
+ 10
+45.055966
+ 20
+1.879579
+ 30
+0.0
+ 10
+45.034516
+ 20
+1.598089
+ 30
+0.0
+ 10
+45.185350
+ 20
+1.397327
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+119
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+2
+ 70
+0
+ 10
+45.529520
+ 20
+2.276867
+ 30
+0.0
+ 10
+45.235480
+ 20
+2.055167
+ 30
+0.0
+ 0
+SPLINE
+ 5
+11a
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+55.567145
+ 20
+9.220626
+ 30
+0.0
+ 10
+55.416065
+ 20
+9.420513
+ 30
+0.0
+ 10
+55.140372
+ 20
+9.477729
+ 30
+0.0
+ 10
+54.922228
+ 20
+9.354468
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+11b
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+6
+ 70
+0
+ 10
+45.185350
+ 20
+1.397327
+ 30
+0.0
+ 10
+46.238000
+ 20
+-0.000003
+ 30
+0.0
+ 10
+47.473067
+ 20
+-0.000003
+ 30
+0.0
+ 10
+56.118015
+ 20
+6.514331
+ 30
+0.0
+ 10
+56.118015
+ 20
+8.489405
+ 30
+0.0
+ 10
+55.567145
+ 20
+9.220626
+ 30
+0.0
+ 0
+SPLINE
+ 5
+11c
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+54.640076
+ 20
+9.142078
+ 30
+0.0
+ 10
+54.330363
+ 20
+8.908694
+ 30
+0.0
+ 10
+53.893157
+ 20
+8.970343
+ 30
+0.0
+ 10
+53.659774
+ 20
+9.280054
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+11d
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+2
+ 70
+0
+ 10
+54.922228
+ 20
+9.354468
+ 30
+0.0
+ 10
+54.640076
+ 20
+9.142078
+ 30
+0.0
+ 0
+SPLINE
+ 5
+11e
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+52.395766
+ 20
+10.956954
+ 30
+0.0
+ 10
+52.162383
+ 20
+11.266665
+ 30
+0.0
+ 10
+52.224033
+ 20
+11.703872
+ 30
+0.0
+ 10
+52.533744
+ 20
+11.937256
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+11f
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+2
+ 70
+0
+ 10
+53.659774
+ 20
+9.280054
+ 30
+0.0
+ 10
+52.395766
+ 20
+10.956954
+ 30
+0.0
+ 0
+SPLINE
+ 5
+120
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+52.520824
+ 20
+53.498542
+ 30
+0.0
+ 10
+52.211114
+ 20
+53.731926
+ 30
+0.0
+ 10
+52.149463
+ 20
+54.169134
+ 30
+0.0
+ 10
+52.382850
+ 20
+54.478845
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+121
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+4
+ 70
+0
+ 10
+52.533744
+ 20
+11.937256
+ 30
+0.0
+ 10
+56.118020
+ 20
+14.638383
+ 30
+0.0
+ 10
+56.118020
+ 20
+50.787598
+ 30
+0.0
+ 10
+52.520824
+ 20
+53.498542
+ 30
+0.0
+ 0
+SPLINE
+ 5
+122
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+53.646854
+ 20
+56.155745
+ 30
+0.0
+ 10
+53.880238
+ 20
+56.465456
+ 30
+0.0
+ 10
+54.317446
+ 20
+56.527109
+ 30
+0.0
+ 10
+54.627156
+ 20
+56.293720
+ 30
+0.0
+ 0
+SPLINE
+ 5
+123
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+54.627156
+ 20
+56.293720
+ 30
+0.0
+ 10
+54.627156
+ 20
+56.293720
+ 30
+0.0
+ 10
+54.921712
+ 20
+56.071512
+ 30
+0.0
+ 10
+54.921712
+ 20
+56.071512
+ 30
+0.0
+ 0
+SPLINE
+ 5
+124
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+54.921712
+ 20
+56.071512
+ 30
+0.0
+ 10
+55.140097
+ 20
+55.947634
+ 30
+0.0
+ 10
+55.416482
+ 20
+56.004901
+ 30
+0.0
+ 10
+55.567666
+ 20
+56.205354
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+125
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+2
+ 70
+0
+ 10
+52.382850
+ 20
+54.478845
+ 30
+0.0
+ 10
+53.646854
+ 20
+56.155745
+ 30
+0.0
+ 0
+SPLINE
+ 5
+126
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+45.185355
+ 20
+64.028649
+ 30
+0.0
+ 10
+45.034861
+ 20
+63.828332
+ 30
+0.0
+ 10
+45.055846
+ 20
+63.547562
+ 30
+0.0
+ 10
+45.234445
+ 20
+63.371842
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+127
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+6
+ 70
+0
+ 10
+55.567666
+ 20
+56.205354
+ 30
+0.0
+ 10
+56.118020
+ 20
+56.935543
+ 30
+0.0
+ 10
+56.118020
+ 20
+58.911132
+ 30
+0.0
+ 10
+47.473072
+ 20
+65.425980
+ 30
+0.0
+ 10
+46.239037
+ 20
+65.425980
+ 30
+0.0
+ 10
+45.185355
+ 20
+64.028649
+ 30
+0.0
+ 0
+SPLINE
+ 5
+128
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+45.516597
+ 20
+63.158935
+ 30
+0.0
+ 10
+45.826308
+ 20
+62.925551
+ 30
+0.0
+ 10
+45.887958
+ 20
+62.488343
+ 30
+0.0
+ 10
+45.654574
+ 20
+62.178633
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+129
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+2
+ 70
+0
+ 10
+45.234445
+ 20
+63.371842
+ 30
+0.0
+ 10
+45.516597
+ 20
+63.158935
+ 30
+0.0
+ 0
+SPLINE
+ 5
+12a
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+44.390567
+ 20
+60.501217
+ 30
+0.0
+ 10
+44.157184
+ 20
+60.191506
+ 30
+0.0
+ 10
+43.719978
+ 20
+60.130374
+ 30
+0.0
+ 10
+43.410268
+ 20
+60.363758
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+12b
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+2
+ 70
+0
+ 10
+45.654574
+ 20
+62.178633
+ 30
+0.0
+ 10
+44.390567
+ 20
+60.501217
+ 30
+0.0
+ 0
+SPLINE
+ 5
+12c
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+12.694832
+ 20
+60.353939
+ 30
+0.0
+ 10
+12.385123
+ 20
+60.120555
+ 30
+0.0
+ 10
+11.947914
+ 20
+60.182203
+ 30
+0.0
+ 10
+11.714530
+ 20
+60.491914
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+12d
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+4
+ 70
+0
+ 10
+43.410268
+ 20
+60.363758
+ 30
+0.0
+ 10
+36.692330
+ 20
+65.425980
+ 30
+0.0
+ 10
+19.425688
+ 20
+65.425980
+ 30
+0.0
+ 10
+12.694832
+ 20
+60.353939
+ 30
+0.0
+ 0
+SPLINE
+ 5
+12e
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+10.451042
+ 20
+62.168814
+ 30
+0.0
+ 10
+10.217658
+ 20
+62.478525
+ 30
+0.0
+ 10
+10.278790
+ 20
+62.915733
+ 30
+0.0
+ 10
+10.588501
+ 20
+63.149116
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+12f
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+2
+ 70
+0
+ 10
+11.714530
+ 20
+60.491914
+ 30
+0.0
+ 10
+10.451042
+ 20
+62.168814
+ 30
+0.0
+ 0
+SPLINE
+ 5
+130
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+10.883573
+ 20
+63.371324
+ 30
+0.0
+ 10
+11.062765
+ 20
+63.547186
+ 30
+0.0
+ 10
+11.083769
+ 20
+63.828656
+ 30
+0.0
+ 10
+10.932663
+ 20
+64.029165
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+131
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+2
+ 70
+0
+ 10
+10.588501
+ 20
+63.149116
+ 30
+0.0
+ 10
+10.883573
+ 20
+63.371324
+ 30
+0.0
+ 0
+SPLINE
+ 5
+132
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+28.031880
+ 20
+39.290108
+ 30
+0.0
+ 10
+28.208641
+ 20
+39.299665
+ 30
+0.0
+ 10
+28.383086
+ 20
+39.246398
+ 30
+0.0
+ 10
+28.524357
+ 20
+39.139730
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+133
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+3
+ 70
+0
+ 10
+10.932663
+ 20
+64.029165
+ 30
+0.0
+ 10
+9.880014
+ 20
+65.425980
+ 30
+0.0
+ 10
+8.644950
+ 20
+65.425980
+ 30
+0.0
+ 0
+SPLINE
+ 5
+134
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+36.252567
+ 20
+33.299778
+ 30
+0.0
+ 10
+36.440739
+ 20
+33.157534
+ 30
+0.0
+ 10
+36.551036
+ 20
+32.935057
+ 30
+0.0
+ 10
+36.550328
+ 20
+32.699173
+ 30
+0.0
+ 0
+SPLINE
+ 5
+135
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+36.550328
+ 20
+32.699173
+ 30
+0.0
+ 10
+36.549620
+ 20
+32.463288
+ 30
+0.0
+ 10
+36.437989
+ 20
+32.241478
+ 30
+0.0
+ 10
+36.248967
+ 20
+32.100366
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+136
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+2
+ 70
+0
+ 10
+28.524357
+ 20
+39.139730
+ 30
+0.0
+ 10
+36.252567
+ 20
+33.299778
+ 30
+0.0
+ 0
+SPLINE
+ 5
+137
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+28.490783
+ 20
+26.291936
+ 30
+0.0
+ 10
+28.222734
+ 20
+26.092036
+ 30
+0.0
+ 10
+27.854735
+ 20
+26.093708
+ 30
+0.0
+ 10
+27.588514
+ 20
+26.296036
+ 30
+0.0
+ 0
+SPLINE
+ 5
+138
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+27.588514
+ 20
+26.296036
+ 30
+0.0
+ 10
+27.588514
+ 20
+26.296036
+ 30
+0.0
+ 10
+19.893358
+ 20
+32.114320
+ 30
+0.0
+ 10
+19.893358
+ 20
+32.114320
+ 30
+0.0
+ 0
+SPLINE
+ 5
+139
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+19.893358
+ 20
+32.114320
+ 30
+0.0
+ 10
+19.705570
+ 20
+32.256066
+ 30
+0.0
+ 10
+19.595173
+ 20
+32.477712
+ 30
+0.0
+ 10
+19.595173
+ 20
+32.712992
+ 30
+0.0
+ 0
+SPLINE
+ 5
+13a
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+19.595173
+ 20
+32.712992
+ 30
+0.0
+ 10
+19.595173
+ 20
+32.948271
+ 30
+0.0
+ 10
+19.705570
+ 20
+33.169917
+ 30
+0.0
+ 10
+19.893358
+ 20
+33.311663
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+13b
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+2
+ 70
+0
+ 10
+36.248967
+ 20
+32.100366
+ 30
+0.0
+ 10
+28.490783
+ 20
+26.291936
+ 30
+0.0
+ 0
+SPLINE
+ 5
+13c
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbSpline
+ 70
+8
+ 71
+3
+ 72
+8
+ 73
+4
+ 74
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+0
+ 40
+1
+ 40
+1
+ 40
+1
+ 40
+1
+ 10
+27.620019
+ 20
+39.139730
+ 30
+0.0
+ 10
+27.739264
+ 20
+39.229758
+ 30
+0.0
+ 10
+27.882680
+ 20
+39.282122
+ 30
+0.0
+ 10
+28.031880
+ 20
+39.290108
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+13d
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+2
+ 70
+0
+ 10
+19.893358
+ 20
+33.311663
+ 30
+0.0
+ 10
+27.620019
+ 20
+39.139730
+ 30
+0.0
+ 0
+LWPOLYLINE
+ 5
+13e
+100
+AcDbEntity
+ 8
+Layer_1
+ 62
+7
+100
+AcDbPolyline
+ 90
+1
+ 70
+1
+ 10
+28.031880
+ 20
+39.290108
+ 30
+0.0
+ 0
+ENDSEC
+ 0
+SECTION
+ 2
+OBJECTS
+ 0
+DICTIONARY
+ 5
+C
+330
+0
+100
+AcDbDictionary
+ 3
+ACAD_GROUP
+350
+D
+ 3
+ACAD_MLINESTYLE
+350
+17
+ 0
+DICTIONARY
+ 5
+D
+330
+C
+100
+AcDbDictionary
+ 0
+DICTIONARY
+ 5
+1A
+330
+C
+100
+AcDbDictionary
+ 0
+DICTIONARY
+ 5
+17
+330
+C
+100
+AcDbDictionary
+ 3
+STANDARD
+350
+18
+ 0
+DICTIONARY
+ 5
+19
+330
+C
+100
+AcDbDictionary
+ 0
+ENDSEC
+ 0
+EOF
diff --git a/center/circle_template.svg b/center/circle_template.svg
index 4bd4b04..8674303 100644
--- a/center/circle_template.svg
+++ b/center/circle_template.svg
@@ -9,13 +9,15 @@
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
- width="58.220741mm"
- height="64.04673mm"
- viewBox="0 0 58.220741 64.04673"
+ width="56.118019mm"
+ height="65.42598mm"
+ viewBox="0 0 56.118018 65.42598"
version="1.1"
- id="svg976"
- sodipodi:docname="circle_template.svg"
- inkscape:version="0.92.3 (2405546, 2018-03-11)">
+ id="svg8"
+ inkscape:version="0.92.4 (unknown)"
+ sodipodi:docname="circle_template.svg">
+ <defs
+ id="defs2" />
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
@@ -23,44 +25,44 @@
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
- inkscape:zoom="2.8284271"
- inkscape:cx="201.6646"
- inkscape:cy="133.87986"
+ inkscape:zoom="1.9889953"
+ inkscape:cx="84.357918"
+ inkscape:cy="155.45582"
inkscape:document-units="mm"
inkscape:current-layer="layer1"
showgrid="false"
+ inkscape:window-width="1635"
+ inkscape:window-height="1444"
+ inkscape:window-x="569"
+ inkscape:window-y="419"
+ inkscape:window-maximized="0"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0"
- inkscape:window-width="1920"
- inkscape:window-height="1030"
- inkscape:window-x="0"
- inkscape:window-y="50"
- inkscape:window-maximized="0" />
- <defs
- id="defs970" />
+ showguides="false"
+ inkscape:snap-global="false" />
<metadata
- id="metadata973">
+ id="metadata5">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
- <dc:title></dc:title>
+ <dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
- transform="translate(-60.847967,-75.988538)"
- id="layer1"
+ inkscape:label="Layer 1"
inkscape:groupmode="layer"
- inkscape:label="Layer 1">
+ id="layer1"
+ transform="translate(-12.060039,-39.715597)">
<path
- id="path1898"
- d="M73.625997 75.988538L60.847967 85.617928L64.842557 90.918378L67.238277 89.113318L69.978677 92.749788L68.8161115499 94.4182042135L67.7976939262 96.1667321024L66.9266004584 97.9859649772L66.2060074763 99.8664961481L65.6390913097 101.798918925L65.2290282883 103.77382662L64.9789947418 105.781812541L64.892167 107.81347L64.9794263761 109.877960932L65.2351584781 111.917817754L65.6559925679 113.923189531L66.2385579073 115.884225328L66.9794837583 117.791074208L67.8753993828 119.633885237L68.9229340428 121.40280748L70.118717 123.08799L67.238277 126.9105L64.842557 125.10544L60.847967 130.40589L73.625997 140.03527L77.620587 134.73431L75.224867 132.92925L77.708947 129.63229L80.5833763164 131.007407333L83.5970027258 132.002492794L86.7124112723 132.607776858L89.892187 132.81349L93.0961901219 132.599381595L96.2336694698 131.979686613L99.2663708329 130.964540824L102.15604 129.56408L104.69181 132.92925L102.29609 134.73431L106.29067 140.03527L119.06871 130.40589L115.07412 125.10544L112.6784 126.9105L109.72147 122.9867L110.902800258 121.310019249L111.937847736 119.551208341L112.823327179 117.719907641L113.555953335 115.825757512L114.132440949 113.878398319L114.549504767 111.887470426L114.803859536 109.862614199L114.89222 107.81347L114.807293703 105.795217216L114.561280193 103.800110955L114.157270583 101.83738189L113.598355987 99.9162606907L112.887627517 98.0459780305L112.028176288 96.2357645808L111.023093411 94.4948510133L109.87547 92.832468L112.6784 89.113318L115.07412 90.918378L119.06871 85.617928L106.29067 75.988538L102.29609 81.289506L104.69181 83.094564L102.36998 86.175508L99.4364912551 84.7253089205L96.3520742228 83.6743022931L93.157161829 83.0333825192L89.892187 82.813444L86.6547636557 83.0321808177L83.4859775069 83.6649022183L80.4252661046 84.7010430097L77.512067 86.130038L75.224867 83.094564L77.620587 81.289506L73.625997 75.988538"
- style="opacity:0.253;vector-effect:none;fill:#669900;fill-opacity:1;stroke:none;stroke-width:0.99509072;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
+ style="opacity:0.48800001;vector-effect:none;fill:#ffcc00;fill-opacity:1;stroke:none;stroke-width:5;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
+ d="m 20.704989,39.715597 -8.64495,6.514848 v 1.974557 l 0.550871,0.731221 a 0.50005001,0.50005001 0 0 0 0.643888,0.134874 l 0.283187,-0.213424 c 0.309711,-0.233383 0.747436,-0.172251 0.980818,0.137462 l 1.263489,1.677416 c 0.233384,0.30971 0.172252,0.746919 -0.137459,0.980302 l -3.584794,2.701126 v 36.149215 l 3.597713,2.710946 c 0.309711,0.233383 0.370843,0.67059 0.137459,0.980301 l -1.263488,1.676901 c -0.233384,0.30971 -0.671108,0.37136 -0.980819,0.137976 l -0.295589,-0.222726 a 0.50005001,0.50005001 0 0 0 -0.644922,0.134359 l -0.550354,0.730188 v 1.97559 l 8.645984,6.514851 h 1.233516 l 1.053166,-1.39733 a 0.50005001,0.50005001 0 0 0 -0.04909,-0.65681 l -0.282156,-0.21291 c -0.309711,-0.23338 -0.370843,-0.67059 -0.137459,-0.9803 l 1.263488,-1.67742 c 0.233384,-0.309708 0.670591,-0.37084 0.980302,-0.13746 l 6.717937,5.06223 h 17.267161 l 6.730338,-5.07204 c 0.309714,-0.233389 0.746919,-0.172257 0.980303,0.13745 l 1.264007,1.67742 c 0.233384,0.30971 0.171733,0.74692 -0.137977,0.9803 l -0.29404,0.2217 a 0.50005001,0.50005001 0 0 0 -0.05013,0.65784 l 1.05265,1.39733 h 1.235067 l 8.644948,-6.514334 v -1.975074 l -0.55087,-0.731221 a 0.50005001,0.50005001 0 0 0 -0.644917,-0.133842 l -0.282152,0.21239 c -0.309713,0.233384 -0.746919,0.171735 -0.980302,-0.137976 l -1.264008,-1.6769 c -0.233383,-0.309711 -0.171733,-0.746918 0.137978,-0.980302 l 3.584276,-2.701127 V 54.353979 l -3.597196,-2.710944 c -0.30971,-0.233384 -0.371361,-0.670592 -0.137974,-0.980303 l 1.264004,-1.6769 c 0.233384,-0.309711 0.670592,-0.371364 0.980302,-0.137975 l 0.294556,0.222208 a 0.50005001,0.50005001 0 0 0 0.645954,-0.133842 l 0.550354,-0.730189 v -1.975589 l -8.644948,-6.514848 h -1.234035 l -1.053682,1.397331 a 0.50005001,0.50005001 0 0 0 0.04909,0.656807 l 0.282152,0.212907 c 0.309711,0.233384 0.371361,0.670592 0.137977,0.980302 l -1.264007,1.677416 c -0.233383,0.309711 -0.670589,0.370843 -0.980299,0.137459 L 48.752369,39.715597 H 31.485727 l -6.730856,5.072041 c -0.309709,0.233384 -0.746918,0.171736 -0.980302,-0.137975 l -1.263488,-1.6769 c -0.233384,-0.309711 -0.172252,-0.746919 0.137459,-0.980302 l 0.295072,-0.222208 a 0.50005001,0.50005001 0 0 0 0.04909,-0.657841 l -1.052649,-1.396815 z m 19.38693,26.135872 a 0.75007501,0.75007501 0 0 1 0.492477,0.150378 l 7.72821,5.839952 a 0.75007501,0.75007501 0 0 1 -0.0036,1.199412 l -7.758184,5.80843 a 0.75007501,0.75007501 0 0 1 -0.902269,-0.0041 l -7.695156,-5.818284 a 0.75007501,0.75007501 0 0 1 0,-1.197343 l 7.726661,-5.828067 a 0.75007501,0.75007501 0 0 1 0.411861,-0.150378 z"
+ id="rect1244"
inkscape:connector-curvature="0" />
</g>
</svg>
diff --git a/center/components.dcm b/center/components.dcm
new file mode 100644
index 0000000..5f3ed79
--- /dev/null
+++ b/center/components.dcm
@@ -0,0 +1,3 @@
+EESchema-DOCLIB Version 2.0
+#
+#End Doc Library
diff --git a/center/components.lib b/center/components.lib
new file mode 100644
index 0000000..1fbfcc4
--- /dev/null
+++ b/center/components.lib
@@ -0,0 +1,25 @@
+EESchema-LIBRARY Version 2.4
+#encoding utf-8
+#
+# TX4138
+#
+DEF TX4138 U 0 40 Y Y 1 F N
+F0 "U" 0 0 50 H V C CNN
+F1 "TX4138" 0 300 50 V V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+S -300 -400 300 600 0 1 0 f
+X SW 1 500 300 200 L 50 50 1 1 I
+X ILIM 2 -500 300 200 R 50 50 1 1 I
+X VIN 3 -500 500 200 R 50 50 1 1 I
+X GND 4 0 -600 200 U 50 50 1 1 I
+X FB 5 500 100 200 L 50 50 1 1 I
+X EN 6 -500 -100 200 R 50 50 1 1 I
+X POK 7 500 -100 200 L 50 50 1 1 I
+X BS 8 500 500 200 L 50 50 1 1 I
+X ILIM 9 -500 200 200 R 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+#End Library
diff --git a/center/fp-info-cache b/center/fp-info-cache
index 573541a..3b6e4fd 100644
--- a/center/fp-info-cache
+++ b/center/fp-info-cache
@@ -1 +1,72045 @@
+15890750479188470
+Battery
+BatteryHolder_Bulgin_BX0036_1xC
+Bulgin{space}Battery{space}Holder,{space}BX0036,{space}Battery{space}Type{space}C{space}(https://www.bulgin.com/products/pub/media/bulgin/data/Battery_holders.pdf)
+Bulgin{space}BX0036
0
+2
+2
+Battery
+BatteryHolder_Eagle_12BH611-GR
+https://eu.mouser.com/datasheet/2/209/EPD-200766-1274481.pdf
+9V{space}Battery{space}Holder
+0
+2
+2
+Battery
+BatteryHolder_Keystone_103_1x20mm
+http://www.keyelco.com/product-pdf.cfm?p=719
+Keystone{space}type{space}103{space}battery{space}holder
+0
+2
+2
+Battery
+BatteryHolder_Keystone_104_1x23mm
+http://www.keyelco.com/product-pdf.cfm?p=744
+Keystone{space}type{space}104{space}battery{space}holder
+0
+2
+2
+Battery
+BatteryHolder_Keystone_105_1x2430
+http://www.keyelco.com/product-pdf.cfm?p=745
+Keystone{space}type{space}105{space}battery{space}holder
+0
+2
+2
+Battery
+BatteryHolder_Keystone_106_1x20mm
+http://www.keyelco.com/product-pdf.cfm?p=720
+Keystone{space}type{space}106{space}battery{space}holder
+0
+2
+2
+Battery
+BatteryHolder_Keystone_107_1x23mm
+http://www.keyelco.com/product-pdf.cfm?p=746
+Keystone{space}type{space}107{space}battery{space}holder
+0
+2
+2
+Battery
+BatteryHolder_Keystone_500
+Keystone{space}#500,{space}CR1220{space}battery{space}holder,{space}http://www.keyelco.com/product-pdf.cfm?p=710
+CR1220{space}battery{space}holder
+0
+2
+2
+Battery
+BatteryHolder_Keystone_1042_1x18650
+Battery{space}holder{space}for{space}18650{space}cylindrical{space}cells{space}http://www.keyelco.com/product.cfm/product_id/918
+18650{space}Keystone{space}1042{space}Li-ion
+0
+2
+2
+Battery
+BatteryHolder_Keystone_1058_1x2032
+http://www.keyelco.com/product-pdf.cfm?p=14028
+Keystone{space}type{space}1058{space}coin{space}cell{space}retainer
+0
+2
+2
+Battery
+BatteryHolder_Keystone_1060_1x2032
+http://www.keyelco.com/product-pdf.cfm?p=726
+CR2032{space}BR2032{space}BatteryHolder{space}Battery
+0
+2
+2
+Battery
+BatteryHolder_Keystone_2462_2xAA
+2xAA{space}cell{space}battery{space}holder,{space}Keystone{space}P/N{space}2462,{space}https://www.keyelco.com/product-pdf.cfm?p=1027
+AA{space}battery{space}cell{space}holder
+0
+2
+2
+Battery
+BatteryHolder_Keystone_2466_1xAAA
+1xAAA{space}Battery{space}Holder,{space}Keystone,{space}Plastic{space}Case,{space}http://www.keyelco.com/product-pdf.cfm?p=1031
+AAA{space}battery{space}holder{space}Keystone
+0
+2
+2
+Battery
+BatteryHolder_Keystone_2468_2xAAA
+2xAAA{space}cell{space}battery{space}holder,{space}Keystone{space}P/N{space}2468,{space}http://www.keyelco.com/product-pdf.cfm?p=1033
+AAA{space}battery{space}cell{space}holder
+0
+2
+2
+Battery
+BatteryHolder_Keystone_2479_3xAAA
+3xAAA{space}cell{space}battery{space}holder,{space}Keystone{space}P/N{space}2479,{space}http://www.keyelco.com/product-pdf.cfm?p=1041
+AAA{space}battery{space}cell{space}holder
+0
+2
+2
+Battery
+BatteryHolder_Keystone_2993
+http://www.keyelco.com/product-pdf.cfm?p=776
+Keystone{space}type{space}2993{space}negative{space}battery{space}contact
+0
+1
+1
+Battery
+BatteryHolder_Keystone_3000_1x12mm
+http://www.keyelco.com/product-pdf.cfm?p=777
+Keystone{space}type{space}3000{space}coin{space}cell{space}retainer
+0
+3
+2
+Battery
+BatteryHolder_Keystone_3001_1x12mm
+http://www.keyelco.com/product-pdf.cfm?p=778
+Keystone{space}type{space}3001{space}coin{space}cell{space}retainer
+0
+3
+2
+Battery
+BatteryHolder_Keystone_3008_1x2450
+http://www.keyelco.com/product-pdf.cfm?p=786
+Keystone{space}type{space}3008{space}coin{space}cell{space}retainer
+0
+3
+2
+Battery
+BatteryHolder_Keystone_3009_1x2450
+http://www.keyelco.com/product-pdf.cfm?p=787
+Keystone{space}type{space}3009{space}coin{space}cell{space}retainer
+0
+3
+2
+Battery
+BatteryHolder_Keystone_3034_1x20mm
+Keystone{space}3034{space}SMD{space}battery{space}holder{space}for{space}2020,{space}2025{space}and{space}2032{space}coincell{space}batteries.{space}http://www.keyelco.com/product-pdf.cfm?p=798
+Keystone{space}type{space}3034{space}coin{space}cell{space}retainer
+0
+3
+2
+Battery
+BatteryHolder_LINX_BAT-HLD-012-SMT
+SMT{space}battery{space}holder{space}for{space}CR1216/1220/1225,{space}https://linxtechnologies.com/wp/wp-content/uploads/bat-hld-012-smt.pdf
+battery{space}holder{space}coin{space}cell{space}cr1216{space}cr1220{space}cr1225
+0
+3
+2
+Battery
+BatteryHolder_MPD_BC2AAPC_2xAA
+2xAA{space}cell{space}battery{space}holder,{space}Memory{space}Protection{space}Devices{space}P/N{space}BC2AAPC,{space}http://www.memoryprotectiondevices.com/datasheets/BC2AAPC-datasheet.pdf
+AA{space}battery{space}cell{space}holder
+0
+2
+2
+Battery
+BatteryHolder_MPD_BC12AAPC_2xAA
+2xAA{space}cell{space}battery{space}holder,{space}Memory{space}Protection{space}Devices{space}P/N{space}BC12AAPC,{space}http://www.memoryprotectiondevices.com/datasheets/BC12AAPC-datasheet.pdf
+AA{space}battery{space}cell{space}holder
+0
+2
+2
+Battery
+BatteryHolder_MPD_BC2003_1x2032
+http://www.memoryprotectiondevices.com/datasheets/BC-2003-datasheet.pdf
+BC2003{space}CR2032{space}2032{space}Battery{space}Holder
+0
+3
+2
+Battery
+BatteryHolder_MPD_BH-18650-PC2
+18650{space}Battery{space}Holder{space}(http://www.memoryprotectiondevices.com/datasheets/BK-18650-PC2-datasheet.pdf)
+18650{space}Battery{space}Holder
+0
+2
+2
+Battery
+BatteryHolder_Seiko_MS621F
+Seiko{space}MS621F,{space}https://www.sii.co.jp/en/me/files/2014/02/file_EXTENDED_PRDCT_SPEC_75_FILE_11.jpg
+Seiko{space}MS621F
+0
+2
+2
+Battery
+BatteryHolder_TruPower_BH-331P_3xAA
+Keystone{space}Battery{space}Holder{space}BH-331P{space}Battery{space}Type{space}3xAA{space}(Script{space}generated{space}with{space}StandardBox.py){space}(Keystone{space}Battery{space}Holder{space}BH-331P{space}Battery{space}Type{space}3xAA)
+Battery{space}Holder{space}BH-331P{space}Battery{space}Type{space}3xAA
+0
+2
+2
+Battery
+Battery_CR1225
+CR1225{space}battery
+battery{space}CR1225{space}coin{space}cell
+0
+0
+0
+Battery
+Battery_Panasonic_CR1025-VSK_Vertical_CircularHoles
+Panasonic{space}CR-1025/VSK{space}battery,{space}https://industrial.panasonic.com/cdbs/www-data/pdf2/AAA4000/AAA4000D140.pdf
+battery{space}CR-1025{space}coin{space}cell{space}vertical
+0
+2
+2
+Battery
+Battery_Panasonic_CR1220-VCN_Vertical_CircularHoles
+Panasonic{space}CR-1220/VCN{space}battery,{space}https://industrial.panasonic.com/cdbs/www-data/pdf2/AAA4000/AAA4000D140.pdf
+battery{space}CR-1220{space}coin{space}cell{space}vertical
+0
+2
+2
+Battery
+Battery_Panasonic_CR1632-V1AN_Vertical_CircularHoles
+Panasonic{space}CR-1632-V1AN{space}battery,{space}https://industrial.panasonic.com/cdbs/www-data/pdf2/AAA4000/AAA4000D140.pdf
+battery{space}CR-1632{space}coin{space}cell{space}vertical
+0
+2
+2
+Battery
+Battery_Panasonic_CR2025-V1AK_Vertical_CircularHoles
+Panasonic{space}CR-2025/V1AK{space}battery,{space}https://industrial.panasonic.com/cdbs/www-data/pdf2/AAA4000/AAA4000D140.pdf
+battery{space}CR-2025{space}coin{space}cell{space}vertical
+0
+2
+2
+Battery
+Battery_Panasonic_CR2032-VS1N_Vertical_CircularHoles
+Panasonic{space}CR-2032/VS1N{space}battery,{space}https://industrial.panasonic.com/cdbs/www-data/pdf2/AAA4000/AAA4000D140.pdf
+battery{space}CR-2032{space}coin{space}cell{space}vertical
+0
+2
+2
+Battery
+Battery_Panasonic_CR2354-VCN_Vertical_CircularHoles
+Panasonic{space}CR-2354/VCN{space}battery,{space}https://industrial.panasonic.com/cdbs/www-data/pdf2/AAA4000/AAA4000D140.pdf
+battery{space}CR-2354/VCN{space}coin{space}cell{space}vertical
+0
+2
+2
+Battery
+Battery_Panasonic_CR2450-VAN_Vertical_CircularHoles
+Panasonic{space}CR-2450/VAN{space}battery,{space}https://industrial.panasonic.com/cdbs/www-data/pdf2/AAA4000/AAA4000D140.pdf
+battery{space}CR-2450{space}coin{space}cell
+0
+2
+2
+Battery
+Battery_Panasonic_CR2477-VCN_Vertical_CircularHoles
+Panasonic{space}CR-2477/VCN{space}battery,{space}https://industrial.panasonic.com/cdbs/www-data/pdf2/AAA4000/AAA4000D140.pdf
+battery{space}CR-2477{space}coin{space}cell{space}vertical
+0
+2
+2
+Battery
+Battery_Panasonic_CR3032-VCN_Vertical_CircularHoles
+Panasonic{space}CR-3032/VCN{space}battery,{space}https://industrial.panasonic.com/cdbs/www-data/pdf2/AAA4000/AAA4000D140.pdf
+battery{space}CR-3032{space}coin{space}cell{space}vertical
+0
+2
+2
+Button_Switch_Keyboard
+SW_Cherry_MX_1.00u_PCB
+Cherry{space}MX{space}keyswitch,{space}1.00u,{space}PCB{space}mount,{space}http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf
+Cherry{space}MX{space}keyswitch{space}1.00u{space}PCB
+0
+2
+2
+Button_Switch_Keyboard
+SW_Cherry_MX_1.00u_Plate
+Cherry{space}MX{space}keyswitch,{space}1.00u,{space}plate{space}mount,{space}http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf
+Cherry{space}MX{space}keyswitch{space}1.00u{space}plate
+0
+2
+2
+Button_Switch_Keyboard
+SW_Cherry_MX_1.25u_PCB
+Cherry{space}MX{space}keyswitch,{space}1.25u,{space}PCB{space}mount,{space}http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf
+Cherry{space}MX{space}keyswitch{space}1.25u{space}PCB
+0
+2
+2
+Button_Switch_Keyboard
+SW_Cherry_MX_1.25u_Plate
+Cherry{space}MX{space}keyswitch,{space}1.25u,{space}plate{space}mount,{space}http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf
+Cherry{space}MX{space}keyswitch{space}1.25u{space}plate
+0
+2
+2
+Button_Switch_Keyboard
+SW_Cherry_MX_1.50u_PCB
+Cherry{space}MX{space}keyswitch,{space}1.50u,{space}PCB{space}mount,{space}http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf
+Cherry{space}MX{space}keyswitch{space}1.50u{space}PCB
+0
+2
+2
+Button_Switch_Keyboard
+SW_Cherry_MX_1.50u_Plate
+Cherry{space}MX{space}keyswitch,{space}1.50u,{space}plate{space}mount,{space}http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf
+Cherry{space}MX{space}keyswitch{space}1.50u{space}plate
+0
+2
+2
+Button_Switch_Keyboard
+SW_Cherry_MX_1.75u_PCB
+Cherry{space}MX{space}keyswitch,{space}1.75u,{space}PCB{space}mount,{space}http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf
+Cherry{space}MX{space}keyswitch{space}1.75u{space}PCB
+0
+2
+2
+Button_Switch_Keyboard
+SW_Cherry_MX_1.75u_Plate
+Cherry{space}MX{space}keyswitch,{space}1.75u,{space}plate{space}mount,{space}http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf
+Cherry{space}MX{space}keyswitch{space}1.75u{space}plate
+0
+2
+2
+Button_Switch_Keyboard
+SW_Cherry_MX_2.00u_PCB
+Cherry{space}MX{space}keyswitch,{space}2.00u,{space}PCB{space}mount,{space}http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf
+Cherry{space}MX{space}keyswitch{space}2.00u{space}PCB
+0
+2
+2
+Button_Switch_Keyboard
+SW_Cherry_MX_2.00u_Plate
+Cherry{space}MX{space}keyswitch,{space}2.00u,{space}plate{space}mount,{space}http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf
+Cherry{space}MX{space}keyswitch{space}2.00u{space}plate
+0
+2
+2
+Button_Switch_Keyboard
+SW_Cherry_MX_2.00u_Vertical_PCB
+Cherry{space}MX{space}keyswitch,{space}2.00u,{space}vertical,{space}PCB{space}mount,{space}http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf
+Cherry{space}MX{space}keyswitch{space}2.00u{space}vertical{space}PCB
+0
+2
+2
+Button_Switch_Keyboard
+SW_Cherry_MX_2.00u_Vertical_Plate
+Cherry{space}MX{space}keyswitch,{space}2.00u,{space}vertical,{space}plate{space}mount,{space}http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf
+Cherry{space}MX{space}keyswitch{space}2.00u{space}vertical{space}plate
+0
+2
+2
+Button_Switch_Keyboard
+SW_Cherry_MX_2.25u_PCB
+Cherry{space}MX{space}keyswitch,{space}2.25u,{space}PCB{space}mount,{space}http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf
+Cherry{space}MX{space}keyswitch{space}2.25u{space}PCB
+0
+2
+2
+Button_Switch_Keyboard
+SW_Cherry_MX_2.25u_Plate
+Cherry{space}MX{space}keyswitch,{space}2.25u,{space}plate{space}mount,{space}http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf
+Cherry{space}MX{space}keyswitch{space}2.25u{space}plate
+0
+2
+2
+Button_Switch_Keyboard
+SW_Cherry_MX_2.75u_PCB
+Cherry{space}MX{space}keyswitch,{space}2.75u,{space}PCB{space}mount,{space}http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf
+Cherry{space}MX{space}keyswitch{space}2.75u{space}PCB
+0
+2
+2
+Button_Switch_Keyboard
+SW_Cherry_MX_2.75u_Plate
+Cherry{space}MX{space}keyswitch,{space}2.75u,{space}plate{space}mount,{space}http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf
+Cherry{space}MX{space}keyswitch{space}2.75u{space}plate
+0
+2
+2
+Button_Switch_Keyboard
+SW_Cherry_MX_6.25u_PCB
+Cherry{space}MX{space}keyswitch,{space}6.25u,{space}PCB{space}mount,{space}http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf
+Cherry{space}MX{space}keyswitch{space}6.25u{space}PCB
+0
+2
+2
+Button_Switch_Keyboard
+SW_Cherry_MX_6.25u_Plate
+Cherry{space}MX{space}keyswitch,{space}6.25u,{space}plate{space}mount,{space}http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf
+Cherry{space}MX{space}keyswitch{space}6.25u{space}plate
+0
+2
+2
+Button_Switch_Keyboard
+SW_Cherry_MX_ISOEnter_PCB
+Cherry{space}MX{space}keyswitch,{space}ISO{space}Enter,{space}PCB{space}mount,{space}http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf
+Cherry{space}MX{space}keyswitch{space}ISO{space}enter{space}PCB
+0
+2
+2
+Button_Switch_Keyboard
+SW_Cherry_MX_ISOEnter_Plate
+Cherry{space}MX{space}keyswitch,{space}ISO{space}Enter,{space}plate{space}mount,{space}http://cherryamericas.com/wp-content/uploads/2014/12/mx_cat.pdf
+Cherry{space}MX{space}keyswitch{space}ISO{space}enter{space}plate
+0
+2
+2
+Button_Switch_Keyboard
+SW_Matias_1.00u
+Matias/ALPS{space}keyswitch,{space}1.00u,{space}http://matias.ca/switches/
+Matias{space}ALPS{space}keyswitch{space}1.00u
+0
+2
+2
+Button_Switch_Keyboard
+SW_Matias_1.25u
+Matias/ALPS{space}keyswitch,{space}1.25u,{space}http://matias.ca/switches/
+Matias{space}ALPS{space}keyswitch{space}1.25u
+0
+2
+2
+Button_Switch_Keyboard
+SW_Matias_1.50u
+Matias/ALPS{space}keyswitch,{space}1.50u,{space}http://matias.ca/switches/
+Matias{space}ALPS{space}keyswitch{space}1.50u
+0
+2
+2
+Button_Switch_Keyboard
+SW_Matias_1.75u
+Matias/ALPS{space}keyswitch,{space}1.75u,{space}http://matias.ca/switches/
+Matias{space}ALPS{space}keyswitch{space}1.75u
+0
+2
+2
+Button_Switch_Keyboard
+SW_Matias_2.00u
+Matias/ALPS{space}keyswitch,{space}2.00u,{space}http://matias.ca/switches/
+Matias{space}ALPS{space}keyswitch{space}2.00u
+0
+2
+2
+Button_Switch_Keyboard
+SW_Matias_2.25u
+Matias/ALPS{space}keyswitch,{space}2.25u,{space}http://matias.ca/switches/
+Matias{space}ALPS{space}keyswitch{space}2.25u
+0
+2
+2
+Button_Switch_Keyboard
+SW_Matias_2.75u
+Matias/ALPS{space}keyswitch,{space}2.75u,{space}http://matias.ca/switches/
+Matias{space}ALPS{space}keyswitch{space}2.75u
+0
+2
+2
+Button_Switch_Keyboard
+SW_Matias_6.25u
+Matias/ALPS{space}keyswitch,{space}6.25u,{space}http://matias.ca/switches/
+Matias{space}ALPS{space}keyswitch{space}6.25u
+0
+2
+2
+Button_Switch_Keyboard
+SW_Matias_ISOEnter
+Matias/ALPS{space}keyswitch,{space}ISO{space}Enter,{space}http://matias.ca/switches/
+Matias{space}ALPS{space}keyswitch{space}ISO{space}enter
+0
+2
+2
+Button_Switch_SMD
+Nidec_Copal_SH-7010A
+4-bit{space}rotary{space}coded{space}switch,{space}J-hook,{space}https://www.nidec-copal-electronics.com/e/catalog/switch/sh-7000.pdf
+rotary{space}switch{space}bcd
+0
+6
+5
+Button_Switch_SMD
+Nidec_Copal_SH-7010B
+4-bit{space}rotary{space}coded{space}switch,{space}gull{space}wing,{space}https://www.nidec-copal-electronics.com/e/catalog/switch/sh-7000.pdf
+rotary{space}switch{space}bcd
+0
+6
+5
+Button_Switch_SMD
+Nidec_Copal_SH-7040B
+4-bit{space}rotary{space}coded{space}switch,{space}gull{space}wing,{space}Gray{space}code,{space}https://www.nidec-copal-electronics.com/e/catalog/switch/sh-7000.pdf
+rotary{space}switch{space}bcd
+0
+5
+5
+Button_Switch_SMD
+Panasonic_EVQPUJ_EVQPUA
+http://industrial.panasonic.com/cdbs/www-data/pdf/ATV0000/ATV0000CE5.pdf
+SMD{space}SMT{space}SPST{space}EVQPUJ{space}EVQPUA
+0
+4
+2
+Button_Switch_SMD
+Panasonic_EVQPUK_EVQPUB
+http://industrial.panasonic.com/cdbs/www-data/pdf/ATV0000/ATV0000CE5.pdf
+SMD{space}SMT{space}SPST{space}EVQPUK{space}EVQPUB
+0
+4
+2
+Button_Switch_SMD
+Panasonic_EVQPUL_EVQPUC
+http://industrial.panasonic.com/cdbs/www-data/pdf/ATV0000/ATV0000CE5.pdf
+SMD{space}SMT{space}SPST{space}EVQPUL{space}EVQPUC
+0
+4
+2
+Button_Switch_SMD
+Panasonic_EVQPUM_EVQPUD
+http://industrial.panasonic.com/cdbs/www-data/pdf/ATV0000/ATV0000CE5.pdf
+SMD{space}SMT{space}SPST{space}EVQPUM{space}EVQPUD
+0
+4
+2
+Button_Switch_SMD
+SW_DIP_SPSTx01_Slide_6.7x4.1mm_W6.73mm_P2.54mm_LowProfile_JPin
+SMD{space}1x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}6.73{space}mm{space}(264{space}mils),{space}body{space}size{space}6.7x4.1mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/219.pdf),{space}SMD,{space}LowProfile,{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}6.73mm{space}264mil{space}SMD{space}LowProfile{space}JPin
+0
+2
+2
+Button_Switch_SMD
+SW_DIP_SPSTx01_Slide_6.7x4.1mm_W8.61mm_P2.54mm_LowProfile
+SMD{space}1x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}8.61{space}mm{space}(338{space}mils),{space}body{space}size{space}6.7x4.1mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/219.pdf),{space}SMD,{space}LowProfile
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.61mm{space}338mil{space}SMD{space}LowProfile
+0
+2
+2
+Button_Switch_SMD
+SW_DIP_SPSTx01_Slide_9.78x4.72mm_W8.61mm_P2.54mm
+SMD{space}1x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}8.61{space}mm{space}(338{space}mils),{space}body{space}size{space}9.78x4.72mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/204.pdf),{space}SMD
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.61mm{space}338mil{space}SMD
+0
+2
+2
+Button_Switch_SMD
+SW_DIP_SPSTx01_Slide_Copal_CHS-01A_W5.08mm_P1.27mm_JPin
+SMD{space}1x-dip-switch{space}SPST{space}Copal_CHS-01A,{space}Slide,{space}row{space}spacing{space}5.08{space}mm{space}(200{space}mils),{space}body{space}size{space}{space}(see{space}http://www.nidec-copal-electronics.com/e/catalog/switch/chs.pdf),{space}SMD,{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}5.08mm{space}200mil{space}SMD{space}JPin
+0
+2
+2
+Button_Switch_SMD
+SW_DIP_SPSTx01_Slide_Copal_CHS-01B_W7.62mm_P1.27mm
+SMD{space}1x-dip-switch{space}SPST{space}Copal_CHS-01B,{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}http://www.nidec-copal-electronics.com/e/catalog/switch/chs.pdf),{space}SMD
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil{space}SMD
+0
+2
+2
+Button_Switch_SMD
+SW_DIP_SPSTx01_Slide_Copal_CVS-01xB_W5.9mm_P1mm
+SMD{space}1x-dip-switch{space}SPST{space}Copal_CVS-01xB,{space}Slide,{space}row{space}spacing{space}5.9{space}mm{space}(232{space}mils),{space}body{space}size{space}{space}(see{space}http://www.nidec-copal-electronics.com/e/catalog/switch/cvs.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}5.9mm{space}232mil
+0
+6
+3
+Button_Switch_SMD
+SW_DIP_SPSTx01_Slide_Omron_A6S-110x_W8.9mm_P2.54mm
+SMD{space}1x-dip-switch{space}SPST{space}Omron_A6S-110x,{space}Slide,{space}row{space}spacing{space}8.9{space}mm{space}(350{space}mils),{space}body{space}size{space}{space}(see{space}http://omronfs.omron.com/en_US/ecb/products/pdf/en-a6s.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.9mm{space}350mil
+0
+2
+2
+Button_Switch_SMD
+SW_DIP_SPSTx02_Slide_6.7x6.64mm_W6.73mm_P2.54mm_LowProfile_JPin
+SMD{space}2x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}6.73{space}mm{space}(264{space}mils),{space}body{space}size{space}6.7x6.64mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/219.pdf),{space}SMD,{space}LowProfile,{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}6.73mm{space}264mil{space}SMD{space}LowProfile{space}JPin
+0
+4
+4
+Button_Switch_SMD
+SW_DIP_SPSTx02_Slide_6.7x6.64mm_W8.61mm_P2.54mm_LowProfile
+SMD{space}2x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}8.61{space}mm{space}(338{space}mils),{space}body{space}size{space}6.7x6.64mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/219.pdf),{space}SMD,{space}LowProfile
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.61mm{space}338mil{space}SMD{space}LowProfile
+0
+4
+4
+Button_Switch_SMD
+SW_DIP_SPSTx02_Slide_9.78x7.26mm_W8.61mm_P2.54mm
+SMD{space}2x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}8.61{space}mm{space}(338{space}mils),{space}body{space}size{space}9.78x7.26mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/204.pdf),{space}SMD
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.61mm{space}338mil{space}SMD
+0
+4
+4
+Button_Switch_SMD
+SW_DIP_SPSTx02_Slide_Copal_CHS-02A_W5.08mm_P1.27mm_JPin
+SMD{space}2x-dip-switch{space}SPST{space}Copal_CHS-02A,{space}Slide,{space}row{space}spacing{space}5.08{space}mm{space}(200{space}mils),{space}body{space}size{space}{space}(see{space}http://www.nidec-copal-electronics.com/e/catalog/switch/chs.pdf),{space}SMD,{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}5.08mm{space}200mil{space}SMD{space}JPin
+0
+4
+4
+Button_Switch_SMD
+SW_DIP_SPSTx02_Slide_Copal_CHS-02B_W7.62mm_P1.27mm
+SMD{space}2x-dip-switch{space}SPST{space}Copal_CHS-02B,{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}http://www.nidec-copal-electronics.com/e/catalog/switch/chs.pdf),{space}SMD
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil{space}SMD
+0
+4
+4
+Button_Switch_SMD
+SW_DIP_SPSTx02_Slide_Copal_CVS-02xB_W5.9mm_P1mm
+SMD{space}2x-dip-switch{space}SPST{space}Copal_CVS-02xB,{space}Slide,{space}row{space}spacing{space}5.9{space}mm{space}(232{space}mils),{space}body{space}size{space}{space}(see{space}http://www.nidec-copal-electronics.com/e/catalog/switch/cvs.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}5.9mm{space}232mil
+0
+8
+5
+Button_Switch_SMD
+SW_DIP_SPSTx02_Slide_KingTek_DSHP02TJ_W5.25mm_P1.27mm_JPin
+SMD{space}2x-dip-switch{space}SPST{space}KingTek_DSHP02TJ,{space}Slide,{space}row{space}spacing{space}5.25{space}mm{space}(206{space}mils),{space}body{space}size{space}{space}(see{space}http://www.kingtek.net.cn/pic/201601201446313350.pdf),{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}5.25mm{space}206mil{space}JPin
+0
+4
+4
+Button_Switch_SMD
+SW_DIP_SPSTx02_Slide_KingTek_DSHP02TS_W7.62mm_P1.27mm
+SMD{space}2x-dip-switch{space}SPST{space}KingTek_DSHP02TS,{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}http://www.kingtek.net.cn/pic/201601201417455112.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil
+0
+4
+4
+Button_Switch_SMD
+SW_DIP_SPSTx02_Slide_Omron_A6H-2101_W6.15mm_P1.27mm
+SMD{space}2x-dip-switch{space}SPST{space}Omron_A6H-2101,{space}Slide,{space}row{space}spacing{space}6.15{space}mm{space}(242{space}mils),{space}body{space}size{space}{space}(see{space}https://www.omron.com/ecb/products/pdf/en-a6h.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}6.15mm{space}242mil
+0
+4
+4
+Button_Switch_SMD
+SW_DIP_SPSTx02_Slide_Omron_A6S-210x_W8.9mm_P2.54mm
+SMD{space}2x-dip-switch{space}SPST{space}Omron_A6S-210x,{space}Slide,{space}row{space}spacing{space}8.9{space}mm{space}(350{space}mils),{space}body{space}size{space}{space}(see{space}http://omronfs.omron.com/en_US/ecb/products/pdf/en-a6s.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.9mm{space}350mil
+0
+4
+4
+Button_Switch_SMD
+SW_DIP_SPSTx03_Slide_6.7x9.18mm_W6.73mm_P2.54mm_LowProfile_JPin
+SMD{space}3x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}6.73{space}mm{space}(264{space}mils),{space}body{space}size{space}6.7x9.18mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/219.pdf),{space}SMD,{space}LowProfile,{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}6.73mm{space}264mil{space}SMD{space}LowProfile{space}JPin
+0
+6
+6
+Button_Switch_SMD
+SW_DIP_SPSTx03_Slide_6.7x9.18mm_W8.61mm_P2.54mm_LowProfile
+SMD{space}3x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}8.61{space}mm{space}(338{space}mils),{space}body{space}size{space}6.7x9.18mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/219.pdf),{space}SMD,{space}LowProfile
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.61mm{space}338mil{space}SMD{space}LowProfile
+0
+6
+6
+Button_Switch_SMD
+SW_DIP_SPSTx03_Slide_9.78x9.8mm_W8.61mm_P2.54mm
+SMD{space}3x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}8.61{space}mm{space}(338{space}mils),{space}body{space}size{space}9.78x9.8mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/204.pdf),{space}SMD
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.61mm{space}338mil{space}SMD
+0
+6
+6
+Button_Switch_SMD
+SW_DIP_SPSTx03_Slide_Copal_CVS-03xB_W5.9mm_P1mm
+SMD{space}3x-dip-switch{space}SPST{space}Copal_CVS-03xB,{space}Slide,{space}row{space}spacing{space}5.9{space}mm{space}(232{space}mils),{space}body{space}size{space}{space}(see{space}http://www.nidec-copal-electronics.com/e/catalog/switch/cvs.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}5.9mm{space}232mil
+0
+10
+7
+Button_Switch_SMD
+SW_DIP_SPSTx03_Slide_KingTek_DSHP03TJ_W5.25mm_P1.27mm_JPin
+SMD{space}3x-dip-switch{space}SPST{space}KingTek_DSHP03TJ,{space}Slide,{space}row{space}spacing{space}5.25{space}mm{space}(206{space}mils),{space}body{space}size{space}{space}(see{space}http://www.kingtek.net.cn/pic/201601201446313350.pdf),{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}5.25mm{space}206mil{space}JPin
+0
+6
+6
+Button_Switch_SMD
+SW_DIP_SPSTx03_Slide_KingTek_DSHP03TS_W7.62mm_P1.27mm
+SMD{space}3x-dip-switch{space}SPST{space}KingTek_DSHP03TS,{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}http://www.kingtek.net.cn/pic/201601201417455112.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil
+0
+6
+6
+Button_Switch_SMD
+SW_DIP_SPSTx03_Slide_Omron_A6S-310x_W8.9mm_P2.54mm
+SMD{space}3x-dip-switch{space}SPST{space}Omron_A6S-310x,{space}Slide,{space}row{space}spacing{space}8.9{space}mm{space}(350{space}mils),{space}body{space}size{space}{space}(see{space}http://omronfs.omron.com/en_US/ecb/products/pdf/en-a6s.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.9mm{space}350mil
+0
+6
+6
+Button_Switch_SMD
+SW_DIP_SPSTx04_Slide_6.7x11.72mm_W6.73mm_P2.54mm_LowProfile_JPin
+SMD{space}4x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}6.73{space}mm{space}(264{space}mils),{space}body{space}size{space}6.7x11.72mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/219.pdf),{space}SMD,{space}LowProfile,{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}6.73mm{space}264mil{space}SMD{space}LowProfile{space}JPin
+0
+8
+8
+Button_Switch_SMD
+SW_DIP_SPSTx04_Slide_6.7x11.72mm_W8.61mm_P2.54mm_LowProfile
+SMD{space}4x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}8.61{space}mm{space}(338{space}mils),{space}body{space}size{space}6.7x11.72mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/219.pdf),{space}SMD,{space}LowProfile
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.61mm{space}338mil{space}SMD{space}LowProfile
+0
+8
+8
+Button_Switch_SMD
+SW_DIP_SPSTx04_Slide_9.78x12.34mm_W8.61mm_P2.54mm
+SMD{space}4x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}8.61{space}mm{space}(338{space}mils),{space}body{space}size{space}9.78x12.34mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/204.pdf),{space}SMD
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.61mm{space}338mil{space}SMD
+0
+8
+8
+Button_Switch_SMD
+SW_DIP_SPSTx04_Slide_Copal_CHS-04A_W5.08mm_P1.27mm_JPin
+SMD{space}4x-dip-switch{space}SPST{space}Copal_CHS-04A,{space}Slide,{space}row{space}spacing{space}5.08{space}mm{space}(200{space}mils),{space}body{space}size{space}{space}(see{space}http://www.nidec-copal-electronics.com/e/catalog/switch/chs.pdf),{space}SMD,{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}5.08mm{space}200mil{space}SMD{space}JPin
+0
+8
+8
+Button_Switch_SMD
+SW_DIP_SPSTx04_Slide_Copal_CHS-04B_W7.62mm_P1.27mm
+SMD{space}4x-dip-switch{space}SPST{space}Copal_CHS-04B,{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}http://www.nidec-copal-electronics.com/e/catalog/switch/chs.pdf),{space}SMD
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil{space}SMD
+0
+8
+8
+Button_Switch_SMD
+SW_DIP_SPSTx04_Slide_Copal_CVS-04xB_W5.9mm_P1mm
+SMD{space}4x-dip-switch{space}SPST{space}Copal_CVS-04xB,{space}Slide,{space}row{space}spacing{space}5.9{space}mm{space}(232{space}mils),{space}body{space}size{space}{space}(see{space}http://www.nidec-copal-electronics.com/e/catalog/switch/cvs.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}5.9mm{space}232mil
+0
+12
+9
+Button_Switch_SMD
+SW_DIP_SPSTx04_Slide_KingTek_DSHP04TJ_W5.25mm_P1.27mm_JPin
+SMD{space}4x-dip-switch{space}SPST{space}KingTek_DSHP04TJ,{space}Slide,{space}row{space}spacing{space}5.25{space}mm{space}(206{space}mils),{space}body{space}size{space}{space}(see{space}http://www.kingtek.net.cn/pic/201601201446313350.pdf),{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}5.25mm{space}206mil{space}JPin
+0
+8
+8
+Button_Switch_SMD
+SW_DIP_SPSTx04_Slide_KingTek_DSHP04TS_W7.62mm_P1.27mm
+SMD{space}4x-dip-switch{space}SPST{space}KingTek_DSHP04TS,{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}http://www.kingtek.net.cn/pic/201601201417455112.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil
+0
+8
+8
+Button_Switch_SMD
+SW_DIP_SPSTx04_Slide_Omron_A6H-4101_W6.15mm_P1.27mm
+SMD{space}4x-dip-switch{space}SPST{space}Omron_A6H-4101,{space}Slide,{space}row{space}spacing{space}6.15{space}mm{space}(242{space}mils),{space}body{space}size{space}{space}(see{space}https://www.omron.com/ecb/products/pdf/en-a6h.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}6.15mm{space}242mil
+0
+8
+8
+Button_Switch_SMD
+SW_DIP_SPSTx04_Slide_Omron_A6S-410x_W8.9mm_P2.54mm
+SMD{space}4x-dip-switch{space}SPST{space}Omron_A6S-410x,{space}Slide,{space}row{space}spacing{space}8.9{space}mm{space}(350{space}mils),{space}body{space}size{space}{space}(see{space}http://omronfs.omron.com/en_US/ecb/products/pdf/en-a6s.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.9mm{space}350mil
+0
+8
+8
+Button_Switch_SMD
+SW_DIP_SPSTx05_Slide_6.7x14.26mm_W6.73mm_P2.54mm_LowProfile_JPin
+SMD{space}5x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}6.73{space}mm{space}(264{space}mils),{space}body{space}size{space}6.7x14.26mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/219.pdf),{space}SMD,{space}LowProfile,{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}6.73mm{space}264mil{space}SMD{space}LowProfile{space}JPin
+0
+10
+10
+Button_Switch_SMD
+SW_DIP_SPSTx05_Slide_6.7x14.26mm_W8.61mm_P2.54mm_LowProfile
+SMD{space}5x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}8.61{space}mm{space}(338{space}mils),{space}body{space}size{space}6.7x14.26mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/219.pdf),{space}SMD,{space}LowProfile
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.61mm{space}338mil{space}SMD{space}LowProfile
+0
+10
+10
+Button_Switch_SMD
+SW_DIP_SPSTx05_Slide_9.78x14.88mm_W8.61mm_P2.54mm
+SMD{space}5x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}8.61{space}mm{space}(338{space}mils),{space}body{space}size{space}9.78x14.88mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/204.pdf),{space}SMD
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.61mm{space}338mil{space}SMD
+0
+10
+10
+Button_Switch_SMD
+SW_DIP_SPSTx05_Slide_KingTek_DSHP05TJ_W5.25mm_P1.27mm_JPin
+SMD{space}5x-dip-switch{space}SPST{space}KingTek_DSHP05TJ,{space}Slide,{space}row{space}spacing{space}5.25{space}mm{space}(206{space}mils),{space}body{space}size{space}{space}(see{space}http://www.kingtek.net.cn/pic/201601201446313350.pdf),{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}5.25mm{space}206mil{space}JPin
+0
+10
+10
+Button_Switch_SMD
+SW_DIP_SPSTx05_Slide_KingTek_DSHP05TS_W7.62mm_P1.27mm
+SMD{space}5x-dip-switch{space}SPST{space}KingTek_DSHP05TS,{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}http://www.kingtek.net.cn/pic/201601201417455112.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil
+0
+10
+10
+Button_Switch_SMD
+SW_DIP_SPSTx05_Slide_Omron_A6S-510x_W8.9mm_P2.54mm
+SMD{space}5x-dip-switch{space}SPST{space}Omron_A6S-510x,{space}Slide,{space}row{space}spacing{space}8.9{space}mm{space}(350{space}mils),{space}body{space}size{space}{space}(see{space}http://omronfs.omron.com/en_US/ecb/products/pdf/en-a6s.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.9mm{space}350mil
+0
+10
+10
+Button_Switch_SMD
+SW_DIP_SPSTx06_Slide_6.7x16.8mm_W6.73mm_P2.54mm_LowProfile_JPin
+SMD{space}6x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}6.73{space}mm{space}(264{space}mils),{space}body{space}size{space}6.7x16.8mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/219.pdf),{space}SMD,{space}LowProfile,{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}6.73mm{space}264mil{space}SMD{space}LowProfile{space}JPin
+0
+12
+12
+Button_Switch_SMD
+SW_DIP_SPSTx06_Slide_6.7x16.8mm_W8.61mm_P2.54mm_LowProfile
+SMD{space}6x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}8.61{space}mm{space}(338{space}mils),{space}body{space}size{space}6.7x16.8mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/219.pdf),{space}SMD,{space}LowProfile
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.61mm{space}338mil{space}SMD{space}LowProfile
+0
+12
+12
+Button_Switch_SMD
+SW_DIP_SPSTx06_Slide_9.78x17.42mm_W8.61mm_P2.54mm
+SMD{space}6x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}8.61{space}mm{space}(338{space}mils),{space}body{space}size{space}9.78x17.42mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/204.pdf),{space}SMD
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.61mm{space}338mil{space}SMD
+0
+12
+12
+Button_Switch_SMD
+SW_DIP_SPSTx06_Slide_Copal_CHS-06A_W5.08mm_P1.27mm_JPin
+SMD{space}6x-dip-switch{space}SPST{space}Copal_CHS-06A,{space}Slide,{space}row{space}spacing{space}5.08{space}mm{space}(200{space}mils),{space}body{space}size{space}{space}(see{space}http://www.nidec-copal-electronics.com/e/catalog/switch/chs.pdf),{space}SMD,{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}5.08mm{space}200mil{space}SMD{space}JPin
+0
+12
+12
+Button_Switch_SMD
+SW_DIP_SPSTx06_Slide_Copal_CHS-06B_W7.62mm_P1.27mm
+SMD{space}6x-dip-switch{space}SPST{space}Copal_CHS-06B,{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}http://www.nidec-copal-electronics.com/e/catalog/switch/chs.pdf),{space}SMD
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil{space}SMD
+0
+12
+12
+Button_Switch_SMD
+SW_DIP_SPSTx06_Slide_KingTek_DSHP06TJ_W5.25mm_P1.27mm_JPin
+SMD{space}6x-dip-switch{space}SPST{space}KingTek_DSHP06TJ,{space}Slide,{space}row{space}spacing{space}5.25{space}mm{space}(206{space}mils),{space}body{space}size{space}{space}(see{space}http://www.kingtek.net.cn/pic/201601201446313350.pdf),{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}5.25mm{space}206mil{space}JPin
+0
+12
+12
+Button_Switch_SMD
+SW_DIP_SPSTx06_Slide_KingTek_DSHP06TS_W7.62mm_P1.27mm
+SMD{space}6x-dip-switch{space}SPST{space}KingTek_DSHP06TS,{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}http://www.kingtek.net.cn/pic/201601201417455112.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil
+0
+12
+12
+Button_Switch_SMD
+SW_DIP_SPSTx06_Slide_Omron_A6H-6101_W6.15mm_P1.27mm
+SMD{space}6x-dip-switch{space}SPST{space}Omron_A6H-6101,{space}Slide,{space}row{space}spacing{space}6.15{space}mm{space}(242{space}mils),{space}body{space}size{space}{space}(see{space}https://www.omron.com/ecb/products/pdf/en-a6h.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}6.15mm{space}242mil
+0
+12
+12
+Button_Switch_SMD
+SW_DIP_SPSTx06_Slide_Omron_A6S-610x_W8.9mm_P2.54mm
+SMD{space}6x-dip-switch{space}SPST{space}Omron_A6S-610x,{space}Slide,{space}row{space}spacing{space}8.9{space}mm{space}(350{space}mils),{space}body{space}size{space}{space}(see{space}http://omronfs.omron.com/en_US/ecb/products/pdf/en-a6s.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.9mm{space}350mil
+0
+12
+12
+Button_Switch_SMD
+SW_DIP_SPSTx07_Slide_6.7x19.34mm_W6.73mm_P2.54mm_LowProfile_JPin
+SMD{space}7x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}6.73{space}mm{space}(264{space}mils),{space}body{space}size{space}6.7x19.34mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/219.pdf),{space}SMD,{space}LowProfile,{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}6.73mm{space}264mil{space}SMD{space}LowProfile{space}JPin
+0
+14
+14
+Button_Switch_SMD
+SW_DIP_SPSTx07_Slide_6.7x19.34mm_W8.61mm_P2.54mm_LowProfile
+SMD{space}7x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}8.61{space}mm{space}(338{space}mils),{space}body{space}size{space}6.7x19.34mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/219.pdf),{space}SMD,{space}LowProfile
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.61mm{space}338mil{space}SMD{space}LowProfile
+0
+14
+14
+Button_Switch_SMD
+SW_DIP_SPSTx07_Slide_9.78x19.96mm_W8.61mm_P2.54mm
+SMD{space}7x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}8.61{space}mm{space}(338{space}mils),{space}body{space}size{space}9.78x19.96mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/204.pdf),{space}SMD
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.61mm{space}338mil{space}SMD
+0
+14
+14
+Button_Switch_SMD
+SW_DIP_SPSTx07_Slide_KingTek_DSHP07TJ_W5.25mm_P1.27mm_JPin
+SMD{space}7x-dip-switch{space}SPST{space}KingTek_DSHP07TJ,{space}Slide,{space}row{space}spacing{space}5.25{space}mm{space}(206{space}mils),{space}body{space}size{space}{space}(see{space}http://www.kingtek.net.cn/pic/201601201446313350.pdf),{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}5.25mm{space}206mil{space}JPin
+0
+14
+14
+Button_Switch_SMD
+SW_DIP_SPSTx07_Slide_KingTek_DSHP07TS_W7.62mm_P1.27mm
+SMD{space}7x-dip-switch{space}SPST{space}KingTek_DSHP07TS,{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}http://www.kingtek.net.cn/pic/201601201417455112.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil
+0
+14
+14
+Button_Switch_SMD
+SW_DIP_SPSTx07_Slide_Omron_A6S-710x_W8.9mm_P2.54mm
+SMD{space}7x-dip-switch{space}SPST{space}Omron_A6S-710x,{space}Slide,{space}row{space}spacing{space}8.9{space}mm{space}(350{space}mils),{space}body{space}size{space}{space}(see{space}http://omronfs.omron.com/en_US/ecb/products/pdf/en-a6s.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.9mm{space}350mil
+0
+14
+14
+Button_Switch_SMD
+SW_DIP_SPSTx08_Slide_6.7x21.88mm_W6.73mm_P2.54mm_LowProfile_JPin
+SMD{space}8x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}6.73{space}mm{space}(264{space}mils),{space}body{space}size{space}6.7x21.88mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/219.pdf),{space}SMD,{space}LowProfile,{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}6.73mm{space}264mil{space}SMD{space}LowProfile{space}JPin
+0
+16
+16
+Button_Switch_SMD
+SW_DIP_SPSTx08_Slide_6.7x21.88mm_W8.61mm_P2.54mm_LowProfile
+SMD{space}8x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}8.61{space}mm{space}(338{space}mils),{space}body{space}size{space}6.7x21.88mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/219.pdf),{space}SMD,{space}LowProfile
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.61mm{space}338mil{space}SMD{space}LowProfile
+0
+16
+16
+Button_Switch_SMD
+SW_DIP_SPSTx08_Slide_9.78x22.5mm_W8.61mm_P2.54mm
+SMD{space}8x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}8.61{space}mm{space}(338{space}mils),{space}body{space}size{space}9.78x22.5mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/204.pdf),{space}SMD
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.61mm{space}338mil{space}SMD
+0
+16
+16
+Button_Switch_SMD
+SW_DIP_SPSTx08_Slide_Copal_CHS-08A_W5.08mm_P1.27mm_JPin
+SMD{space}8x-dip-switch{space}SPST{space}Copal_CHS-08A,{space}Slide,{space}row{space}spacing{space}5.08{space}mm{space}(200{space}mils),{space}body{space}size{space}{space}(see{space}http://www.nidec-copal-electronics.com/e/catalog/switch/chs.pdf),{space}SMD,{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}5.08mm{space}200mil{space}SMD{space}JPin
+0
+16
+16
+Button_Switch_SMD
+SW_DIP_SPSTx08_Slide_Copal_CHS-08B_W7.62mm_P1.27mm
+SMD{space}8x-dip-switch{space}SPST{space}Copal_CHS-08B,{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}http://www.nidec-copal-electronics.com/e/catalog/switch/chs.pdf),{space}SMD
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil{space}SMD
+0
+16
+16
+Button_Switch_SMD
+SW_DIP_SPSTx08_Slide_Copal_CVS-08xB_W5.9mm_P1mm
+SMD{space}8x-dip-switch{space}SPST{space}Copal_CVS-08xB,{space}Slide,{space}row{space}spacing{space}5.9{space}mm{space}(232{space}mils),{space}body{space}size{space}{space}(see{space}http://www.nidec-copal-electronics.com/e/catalog/switch/cvs.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}5.9mm{space}232mil
+0
+20
+17
+Button_Switch_SMD
+SW_DIP_SPSTx08_Slide_KingTek_DSHP08TJ_W5.25mm_P1.27mm_JPin
+SMD{space}8x-dip-switch{space}SPST{space}KingTek_DSHP08TJ,{space}Slide,{space}row{space}spacing{space}5.25{space}mm{space}(206{space}mils),{space}body{space}size{space}{space}(see{space}http://www.kingtek.net.cn/pic/201601201446313350.pdf),{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}5.25mm{space}206mil{space}JPin
+0
+16
+16
+Button_Switch_SMD
+SW_DIP_SPSTx08_Slide_KingTek_DSHP08TS_W7.62mm_P1.27mm
+SMD{space}8x-dip-switch{space}SPST{space}KingTek_DSHP08TS,{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}http://www.kingtek.net.cn/pic/201601201417455112.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil
+0
+16
+16
+Button_Switch_SMD
+SW_DIP_SPSTx08_Slide_Omron_A6H-8101_W6.15mm_P1.27mm
+SMD{space}8x-dip-switch{space}SPST{space}Omron_A6H-8101,{space}Slide,{space}row{space}spacing{space}6.15{space}mm{space}(242{space}mils),{space}body{space}size{space}{space}(see{space}https://www.omron.com/ecb/products/pdf/en-a6h.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}6.15mm{space}242mil
+0
+16
+16
+Button_Switch_SMD
+SW_DIP_SPSTx08_Slide_Omron_A6S-810x_W8.9mm_P2.54mm
+SMD{space}8x-dip-switch{space}SPST{space}Omron_A6S-810x,{space}Slide,{space}row{space}spacing{space}8.9{space}mm{space}(350{space}mils),{space}body{space}size{space}{space}(see{space}http://omronfs.omron.com/en_US/ecb/products/pdf/en-a6s.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.9mm{space}350mil
+0
+16
+16
+Button_Switch_SMD
+SW_DIP_SPSTx09_Slide_6.7x24.42mm_W6.73mm_P2.54mm_LowProfile_JPin
+SMD{space}9x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}6.73{space}mm{space}(264{space}mils),{space}body{space}size{space}6.7x24.42mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/219.pdf),{space}SMD,{space}LowProfile,{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}6.73mm{space}264mil{space}SMD{space}LowProfile{space}JPin
+0
+18
+18
+Button_Switch_SMD
+SW_DIP_SPSTx09_Slide_6.7x24.42mm_W8.61mm_P2.54mm_LowProfile
+SMD{space}9x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}8.61{space}mm{space}(338{space}mils),{space}body{space}size{space}6.7x24.42mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/219.pdf),{space}SMD,{space}LowProfile
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.61mm{space}338mil{space}SMD{space}LowProfile
+0
+18
+18
+Button_Switch_SMD
+SW_DIP_SPSTx09_Slide_9.78x25.04mm_W8.61mm_P2.54mm
+SMD{space}9x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}8.61{space}mm{space}(338{space}mils),{space}body{space}size{space}9.78x25.04mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/204.pdf),{space}SMD
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.61mm{space}338mil{space}SMD
+0
+18
+18
+Button_Switch_SMD
+SW_DIP_SPSTx09_Slide_KingTek_DSHP09TJ_W5.25mm_P1.27mm_JPin
+SMD{space}9x-dip-switch{space}SPST{space}KingTek_DSHP09TJ,{space}Slide,{space}row{space}spacing{space}5.25{space}mm{space}(206{space}mils),{space}body{space}size{space}{space}(see{space}http://www.kingtek.net.cn/pic/201601201446313350.pdf),{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}5.25mm{space}206mil{space}JPin
+0
+18
+18
+Button_Switch_SMD
+SW_DIP_SPSTx09_Slide_KingTek_DSHP09TS_W7.62mm_P1.27mm
+SMD{space}9x-dip-switch{space}SPST{space}KingTek_DSHP09TS,{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}http://www.kingtek.net.cn/pic/201601201417455112.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil
+0
+18
+18
+Button_Switch_SMD
+SW_DIP_SPSTx09_Slide_Omron_A6S-910x_W8.9mm_P2.54mm
+SMD{space}9x-dip-switch{space}SPST{space}Omron_A6S-910x,{space}Slide,{space}row{space}spacing{space}8.9{space}mm{space}(350{space}mils),{space}body{space}size{space}{space}(see{space}http://omronfs.omron.com/en_US/ecb/products/pdf/en-a6s.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.9mm{space}350mil
+0
+18
+18
+Button_Switch_SMD
+SW_DIP_SPSTx10_Slide_6.7x26.96mm_W6.73mm_P2.54mm_LowProfile_JPin
+SMD{space}10x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}6.73{space}mm{space}(264{space}mils),{space}body{space}size{space}6.7x26.96mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/219.pdf),{space}SMD,{space}LowProfile,{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}6.73mm{space}264mil{space}SMD{space}LowProfile{space}JPin
+0
+20
+20
+Button_Switch_SMD
+SW_DIP_SPSTx10_Slide_6.7x26.96mm_W8.61mm_P2.54mm_LowProfile
+SMD{space}10x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}8.61{space}mm{space}(338{space}mils),{space}body{space}size{space}6.7x26.96mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/219.pdf),{space}SMD,{space}LowProfile
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.61mm{space}338mil{space}SMD{space}LowProfile
+0
+20
+20
+Button_Switch_SMD
+SW_DIP_SPSTx10_Slide_9.78x27.58mm_W8.61mm_P2.54mm
+SMD{space}10x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}8.61{space}mm{space}(338{space}mils),{space}body{space}size{space}9.78x27.58mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/204.pdf),{space}SMD
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.61mm{space}338mil{space}SMD
+0
+20
+20
+Button_Switch_SMD
+SW_DIP_SPSTx10_Slide_Copal_CHS-10A_W5.08mm_P1.27mm_JPin
+SMD{space}10x-dip-switch{space}SPST{space}Copal_CHS-10A,{space}Slide,{space}row{space}spacing{space}5.08{space}mm{space}(200{space}mils),{space}body{space}size{space}{space}(see{space}http://www.nidec-copal-electronics.com/e/catalog/switch/chs.pdf),{space}SMD,{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}5.08mm{space}200mil{space}SMD{space}JPin
+0
+20
+20
+Button_Switch_SMD
+SW_DIP_SPSTx10_Slide_Copal_CHS-10B_W7.62mm_P1.27mm
+SMD{space}10x-dip-switch{space}SPST{space}Copal_CHS-10B,{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}http://www.nidec-copal-electronics.com/e/catalog/switch/chs.pdf),{space}SMD
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil{space}SMD
+0
+20
+20
+Button_Switch_SMD
+SW_DIP_SPSTx10_Slide_KingTek_DSHP10TJ_W5.25mm_P1.27mm_JPin
+SMD{space}10x-dip-switch{space}SPST{space}KingTek_DSHP10TJ,{space}Slide,{space}row{space}spacing{space}5.25{space}mm{space}(206{space}mils),{space}body{space}size{space}{space}(see{space}http://www.kingtek.net.cn/pic/201601201446313350.pdf),{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}5.25mm{space}206mil{space}JPin
+0
+20
+20
+Button_Switch_SMD
+SW_DIP_SPSTx10_Slide_KingTek_DSHP10TS_W7.62mm_P1.27mm
+SMD{space}10x-dip-switch{space}SPST{space}KingTek_DSHP10TS,{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}http://www.kingtek.net.cn/pic/201601201417455112.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil
+0
+20
+20
+Button_Switch_SMD
+SW_DIP_SPSTx10_Slide_Omron_A6H-10101_W6.15mm_P1.27mm
+SMD{space}10x-dip-switch{space}SPST{space}Omron_A6H-10101,{space}Slide,{space}row{space}spacing{space}6.15{space}mm{space}(242{space}mils),{space}body{space}size{space}{space}(see{space}https://www.omron.com/ecb/products/pdf/en-a6h.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}6.15mm{space}242mil
+0
+20
+20
+Button_Switch_SMD
+SW_DIP_SPSTx10_Slide_Omron_A6S-1010x_W8.9mm_P2.54mm
+SMD{space}10x-dip-switch{space}SPST{space}Omron_A6S-1010x,{space}Slide,{space}row{space}spacing{space}8.9{space}mm{space}(350{space}mils),{space}body{space}size{space}{space}(see{space}http://omronfs.omron.com/en_US/ecb/products/pdf/en-a6s.pdf)
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.9mm{space}350mil
+0
+20
+20
+Button_Switch_SMD
+SW_DIP_SPSTx11_Slide_6.7x29.5mm_W6.73mm_P2.54mm_LowProfile_JPin
+SMD{space}11x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}6.73{space}mm{space}(264{space}mils),{space}body{space}size{space}6.7x29.5mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/219.pdf),{space}SMD,{space}LowProfile,{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}6.73mm{space}264mil{space}SMD{space}LowProfile{space}JPin
+0
+22
+22
+Button_Switch_SMD
+SW_DIP_SPSTx11_Slide_6.7x29.5mm_W8.61mm_P2.54mm_LowProfile
+SMD{space}11x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}8.61{space}mm{space}(338{space}mils),{space}body{space}size{space}6.7x29.5mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/219.pdf),{space}SMD,{space}LowProfile
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.61mm{space}338mil{space}SMD{space}LowProfile
+0
+22
+22
+Button_Switch_SMD
+SW_DIP_SPSTx11_Slide_9.78x30.12mm_W8.61mm_P2.54mm
+SMD{space}11x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}8.61{space}mm{space}(338{space}mils),{space}body{space}size{space}9.78x30.12mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/204.pdf),{space}SMD
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.61mm{space}338mil{space}SMD
+0
+22
+22
+Button_Switch_SMD
+SW_DIP_SPSTx12_Slide_6.7x32.04mm_W6.73mm_P2.54mm_LowProfile_JPin
+SMD{space}12x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}6.73{space}mm{space}(264{space}mils),{space}body{space}size{space}6.7x32.04mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/219.pdf),{space}SMD,{space}LowProfile,{space}JPin
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}6.73mm{space}264mil{space}SMD{space}LowProfile{space}JPin
+0
+24
+24
+Button_Switch_SMD
+SW_DIP_SPSTx12_Slide_6.7x32.04mm_W8.61mm_P2.54mm_LowProfile
+SMD{space}12x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}8.61{space}mm{space}(338{space}mils),{space}body{space}size{space}6.7x32.04mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/219.pdf),{space}SMD,{space}LowProfile
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.61mm{space}338mil{space}SMD{space}LowProfile
+0
+24
+24
+Button_Switch_SMD
+SW_DIP_SPSTx12_Slide_9.78x32.66mm_W8.61mm_P2.54mm
+SMD{space}12x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}8.61{space}mm{space}(338{space}mils),{space}body{space}size{space}9.78x32.66mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/204.pdf),{space}SMD
+SMD{space}DIP{space}Switch{space}SPST{space}Slide{space}8.61mm{space}338mil{space}SMD
+0
+24
+24
+Button_Switch_SMD
+SW_MEC_5GSH9
+MEC{space}5G{space}single{space}pole{space}normally-open{space}tactile{space}switch
+switch{space}normally-open{space}pushbutton{space}push-button
+0
+4
+4
+Button_Switch_SMD
+SW_Push_1P1T_NO_6x6mm_H9.5mm
+tactile{space}push{space}button,{space}6x6mm{space}e.g.{space}PTS645xx{space}series,{space}height=9.5mm
+tact{space}sw{space}push{space}6mm{space}smd
+0
+4
+2
+Button_Switch_SMD
+SW_Push_1P1T_NO_CK_KMR2
+CK{space}components{space}KMR2{space}tactile{space}switch{space}http://www.ckswitches.com/media/1479/kmr2.pdf
+tactile{space}switch{space}kmr2
+0
+4
+2
+Button_Switch_SMD
+SW_Push_1P1T_NO_CK_KSC6xxJ
+CK{space}components{space}KSC6{space}tactile{space}switch{space}https://www.ckswitches.com/media/1972/ksc6.pdf
+tactile{space}switch{space}ksc6
+0
+4
+2
+Button_Switch_SMD
+SW_Push_1P1T_NO_CK_KSC7xxJ
+CK{space}components{space}KSC7{space}tactile{space}switch{space}https://www.ckswitches.com/media/1973/ksc7.pdf
+tactile{space}switch{space}ksc7
+0
+4
+2
+Button_Switch_SMD
+SW_Push_SPST_NO_Alps_SKRK
+http://www.alps.com/prod/info/E/HTML/Tact/SurfaceMount/SKRK/SKRKAHE020.html
+SMD{space}SMT{space}button
+0
+2
+2
+Button_Switch_SMD
+SW_SP3T_PCM13
+Ultraminiature{space}Surface{space}Mount{space}Slide{space}Switch,{space}right-angle,{space}https://www.ckswitches.com/media/1424/pcm.pdf
+
+0
+8
+4
+Button_Switch_SMD
+SW_SPDT_CK-JS102011SAQN
+Sub-miniature{space}slide{space}switch,{space}right-angle,{space}http://www.ckswitches.com/media/1422/js.pdf
+switch{space}spdt
+0
+3
+3
+Button_Switch_SMD
+SW_SPDT_PCM12
+Ultraminiature{space}Surface{space}Mount{space}Slide{space}Switch,{space}right-angle,{space}https://www.ckswitches.com/media/1424/pcm.pdf
+
+0
+7
+3
+Button_Switch_SMD
+SW_SPST_B3S-1000
+Surface{space}Mount{space}Tactile{space}Switch{space}for{space}High-Density{space}Packaging
+Tactile{space}Switch
+0
+4
+2
+Button_Switch_SMD
+SW_SPST_B3S-1100
+Surface{space}Mount{space}Tactile{space}Switch{space}for{space}High-Density{space}Packaging{space}with{space}Ground{space}Terminal
+Tactile{space}Switch
+0
+5
+3
+Button_Switch_SMD
+SW_SPST_B3SL-1002P
+Middle{space}Stroke{space}Tactile{space}Switch,{space}B3SL
+Middle{space}Stroke{space}Tactile{space}Switch
+0
+4
+2
+Button_Switch_SMD
+SW_SPST_B3SL-1022P
+Middle{space}Stroke{space}Tactile{space}Switch,{space}B3SL
+Middle{space}Stroke{space}Tactile{space}Switch
+0
+4
+2
+Button_Switch_SMD
+SW_SPST_B3U-1000P
+Ultra-small-sized{space}Tactile{space}Switch{space}with{space}High{space}Contact{space}Reliability,{space}Top-actuated{space}Model,{space}without{space}Ground{space}Terminal,{space}without{space}Boss
+Tactile{space}Switch
+0
+2
+2
+Button_Switch_SMD
+SW_SPST_B3U-1000P-B
+Ultra-small-sized{space}Tactile{space}Switch{space}with{space}High{space}Contact{space}Reliability,{space}Top-actuated{space}Model,{space}without{space}Ground{space}Terminal,{space}with{space}Boss
+Tactile{space}Switch
+0
+2
+2
+Button_Switch_SMD
+SW_SPST_B3U-1100P
+Ultra-small-sized{space}Tactile{space}Switch{space}with{space}High{space}Contact{space}Reliability,{space}Top-actuated{space}Model,{space}with{space}Ground{space}Terminal,{space}without{space}Boss
+Tactile{space}Switch
+0
+3
+3
+Button_Switch_SMD
+SW_SPST_B3U-1100P-B
+Ultra-small-sized{space}Tactile{space}Switch{space}with{space}High{space}Contact{space}Reliability,{space}Top-actuated{space}Model,{space}with{space}Ground{space}Terminal,{space}with{space}Boss
+Tactile{space}Switch
+0
+3
+3
+Button_Switch_SMD
+SW_SPST_B3U-3000P
+Ultra-small-sized{space}Tactile{space}Switch{space}with{space}High{space}Contact{space}Reliability,{space}Side-actuated{space}Model,{space}without{space}Ground{space}Terminal,{space}without{space}Boss
+Tactile{space}Switch
+0
+2
+2
+Button_Switch_SMD
+SW_SPST_B3U-3000P-B
+Ultra-small-sized{space}Tactile{space}Switch{space}with{space}High{space}Contact{space}Reliability,{space}Side-actuated{space}Model,{space}without{space}Ground{space}Terminal,{space}with{space}Boss
+Tactile{space}Switch
+0
+2
+2
+Button_Switch_SMD
+SW_SPST_B3U-3100P
+Ultra-small-sized{space}Tactile{space}Switch{space}with{space}High{space}Contact{space}Reliability,{space}Side-actuated{space}Model,{space}with{space}Ground{space}Terminal,{space}without{space}Boss
+Tactile{space}Switch
+0
+3
+3
+Button_Switch_SMD
+SW_SPST_B3U-3100P-B
+Ultra-small-sized{space}Tactile{space}Switch{space}with{space}High{space}Contact{space}Reliability,{space}Side-actuated{space}Model,{space}with{space}Ground{space}Terminal,{space}with{space}Boss
+Tactile{space}Switch
+0
+3
+3
+Button_Switch_SMD
+SW_SPST_CK_KXT3
+https://www.ckswitches.com/media/1465/kxt3.pdf
+Switch{space}SPST{space}KXT3
+0
+2
+2
+Button_Switch_SMD
+SW_SPST_CK_RS282G05A3
+https://www.mouser.com/ds/2/60/RS-282G05A-SM_RT-1159762.pdf
+SPST{space}button{space}tactile{space}switch
+0
+2
+2
+Button_Switch_SMD
+SW_SPST_EVPBF
+Light{space}Touch{space}Switch
+
+0
+4
+2
+Button_Switch_SMD
+SW_SPST_EVQP0
+Light{space}Touch{space}Switch,{space}https://industrial.panasonic.com/cdbs/www-data/pdf/ATK0000/ATK0000CE28.pdf
+
+0
+4
+2
+Button_Switch_SMD
+SW_SPST_EVQP2
+Light{space}Touch{space}Switch
+
+0
+4
+2
+Button_Switch_SMD
+SW_SPST_EVQP7A
+Light{space}Touch{space}Switch,https://industrial.panasonic.com/cdbs/www-data/pdf/ATK0000/ATK0000CE20.pdf
+
+0
+4
+2
+Button_Switch_SMD
+SW_SPST_EVQP7C
+Light{space}Touch{space}Switch
+
+0
+4
+2
+Button_Switch_SMD
+SW_SPST_EVQPE1
+Light{space}Touch{space}Switch,{space}https://industrial.panasonic.com/cdbs/www-data/pdf/ATK0000/ATK0000CE7.pdf
+
+0
+2
+2
+Button_Switch_SMD
+SW_SPST_EVQQ2
+Light{space}Touch{space}Switch,{space}https://industrial.panasonic.com/cdbs/www-data/pdf/ATK0000/ATK0000CE28.pdf
+
+0
+4
+2
+Button_Switch_SMD
+SW_SPST_FSMSM
+http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=1437566-3&DocType=Customer+Drawing&DocLang=English
+SPST{space}button{space}tactile{space}switch
+0
+2
+2
+Button_Switch_SMD
+SW_SPST_PTS645
+C&K{space}Components{space}SPST{space}SMD{space}PTS645{space}Series{space}6mm{space}Tact{space}Switch
+SPST{space}Button{space}Switch
+0
+4
+2
+Button_Switch_SMD
+SW_SPST_PTS810
+C&K{space}Components,{space}PTS{space}810{space}Series,{space}Microminiature{space}SMT{space}Top{space}Actuated,{space}http://www.ckswitches.com/media/1476/pts810.pdf
+SPST{space}Button{space}Switch
+0
+4
+2
+Button_Switch_SMD
+SW_SPST_Panasonic_EVQPL_3PL_5PL_PT_A08
+Light{space}Touch{space}Switch,{space}http://industrial.panasonic.com/cdbs/www-data/pdf/ATK0000/ATK0000CE3.pdf
+SMD{space}SMT{space}SPST{space}EVQPL{space}EVQPT
+0
+6
+3
+Button_Switch_SMD
+SW_SPST_Panasonic_EVQPL_3PL_5PL_PT_A15
+Light{space}Touch{space}Switch,{space}http://industrial.panasonic.com/cdbs/www-data/pdf/ATK0000/ATK0000CE3.pdf
+SMD{space}SMT{space}SPST{space}EVQPL{space}EVQPT
+0
+6
+3
+Button_Switch_SMD
+SW_SPST_REED_CT05-XXXX-G1
+Coto{space}Technologies{space}SPST{space}Reed{space}Switch{space}CT05-XXXX-G1
+Coto{space}Reed{space}SPST{space}Switch
+0
+2
+2
+Button_Switch_SMD
+SW_SPST_REED_CT05-XXXX-J1
+Coto{space}Technologies{space}SPST{space}Reed{space}Switch{space}CT05-XXXX-J1
+Coto{space}Reed{space}SPST{space}Switch
+0
+2
+2
+Button_Switch_SMD
+SW_SPST_REED_CT10-XXXX-G1
+Coto{space}Technologies{space}SPST{space}Reed{space}Switch{space}CT10-XXXX-G1
+Coto{space}Reed{space}SPST{space}Switch
+0
+2
+2
+Button_Switch_SMD
+SW_SPST_REED_CT10-XXXX-G2
+Coto{space}Technologies{space}SPST{space}Reed{space}Switch{space}CT10-XXXX-G2
+Coto{space}Reed{space}SPST{space}Switch
+0
+2
+2
+Button_Switch_SMD
+SW_SPST_REED_CT10-XXXX-G4
+Coto{space}Technologies{space}SPST{space}Reed{space}Switch{space}CT10-XXXX-G4
+Coto{space}Reed{space}SPST{space}Switch
+0
+2
+2
+Button_Switch_SMD
+SW_SPST_SKQG_WithStem
+ALPS{space}5.2mm{space}Square{space}Low-profile{space}Type{space}(Surface{space}Mount){space}SKQG{space}Series,{space}With{space}stem,{space}http://www.alps.com/prod/info/E/HTML/Tact/SurfaceMount/SKQG/SKQGAFE010.html
+SPST{space}Button{space}Switch
+0
+4
+2
+Button_Switch_SMD
+SW_SPST_SKQG_WithoutStem
+ALPS{space}5.2mm{space}Square{space}Low-profile{space}Type{space}(Surface{space}Mount){space}SKQG{space}Series,{space}Without{space}stem,{space}http://www.alps.com/prod/info/E/HTML/Tact/SurfaceMount/SKQG/SKQGAEE010.html
+SPST{space}Button{space}Switch
+0
+4
+2
+Button_Switch_SMD
+SW_SPST_TL3305A
+https://www.e-switch.com/system/asset/product_line/data_sheet/213/TL3305.pdf
+TL3305{space}Series{space}Tact{space}Switch
+0
+4
+2
+Button_Switch_SMD
+SW_SPST_TL3305B
+https://www.e-switch.com/system/asset/product_line/data_sheet/213/TL3305.pdf
+TL3305{space}Series{space}Tact{space}Switch
+0
+4
+2
+Button_Switch_SMD
+SW_SPST_TL3305C
+https://www.e-switch.com/system/asset/product_line/data_sheet/213/TL3305.pdf
+TL3305{space}Series{space}Tact{space}Switch
+0
+4
+2
+Button_Switch_SMD
+SW_SPST_TL3342
+Low-profile{space}SMD{space}Tactile{space}Switch,{space}https://www.e-switch.com/system/asset/product_line/data_sheet/165/TL3342.pdf
+SPST{space}Tactile{space}Switch
+0
+4
+2
+Button_Switch_THT
+KSA_Tactile_SPST
+KSA{space}http://www.ckswitches.com/media/1457/ksa_ksl.pdf
+SWITCH{space}SMD{space}KSA{space}SW
+0
+5
+5
+Button_Switch_THT
+Nidec_Copal_SH-7010C
+4-bit{space}rotary{space}coded{space}switch,{space}through-hole,{space}https://www.nidec-copal-electronics.com/e/catalog/switch/sh-7000.pdf
+rotary{space}switch{space}bcd
+0
+6
+5
+Button_Switch_THT
+Push_E-Switch_KS01Q01
+E-Switch{space}KS01Q01{space}http://spec_sheets.e-switch.com/specs/29-KS01Q01.pdf
+Push{space}Button
+0
+4
+4
+Button_Switch_THT
+SW_CW_GPTS203211B
+SPST{space}Off-On{space}Pushbutton,{space}1A,{space}30V,{space}CW{space}Industries{space}P/N{space}GPTS203211B,{space}http://switches-connectors-custom.cwind.com/Asset/GPTS203211BR2.pdf
+SPST{space}button{space}switch{space}Off-On
+0
+2
+2
+Button_Switch_THT
+SW_CuK_JS202011AQN_DPDT_Angled
+CuK{space}sub{space}miniature{space}slide{space}switch,{space}JS{space}series,{space}DPDT,{space}right{space}angle,{space}http://www.ckswitches.com/media/1422/js.pdf
+switch{space}DPDT
+0
+6
+6
+Button_Switch_THT
+SW_CuK_JS202011CQN_DPDT_Straight
+CuK{space}sub{space}miniature{space}slide{space}switch,{space}JS{space}series,{space}DPDT,{space}right{space}angle,{space}http://www.ckswitches.com/media/1422/js.pdf
+switch{space}DPDT
+0
+6
+6
+Button_Switch_THT
+SW_CuK_OS102011MA1QN1_SPDT_Angled
+CuK{space}miniature{space}slide{space}switch,{space}OS{space}series,{space}SPDT,{space}right{space}angle,{space}http://www.ckswitches.com/media/1428/os.pdf
+switch{space}SPDT
+0
+5
+3
+Button_Switch_THT
+SW_DIP_SPSTx01_Piano_10.8x4.1mm_W7.62mm_P2.54mm
+1x-dip-switch{space}SPST{space},{space}Piano,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}10.8x4.1mm
+DIP{space}Switch{space}SPST{space}Piano{space}7.62mm{space}300mil
+0
+2
+2
+Button_Switch_THT
+SW_DIP_SPSTx01_Slide_6.7x4.1mm_W7.62mm_P2.54mm_LowProfile
+1x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}6.7x4.1mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/209-210.pdf),{space}LowProfile
+DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil{space}LowProfile
+0
+2
+2
+Button_Switch_THT
+SW_DIP_SPSTx01_Slide_9.78x4.72mm_W7.62mm_P2.54mm
+1x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}9.78x4.72mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/206-208.pdf)
+DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil
+0
+2
+2
+Button_Switch_THT
+SW_DIP_SPSTx02_Piano_10.8x6.64mm_W7.62mm_P2.54mm
+2x-dip-switch{space}SPST{space},{space}Piano,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}10.8x6.64mm
+DIP{space}Switch{space}SPST{space}Piano{space}7.62mm{space}300mil
+0
+4
+4
+Button_Switch_THT
+SW_DIP_SPSTx02_Piano_CTS_Series194-2MSTN_W7.62mm_P2.54mm
+2x-dip-switch{space}SPST{space}CTS_Series194-2MSTN,{space}Piano,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}https://www.ctscorp.com/wp-content/uploads/194-195.pdf)
+DIP{space}Switch{space}SPST{space}Piano{space}7.62mm{space}300mil
+0
+4
+4
+Button_Switch_THT
+SW_DIP_SPSTx02_Slide_6.7x6.64mm_W7.62mm_P2.54mm_LowProfile
+2x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}6.7x6.64mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/209-210.pdf),{space}LowProfile
+DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil{space}LowProfile
+0
+4
+4
+Button_Switch_THT
+SW_DIP_SPSTx02_Slide_9.78x7.26mm_W7.62mm_P2.54mm
+2x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}9.78x7.26mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/206-208.pdf)
+DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil
+0
+4
+4
+Button_Switch_THT
+SW_DIP_SPSTx03_Piano_10.8x9.18mm_W7.62mm_P2.54mm
+3x-dip-switch{space}SPST{space},{space}Piano,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}10.8x9.18mm
+DIP{space}Switch{space}SPST{space}Piano{space}7.62mm{space}300mil
+0
+6
+6
+Button_Switch_THT
+SW_DIP_SPSTx03_Piano_CTS_Series194-3MSTN_W7.62mm_P2.54mm
+3x-dip-switch{space}SPST{space}CTS_Series194-3MSTN,{space}Piano,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}https://www.ctscorp.com/wp-content/uploads/194-195.pdf)
+DIP{space}Switch{space}SPST{space}Piano{space}7.62mm{space}300mil
+0
+6
+6
+Button_Switch_THT
+SW_DIP_SPSTx03_Slide_6.7x9.18mm_W7.62mm_P2.54mm_LowProfile
+3x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}6.7x9.18mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/209-210.pdf),{space}LowProfile
+DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil{space}LowProfile
+0
+6
+6
+Button_Switch_THT
+SW_DIP_SPSTx03_Slide_9.78x9.8mm_W7.62mm_P2.54mm
+3x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}9.78x9.8mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/206-208.pdf)
+DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil
+0
+6
+6
+Button_Switch_THT
+SW_DIP_SPSTx04_Piano_10.8x11.72mm_W7.62mm_P2.54mm
+4x-dip-switch{space}SPST{space},{space}Piano,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}10.8x11.72mm
+DIP{space}Switch{space}SPST{space}Piano{space}7.62mm{space}300mil
+0
+8
+8
+Button_Switch_THT
+SW_DIP_SPSTx04_Piano_CTS_Series194-4MSTN_W7.62mm_P2.54mm
+4x-dip-switch{space}SPST{space}CTS_Series194-4MSTN,{space}Piano,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}https://www.ctscorp.com/wp-content/uploads/194-195.pdf)
+DIP{space}Switch{space}SPST{space}Piano{space}7.62mm{space}300mil
+0
+8
+8
+Button_Switch_THT
+SW_DIP_SPSTx04_Slide_6.7x11.72mm_W7.62mm_P2.54mm_LowProfile
+4x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}6.7x11.72mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/209-210.pdf),{space}LowProfile
+DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil{space}LowProfile
+0
+8
+8
+Button_Switch_THT
+SW_DIP_SPSTx04_Slide_9.78x12.34mm_W7.62mm_P2.54mm
+4x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}9.78x12.34mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/206-208.pdf)
+DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil
+0
+8
+8
+Button_Switch_THT
+SW_DIP_SPSTx05_Piano_10.8x14.26mm_W7.62mm_P2.54mm
+5x-dip-switch{space}SPST{space},{space}Piano,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}10.8x14.26mm
+DIP{space}Switch{space}SPST{space}Piano{space}7.62mm{space}300mil
+0
+10
+10
+Button_Switch_THT
+SW_DIP_SPSTx05_Piano_CTS_Series194-5MSTN_W7.62mm_P2.54mm
+5x-dip-switch{space}SPST{space}CTS_Series194-5MSTN,{space}Piano,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}https://www.ctscorp.com/wp-content/uploads/194-195.pdf)
+DIP{space}Switch{space}SPST{space}Piano{space}7.62mm{space}300mil
+0
+10
+10
+Button_Switch_THT
+SW_DIP_SPSTx05_Slide_6.7x14.26mm_W7.62mm_P2.54mm_LowProfile
+5x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}6.7x14.26mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/209-210.pdf),{space}LowProfile
+DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil{space}LowProfile
+0
+10
+10
+Button_Switch_THT
+SW_DIP_SPSTx05_Slide_9.78x14.88mm_W7.62mm_P2.54mm
+5x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}9.78x14.88mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/206-208.pdf)
+DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil
+0
+10
+10
+Button_Switch_THT
+SW_DIP_SPSTx06_Piano_10.8x16.8mm_W7.62mm_P2.54mm
+6x-dip-switch{space}SPST{space},{space}Piano,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}10.8x16.8mm
+DIP{space}Switch{space}SPST{space}Piano{space}7.62mm{space}300mil
+0
+12
+12
+Button_Switch_THT
+SW_DIP_SPSTx06_Piano_CTS_Series194-6MSTN_W7.62mm_P2.54mm
+6x-dip-switch{space}SPST{space}CTS_Series194-6MSTN,{space}Piano,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}https://www.ctscorp.com/wp-content/uploads/194-195.pdf)
+DIP{space}Switch{space}SPST{space}Piano{space}7.62mm{space}300mil
+0
+12
+12
+Button_Switch_THT
+SW_DIP_SPSTx06_Slide_6.7x16.8mm_W7.62mm_P2.54mm_LowProfile
+6x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}6.7x16.8mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/209-210.pdf),{space}LowProfile
+DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil{space}LowProfile
+0
+12
+12
+Button_Switch_THT
+SW_DIP_SPSTx06_Slide_9.78x17.42mm_W7.62mm_P2.54mm
+6x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}9.78x17.42mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/206-208.pdf)
+DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil
+0
+12
+12
+Button_Switch_THT
+SW_DIP_SPSTx07_Piano_10.8x19.34mm_W7.62mm_P2.54mm
+7x-dip-switch{space}SPST{space},{space}Piano,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}10.8x19.34mm
+DIP{space}Switch{space}SPST{space}Piano{space}7.62mm{space}300mil
+0
+14
+14
+Button_Switch_THT
+SW_DIP_SPSTx07_Piano_CTS_Series194-7MSTN_W7.62mm_P2.54mm
+7x-dip-switch{space}SPST{space}CTS_Series194-7MSTN,{space}Piano,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}https://www.ctscorp.com/wp-content/uploads/194-195.pdf)
+DIP{space}Switch{space}SPST{space}Piano{space}7.62mm{space}300mil
+0
+14
+14
+Button_Switch_THT
+SW_DIP_SPSTx07_Slide_6.7x19.34mm_W7.62mm_P2.54mm_LowProfile
+7x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}6.7x19.34mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/209-210.pdf),{space}LowProfile
+DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil{space}LowProfile
+0
+14
+14
+Button_Switch_THT
+SW_DIP_SPSTx07_Slide_9.78x19.96mm_W7.62mm_P2.54mm
+7x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}9.78x19.96mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/206-208.pdf)
+DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil
+0
+14
+14
+Button_Switch_THT
+SW_DIP_SPSTx08_Piano_10.8x21.88mm_W7.62mm_P2.54mm
+8x-dip-switch{space}SPST{space},{space}Piano,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}10.8x21.88mm
+DIP{space}Switch{space}SPST{space}Piano{space}7.62mm{space}300mil
+0
+16
+16
+Button_Switch_THT
+SW_DIP_SPSTx08_Piano_CTS_Series194-8MSTN_W7.62mm_P2.54mm
+8x-dip-switch{space}SPST{space}CTS_Series194-8MSTN,{space}Piano,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}https://www.ctscorp.com/wp-content/uploads/194-195.pdf)
+DIP{space}Switch{space}SPST{space}Piano{space}7.62mm{space}300mil
+0
+16
+16
+Button_Switch_THT
+SW_DIP_SPSTx08_Slide_6.7x21.88mm_W7.62mm_P2.54mm_LowProfile
+8x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}6.7x21.88mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/209-210.pdf),{space}LowProfile
+DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil{space}LowProfile
+0
+16
+16
+Button_Switch_THT
+SW_DIP_SPSTx08_Slide_9.78x22.5mm_W7.62mm_P2.54mm
+8x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}9.78x22.5mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/206-208.pdf)
+DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil
+0
+16
+16
+Button_Switch_THT
+SW_DIP_SPSTx09_Piano_10.8x24.42mm_W7.62mm_P2.54mm
+9x-dip-switch{space}SPST{space},{space}Piano,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}10.8x24.42mm
+DIP{space}Switch{space}SPST{space}Piano{space}7.62mm{space}300mil
+0
+18
+18
+Button_Switch_THT
+SW_DIP_SPSTx09_Piano_CTS_Series194-9MSTN_W7.62mm_P2.54mm
+9x-dip-switch{space}SPST{space}CTS_Series194-9MSTN,{space}Piano,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}https://www.ctscorp.com/wp-content/uploads/194-195.pdf)
+DIP{space}Switch{space}SPST{space}Piano{space}7.62mm{space}300mil
+0
+18
+18
+Button_Switch_THT
+SW_DIP_SPSTx09_Slide_6.7x24.42mm_W7.62mm_P2.54mm_LowProfile
+9x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}6.7x24.42mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/209-210.pdf),{space}LowProfile
+DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil{space}LowProfile
+0
+18
+18
+Button_Switch_THT
+SW_DIP_SPSTx09_Slide_9.78x25.04mm_W7.62mm_P2.54mm
+9x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}9.78x25.04mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/206-208.pdf)
+DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil
+0
+18
+18
+Button_Switch_THT
+SW_DIP_SPSTx10_Piano_10.8x26.96mm_W7.62mm_P2.54mm
+10x-dip-switch{space}SPST{space},{space}Piano,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}10.8x26.96mm
+DIP{space}Switch{space}SPST{space}Piano{space}7.62mm{space}300mil
+0
+20
+20
+Button_Switch_THT
+SW_DIP_SPSTx10_Piano_CTS_Series194-10MSTN_W7.62mm_P2.54mm
+10x-dip-switch{space}SPST{space}CTS_Series194-10MSTN,{space}Piano,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}https://www.ctscorp.com/wp-content/uploads/194-195.pdf)
+DIP{space}Switch{space}SPST{space}Piano{space}7.62mm{space}300mil
+0
+20
+20
+Button_Switch_THT
+SW_DIP_SPSTx10_Slide_6.7x26.96mm_W7.62mm_P2.54mm_LowProfile
+10x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}6.7x26.96mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/209-210.pdf),{space}LowProfile
+DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil{space}LowProfile
+0
+20
+20
+Button_Switch_THT
+SW_DIP_SPSTx10_Slide_9.78x27.58mm_W7.62mm_P2.54mm
+10x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}9.78x27.58mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/206-208.pdf)
+DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil
+0
+20
+20
+Button_Switch_THT
+SW_DIP_SPSTx11_Piano_10.8x29.5mm_W7.62mm_P2.54mm
+11x-dip-switch{space}SPST{space},{space}Piano,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}10.8x29.5mm
+DIP{space}Switch{space}SPST{space}Piano{space}7.62mm{space}300mil
+0
+22
+22
+Button_Switch_THT
+SW_DIP_SPSTx11_Piano_CTS_Series194-11MSTN_W7.62mm_P2.54mm
+11x-dip-switch{space}SPST{space}CTS_Series194-11MSTN,{space}Piano,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}https://www.ctscorp.com/wp-content/uploads/194-195.pdf)
+DIP{space}Switch{space}SPST{space}Piano{space}7.62mm{space}300mil
+0
+22
+22
+Button_Switch_THT
+SW_DIP_SPSTx11_Slide_6.7x29.5mm_W7.62mm_P2.54mm_LowProfile
+11x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}6.7x29.5mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/209-210.pdf),{space}LowProfile
+DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil{space}LowProfile
+0
+22
+22
+Button_Switch_THT
+SW_DIP_SPSTx11_Slide_9.78x30.12mm_W7.62mm_P2.54mm
+11x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}9.78x30.12mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/206-208.pdf)
+DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil
+0
+22
+22
+Button_Switch_THT
+SW_DIP_SPSTx12_Piano_10.8x32.04mm_W7.62mm_P2.54mm
+12x-dip-switch{space}SPST{space},{space}Piano,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}10.8x32.04mm
+DIP{space}Switch{space}SPST{space}Piano{space}7.62mm{space}300mil
+0
+24
+24
+Button_Switch_THT
+SW_DIP_SPSTx12_Piano_CTS_Series194-12MSTN_W7.62mm_P2.54mm
+12x-dip-switch{space}SPST{space}CTS_Series194-12MSTN,{space}Piano,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}{space}(see{space}https://www.ctscorp.com/wp-content/uploads/194-195.pdf)
+DIP{space}Switch{space}SPST{space}Piano{space}7.62mm{space}300mil
+0
+24
+24
+Button_Switch_THT
+SW_DIP_SPSTx12_Slide_6.7x32.04mm_W7.62mm_P2.54mm_LowProfile
+12x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}6.7x32.04mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/209-210.pdf),{space}LowProfile
+DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil{space}LowProfile
+0
+24
+24
+Button_Switch_THT
+SW_DIP_SPSTx12_Slide_9.78x32.66mm_W7.62mm_P2.54mm
+12x-dip-switch{space}SPST{space},{space}Slide,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}body{space}size{space}9.78x32.66mm{space}(see{space}e.g.{space}https://www.ctscorp.com/wp-content/uploads/206-208.pdf)
+DIP{space}Switch{space}SPST{space}Slide{space}7.62mm{space}300mil
+0
+24
+24
+Button_Switch_THT
+SW_E-Switch_EG1224_SPDT_Angled
+E-Switch{space}slide{space}switch,{space}EG{space}series,{space}SPDT,{space}right{space}angle,{space}http://spec_sheets.e-switch.com/specs/P040042.pdf
+switch{space}SPDT
+0
+7
+3
+Button_Switch_THT
+SW_E-Switch_EG1271_DPDT
+E-Switch{space}sub{space}miniature{space}slide{space}switch,{space}EG{space}series,{space}DPDT,{space}http://spec_sheets.e-switch.com/specs/P040047.pdf
+switch{space}DPDT
+0
+6
+6
+Button_Switch_THT
+SW_E-Switch_EG2219_DPDT_Angled
+E-Switch{space}slide{space}switch,{space}EG{space}series,{space}DPDT,{space}right{space}angle,{space}http://spec_sheets.e-switch.com/specs/P040170.pdf
+switch{space}DPDT
+0
+10
+6
+Button_Switch_THT
+SW_Lever_1P2T_NKK_GW12LxH
+Switch,{space}single{space}pole{space}double{space}throw,{space}right{space}angle,{space}http://www.nkkswitches.com/pdf/GW.pdf
+switch{space}single-pole{space}double-throw{space}spdt{space}ON-ON{space}horizontal
+0
+5
+3
+Button_Switch_THT
+SW_MEC_5GTH9
+MEC{space}5G{space}single{space}pole{space}normally-open{space}tactile{space}switch{space}https://cdn.sos.sk/productdata/80/f6/aabf7be6/5gth9358222.pdf
+switch{space}normally-open{space}pushbutton{space}push-button
+0
+4
+2
+Button_Switch_THT
+SW_NKK_BB15AH
+https://www.nkkswitches.com/pdf/Bpushbuttons-1.pdf
+Pushbutton{space}Right-angle
+0
+5
+3
+Button_Switch_THT
+SW_NKK_G1xJP
+Switch{space}NKK{space}G1xJP{space}http://www.nkkswitches.com/pdf/gwillum.pdf
+SWITCH{space}TOGGLE{space}ILLUM{space}SPDT{space}NKK
+0
+6
+6
+Button_Switch_THT
+SW_NKK_GW12LJP
+Switch,{space}single{space}pole{space}double{space}throw,{space}illuminated{space}paddle,{space}http://www.nkkswitches.com/pdf/gwillum.pdf
+switch{space}single-pole{space}double-throw{space}spdt{space}ON-ON{space}illuminated{space}LED
+0
+6
+6
+Button_Switch_THT
+SW_PUSH-12mm
+SW{space}PUSH{space}12mm{space}https://www.e-switch.com/system/asset/product_line/data_sheet/143/TL1100.pdf
+tact{space}sw{space}push{space}12mm
+0
+4
+2
+Button_Switch_THT
+SW_PUSH-12mm_Wuerth-430476085716
+SW{space}PUSH{space}12mm{space}http://katalog.we-online.de/em/datasheet/430476085716.pdf
+tact{space}sw{space}push{space}12mm
+0
+4
+2
+Button_Switch_THT
+SW_PUSH_6mm
+https://www.omron.com/ecb/products/pdf/en-b3f.pdf
+tact{space}sw{space}push{space}6mm
+0
+4
+2
+Button_Switch_THT
+SW_PUSH_6mm_H4.3mm
+tactile{space}push{space}button,{space}6x6mm{space}e.g.{space}PHAP33xx{space}series,{space}height=4.3mm
+tact{space}sw{space}push{space}6mm
+0
+4
+2
+Button_Switch_THT
+SW_PUSH_6mm_H5mm
+tactile{space}push{space}button,{space}6x6mm{space}e.g.{space}PHAP33xx{space}series,{space}height=5mm
+tact{space}sw{space}push{space}6mm
+0
+4
+2
+Button_Switch_THT
+SW_PUSH_6mm_H7.3mm
+tactile{space}push{space}button,{space}6x6mm{space}e.g.{space}PHAP33xx{space}series,{space}height=7.3mm
+tact{space}sw{space}push{space}6mm
+0
+4
+2
+Button_Switch_THT
+SW_PUSH_6mm_H8.5mm
+tactile{space}push{space}button,{space}6x6mm{space}e.g.{space}PHAP33xx{space}series,{space}height=8.5mm
+tact{space}sw{space}push{space}6mm
+0
+4
+2
+Button_Switch_THT
+SW_PUSH_6mm_H8mm
+tactile{space}push{space}button,{space}6x6mm{space}e.g.{space}PHAP33xx{space}series,{space}height=8mm
+tact{space}sw{space}push{space}6mm
+0
+4
+2
+Button_Switch_THT
+SW_PUSH_6mm_H9.5mm
+tactile{space}push{space}button,{space}6x6mm{space}e.g.{space}PHAP33xx{space}series,{space}height=9.5mm
+tact{space}sw{space}push{space}6mm
+0
+4
+2
+Button_Switch_THT
+SW_PUSH_6mm_H13mm
+tactile{space}push{space}button,{space}6x6mm{space}e.g.{space}PHAP33xx{space}series,{space}height=13mm
+tact{space}sw{space}push{space}6mm
+0
+4
+2
+Button_Switch_THT
+SW_PUSH_E-Switch_FS5700DP_DPDT
+FS5700{space}series{space}pushbutton{space}footswitch,{space}DPDT,{space}https://www.e-switch.com/system/asset/product_line/data_sheet/226/FS5700.pdf
+switch{space}DPDT{space}footswitch
+0
+6
+6
+Button_Switch_THT
+SW_PUSH_LCD_E3_SAxxxx
+Switch{space}with{space}LCD{space}screen{space}E3{space}SAxxxx
+switch{space}normally-open{space}pushbutton{space}push-button{space}LCD
+0
+6
+6
+Button_Switch_THT
+SW_PUSH_LCD_E3_SAxxxx_SocketPins
+Switch{space}with{space}LCD{space}screen{space}E3{space}SAxxxx
+switch{space}normally-open{space}pushbutton{space}push-button{space}LCD
+0
+6
+6
+Button_Switch_THT
+SW_Slide_1P2T_CK_OS102011MS2Q
+CuK{space}miniature{space}slide{space}switch,{space}OS{space}series,{space}SPDT,{space}https://www.ckswitches.com/media/1428/os.pdf
+switch{space}SPDT
+0
+5
+3
+Button_Switch_THT
+SW_TH_Tactile_Omron_B3F-10xx
+SW_TH_Tactile_Omron_B3F-10xx_https://www.omron.com/ecb/products/pdf/en-b3f.pdf
+Omron{space}B3F-10xx
+0
+4
+4
+Button_Switch_THT
+SW_Tactile_SKHH_Angled
+tactile{space}switch{space}6mm{space}ALPS{space}SKHH{space}right{space}angle{space}http://www.alps.com/prod/info/E/HTML/Tact/SnapIn/SKHH/SKHHLUA010.html
+tactile{space}switch{space}6mm{space}ALPS{space}SKHH{space}right{space}angle
+0
+4
+2
+Button_Switch_THT
+SW_Tactile_SPST_Angled_PTS645Vx31-2LFS
+tactile{space}switch{space}SPST{space}right{space}angle,{space}PTS645VL31-2{space}LFS
+tactile{space}switch{space}SPST{space}angled{space}PTS645VL31-2{space}LFS{space}C&K{space}Button
+0
+4
+2
+Button_Switch_THT
+SW_Tactile_SPST_Angled_PTS645Vx39-2LFS
+tactile{space}switch{space}SPST{space}right{space}angle,{space}PTS645VL39-2{space}LFS
+tactile{space}switch{space}SPST{space}angled{space}PTS645VL39-2{space}LFS{space}C&K{space}Button
+0
+4
+2
+Button_Switch_THT
+SW_Tactile_SPST_Angled_PTS645Vx58-2LFS
+tactile{space}switch{space}SPST{space}right{space}angle,{space}PTS645VL58-2{space}LFS
+tactile{space}switch{space}SPST{space}angled{space}PTS645VL58-2{space}LFS{space}C&K{space}Button
+0
+4
+2
+Button_Switch_THT
+SW_Tactile_SPST_Angled_PTS645Vx83-2LFS
+tactile{space}switch{space}SPST{space}right{space}angle,{space}PTS645VL83-2{space}LFS
+tactile{space}switch{space}SPST{space}angled{space}PTS645VL83-2{space}LFS{space}C&K{space}Button
+0
+4
+2
+Button_Switch_THT
+SW_Tactile_Straight_KSA0Axx1LFTR
+SW{space}PUSH{space}SMALL{space}http://www.ckswitches.com/media/1457/ksa_ksl.pdf
+SW{space}PUSH{space}SMALL{space}Tactile{space}C&K
+0
+4
+2
+Button_Switch_THT
+SW_Tactile_Straight_KSL0Axx1LFTR
+SW{space}PUSH{space}SMALL{space}http://www.ckswitches.com/media/1457/ksa_ksl.pdf
+SW{space}PUSH{space}SMALL{space}Tactile{space}C&K
+0
+4
+2
+Buzzer_Beeper
+Buzzer_12x9.5RM7.6
+Generic{space}Buzzer,{space}D12mm{space}height{space}9.5mm{space}with{space}RM7.6mm
+buzzer
+0
+2
+2
+Buzzer_Beeper
+Buzzer_15x7.5RM7.6
+Generic{space}Buzzer,{space}D15mm{space}height{space}7.5mm{space}with{space}RM7.6mm
+buzzer
+0
+2
+2
+Buzzer_Beeper
+Buzzer_CUI_CPT-9019S-SMT
+https://www.cui.com/product/resource/cpt-9019s-smt.pdf
+buzzer{space}piezo
+0
+2
+2
+Buzzer_Beeper
+Buzzer_Murata_PKMCS0909E4000-R1
+Murata{space}Buzzer{space}http://www.murata.com/en-us/api/pdfdownloadapi?cate=&partno=PKMCS0909E4000-R1
+Murata{space}Buzzer{space}Beeper
+0
+2
+2
+Buzzer_Beeper
+Buzzer_TDK_PS1240P02BT_D12.2mm_H6.5mm
+Buzzer,{space}D12.2mm{space}height{space}6.5mm,{space}https://product.tdk.com/info/en/catalog/datasheets/piezoelectronic_buzzer_ps_en.pdf
+buzzer
+0
+2
+2
+Buzzer_Beeper
+MagneticBuzzer_CUI_CST-931RP-A
+CST-931RP-A,{space}http://www.cui.com/product/resource/cst-931rp-a.pdf
+CST-931RP-A
+0
+2
+2
+Buzzer_Beeper
+MagneticBuzzer_Kingstate_KCG0601
+Buzzer,{space}Elektromagnetic{space}Beeper,{space}Summer,
+Kingstate{space}KCG0601{space}
+0
+2
+2
+Buzzer_Beeper
+MagneticBuzzer_Kobitone_254-EMB84Q-RO
+MagneticBuzzer{space}Kobitone{space}254-EMB84Q-RO{space}https://www.mouser.es/datasheet/2/209/KT-400385-1171904.pdf
+MagneticBuzzer{space}Kobitone{space}254-EMB84Q-RO
+0
+3
+3
+Buzzer_Beeper
+MagneticBuzzer_ProSignal_ABI-009-RC
+Buzzer,{space}Elektromagnetic{space}Beeper,{space}Summer,{space}6V-DC,
+Pro{space}Signal{space}ABI-009-RC{space}
+0
+2
+2
+Buzzer_Beeper
+MagneticBuzzer_ProSignal_ABI-010-RC
+Buzzer,{space}Elektromagnetic{space}Beeper,{space}Summer,{space}12V-DC,
+Pro{space}Signal{space}ABI-010-RC{space}
+0
+2
+2
+Buzzer_Beeper
+MagneticBuzzer_ProSignal_ABT-410-RC
+Buzzer,{space}Elektromagnetic{space}Beeper,{space}Summer,{space}1,5V-DC,
+Pro{space}Signal{space}ABT-410-RC{space}
+0
+2
+2
+Buzzer_Beeper
+MagneticBuzzer_ProjectsUnlimited_AI-4228-TWT-R
+Buzzer,{space}Elektromagnetic{space}Beeper,{space}Summer,{space}3-28V-DC,{space}https://www.kynix.com/uploadfiles/pdf/AI-4228-TWT-R.pdf
+Projects{space}Unlimited{space}AI-4228-TWT-R{space}
+0
+2
+2
+Buzzer_Beeper
+MagneticBuzzer_StarMicronics_HMB-06_HMB-12
+Buzzer,{space}Elektromagnetic{space}Beeper,{space}Summer,
+Star{space}Micronics{space}HMB-06{space}HMB-12
+0
+2
+2
+Buzzer_Beeper
+PUIAudio_SMT_0825_S_4_R
+SMD{space}8540,{space}http://www.puiaudio.com/product-detail.aspx?partnumber=SMT-0825-S-4-R
+SMD{space}8540
+0
+4
+4
+Calibration_Scale
+Gauge_10mm_Type1_CopperTop
+Gauge,{space}Massstab,{space}10mm,{space}CopperTop,{space}Type{space}1,
+Gauge{space}Massstab{space}10mm{space}CopperTop{space}Type{space}1
+0
+0
+0
+Calibration_Scale
+Gauge_10mm_Type1_SilkScreenTop
+Gauge,{space}Massstab,{space}10mm,{space}SilkScreenTop,{space}Type{space}1,
+Gauge{space}Massstab{space}10mm{space}SilkScreenTop{space}Type{space}1
+0
+0
+0
+Calibration_Scale
+Gauge_10mm_Type2_CopperTop
+Gauge,{space}Massstab,{space}10mm,{space}CopperTop,{space}Type{space}2,
+Gauge{space}Massstab{space}10mm{space}CopperTop{space}Type{space}2
+0
+0
+0
+Calibration_Scale
+Gauge_10mm_Type2_SilkScreenTop
+Gauge,{space}Massstab,{space}10mm,{space}SilkScreenTop,{space}Type{space}2,
+Gauge{space}Massstab{space}10mm{space}SilkScreenTop{space}Type{space}2
+0
+0
+0
+Calibration_Scale
+Gauge_10mm_Type3_CopperTop
+Gauge,{space}Massstab,{space}10mm,{space}CopperTop,{space}Type{space}3,
+Gauge{space}Massstab{space}10mm{space}CopperTop{space}Type{space}3
+0
+0
+0
+Calibration_Scale
+Gauge_10mm_Type3_SilkScreenTop
+Gauge,{space}Massstab,{space}10mm,{space}SilkScreenTop,{space}Type{space}3,
+Gauge{space}Massstab{space}10mm{space}SilkScreenTop{space}Type{space}3
+0
+0
+0
+Calibration_Scale
+Gauge_10mm_Type4_CopperTop
+Gauge,{space}Massstab,{space}10mm,{space}CopperTop,{space}Type{space}4,
+Gauge{space}Massstab{space}10mm{space}CopperTop{space}Type{space}4
+0
+0
+0
+Calibration_Scale
+Gauge_10mm_Type4_SilkScreenTop
+Gauge,{space}Massstab,{space}10mm,{space}SilkScreenTop,{space}Type{space}4,
+Gauge{space}Massstab{space}10mm{space}SilkScreenTop{space}Type{space}4
+0
+0
+0
+Calibration_Scale
+Gauge_10mm_Type5_CopperTop
+Gauge,{space}Massstab,{space}10mm,{space}CopperTop,{space}Type{space}5,
+Gauge{space}Massstab{space}10mm{space}CopperTop{space}Type{space}5
+0
+0
+0
+Calibration_Scale
+Gauge_10mm_Type5_SilkScreenTop
+Gauge,{space}Massstab,{space}10mm,{space}SilkScreenTop,{space}Type{space}5,
+Gauge{space}Massstab{space}10mm{space}SilkScreenTop{space}Type{space}5
+0
+0
+0
+Calibration_Scale
+Gauge_50mm_Type1_CopperTop
+Gauge,{space}Massstab,{space}50mm,{space}CopperTop,{space}Type{space}1,
+Gauge{space}Massstab{space}50mm{space}CopperTop{space}Type{space}1
+0
+0
+0
+Calibration_Scale
+Gauge_50mm_Type1_SilkScreenTop
+Gauge,{space}Massstab,{space}50mm,{space}SilkScreenTop,{space}Type{space}1,
+Gauge{space}Massstab{space}50mm{space}SilkScreenTop{space}Type{space}1
+0
+0
+0
+Calibration_Scale
+Gauge_50mm_Type2_CopperTop
+Gauge,{space}Massstab,{space}50mm,{space}CopperTop,{space}Type{space}2,
+Gauge{space}Massstab{space}50mm{space}CopperTop{space}Type{space}2
+0
+0
+0
+Calibration_Scale
+Gauge_50mm_Type2_SilkScreenTop
+Gauge,{space}Massstab,{space}50mm,{space}SilkScreenTop,{space}Type{space}2,
+Gauge{space}Massstab{space}50mm{space}SilkScreenTop{space}Type{space}2
+0
+0
+0
+Calibration_Scale
+Gauge_100mm_Grid_Type1_CopperTop
+Gauge,{space}Massstab,{space}100mm,{space}Gitter,{space}Grid,{space}CopperTop,{space}Type{space}1,
+Gauge{space}Massstab{space}100mm{space}Gitter{space}Grid{space}CopperTop{space}Type{space}1
+0
+0
+0
+Calibration_Scale
+Gauge_100mm_Type1_CopperTop
+Gauge,{space}Massstab,{space}100mm,{space}CopperTop,{space}Type{space}1,
+Gauge{space}Massstab{space}100mm{space}CopperTop{space}Type{space}1
+0
+0
+0
+Calibration_Scale
+Gauge_100mm_Type1_SilkScreenTop
+Gauge,{space}Massstab,{space}100mm,{space}SilkScreenTop,{space}Type{space}1,
+Gauge{space}Massstab{space}100mm{space}SilkScreenTop{space}Type{space}1
+0
+0
+0
+Calibration_Scale
+Gauge_100mm_Type2_CopperTop
+Gauge,{space}Massstab,{space}100mm,{space}CopperTop,{space}Type{space}2,
+Gauge{space}Massstab{space}100mm{space}{space}CopperTop{space}Type{space}2
+0
+0
+0
+Calibration_Scale
+Gauge_100mm_Type2_SilkScreenTop
+Gauge,{space}Massstab,{space}100mm,{space}SilkScreenTop,{space}Type{space}2,
+Gauge{space}Massstab{space}100mm{space}SilkScreenTop{space}Type{space}2
+0
+0
+0
+Capacitor_SMD
+CP_Elec_3x5.3
+SMT{space}capacitor,{space}aluminium{space}electrolytic,{space}3x5.3,{space}Cornell{space}Dubilier{space}Electronics{space}
+Capacitor{space}Electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_3x5.4
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Nichicon,{space}3.0x5.4mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_4x3
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Nichicon,{space}4.0x3mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_4x3.9
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Nichicon,{space}4.0x3.9mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_4x4.5
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Nichicon,{space}4.0x4.5mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_4x5.3
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Vishay,{space}4.0x5.3mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_4x5.4
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Panasonic{space}A5{space}/{space}Nichicon,{space}4.0x5.4mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_4x5.7
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}United{space}Chemi-Con,{space}4.0x5.7mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_4x5.8
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Panasonic,{space}4.0x5.8mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_5x3
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Nichicon,{space}5.0x3.0mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_5x3.9
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Nichicon,{space}5.0x3.9mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_5x4.4
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Panasonic{space}B45,{space}5.0x4.4mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_5x4.5
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Nichicon,{space}5.0x4.5mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_5x5.3
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Nichicon,{space}5.0x5.3mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_5x5.4
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Nichicon,{space}5.0x5.4mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_5x5.7
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}United{space}Chemi-Con,{space}5.0x5.7mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_5x5.8
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Panasonic,{space}5.0x5.8mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_5x5.9
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Panasonic{space}B6,{space}5.0x5.9mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_6.3x3
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Nichicon,{space}6.3x3.0mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_6.3x3.9
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Nichicon,{space}6.3x3.9mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_6.3x4.5
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Nichicon,{space}6.3x4.5mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_6.3x4.9
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Panasonic{space}C5,{space}6.3x4.9mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_6.3x5.2
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}United{space}Chemi-Con,{space}6.3x5.2mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_6.3x5.3
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Cornell{space}Dubilier,{space}6.3x5.3mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_6.3x5.4
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Panasonic{space}C55,{space}6.3x5.4mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_6.3x5.4_Nichicon
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Nichicon,{space}6.3x5.4mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_6.3x5.7
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}United{space}Chemi-Con,{space}6.3x5.7mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_6.3x5.8
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Nichicon,{space}6.3x5.8mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_6.3x5.9
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Panasonic{space}C6,{space}6.3x5.9mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_6.3x7.7
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Nichicon,{space}6.3x7.7mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_6.3x9.9
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Panasonic{space}C10,{space}6.3x9.9mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_8x5.4
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Nichicon,{space}8.0x5.4mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_8x6.2
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Nichicon,{space}8.0x6.2mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_8x6.5
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Rubycon,{space}8.0x6.5mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_8x6.7
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}United{space}Chemi-Con,{space}8.0x6.7mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_8x6.9
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Panasonic{space}E7,{space}8.0x6.9mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_8x10
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Nichicon,{space}8.0x10mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_8x10.5
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Vishay{space}0810,{space}8.0x10.5mm,{space}http://www.vishay.com/docs/28395/150crz.pdf
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_8x11.9
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Panasonic{space}E12,{space}8.0x11.9mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_10x7.7
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Nichicon,{space}10.0x7.7mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_10x7.9
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Panasonic{space}F8,{space}10.0x7.9mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_10x10
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Nichicon,{space}10.0x10.0mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_10x10.5
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Vishay{space}1010,{space}10.0x10.5mm,{space}http://www.vishay.com/docs/28395/150crz.pdf
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_10x12.5
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Vishay{space}1012,{space}10.0x12.5mm,{space}http://www.vishay.com/docs/28395/150crz.pdf
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_10x12.6
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Panasonic{space}F12,{space}10.0x12.6mm
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_10x14.3
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Vishay{space}1014,{space}10.0x14.3mm,{space}http://www.vishay.com/docs/28395/150crz.pdf
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_16x17.5
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Vishay{space}1616,{space}16.0x17.5mm,{space}http://www.vishay.com/docs/28395/150crz.pdf
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_16x22
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Vishay{space}1621,{space}16.0x22.0mm,{space}http://www.vishay.com/docs/28395/150crz.pdf
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_18x17.5
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Vishay{space}1816,{space}18.0x17.5mm,{space}http://www.vishay.com/docs/28395/150crz.pdf
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+CP_Elec_18x22
+SMD{space}capacitor,{space}aluminum{space}electrolytic,{space}Vishay{space}1821,{space}18.0x22.0mm,{space}http://www.vishay.com/docs/28395/150crz.pdf
+capacitor{space}electrolytic
+0
+2
+2
+Capacitor_SMD
+C_0201_0603Metric
+Capacitor{space}SMD{space}0201{space}(0603{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.vishay.com/docs/20052/crcw0201e3.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor
+0
+4
+2
+Capacitor_SMD
+C_0402_1005Metric
+Capacitor{space}SMD{space}0402{space}(1005{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor
+0
+2
+2
+Capacitor_SMD
+C_0603_1608Metric
+Capacitor{space}SMD{space}0603{space}(1608{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor
+0
+2
+2
+Capacitor_SMD
+C_0603_1608Metric_Pad1.05x0.95mm_HandSolder
+Capacitor{space}SMD{space}0603{space}(1608{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}handsolder
+0
+2
+2
+Capacitor_SMD
+C_0805_2012Metric
+Capacitor{space}SMD{space}0805{space}(2012{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing),{space}generated{space}with{space}kicad-footprint-generator
+capacitor
+0
+2
+2
+Capacitor_SMD
+C_0805_2012Metric_Pad1.15x1.40mm_HandSolder
+Capacitor{space}SMD{space}0805{space}(2012{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}handsolder
+0
+2
+2
+Capacitor_SMD
+C_01005_0402Metric
+Capacitor{space}SMD{space}01005{space}(0402{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.vishay.com/docs/20056/crcw01005e3.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor
+0
+4
+2
+Capacitor_SMD
+C_1206_3216Metric
+Capacitor{space}SMD{space}1206{space}(3216{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor
+0
+2
+2
+Capacitor_SMD
+C_1206_3216Metric_Pad1.42x1.75mm_HandSolder
+Capacitor{space}SMD{space}1206{space}(3216{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}handsolder
+0
+2
+2
+Capacitor_SMD
+C_1210_3225Metric
+Capacitor{space}SMD{space}1210{space}(3225{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor
+0
+2
+2
+Capacitor_SMD
+C_1210_3225Metric_Pad1.42x2.65mm_HandSolder
+Capacitor{space}SMD{space}1210{space}(3225{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}handsolder
+0
+2
+2
+Capacitor_SMD
+C_1806_4516Metric
+Capacitor{space}SMD{space}1806{space}(4516{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.modelithics.com/models/Vendor/MuRata/BLM41P.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor
+0
+2
+2
+Capacitor_SMD
+C_1806_4516Metric_Pad1.57x1.80mm_HandSolder
+Capacitor{space}SMD{space}1806{space}(4516{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}https://www.modelithics.com/models/Vendor/MuRata/BLM41P.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}handsolder
+0
+2
+2
+Capacitor_SMD
+C_1812_4532Metric
+Capacitor{space}SMD{space}1812{space}(4532{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor
+0
+2
+2
+Capacitor_SMD
+C_1812_4532Metric_Pad1.30x3.40mm_HandSolder
+Capacitor{space}SMD{space}1812{space}(4532{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}handsolder
+0
+2
+2
+Capacitor_SMD
+C_1825_4564Metric
+Capacitor{space}SMD{space}1825{space}(4564{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://datasheets.avx.com/AVX-HV_MLCC.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor
+0
+2
+2
+Capacitor_SMD
+C_1825_4564Metric_Pad1.88x6.70mm_HandSolder
+Capacitor{space}SMD{space}1825{space}(4564{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}from:{space}http://datasheets.avx.com/AVX-HV_MLCC.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}handsolder
+0
+2
+2
+Capacitor_SMD
+C_2010_5025Metric
+Capacitor{space}SMD{space}2010{space}(5025{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor
+0
+2
+2
+Capacitor_SMD
+C_2010_5025Metric_Pad1.52x2.65mm_HandSolder
+Capacitor{space}SMD{space}2010{space}(5025{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}handsolder
+0
+2
+2
+Capacitor_SMD
+C_2220_5650Metric
+Capacitor{space}SMD{space}2220{space}(5650{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://datasheets.avx.com/AVX-HV_MLCC.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor
+0
+2
+2
+Capacitor_SMD
+C_2220_5650Metric_Pad1.97x5.40mm_HandSolder
+Capacitor{space}SMD{space}2220{space}(5650{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}from:{space}http://datasheets.avx.com/AVX-HV_MLCC.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}handsolder
+0
+2
+2
+Capacitor_SMD
+C_2225_5664Metric
+Capacitor{space}SMD{space}2225{space}(5664{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://datasheets.avx.com/AVX-HV_MLCC.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor
+0
+2
+2
+Capacitor_SMD
+C_2225_5664Metric_Pad1.80x6.60mm_HandSolder
+Capacitor{space}SMD{space}2225{space}(5664{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}from:{space}http://datasheets.avx.com/AVX-HV_MLCC.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}handsolder
+0
+2
+2
+Capacitor_SMD
+C_2512_6332Metric
+Capacitor{space}SMD{space}2512{space}(6332{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor
+0
+2
+2
+Capacitor_SMD
+C_2512_6332Metric_Pad1.52x3.35mm_HandSolder
+Capacitor{space}SMD{space}2512{space}(6332{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}handsolder
+0
+2
+2
+Capacitor_SMD
+C_2816_7142Metric
+Capacitor{space}SMD{space}2816{space}(7142{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}https://www.vishay.com/docs/30100/wsl.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor
+0
+2
+2
+Capacitor_SMD
+C_2816_7142Metric_Pad3.20x4.45mm_HandSolder
+Capacitor{space}SMD{space}2816{space}(7142{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}from:{space}https://www.vishay.com/docs/30100/wsl.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}handsolder
+0
+2
+2
+Capacitor_SMD
+C_3640_9110Metric
+Capacitor{space}SMD{space}3640{space}(9110{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://datasheets.avx.com/AVX-HV_MLCC.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor
+0
+2
+2
+Capacitor_SMD
+C_3640_9110Metric_Pad2.10x10.45mm_HandSolder
+Capacitor{space}SMD{space}3640{space}(9110{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}from:{space}http://datasheets.avx.com/AVX-HV_MLCC.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}handsolder
+0
+2
+2
+Capacitor_SMD
+C_Elec_3x5.4
+SMD{space}capacitor,{space}aluminum{space}electrolytic{space}nonpolar,{space}3.0x5.4mm
+capacitor{space}electrolyic{space}nonpolar
+0
+2
+2
+Capacitor_SMD
+C_Elec_4x5.4
+SMD{space}capacitor,{space}aluminum{space}electrolytic{space}nonpolar,{space}4.0x5.4mm
+capacitor{space}electrolyic{space}nonpolar
+0
+2
+2
+Capacitor_SMD
+C_Elec_4x5.8
+SMD{space}capacitor,{space}aluminum{space}electrolytic{space}nonpolar,{space}4.0x5.8mm
+capacitor{space}electrolyic{space}nonpolar
+0
+2
+2
+Capacitor_SMD
+C_Elec_5x5.4
+SMD{space}capacitor,{space}aluminum{space}electrolytic{space}nonpolar,{space}5.0x5.4mm
+capacitor{space}electrolyic{space}nonpolar
+0
+2
+2
+Capacitor_SMD
+C_Elec_5x5.8
+SMD{space}capacitor,{space}aluminum{space}electrolytic{space}nonpolar,{space}5.0x5.8mm
+capacitor{space}electrolyic{space}nonpolar
+0
+2
+2
+Capacitor_SMD
+C_Elec_6.3x5.4
+SMD{space}capacitor,{space}aluminum{space}electrolytic{space}nonpolar,{space}6.3x5.4mm
+capacitor{space}electrolyic{space}nonpolar
+0
+2
+2
+Capacitor_SMD
+C_Elec_6.3x5.8
+SMD{space}capacitor,{space}aluminum{space}electrolytic{space}nonpolar,{space}6.3x5.8mm
+capacitor{space}electrolyic{space}nonpolar
+0
+2
+2
+Capacitor_SMD
+C_Elec_6.3x7.7
+SMD{space}capacitor,{space}aluminum{space}electrolytic{space}nonpolar,{space}6.3x7.7mm
+capacitor{space}electrolyic{space}nonpolar
+0
+2
+2
+Capacitor_SMD
+C_Elec_8x5.4
+SMD{space}capacitor,{space}aluminum{space}electrolytic{space}nonpolar,{space}8.0x5.4mm
+capacitor{space}electrolyic{space}nonpolar
+0
+2
+2
+Capacitor_SMD
+C_Elec_8x6.2
+SMD{space}capacitor,{space}aluminum{space}electrolytic{space}nonpolar,{space}8.0x6.2mm
+capacitor{space}electrolyic{space}nonpolar
+0
+2
+2
+Capacitor_SMD
+C_Elec_8x10.2
+SMD{space}capacitor,{space}aluminum{space}electrolytic{space}nonpolar,{space}8.0x10.2mm
+capacitor{space}electrolyic{space}nonpolar
+0
+2
+2
+Capacitor_SMD
+C_Elec_10x10.2
+SMD{space}capacitor,{space}aluminum{space}electrolytic{space}nonpolar,{space}10.0x10.2mm
+capacitor{space}electrolyic{space}nonpolar
+0
+2
+2
+Capacitor_SMD
+C_Trimmer_Murata_TZB4-A
+trimmer{space}capacitor{space}SMD{space}horizontal,{space}http://www.murata.com/~/media/webrenewal/support/library/catalog/products/capacitor/trimmer/t13e.ashx?la=en-gb
+{space}Murata{space}TZB4{space}TZB4-A
+0
+2
+2
+Capacitor_SMD
+C_Trimmer_Murata_TZB4-B
+trimmer{space}capacitor{space}SMD{space}horizontal,{space}http://www.murata.com/~/media/webrenewal/support/library/catalog/products/capacitor/trimmer/t13e.ashx?la=en-gb
+{space}Murata{space}TZB4{space}TZB4-A
+0
+2
+2
+Capacitor_SMD
+C_Trimmer_Murata_TZC3
+trimmer{space}capacitor{space}SMD{space}horizontal,{space}http://www.murata.com/~/media/webrenewal/support/library/catalog/products/capacitor/trimmer/t13e.ashx?la=en-gb
+{space}Murata{space}TZC3
+0
+2
+2
+Capacitor_SMD
+C_Trimmer_Murata_TZR1
+trimmer{space}capacitor{space}SMD{space}horizontal,{space}http://www.murata.com/~/media/webrenewal/support/library/catalog/products/capacitor/trimmer/t13e.ashx?la=en-gb
+{space}Murata{space}TZR1
+0
+2
+2
+Capacitor_SMD
+C_Trimmer_Murata_TZW4
+trimmer{space}capacitor{space}SMD{space}horizontal,{space}http://www.murata.com/~/media/webrenewal/support/library/catalog/products/capacitor/trimmer/t13e.ashx?la=en-gb
+{space}Murata{space}TZW4
+0
+2
+2
+Capacitor_SMD
+C_Trimmer_Murata_TZY2
+trimmer{space}capacitor{space}SMD{space}horizontal,{space}http://www.murata.com/~/media/webrenewal/support/library/catalog/products/capacitor/trimmer/t13e.ashx?la=en-gb
+{space}Murata{space}TZY2
+0
+2
+2
+Capacitor_SMD
+C_Trimmer_Sprague-Goodman_SGC3
+trimmer{space}capacitor{space}SMD{space}horizontal,{space}http://media.wix.com/ugd/d86717_38d9821e12823a7aa9cef38c6c2a73cc.pdf
+{space}Sprague{space}Goodman{space}SGC3
+0
+2
+2
+Capacitor_SMD
+C_Trimmer_Voltronics_JN
+trimmer{space}capacitor{space}SMD{space}horizontal,{space}http://www.knowlescapacitors.com/File%20Library/Voltronics/English/GlobalNavigation/Products/Trimmer%20Capacitors/CerChipTrimCap.pdf
+{space}Voltronics{space}JN
+0
+2
+2
+Capacitor_SMD
+C_Trimmer_Voltronics_JQ
+trimmer{space}capacitor{space}SMD{space}horizontal,{space}http://www.knowlescapacitors.com/File%20Library/Voltronics/English/GlobalNavigation/Products/Trimmer%20Capacitors/CerChipTrimCap.pdf
+{space}Voltronics{space}JQ
+0
+2
+2
+Capacitor_SMD
+C_Trimmer_Voltronics_JR
+trimmer{space}capacitor{space}SMD{space}horizontal,{space}http://www.knowlescapacitors.com/File%20Library/Voltronics/English/GlobalNavigation/Products/Trimmer%20Capacitors/CerChipTrimCap.pdf
+{space}Voltronics{space}JR
+0
+2
+2
+Capacitor_SMD
+C_Trimmer_Voltronics_JV
+trimmer{space}capacitor{space}SMD{space}horizontal,{space}http://www.knowlescapacitors.com/File%20Library/Voltronics/English/GlobalNavigation/Products/Trimmer%20Capacitors/CerChipTrimCap.pdf
+{space}Voltronics{space}JV
+0
+2
+2
+Capacitor_SMD
+C_Trimmer_Voltronics_JZ
+trimmer{space}capacitor{space}SMD{space}horizontal,{space}http://www.knowlescapacitors.com/File%20Library/Voltronics/English/GlobalNavigation/Products/Trimmer%20Capacitors/CerChipTrimCap.pdf
+{space}Voltronics{space}JR
+0
+2
+2
+Capacitor_THT
+CP_Axial_L10.0mm_D4.5mm_P15.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=15mm,{space},{space}length*diameter=10*4.5mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28325/021asm.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}15mm{space}{space}length{space}10mm{space}diameter{space}4.5mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L10.0mm_D6.0mm_P15.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=15mm,{space},{space}length*diameter=10*6mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28325/021asm.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}15mm{space}{space}length{space}10mm{space}diameter{space}6mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L11.0mm_D5.0mm_P18.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=18mm,{space},{space}length*diameter=11*5mm^2,{space}Electrolytic{space}Capacitor
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}18mm{space}{space}length{space}11mm{space}diameter{space}5mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L11.0mm_D6.0mm_P18.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=18mm,{space},{space}length*diameter=11*6mm^2,{space}Electrolytic{space}Capacitor
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}18mm{space}{space}length{space}11mm{space}diameter{space}6mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L11.0mm_D8.0mm_P15.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=15mm,{space},{space}length*diameter=11*8mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28325/021asm.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}15mm{space}{space}length{space}11mm{space}diameter{space}8mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L18.0mm_D6.5mm_P25.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=25mm,{space},{space}length*diameter=18*6.5mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28325/021asm.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}25mm{space}{space}length{space}18mm{space}diameter{space}6.5mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L18.0mm_D8.0mm_P25.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=25mm,{space},{space}length*diameter=18*8mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28325/021asm.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}25mm{space}{space}length{space}18mm{space}diameter{space}8mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L18.0mm_D10.0mm_P25.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=25mm,{space},{space}length*diameter=18*10mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28325/021asm.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}25mm{space}{space}length{space}18mm{space}diameter{space}10mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L20.0mm_D10.0mm_P26.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=26mm,{space},{space}length*diameter=20*10mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/424/KEM_AC102.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}26mm{space}{space}length{space}20mm{space}diameter{space}10mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L20.0mm_D13.0mm_P26.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=26mm,{space},{space}length*diameter=20*13mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/424/KEM_AC102.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}26mm{space}{space}length{space}20mm{space}diameter{space}13mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L21.0mm_D8.0mm_P28.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=28mm,{space},{space}length*diameter=21*8mm^2,{space}Electrolytic{space}Capacitor
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}28mm{space}{space}length{space}21mm{space}diameter{space}8mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L25.0mm_D10.0mm_P30.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=30mm,{space},{space}length*diameter=25*10mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28325/021asm.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}30mm{space}{space}length{space}25mm{space}diameter{space}10mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L26.5mm_D20.0mm_P33.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=33mm,{space},{space}length*diameter=26.5*20mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/424/KEM_AC102.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}33mm{space}{space}length{space}26.5mm{space}diameter{space}20mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L29.0mm_D10.0mm_P35.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=35mm,{space},{space}length*diameter=29*10mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/424/KEM_AC102.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}35mm{space}{space}length{space}29mm{space}diameter{space}10mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L29.0mm_D13.0mm_P35.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=35mm,{space},{space}length*diameter=29*13mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/424/KEM_AC102.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}35mm{space}{space}length{space}29mm{space}diameter{space}13mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L29.0mm_D16.0mm_P35.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=35mm,{space},{space}length*diameter=29*16mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/424/KEM_AC102.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}35mm{space}{space}length{space}29mm{space}diameter{space}16mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L29.0mm_D20.0mm_P35.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=35mm,{space},{space}length*diameter=29*20mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/424/KEM_AC102.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}35mm{space}{space}length{space}29mm{space}diameter{space}20mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L30.0mm_D10.0mm_P35.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=35mm,{space},{space}length*diameter=30*10mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28325/021asm.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}35mm{space}{space}length{space}30mm{space}diameter{space}10mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L30.0mm_D12.5mm_P35.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=35mm,{space},{space}length*diameter=30*12.5mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28325/021asm.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}35mm{space}{space}length{space}30mm{space}diameter{space}12.5mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L30.0mm_D15.0mm_P35.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=35mm,{space},{space}length*diameter=30*15mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28325/021asm.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}35mm{space}{space}length{space}30mm{space}diameter{space}15mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L30.0mm_D18.0mm_P35.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=35mm,{space},{space}length*diameter=30*18mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28325/021asm.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}35mm{space}{space}length{space}30mm{space}diameter{space}18mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L34.5mm_D20.0mm_P41.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=41mm,{space},{space}length*diameter=34.5*20mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/424/KEM_AC102.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}41mm{space}{space}length{space}34.5mm{space}diameter{space}20mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L37.0mm_D13.0mm_P43.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=43mm,{space},{space}length*diameter=37*13mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/424/KEM_AC102.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}43mm{space}{space}length{space}37mm{space}diameter{space}13mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L37.0mm_D16.0mm_P43.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=43mm,{space},{space}length*diameter=37*16mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/424/KEM_AC102.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}43mm{space}{space}length{space}37mm{space}diameter{space}16mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L37.0mm_D20.0mm_P43.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=43mm,{space},{space}length*diameter=37*20mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/424/KEM_AC102.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}43mm{space}{space}length{space}37mm{space}diameter{space}20mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L38.0mm_D18.0mm_P44.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=44mm,{space},{space}length*diameter=38*18mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28325/021asm.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}44mm{space}{space}length{space}38mm{space}diameter{space}18mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L38.0mm_D21.0mm_P44.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=44mm,{space},{space}length*diameter=38*21mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28325/021asm.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}44mm{space}{space}length{space}38mm{space}diameter{space}21mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L40.0mm_D16.0mm_P48.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=48mm,{space},{space}length*diameter=40*16mm^2,{space}Electrolytic{space}Capacitor
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}48mm{space}{space}length{space}40mm{space}diameter{space}16mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L42.0mm_D23.0mm_P45.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=45mm,{space},{space}length*diameter=42*23.0mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/42037/53d.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}45mm{space}{space}length{space}42mm{space}diameter{space}23.0mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L42.0mm_D26.0mm_P45.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=45mm,{space},{space}length*diameter=42*26mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/42037/53d.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}45mm{space}{space}length{space}42mm{space}diameter{space}26mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L42.0mm_D29.0mm_P45.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=45mm,{space},{space}length*diameter=42*29.0mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/42037/53d.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}45mm{space}{space}length{space}42mm{space}diameter{space}29.0mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L42.0mm_D32.0mm_P45.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=45mm,{space},{space}length*diameter=42*32.0mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/42037/53d.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}45mm{space}{space}length{space}42mm{space}diameter{space}32.0mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L42.0mm_D35.0mm_P45.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=45mm,{space},{space}length*diameter=42*35.0mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/42037/53d.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}45mm{space}{space}length{space}42mm{space}diameter{space}35.0mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L42.5mm_D20.0mm_P49.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=49mm,{space},{space}length*diameter=42.5*20mm^2,{space}Electrolytic{space}Capacitor
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}49mm{space}{space}length{space}42.5mm{space}diameter{space}20mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L46.0mm_D20.0mm_P52.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=52mm,{space},{space}length*diameter=46*20mm^2,{space}Electrolytic{space}Capacitor
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}52mm{space}{space}length{space}46mm{space}diameter{space}20mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L55.0mm_D23.0mm_P60.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=60mm,{space},{space}length*diameter=55*23.0mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/42037/53d.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}60mm{space}{space}length{space}55mm{space}diameter{space}23.0mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L55.0mm_D26.0mm_P60.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=60mm,{space},{space}length*diameter=55*26mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/42037/53d.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}60mm{space}{space}length{space}55mm{space}diameter{space}26mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L55.0mm_D29.0mm_P60.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=60mm,{space},{space}length*diameter=55*29.0mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/42037/53d.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}60mm{space}{space}length{space}55mm{space}diameter{space}29.0mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L55.0mm_D32.0mm_P60.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=60mm,{space},{space}length*diameter=55*32.0mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/42037/53d.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}60mm{space}{space}length{space}55mm{space}diameter{space}32.0mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L55.0mm_D35.0mm_P60.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=60mm,{space},{space}length*diameter=55*35.0mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/42037/53d.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}60mm{space}{space}length{space}55mm{space}diameter{space}35.0mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L67.0mm_D23.0mm_P75.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=75mm,{space},{space}length*diameter=67*23.0mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/42037/53d.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}75mm{space}{space}length{space}67mm{space}diameter{space}23.0mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L67.0mm_D26.0mm_P75.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=75mm,{space},{space}length*diameter=67*26mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/42037/53d.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}75mm{space}{space}length{space}67mm{space}diameter{space}26mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L67.0mm_D29.0mm_P75.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=75mm,{space},{space}length*diameter=67*29.0mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/42037/53d.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}75mm{space}{space}length{space}67mm{space}diameter{space}29.0mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L67.0mm_D32.0mm_P75.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=75mm,{space},{space}length*diameter=67*32.0mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/42037/53d.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}75mm{space}{space}length{space}67mm{space}diameter{space}32.0mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L67.0mm_D35.0mm_P75.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=75mm,{space},{space}length*diameter=67*35.0mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/42037/53d.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}75mm{space}{space}length{space}67mm{space}diameter{space}35.0mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L80.0mm_D23.0mm_P85.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=85mm,{space},{space}length*diameter=80*23.0mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/42037/53d.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}85mm{space}{space}length{space}80mm{space}diameter{space}23.0mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L80.0mm_D26.0mm_P85.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=85mm,{space},{space}length*diameter=80*26mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/42037/53d.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}85mm{space}{space}length{space}80mm{space}diameter{space}26mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L80.0mm_D29.0mm_P85.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=85mm,{space},{space}length*diameter=80*29.0mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/42037/53d.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}85mm{space}{space}length{space}80mm{space}diameter{space}29.0mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L80.0mm_D32.0mm_P85.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=85mm,{space},{space}length*diameter=80*32.0mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/42037/53d.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}85mm{space}{space}length{space}80mm{space}diameter{space}32.0mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L80.0mm_D35.0mm_P85.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=85mm,{space},{space}length*diameter=80*35.0mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/42037/53d.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}85mm{space}{space}length{space}80mm{space}diameter{space}35.0mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L93.0mm_D23.0mm_P100.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=100mm,{space},{space}length*diameter=93*23.0mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/42037/53d.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}100mm{space}{space}length{space}93mm{space}diameter{space}23.0mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L93.0mm_D26.0mm_P100.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=100mm,{space},{space}length*diameter=93*26mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/42037/53d.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}100mm{space}{space}length{space}93mm{space}diameter{space}26mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L93.0mm_D29.0mm_P100.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=100mm,{space},{space}length*diameter=93*29.0mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/42037/53d.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}100mm{space}{space}length{space}93mm{space}diameter{space}29.0mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L93.0mm_D32.0mm_P100.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=100mm,{space},{space}length*diameter=93*32.0mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/42037/53d.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}100mm{space}{space}length{space}93mm{space}diameter{space}32.0mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Axial_L93.0mm_D35.0mm_P100.00mm_Horizontal
+CP,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=100mm,{space},{space}length*diameter=93*35.0mm^2,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/42037/53d.pdf
+CP{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}100mm{space}{space}length{space}93mm{space}diameter{space}35.0mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D4.0mm_P1.50mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=1.50mm,{space},{space}diameter=4mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}1.50mm{space}{space}diameter{space}4mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D4.0mm_P2.00mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=2.00mm,{space},{space}diameter=4mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}2.00mm{space}{space}diameter{space}4mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D5.0mm_P2.00mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=2.00mm,{space},{space}diameter=5mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}2.00mm{space}{space}diameter{space}5mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D5.0mm_P2.50mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}diameter=5mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}diameter{space}5mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D6.3mm_P2.50mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}diameter=6.3mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}diameter{space}6.3mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D7.5mm_P2.50mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}diameter=7.5mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}diameter{space}7.5mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D8.0mm_P2.50mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}diameter=8mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}diameter{space}8mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D8.0mm_P3.50mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=3.50mm,{space},{space}diameter=8mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}3.50mm{space}{space}diameter{space}8mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D8.0mm_P3.80mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=3.80mm,{space},{space}diameter=8mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}3.80mm{space}{space}diameter{space}8mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D8.0mm_P5.00mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter=8mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}8mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D10.0mm_P2.50mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}diameter=10mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}diameter{space}10mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D10.0mm_P2.50mm_P5.00mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=2.50mm{space}5.00mm,{space},{space}diameter=10mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}5.00mm{space}{space}diameter{space}10mm{space}Electrolytic{space}Capacitor
+0
+4
+2
+Capacitor_THT
+CP_Radial_D10.0mm_P3.50mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=3.50mm,{space},{space}diameter=10mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}3.50mm{space}{space}diameter{space}10mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D10.0mm_P3.80mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=3.80mm,{space},{space}diameter=10mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}3.80mm{space}{space}diameter{space}10mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D10.0mm_P5.00mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter=10mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}10mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D10.0mm_P5.00mm_P7.50mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm{space}7.50mm,{space},{space}diameter=10mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}7.50mm{space}{space}diameter{space}10mm{space}Electrolytic{space}Capacitor
+0
+4
+2
+Capacitor_THT
+CP_Radial_D10.0mm_P7.50mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}diameter=10mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}diameter{space}10mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D12.5mm_P2.50mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}diameter=12.5mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}diameter{space}12.5mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D12.5mm_P5.00mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter=12.5mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}12.5mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D12.5mm_P7.50mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}diameter=12.5mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}diameter{space}12.5mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D13.0mm_P2.50mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}diameter=13mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}diameter{space}13mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D13.0mm_P5.00mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter=13mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}13mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D13.0mm_P7.50mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}diameter=13mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}diameter{space}13mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D14.0mm_P5.00mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter=14mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}14mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D14.0mm_P7.50mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}diameter=14mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}diameter{space}14mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D16.0mm_P7.50mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}diameter=16mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}diameter{space}16mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D17.0mm_P7.50mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}diameter=17mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}diameter{space}17mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D18.0mm_P7.50mm
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}diameter=18mm,{space}Electrolytic{space}Capacitor
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}diameter{space}18mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D22.0mm_P10.00mm_3pin_SnapIn
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter=22mm,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28342/058059pll-si.pdf
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}22mm{space}Electrolytic{space}Capacitor
+0
+3
+2
+Capacitor_THT
+CP_Radial_D22.0mm_P10.00mm_SnapIn
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter=22mm,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28342/058059pll-si.pdf
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}22mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D24.0mm_P10.00mm_3pin_SnapIn
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter=24mm,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28342/058059pll-si.pdf
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}24mm{space}Electrolytic{space}Capacitor
+0
+3
+2
+Capacitor_THT
+CP_Radial_D24.0mm_P10.00mm_SnapIn
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter=24mm,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28342/058059pll-si.pdf
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}24mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D25.0mm_P10.00mm_3pin_SnapIn
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter=25mm,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28342/058059pll-si.pdf
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}25mm{space}Electrolytic{space}Capacitor
+0
+3
+2
+Capacitor_THT
+CP_Radial_D25.0mm_P10.00mm_SnapIn
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter=25mm,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28342/058059pll-si.pdf
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}25mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D26.0mm_P10.00mm_3pin_SnapIn
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter=26mm,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28342/058059pll-si.pdf
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}26mm{space}Electrolytic{space}Capacitor
+0
+3
+2
+Capacitor_THT
+CP_Radial_D26.0mm_P10.00mm_SnapIn
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter=26mm,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28342/058059pll-si.pdf
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}26mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D30.0mm_P10.00mm_3pin_SnapIn
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter=30mm,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28342/058059pll-si.pdf
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}30mm{space}Electrolytic{space}Capacitor
+0
+3
+2
+Capacitor_THT
+CP_Radial_D30.0mm_P10.00mm_SnapIn
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter=30mm,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28342/058059pll-si.pdf
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}30mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D35.0mm_P10.00mm_3pin_SnapIn
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter=35mm,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28342/058059pll-si.pdf
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}35mm{space}Electrolytic{space}Capacitor
+0
+3
+2
+Capacitor_THT
+CP_Radial_D35.0mm_P10.00mm_SnapIn
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter=35mm,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28342/058059pll-si.pdf
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}35mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_D40.0mm_P10.00mm_3pin_SnapIn
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter=40mm,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28342/058059pll-si.pdf
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}40mm{space}Electrolytic{space}Capacitor
+0
+3
+2
+Capacitor_THT
+CP_Radial_D40.0mm_P10.00mm_SnapIn
+CP,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter=40mm,{space}Electrolytic{space}Capacitor,{space},{space}http://www.vishay.com/docs/28342/058059pll-si.pdf
+CP{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}40mm{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_Tantal_D4.5mm_P2.50mm
+CP,{space}Radial_Tantal{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}diameter=4.5mm,{space}Tantal{space}Electrolytic{space}Capacitor,{space}http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf
+CP{space}Radial_Tantal{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}diameter{space}4.5mm{space}Tantal{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_Tantal_D4.5mm_P5.00mm
+CP,{space}Radial_Tantal{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter=4.5mm,{space}Tantal{space}Electrolytic{space}Capacitor,{space}http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf
+CP{space}Radial_Tantal{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}4.5mm{space}Tantal{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_Tantal_D5.0mm_P2.50mm
+CP,{space}Radial_Tantal{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}diameter=5.0mm,{space}Tantal{space}Electrolytic{space}Capacitor,{space}http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf
+CP{space}Radial_Tantal{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}diameter{space}5.0mm{space}Tantal{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_Tantal_D5.0mm_P5.00mm
+CP,{space}Radial_Tantal{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter=5.0mm,{space}Tantal{space}Electrolytic{space}Capacitor,{space}http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf
+CP{space}Radial_Tantal{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}5.0mm{space}Tantal{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_Tantal_D5.5mm_P2.50mm
+CP,{space}Radial_Tantal{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}diameter=5.5mm,{space}Tantal{space}Electrolytic{space}Capacitor,{space}http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf
+CP{space}Radial_Tantal{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}diameter{space}5.5mm{space}Tantal{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_Tantal_D5.5mm_P5.00mm
+CP,{space}Radial_Tantal{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter=5.5mm,{space}Tantal{space}Electrolytic{space}Capacitor,{space}http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf
+CP{space}Radial_Tantal{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}5.5mm{space}Tantal{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_Tantal_D6.0mm_P2.50mm
+CP,{space}Radial_Tantal{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}diameter=6.0mm,{space}Tantal{space}Electrolytic{space}Capacitor,{space}http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf
+CP{space}Radial_Tantal{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}diameter{space}6.0mm{space}Tantal{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_Tantal_D6.0mm_P5.00mm
+CP,{space}Radial_Tantal{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter=6.0mm,{space}Tantal{space}Electrolytic{space}Capacitor,{space}http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf
+CP{space}Radial_Tantal{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}6.0mm{space}Tantal{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_Tantal_D7.0mm_P2.50mm
+CP,{space}Radial_Tantal{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}diameter=7.0mm,{space}Tantal{space}Electrolytic{space}Capacitor,{space}http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf
+CP{space}Radial_Tantal{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}diameter{space}7.0mm{space}Tantal{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_Tantal_D7.0mm_P5.00mm
+CP,{space}Radial_Tantal{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter=7.0mm,{space}Tantal{space}Electrolytic{space}Capacitor,{space}http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf
+CP{space}Radial_Tantal{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}7.0mm{space}Tantal{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_Tantal_D8.0mm_P2.50mm
+CP,{space}Radial_Tantal{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}diameter=8.0mm,{space}Tantal{space}Electrolytic{space}Capacitor,{space}http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf
+CP{space}Radial_Tantal{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}diameter{space}8.0mm{space}Tantal{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_Tantal_D8.0mm_P5.00mm
+CP,{space}Radial_Tantal{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter=8.0mm,{space}Tantal{space}Electrolytic{space}Capacitor,{space}http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf
+CP{space}Radial_Tantal{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}8.0mm{space}Tantal{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_Tantal_D9.0mm_P2.50mm
+CP,{space}Radial_Tantal{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}diameter=9.0mm,{space}Tantal{space}Electrolytic{space}Capacitor,{space}http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf
+CP{space}Radial_Tantal{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}diameter{space}9.0mm{space}Tantal{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_Tantal_D9.0mm_P5.00mm
+CP,{space}Radial_Tantal{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter=9.0mm,{space}Tantal{space}Electrolytic{space}Capacitor,{space}http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf
+CP{space}Radial_Tantal{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}9.0mm{space}Tantal{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_Tantal_D10.5mm_P2.50mm
+CP,{space}Radial_Tantal{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}diameter=10.5mm,{space}Tantal{space}Electrolytic{space}Capacitor,{space}http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf
+CP{space}Radial_Tantal{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}diameter{space}10.5mm{space}Tantal{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+CP_Radial_Tantal_D10.5mm_P5.00mm
+CP,{space}Radial_Tantal{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter=10.5mm,{space}Tantal{space}Electrolytic{space}Capacitor,{space}http://cdn-reichelt.de/documents/datenblatt/B300/TANTAL-TB-Serie%23.pdf
+CP{space}Radial_Tantal{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}10.5mm{space}Tantal{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Axial_L3.8mm_D2.6mm_P7.50mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=7.5mm,{space},{space}length*diameter=3.8*2.6mm^2,{space}http://www.vishay.com/docs/45231/arseries.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}7.5mm{space}{space}length{space}3.8mm{space}diameter{space}2.6mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L3.8mm_D2.6mm_P10.00mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=10mm,{space},{space}length*diameter=3.8*2.6mm^2,{space}http://www.vishay.com/docs/45231/arseries.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}10mm{space}{space}length{space}3.8mm{space}diameter{space}2.6mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L3.8mm_D2.6mm_P12.50mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=12.5mm,{space},{space}length*diameter=3.8*2.6mm^2,{space}http://www.vishay.com/docs/45231/arseries.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}12.5mm{space}{space}length{space}3.8mm{space}diameter{space}2.6mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L3.8mm_D2.6mm_P15.00mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=15mm,{space},{space}length*diameter=3.8*2.6mm^2,{space}http://www.vishay.com/docs/45231/arseries.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}15mm{space}{space}length{space}3.8mm{space}diameter{space}2.6mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L5.1mm_D3.1mm_P7.50mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=7.5mm,{space},{space}length*diameter=5.1*3.1mm^2,{space}http://www.vishay.com/docs/45231/arseries.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}7.5mm{space}{space}length{space}5.1mm{space}diameter{space}3.1mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L5.1mm_D3.1mm_P10.00mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=10mm,{space},{space}length*diameter=5.1*3.1mm^2,{space}http://www.vishay.com/docs/45231/arseries.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}10mm{space}{space}length{space}5.1mm{space}diameter{space}3.1mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L5.1mm_D3.1mm_P12.50mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=12.5mm,{space},{space}length*diameter=5.1*3.1mm^2,{space}http://www.vishay.com/docs/45231/arseries.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}12.5mm{space}{space}length{space}5.1mm{space}diameter{space}3.1mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L5.1mm_D3.1mm_P15.00mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=15mm,{space},{space}length*diameter=5.1*3.1mm^2,{space}http://www.vishay.com/docs/45231/arseries.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}15mm{space}{space}length{space}5.1mm{space}diameter{space}3.1mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L12.0mm_D6.5mm_P15.00mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=15mm,{space},{space}length*diameter=12*6.5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}15mm{space}{space}length{space}12mm{space}diameter{space}6.5mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L12.0mm_D6.5mm_P20.00mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=20mm,{space},{space}length*diameter=12*6.5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}20mm{space}{space}length{space}12mm{space}diameter{space}6.5mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L12.0mm_D7.5mm_P15.00mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=15mm,{space},{space}length*diameter=12*7.5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}15mm{space}{space}length{space}12mm{space}diameter{space}7.5mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L12.0mm_D7.5mm_P20.00mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=20mm,{space},{space}length*diameter=12*7.5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}20mm{space}{space}length{space}12mm{space}diameter{space}7.5mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L12.0mm_D8.5mm_P15.00mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=15mm,{space},{space}length*diameter=12*8.5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}15mm{space}{space}length{space}12mm{space}diameter{space}8.5mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L12.0mm_D8.5mm_P20.00mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=20mm,{space},{space}length*diameter=12*8.5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}20mm{space}{space}length{space}12mm{space}diameter{space}8.5mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L12.0mm_D9.5mm_P15.00mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=15mm,{space},{space}length*diameter=12*9.5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}15mm{space}{space}length{space}12mm{space}diameter{space}9.5mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L12.0mm_D9.5mm_P20.00mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=20mm,{space},{space}length*diameter=12*9.5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}20mm{space}{space}length{space}12mm{space}diameter{space}9.5mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L12.0mm_D10.5mm_P15.00mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=15mm,{space},{space}length*diameter=12*10.5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}15mm{space}{space}length{space}12mm{space}diameter{space}10.5mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L12.0mm_D10.5mm_P20.00mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=20mm,{space},{space}length*diameter=12*10.5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}20mm{space}{space}length{space}12mm{space}diameter{space}10.5mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L17.0mm_D6.5mm_P20.00mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=20mm,{space},{space}length*diameter=17*6.5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}20mm{space}{space}length{space}17mm{space}diameter{space}6.5mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L17.0mm_D6.5mm_P25.00mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=25mm,{space},{space}length*diameter=17*6.5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}25mm{space}{space}length{space}17mm{space}diameter{space}6.5mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L17.0mm_D7.0mm_P20.00mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=20mm,{space},{space}length*diameter=17*7.0mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}20mm{space}{space}length{space}17mm{space}diameter{space}7.0mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L17.0mm_D7.0mm_P25.00mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=25mm,{space},{space}length*diameter=17*7.0mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}25mm{space}{space}length{space}17mm{space}diameter{space}7.0mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L19.0mm_D7.5mm_P25.00mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=25mm,{space},{space}length*diameter=19*7.5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}25mm{space}{space}length{space}19mm{space}diameter{space}7.5mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L19.0mm_D8.0mm_P25.00mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=25mm,{space},{space}length*diameter=19*8.0mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}25mm{space}{space}length{space}19mm{space}diameter{space}8.0mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L19.0mm_D9.0mm_P25.00mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=25mm,{space},{space}length*diameter=19*9mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}25mm{space}{space}length{space}19mm{space}diameter{space}9mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L19.0mm_D9.5mm_P25.00mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=25mm,{space},{space}length*diameter=19*9.5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}25mm{space}{space}length{space}19mm{space}diameter{space}9.5mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L22.0mm_D9.5mm_P27.50mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=27.5mm,{space},{space}length*diameter=22*9.5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}27.5mm{space}{space}length{space}22mm{space}diameter{space}9.5mm
+0
+2
+2
+Capacitor_THT
+C_Axial_L22.0mm_D10.5mm_P27.50mm_Horizontal
+C,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=27.5mm,{space},{space}length*diameter=22*10.5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B300/STYROFLEX.pdf
+C{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}27.5mm{space}{space}length{space}22mm{space}diameter{space}10.5mm
+0
+2
+2
+Capacitor_THT
+C_Disc_D3.0mm_W1.6mm_P2.50mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}diameter*width=3.0*1.6mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/45233/krseries.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}diameter{space}3.0mm{space}width{space}1.6mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D3.0mm_W2.0mm_P2.50mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}diameter*width=3*2mm^2,{space}Capacitor
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}diameter{space}3mm{space}width{space}2mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D3.4mm_W2.1mm_P2.50mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}diameter*width=3.4*2.1mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/45233/krseries.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}diameter{space}3.4mm{space}width{space}2.1mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D3.8mm_W2.6mm_P2.50mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}diameter*width=3.8*2.6mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/45233/krseries.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}diameter{space}3.8mm{space}width{space}2.6mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D4.3mm_W1.9mm_P5.00mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter*width=4.3*1.9mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/45233/krseries.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}4.3mm{space}width{space}1.9mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D4.7mm_W2.5mm_P5.00mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter*width=4.7*2.5mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/45233/krseries.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}4.7mm{space}width{space}2.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D5.0mm_W2.5mm_P2.50mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}diameter*width=5*2.5mm^2,{space}Capacitor,{space}http://cdn-reichelt.de/documents/datenblatt/B300/DS_KERKO_TC.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}diameter{space}5mm{space}width{space}2.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D5.0mm_W2.5mm_P5.00mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter*width=5*2.5mm^2,{space}Capacitor,{space}http://cdn-reichelt.de/documents/datenblatt/B300/DS_KERKO_TC.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}5mm{space}width{space}2.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D5.1mm_W3.2mm_P5.00mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter*width=5.1*3.2mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/45233/krseries.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}5.1mm{space}width{space}3.2mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D6.0mm_W2.5mm_P5.00mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter*width=6*2.5mm^2,{space}Capacitor,{space}http://cdn-reichelt.de/documents/datenblatt/B300/DS_KERKO_TC.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}6mm{space}width{space}2.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D6.0mm_W4.4mm_P5.00mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter*width=6*4.4mm^2,{space}Capacitor
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}6mm{space}width{space}4.4mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D7.0mm_W2.5mm_P5.00mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter*width=7*2.5mm^2,{space}Capacitor,{space}http://cdn-reichelt.de/documents/datenblatt/B300/DS_KERKO_TC.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}7mm{space}width{space}2.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D7.5mm_W2.5mm_P5.00mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter*width=7.5*2.5mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/28535/vy2series.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}7.5mm{space}width{space}2.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D7.5mm_W4.4mm_P5.00mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter*width=7.5*4.4mm^2,{space}Capacitor
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}7.5mm{space}width{space}4.4mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D7.5mm_W5.0mm_P5.00mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter*width=7.5*5.0mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/28535/vy2series.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}7.5mm{space}width{space}5.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D7.5mm_W5.0mm_P7.50mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}diameter*width=7.5*5.0mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/28535/vy2series.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}diameter{space}7.5mm{space}width{space}5.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D7.5mm_W5.0mm_P10.00mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter*width=7.5*5.0mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/28535/vy2series.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}7.5mm{space}width{space}5.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D8.0mm_W2.5mm_P5.00mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter*width=8*2.5mm^2,{space}Capacitor,{space}http://cdn-reichelt.de/documents/datenblatt/B300/DS_KERKO_TC.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}8mm{space}width{space}2.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D8.0mm_W5.0mm_P5.00mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter*width=8*5.0mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/28535/vy2series.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}8mm{space}width{space}5.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D8.0mm_W5.0mm_P7.50mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}diameter*width=8*5.0mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/28535/vy2series.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}diameter{space}8mm{space}width{space}5.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D8.0mm_W5.0mm_P10.00mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter*width=8*5.0mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/28535/vy2series.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}8mm{space}width{space}5.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D9.0mm_W2.5mm_P5.00mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter*width=9*2.5mm^2,{space}Capacitor,{space}http://cdn-reichelt.de/documents/datenblatt/B300/DS_KERKO_TC.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}9mm{space}width{space}2.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D9.0mm_W5.0mm_P5.00mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter*width=9*5.0mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/28535/vy2series.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}9mm{space}width{space}5.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D9.0mm_W5.0mm_P7.50mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}diameter*width=9*5.0mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/28535/vy2series.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}diameter{space}9mm{space}width{space}5.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D9.0mm_W5.0mm_P10.00mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter*width=9*5.0mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/28535/vy2series.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}9mm{space}width{space}5.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D10.0mm_W2.5mm_P5.00mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter*width=10*2.5mm^2,{space}Capacitor,{space}http://cdn-reichelt.de/documents/datenblatt/B300/DS_KERKO_TC.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}10mm{space}width{space}2.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D10.5mm_W5.0mm_P5.00mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter*width=10.5*5.0mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/28535/vy2series.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}10.5mm{space}width{space}5.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D10.5mm_W5.0mm_P7.50mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}diameter*width=10.5*5.0mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/28535/vy2series.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}diameter{space}10.5mm{space}width{space}5.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D10.5mm_W5.0mm_P10.00mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter*width=10.5*5.0mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/28535/vy2series.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}10.5mm{space}width{space}5.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D11.0mm_W5.0mm_P5.00mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter*width=11*5.0mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/28535/vy2series.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}11mm{space}width{space}5.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D11.0mm_W5.0mm_P7.50mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}diameter*width=11*5.0mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/28535/vy2series.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}diameter{space}11mm{space}width{space}5.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D11.0mm_W5.0mm_P10.00mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter*width=11*5.0mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/28535/vy2series.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}11mm{space}width{space}5.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D12.0mm_W4.4mm_P7.75mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=7.75mm,{space},{space}diameter*width=12*4.4mm^2,{space}Capacitor
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}7.75mm{space}{space}diameter{space}12mm{space}width{space}4.4mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D12.5mm_W5.0mm_P7.50mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}diameter*width=12.5*5.0mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/28535/vy2series.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}diameter{space}12.5mm{space}width{space}5.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D12.5mm_W5.0mm_P10.00mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter*width=12.5*5.0mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/28535/vy2series.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}12.5mm{space}width{space}5.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D14.5mm_W5.0mm_P7.50mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}diameter*width=14.5*5.0mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/28535/vy2series.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}diameter{space}14.5mm{space}width{space}5.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D14.5mm_W5.0mm_P10.00mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter*width=14.5*5.0mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/28535/vy2series.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}14.5mm{space}width{space}5.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D16.0mm_W5.0mm_P7.50mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}diameter*width=16.0*5.0mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/28535/vy2series.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}diameter{space}16.0mm{space}width{space}5.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Disc_D16.0mm_W5.0mm_P10.00mm
+C,{space}Disc{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter*width=16.0*5.0mm^2,{space}Capacitor,{space}http://www.vishay.com/docs/28535/vy2series.pdf
+C{space}Disc{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}16.0mm{space}width{space}5.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Radial_D4.0mm_H5.0mm_P1.50mm
+C,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=1.50mm,{space}diameter=4mm,{space}height=5mm,{space}Non-Polar{space}Electrolytic{space}Capacitor
+C{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}1.50mm{space}diameter{space}4mm{space}height{space}5mm{space}Non-Polar{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Radial_D4.0mm_H7.0mm_P1.50mm
+C,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=1.50mm,{space}diameter=4mm,{space}height=7mm,{space}Non-Polar{space}Electrolytic{space}Capacitor
+C{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}1.50mm{space}diameter{space}4mm{space}height{space}7mm{space}Non-Polar{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Radial_D5.0mm_H5.0mm_P2.00mm
+C,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=2.00mm,{space}diameter=5mm,{space}height=5mm,{space}Non-Polar{space}Electrolytic{space}Capacitor
+C{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}2.00mm{space}diameter{space}5mm{space}height{space}5mm{space}Non-Polar{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Radial_D5.0mm_H7.0mm_P2.00mm
+C,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=2.00mm,{space}diameter=5mm,{space}height=7mm,{space}Non-Polar{space}Electrolytic{space}Capacitor
+C{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}2.00mm{space}diameter{space}5mm{space}height{space}7mm{space}Non-Polar{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Radial_D5.0mm_H11.0mm_P2.00mm
+C,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=2.00mm,{space}diameter=5mm,{space}height=11mm,{space}Non-Polar{space}Electrolytic{space}Capacitor
+C{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}2.00mm{space}diameter{space}5mm{space}height{space}11mm{space}Non-Polar{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Radial_D6.3mm_H5.0mm_P2.50mm
+C,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space}diameter=6.3mm,{space}height=5mm,{space}Non-Polar{space}Electrolytic{space}Capacitor
+C{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}diameter{space}6.3mm{space}height{space}5mm{space}Non-Polar{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Radial_D6.3mm_H7.0mm_P2.50mm
+C,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space}diameter=6.3mm,{space}height=7mm,{space}Non-Polar{space}Electrolytic{space}Capacitor
+C{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}diameter{space}6.3mm{space}height{space}7mm{space}Non-Polar{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Radial_D6.3mm_H11.0mm_P2.50mm
+C,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space}diameter=6.3mm,{space}height=11mm,{space}Non-Polar{space}Electrolytic{space}Capacitor
+C{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}diameter{space}6.3mm{space}height{space}11mm{space}Non-Polar{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Radial_D8.0mm_H7.0mm_P3.50mm
+C,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=3.50mm,{space}diameter=8mm,{space}height=7mm,{space}Non-Polar{space}Electrolytic{space}Capacitor
+C{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}3.50mm{space}diameter{space}8mm{space}height{space}7mm{space}Non-Polar{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Radial_D8.0mm_H11.5mm_P3.50mm
+C,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=3.50mm,{space}diameter=8mm,{space}height=11.5mm,{space}Non-Polar{space}Electrolytic{space}Capacitor
+C{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}3.50mm{space}diameter{space}8mm{space}height{space}11.5mm{space}Non-Polar{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Radial_D10.0mm_H12.5mm_P5.00mm
+C,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space}diameter=10mm,{space}height=12.5mm,{space}Non-Polar{space}Electrolytic{space}Capacitor
+C{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}diameter{space}10mm{space}height{space}12.5mm{space}Non-Polar{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Radial_D10.0mm_H16.0mm_P5.00mm
+C,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space}diameter=10mm,{space}height=16mm,{space}Non-Polar{space}Electrolytic{space}Capacitor
+C{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}diameter{space}10mm{space}height{space}16mm{space}Non-Polar{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Radial_D10.0mm_H20.0mm_P5.00mm
+C,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space}diameter=10mm,{space}height=20mm,{space}Non-Polar{space}Electrolytic{space}Capacitor
+C{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}diameter{space}10mm{space}height{space}20mm{space}Non-Polar{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Radial_D12.5mm_H20.0mm_P5.00mm
+C,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space}diameter=12.5mm,{space}height=20mm,{space}Non-Polar{space}Electrolytic{space}Capacitor
+C{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}diameter{space}12.5mm{space}height{space}20mm{space}Non-Polar{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Radial_D12.5mm_H25.0mm_P5.00mm
+C,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space}diameter=12.5mm,{space}height=25mm,{space}Non-Polar{space}Electrolytic{space}Capacitor
+C{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}diameter{space}12.5mm{space}height{space}25mm{space}Non-Polar{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Radial_D16.0mm_H25.0mm_P7.50mm
+C,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space}diameter=16mm,{space}height=25mm,{space}Non-Polar{space}Electrolytic{space}Capacitor
+C{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}diameter{space}16mm{space}height{space}25mm{space}Non-Polar{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Radial_D16.0mm_H31.5mm_P7.50mm
+C,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space}diameter=16mm,{space}height=31.5mm,{space}Non-Polar{space}Electrolytic{space}Capacitor
+C{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}diameter{space}16mm{space}height{space}31.5mm{space}Non-Polar{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Radial_D18.0mm_H35.5mm_P7.50mm
+C,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space}diameter=18mm,{space}height=35.5mm,{space}Non-Polar{space}Electrolytic{space}Capacitor
+C{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}diameter{space}18mm{space}height{space}35.5mm{space}Non-Polar{space}Electrolytic{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L4.0mm_W2.5mm_P2.50mm
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}length*width=4*2.5mm^2,{space}Capacitor
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}length{space}4mm{space}width{space}2.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L4.6mm_W2.0mm_P2.50mm_MKS02_FKP02
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}length*width=4.6*2mm^2,{space}Capacitor,{space}http://www.wima.de/DE/WIMA_MKS_02.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}length{space}4.6mm{space}width{space}2mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L4.6mm_W3.0mm_P2.50mm_MKS02_FKP02
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}length*width=4.6*3.0mm^2,{space}Capacitor,{space}http://www.wima.de/DE/WIMA_MKS_02.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}length{space}4.6mm{space}width{space}3.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L4.6mm_W3.8mm_P2.50mm_MKS02_FKP02
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}length*width=4.6*3.8mm^2,{space}Capacitor,{space}http://www.wima.de/DE/WIMA_MKS_02.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}length{space}4.6mm{space}width{space}3.8mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L4.6mm_W4.6mm_P2.50mm_MKS02_FKP02
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}length*width=4.6*4.6mm^2,{space}Capacitor,{space}http://www.wima.de/DE/WIMA_MKS_02.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}length{space}4.6mm{space}width{space}4.6mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L4.6mm_W5.5mm_P2.50mm_MKS02_FKP02
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=2.50mm,{space},{space}length*width=4.6*5.5mm^2,{space}Capacitor,{space}http://www.wima.de/DE/WIMA_MKS_02.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}{space}length{space}4.6mm{space}width{space}5.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L7.0mm_W2.0mm_P5.00mm
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}length*width=7*2mm^2,{space}Capacitor
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}length{space}7mm{space}width{space}2mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L7.0mm_W2.5mm_P5.00mm
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}length*width=7*2.5mm^2,{space}Capacitor
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}length{space}7mm{space}width{space}2.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L7.0mm_W3.5mm_P2.50mm_P5.00mm
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=2.50mm{space}5.00mm,{space},{space}length*width=7*3.5mm^2,{space}Capacitor
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}2.50mm{space}5.00mm{space}{space}length{space}7mm{space}width{space}3.5mm{space}Capacitor
+0
+4
+2
+Capacitor_THT
+C_Rect_L7.0mm_W3.5mm_P5.00mm
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}length*width=7*3.5mm^2,{space}Capacitor
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}length{space}7mm{space}width{space}3.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L7.0mm_W4.5mm_P5.00mm
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}length*width=7*4.5mm^2,{space}Capacitor
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}length{space}7mm{space}width{space}4.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L7.0mm_W6.0mm_P5.00mm
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}length*width=7*6mm^2,{space}Capacitor
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}length{space}7mm{space}width{space}6mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L7.0mm_W6.5mm_P5.00mm
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}length*width=7*6.5mm^2,{space}Capacitor
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}length{space}7mm{space}width{space}6.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L7.2mm_W2.5mm_P5.00mm_FKS2_FKP2_MKS2_MKP2
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}length*width=7.2*2.5mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_FKS_2.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}length{space}7.2mm{space}width{space}2.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L7.2mm_W3.0mm_P5.00mm_FKS2_FKP2_MKS2_MKP2
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}length*width=7.2*3.0mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_FKS_2.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}length{space}7.2mm{space}width{space}3.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L7.2mm_W3.5mm_P5.00mm_FKS2_FKP2_MKS2_MKP2
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}length*width=7.2*3.5mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_FKS_2.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}length{space}7.2mm{space}width{space}3.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L7.2mm_W4.5mm_P5.00mm_FKS2_FKP2_MKS2_MKP2
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}length*width=7.2*4.5mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_FKS_2.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}length{space}7.2mm{space}width{space}4.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L7.2mm_W5.5mm_P5.00mm_FKS2_FKP2_MKS2_MKP2
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}length*width=7.2*5.5mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_FKS_2.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}length{space}7.2mm{space}width{space}5.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L7.2mm_W7.2mm_P5.00mm_FKS2_FKP2_MKS2_MKP2
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}length*width=7.2*7.2mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_FKS_2.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}length{space}7.2mm{space}width{space}7.2mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L7.2mm_W8.5mm_P5.00mm_FKP2_FKP2_MKS2_MKP2
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}length*width=7.2*8.5mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_FKS_2.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}length{space}7.2mm{space}width{space}8.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L7.2mm_W11.0mm_P5.00mm_FKS2_FKP2_MKS2_MKP2
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}length*width=7.2*11mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_FKS_2.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}length{space}7.2mm{space}width{space}11mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L7.5mm_W6.5mm_P5.00mm
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}length*width=7.5*6.5mm^2,{space}Capacitor
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}length{space}7.5mm{space}width{space}6.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L9.0mm_W2.5mm_P7.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=9*2.5mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}9mm{space}width{space}2.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L9.0mm_W2.6mm_P7.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=9*2.6mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}9mm{space}width{space}2.6mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L9.0mm_W2.7mm_P7.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=9*2.7mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}9mm{space}width{space}2.7mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L9.0mm_W3.2mm_P7.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=9*3.2mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}9mm{space}width{space}3.2mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L9.0mm_W3.3mm_P7.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=9*3.3mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}9mm{space}width{space}3.3mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L9.0mm_W3.4mm_P7.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=9*3.4mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}9mm{space}width{space}3.4mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L9.0mm_W3.6mm_P7.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=9*3.6mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}9mm{space}width{space}3.6mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L9.0mm_W3.8mm_P7.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=9*3.8mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}9mm{space}width{space}3.8mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L9.0mm_W3.9mm_P7.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=9*3.9mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}9mm{space}width{space}3.9mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L9.0mm_W4.0mm_P7.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=9*4.0mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}9mm{space}width{space}4.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L9.0mm_W4.2mm_P7.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=9*4.2mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}9mm{space}width{space}4.2mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L9.0mm_W4.9mm_P7.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=9*4.9mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}9mm{space}width{space}4.9mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L9.0mm_W5.1mm_P7.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=9*5.1mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}9mm{space}width{space}5.1mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L9.0mm_W5.7mm_P7.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=9*5.7mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}9mm{space}width{space}5.7mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L9.0mm_W6.4mm_P7.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=9*6.4mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}9mm{space}width{space}6.4mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L9.0mm_W6.7mm_P7.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=9*6.7mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}9mm{space}width{space}6.7mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L9.0mm_W7.7mm_P7.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=9*7.7mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}9mm{space}width{space}7.7mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L9.0mm_W8.5mm_P7.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=9*8.5mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}9mm{space}width{space}8.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L9.0mm_W9.5mm_P7.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=9*9.5mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}9mm{space}width{space}9.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L9.0mm_W9.8mm_P7.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=9*9.8mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}9mm{space}width{space}9.8mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L10.0mm_W2.5mm_P7.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=10*2.5mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}10mm{space}width{space}2.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L10.0mm_W3.0mm_P7.50mm_FKS3_FKP3
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=10*3mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_FKS_3.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}10mm{space}width{space}3mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L10.0mm_W3.0mm_P7.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=10*3.0mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}10mm{space}width{space}3.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L10.0mm_W4.0mm_P7.50mm_FKS3_FKP3
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=10*4mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_FKS_3.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}10mm{space}width{space}4mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L10.0mm_W4.0mm_P7.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=10*4.0mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}10mm{space}width{space}4.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L10.0mm_W5.0mm_P5.00mm_P7.50mm
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=5.00mm{space}7.50mm,{space},{space}length*width=10*5mm^2,{space}Capacitor
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}7.50mm{space}{space}length{space}10mm{space}width{space}5mm{space}Capacitor
+0
+4
+2
+Capacitor_THT
+C_Rect_L10.3mm_W4.5mm_P7.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=10.3*4.5mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}10.3mm{space}width{space}4.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L10.3mm_W5.0mm_P7.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=10.3*5mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}10.3mm{space}width{space}5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L10.3mm_W5.7mm_P7.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=10.3*5.7mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}10.3mm{space}width{space}5.7mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L10.3mm_W7.2mm_P7.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm,{space},{space}length*width=10.3*7.2mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}{space}length{space}10.3mm{space}width{space}7.2mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.0mm_W2.8mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.0*2.8mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.0mm{space}width{space}2.8mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.0mm_W3.4mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.0*3.4mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.0mm{space}width{space}3.4mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.0mm_W3.5mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.0*3.5mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.0mm{space}width{space}3.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.0mm_W4.2mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.0*4.2mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.0mm{space}width{space}4.2mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.0mm_W4.3mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.0*4.3mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.0mm{space}width{space}4.3mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.0mm_W5.1mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.0*5.1mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.0mm{space}width{space}5.1mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.0mm_W5.3mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.0*5.3mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.0mm{space}width{space}5.3mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.0mm_W6.3mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.0*6.3mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.0mm{space}width{space}6.3mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.0mm_W6.4mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.0*6.4mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.0mm{space}width{space}6.4mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.0mm_W7.3mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.0*7.3mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.0mm{space}width{space}7.3mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.0mm_W8.8mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.0*8.8mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.0mm{space}width{space}8.8mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.5mm_W2.0mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.5*2mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.5mm{space}width{space}2mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.5mm_W2.6mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.5*2.6mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.5mm{space}width{space}2.6mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.5mm_W2.8mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.5*2.8mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.5mm{space}width{space}2.8mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.5mm_W3.2mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.5*3.2mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.5mm{space}width{space}3.2mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.5mm_W3.5mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.5*3.5mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.5mm{space}width{space}3.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.5mm_W3.6mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.5*3.6mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.5mm{space}width{space}3.6mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.5mm_W4.0mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.5*4.0mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.5mm{space}width{space}4.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.5mm_W4.3mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.5*4.3mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.5mm{space}width{space}4.3mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.5mm_W4.5mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.5*4.5mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.5mm{space}width{space}4.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.5mm_W5.0mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.5*5mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.5mm{space}width{space}5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.5mm_W5.1mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.5*5.1mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.5mm{space}width{space}5.1mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.5mm_W5.2mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.5*5.2mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.5mm{space}width{space}5.2mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.5mm_W5.6mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.5*5.6mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.5mm{space}width{space}5.6mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.5mm_W6.4mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.5*6.4mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.5mm{space}width{space}6.4mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.5mm_W6.6mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.5*6.6mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.5mm{space}width{space}6.6mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.5mm_W6.9mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.5*6.9mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.5mm{space}width{space}6.9mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.5mm_W7.3mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.5*7.3mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.5mm{space}width{space}7.3mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.5mm_W7.5mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.5*7.5mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.5mm{space}width{space}7.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.5mm_W7.8mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.5*7.8mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.5mm{space}width{space}7.8mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.5mm_W8.0mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.5*8.0mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.5mm{space}width{space}8.0mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.5mm_W8.8mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.5*8.8mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.5mm{space}width{space}8.8mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.5mm_W9.5mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.5*9.5mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.5mm{space}width{space}9.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L11.5mm_W9.8mm_P10.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=11.5*9.8mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}11.5mm{space}width{space}9.8mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L13.0mm_W3.0mm_P10.00mm_FKS3_FKP3_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=13*3mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_FKS_3.pdf,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}13mm{space}width{space}3mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L13.0mm_W4.0mm_P10.00mm_FKS3_FKP3_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=13*4mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_FKS_3.pdf,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}13mm{space}width{space}4mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L13.0mm_W5.0mm_P10.00mm_FKS3_FKP3_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=13*5mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_FKS_3.pdf,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}13mm{space}width{space}5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L13.0mm_W6.0mm_P10.00mm_FKS3_FKP3_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=13*6mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_FKS_3.pdf,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}13mm{space}width{space}6mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L13.0mm_W6.5mm_P7.50mm_P10.00mm
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=7.50mm{space}10.00mm,{space},{space}length*width=13*6.5mm^2,{space}Capacitor
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}7.50mm{space}10.00mm{space}{space}length{space}13mm{space}width{space}6.5mm{space}Capacitor
+0
+4
+2
+Capacitor_THT
+C_Rect_L13.0mm_W8.0mm_P10.00mm_FKS3_FKP3_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=13*8mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_FKS_3.pdf,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}13mm{space}width{space}8mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L13.5mm_W4.0mm_P10.00mm_FKS3_FKP3_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=13.5*4mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_FKS_3.pdf,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}13.5mm{space}width{space}4mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L13.5mm_W5.0mm_P10.00mm_FKS3_FKP3_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}length*width=13.5*5mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_FKS_3.pdf,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}length{space}13.5mm{space}width{space}5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L16.5mm_W4.7mm_P15.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=16.5*4.7mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}16.5mm{space}width{space}4.7mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L16.5mm_W4.9mm_P15.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=16.5*4.9mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}16.5mm{space}width{space}4.9mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L16.5mm_W5.0mm_P15.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=16.5*5mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}16.5mm{space}width{space}5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L16.5mm_W6.0mm_P15.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=16.5*6mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}16.5mm{space}width{space}6mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L16.5mm_W7.0mm_P15.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=16.5*7mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}16.5mm{space}width{space}7mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L16.5mm_W7.3mm_P15.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=16.5*7.3mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}16.5mm{space}width{space}7.3mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L16.5mm_W8.7mm_P15.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=16.5*8.7mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}16.5mm{space}width{space}8.7mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L16.5mm_W8.9mm_P15.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=16.5*8.9mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}16.5mm{space}width{space}8.9mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L16.5mm_W9.0mm_P15.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=16.5*9mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}16.5mm{space}width{space}9mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L16.5mm_W9.2mm_P15.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=16.5*9.2mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}16.5mm{space}width{space}9.2mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L16.5mm_W10.7mm_P15.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=16.5*10.7mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}16.5mm{space}width{space}10.7mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L16.5mm_W10.9mm_P15.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=16.5*10.9mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}16.5mm{space}width{space}10.9mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L16.5mm_W11.2mm_P15.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=16.5*11.2mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}16.5mm{space}width{space}11.2mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L16.5mm_W11.8mm_P15.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=16.5*11.8mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}16.5mm{space}width{space}11.8mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L16.5mm_W13.5mm_P15.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=16.5*13.5mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}16.5mm{space}width{space}13.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L16.5mm_W13.7mm_P15.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=16.5*13.7mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}16.5mm{space}width{space}13.7mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L16.5mm_W13.9mm_P15.00mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=16.5*13.9mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}16.5mm{space}width{space}13.9mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L18.0mm_W5.0mm_P15.00mm_FKS3_FKP3
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=18*5mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_FKS_3.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}18mm{space}width{space}5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L18.0mm_W6.0mm_P15.00mm_FKS3_FKP3
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=18*6mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_FKS_3.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}18mm{space}width{space}6mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L18.0mm_W7.0mm_P15.00mm_FKS3_FKP3
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=18*7mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_FKS_3.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}18mm{space}width{space}7mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L18.0mm_W8.0mm_P15.00mm_FKS3_FKP3
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=18*8mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_FKS_3.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}18mm{space}width{space}8mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L18.0mm_W9.0mm_P15.00mm_FKS3_FKP3
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=18*9mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_FKS_3.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}18mm{space}width{space}9mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L18.0mm_W11.0mm_P15.00mm_FKS3_FKP3
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=18*11mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_FKS_3.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}18mm{space}width{space}11mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L19.0mm_W5.0mm_P15.00mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=19*5mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}19mm{space}width{space}5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L19.0mm_W6.0mm_P15.00mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=19*6mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}19mm{space}width{space}6mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L19.0mm_W7.0mm_P15.00mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=19*7mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}19mm{space}width{space}7mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L19.0mm_W8.0mm_P15.00mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=19*8mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}19mm{space}width{space}8mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L19.0mm_W9.0mm_P15.00mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=19*9mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}19mm{space}width{space}9mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L19.0mm_W11.0mm_P15.00mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}length*width=19*11mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}length{space}19mm{space}width{space}11mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L24.0mm_W7.0mm_P22.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=22.50mm,{space},{space}length*width=24*7mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}22.50mm{space}{space}length{space}24mm{space}width{space}7mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L24.0mm_W8.3mm_P22.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=22.50mm,{space},{space}length*width=24*8.3mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}22.50mm{space}{space}length{space}24mm{space}width{space}8.3mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L24.0mm_W8.6mm_P22.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=22.50mm,{space},{space}length*width=24*8.6mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}22.50mm{space}{space}length{space}24mm{space}width{space}8.6mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L24.0mm_W10.1mm_P22.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=22.50mm,{space},{space}length*width=24*10.1mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}22.50mm{space}{space}length{space}24mm{space}width{space}10.1mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L24.0mm_W10.3mm_P22.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=22.50mm,{space},{space}length*width=24*10.3mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}22.50mm{space}{space}length{space}24mm{space}width{space}10.3mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L24.0mm_W10.9mm_P22.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=22.50mm,{space},{space}length*width=24*10.9mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}22.50mm{space}{space}length{space}24mm{space}width{space}10.9mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L24.0mm_W12.2mm_P22.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=22.50mm,{space},{space}length*width=24*12.2mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}22.50mm{space}{space}length{space}24mm{space}width{space}12.2mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L24.0mm_W12.6mm_P22.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=22.50mm,{space},{space}length*width=24*12.6mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}22.50mm{space}{space}length{space}24mm{space}width{space}12.6mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L24.0mm_W12.8mm_P22.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=22.50mm,{space},{space}length*width=24*12.8mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}22.50mm{space}{space}length{space}24mm{space}width{space}12.8mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L26.5mm_W5.0mm_P22.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=22.50mm,{space},{space}length*width=26.5*5mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}22.50mm{space}{space}length{space}26.5mm{space}width{space}5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L26.5mm_W6.0mm_P22.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=22.50mm,{space},{space}length*width=26.5*6mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}22.50mm{space}{space}length{space}26.5mm{space}width{space}6mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L26.5mm_W7.0mm_P22.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=22.50mm,{space},{space}length*width=26.5*7mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}22.50mm{space}{space}length{space}26.5mm{space}width{space}7mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L26.5mm_W8.5mm_P22.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=22.50mm,{space},{space}length*width=26.5*8.5mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}22.50mm{space}{space}length{space}26.5mm{space}width{space}8.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L26.5mm_W10.5mm_P22.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=22.50mm,{space},{space}length*width=26.5*10.5mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}22.50mm{space}{space}length{space}26.5mm{space}width{space}10.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L26.5mm_W11.5mm_P22.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=22.50mm,{space},{space}length*width=26.5*11.5mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}22.50mm{space}{space}length{space}26.5mm{space}width{space}11.5mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L27.0mm_W9.0mm_P22.00mm
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=22.00mm,{space},{space}length*width=27*9mm^2,{space}Capacitor
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}22.00mm{space}{space}length{space}27mm{space}width{space}9mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L27.0mm_W9.0mm_P23.00mm
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=23.00mm,{space},{space}length*width=27*9mm^2,{space}Capacitor
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}23.00mm{space}{space}length{space}27mm{space}width{space}9mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L27.0mm_W11.0mm_P22.00mm
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=22.00mm,{space},{space}length*width=27*11mm^2,{space}Capacitor
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}22.00mm{space}{space}length{space}27mm{space}width{space}11mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L28.0mm_W8.0mm_P22.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=22.50mm,{space},{space}length*width=28*8mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}22.50mm{space}{space}length{space}28mm{space}width{space}8mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L28.0mm_W10.0mm_P22.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=22.50mm,{space},{space}length*width=28*10mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}22.50mm{space}{space}length{space}28mm{space}width{space}10mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L28.0mm_W12.0mm_P22.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=22.50mm,{space},{space}length*width=28*12mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}22.50mm{space}{space}length{space}28mm{space}width{space}12mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L29.0mm_W7.6mm_P27.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=27.50mm,{space},{space}length*width=29*7.6mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}27.50mm{space}{space}length{space}29mm{space}width{space}7.6mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L29.0mm_W7.8mm_P27.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=27.50mm,{space},{space}length*width=29*7.8mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}27.50mm{space}{space}length{space}29mm{space}width{space}7.8mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L29.0mm_W7.9mm_P27.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=27.50mm,{space},{space}length*width=29*7.9mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}27.50mm{space}{space}length{space}29mm{space}width{space}7.9mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L29.0mm_W9.1mm_P27.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=27.50mm,{space},{space}length*width=29*9.1mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}27.50mm{space}{space}length{space}29mm{space}width{space}9.1mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L29.0mm_W9.6mm_P27.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=27.50mm,{space},{space}length*width=29*9.6mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}27.50mm{space}{space}length{space}29mm{space}width{space}9.6mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L29.0mm_W11.0mm_P27.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=27.50mm,{space},{space}length*width=29*11mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}27.50mm{space}{space}length{space}29mm{space}width{space}11mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L29.0mm_W11.9mm_P27.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=27.50mm,{space},{space}length*width=29*11.9mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}27.50mm{space}{space}length{space}29mm{space}width{space}11.9mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L29.0mm_W12.2mm_P27.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=27.50mm,{space},{space}length*width=29*12.2mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}27.50mm{space}{space}length{space}29mm{space}width{space}12.2mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L29.0mm_W13.0mm_P27.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=27.50mm,{space},{space}length*width=29*13mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}27.50mm{space}{space}length{space}29mm{space}width{space}13mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L29.0mm_W13.8mm_P27.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=27.50mm,{space},{space}length*width=29*13.8mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}27.50mm{space}{space}length{space}29mm{space}width{space}13.8mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L29.0mm_W14.2mm_P27.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=27.50mm,{space},{space}length*width=29*14.2mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}27.50mm{space}{space}length{space}29mm{space}width{space}14.2mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L29.0mm_W16.0mm_P27.50mm_MKT
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=27.50mm,{space},{space}length*width=29*16mm^2,{space}Capacitor,{space}https://en.tdk.eu/inf/20/20/db/fc_2009/MKT_B32560_564.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}27.50mm{space}{space}length{space}29mm{space}width{space}16mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L31.5mm_W9.0mm_P27.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=27.50mm,{space},{space}length*width=31.5*9mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}27.50mm{space}{space}length{space}31.5mm{space}width{space}9mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L31.5mm_W11.0mm_P27.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=27.50mm,{space},{space}length*width=31.5*11mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}27.50mm{space}{space}length{space}31.5mm{space}width{space}11mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L31.5mm_W13.0mm_P27.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=27.50mm,{space},{space}length*width=31.5*13mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}27.50mm{space}{space}length{space}31.5mm{space}width{space}13mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L31.5mm_W15.0mm_P27.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=27.50mm,{space},{space}length*width=31.5*15mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}27.50mm{space}{space}length{space}31.5mm{space}width{space}15mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L31.5mm_W17.0mm_P27.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=27.50mm,{space},{space}length*width=31.5*17mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}27.50mm{space}{space}length{space}31.5mm{space}width{space}17mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L31.5mm_W20.0mm_P27.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=27.50mm,{space},{space}length*width=31.5*20mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}27.50mm{space}{space}length{space}31.5mm{space}width{space}20mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L32.0mm_W15.0mm_P27.00mm
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=27.00mm,{space},{space}length*width=32*15mm^2,{space}Capacitor
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}27.00mm{space}{space}length{space}32mm{space}width{space}15mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L33.0mm_W13.0mm_P27.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=27.50mm,{space},{space}length*width=33*13mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}27.50mm{space}{space}length{space}33mm{space}width{space}13mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L33.0mm_W15.0mm_P27.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=27.50mm,{space},{space}length*width=33*15mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}27.50mm{space}{space}length{space}33mm{space}width{space}15mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L33.0mm_W20.0mm_P27.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=27.50mm,{space},{space}length*width=33*20mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}27.50mm{space}{space}length{space}33mm{space}width{space}20mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L41.5mm_W9.0mm_P37.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=37.50mm,{space},{space}length*width=41.5*9mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}37.50mm{space}{space}length{space}41.5mm{space}width{space}9mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L41.5mm_W11.0mm_P37.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=37.50mm,{space},{space}length*width=41.5*11mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}37.50mm{space}{space}length{space}41.5mm{space}width{space}11mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L41.5mm_W13.0mm_P37.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=37.50mm,{space},{space}length*width=41.5*13mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}37.50mm{space}{space}length{space}41.5mm{space}width{space}13mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L41.5mm_W15.0mm_P37.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=37.50mm,{space},{space}length*width=41.5*15mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}37.50mm{space}{space}length{space}41.5mm{space}width{space}15mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L41.5mm_W17.0mm_P37.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=37.50mm,{space},{space}length*width=41.5*17mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}37.50mm{space}{space}length{space}41.5mm{space}width{space}17mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L41.5mm_W19.0mm_P37.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=37.50mm,{space},{space}length*width=41.5*19mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}37.50mm{space}{space}length{space}41.5mm{space}width{space}19mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L41.5mm_W20.0mm_P37.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=37.50mm,{space},{space}length*width=41.5*20mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}37.50mm{space}{space}length{space}41.5mm{space}width{space}20mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L41.5mm_W24.0mm_P37.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=37.50mm,{space},{space}length*width=41.5*24mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}37.50mm{space}{space}length{space}41.5mm{space}width{space}24mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L41.5mm_W31.0mm_P37.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=37.50mm,{space},{space}length*width=41.5*31mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}37.50mm{space}{space}length{space}41.5mm{space}width{space}31mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L41.5mm_W35.0mm_P37.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=37.50mm,{space},{space}length*width=41.5*35mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}37.50mm{space}{space}length{space}41.5mm{space}width{space}35mm{space}Capacitor
+0
+2
+2
+Capacitor_THT
+C_Rect_L41.5mm_W40.0mm_P37.50mm_MKS4
+C,{space}Rect{space}series,{space}Radial,{space}pin{space}pitch=37.50mm,{space},{space}length*width=41.5*40mm^2,{space}Capacitor,{space}http://www.wima.com/EN/WIMA_MKS_4.pdf
+C{space}Rect{space}series{space}Radial{space}pin{space}pitch{space}37.50mm{space}{space}length{space}41.5mm{space}width{space}40mm{space}Capacitor
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-1608-08_AVX-J
+Tantalum{space}Capacitor{space}SMD{space}AVX-J{space}(1608-08{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}https://www.vishay.com/docs/48064/_t58_vmn_pt0471_1601.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-1608-08_AVX-J_Pad1.25x1.05mm_HandSolder
+Tantalum{space}Capacitor{space}SMD{space}AVX-J{space}(1608-08{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}https://www.vishay.com/docs/48064/_t58_vmn_pt0471_1601.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-1608-10_AVX-L
+Tantalum{space}Capacitor{space}SMD{space}AVX-L{space}(1608-10{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}https://www.vishay.com/docs/48064/_t58_vmn_pt0471_1601.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-1608-10_AVX-L_Pad1.25x1.05mm_HandSolder
+Tantalum{space}Capacitor{space}SMD{space}AVX-L{space}(1608-10{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}https://www.vishay.com/docs/48064/_t58_vmn_pt0471_1601.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-2012-12_Kemet-R
+Tantalum{space}Capacitor{space}SMD{space}Kemet-R{space}(2012-12{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}https://www.vishay.com/docs/40182/tmch.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-2012-12_Kemet-R_Pad1.30x1.05mm_HandSolder
+Tantalum{space}Capacitor{space}SMD{space}Kemet-R{space}(2012-12{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}https://www.vishay.com/docs/40182/tmch.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-2012-15_AVX-P
+Tantalum{space}Capacitor{space}SMD{space}AVX-P{space}(2012-15{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}https://www.vishay.com/docs/40182/tmch.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-2012-15_AVX-P_Pad1.30x1.05mm_HandSolder
+Tantalum{space}Capacitor{space}SMD{space}AVX-P{space}(2012-15{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}https://www.vishay.com/docs/40182/tmch.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-3216-10_Kemet-I
+Tantalum{space}Capacitor{space}SMD{space}Kemet-I{space}(3216-10{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-3216-10_Kemet-I_Pad1.58x1.35mm_HandSolder
+Tantalum{space}Capacitor{space}SMD{space}Kemet-I{space}(3216-10{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-3216-12_Kemet-S
+Tantalum{space}Capacitor{space}SMD{space}Kemet-S{space}(3216-12{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-3216-12_Kemet-S_Pad1.58x1.35mm_HandSolder
+Tantalum{space}Capacitor{space}SMD{space}Kemet-S{space}(3216-12{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-3216-18_Kemet-A
+Tantalum{space}Capacitor{space}SMD{space}Kemet-A{space}(3216-18{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-3216-18_Kemet-A_Pad1.58x1.35mm_HandSolder
+Tantalum{space}Capacitor{space}SMD{space}Kemet-A{space}(3216-18{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-3528-12_Kemet-T
+Tantalum{space}Capacitor{space}SMD{space}Kemet-T{space}(3528-12{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-3528-12_Kemet-T_Pad1.50x2.35mm_HandSolder
+Tantalum{space}Capacitor{space}SMD{space}Kemet-T{space}(3528-12{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-3528-15_AVX-H
+Tantalum{space}Capacitor{space}SMD{space}AVX-H{space}(3528-15{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-3528-15_AVX-H_Pad1.50x2.35mm_HandSolder
+Tantalum{space}Capacitor{space}SMD{space}AVX-H{space}(3528-15{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-3528-21_Kemet-B
+Tantalum{space}Capacitor{space}SMD{space}Kemet-B{space}(3528-21{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-3528-21_Kemet-B_Pad1.50x2.35mm_HandSolder
+Tantalum{space}Capacitor{space}SMD{space}Kemet-B{space}(3528-21{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-6032-15_Kemet-U
+Tantalum{space}Capacitor{space}SMD{space}Kemet-U{space}(6032-15{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-6032-15_Kemet-U_Pad2.25x2.35mm_HandSolder
+Tantalum{space}Capacitor{space}SMD{space}Kemet-U{space}(6032-15{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-6032-20_AVX-F
+Tantalum{space}Capacitor{space}SMD{space}AVX-F{space}(6032-20{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-6032-20_AVX-F_Pad2.25x2.35mm_HandSolder
+Tantalum{space}Capacitor{space}SMD{space}AVX-F{space}(6032-20{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-6032-28_Kemet-C
+Tantalum{space}Capacitor{space}SMD{space}Kemet-C{space}(6032-28{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-6032-28_Kemet-C_Pad2.25x2.35mm_HandSolder
+Tantalum{space}Capacitor{space}SMD{space}Kemet-C{space}(6032-28{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-7343-15_Kemet-W
+Tantalum{space}Capacitor{space}SMD{space}Kemet-W{space}(7343-15{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-7343-15_Kemet-W_Pad2.25x2.55mm_HandSolder
+Tantalum{space}Capacitor{space}SMD{space}Kemet-W{space}(7343-15{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-7343-20_Kemet-V
+Tantalum{space}Capacitor{space}SMD{space}Kemet-V{space}(7343-20{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-7343-20_Kemet-V_Pad2.25x2.55mm_HandSolder
+Tantalum{space}Capacitor{space}SMD{space}Kemet-V{space}(7343-20{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-7343-30_AVX-N
+Tantalum{space}Capacitor{space}SMD{space}AVX-N{space}(7343-30{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-7343-30_AVX-N_Pad2.25x2.55mm_HandSolder
+Tantalum{space}Capacitor{space}SMD{space}AVX-N{space}(7343-30{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-7343-31_Kemet-D
+Tantalum{space}Capacitor{space}SMD{space}Kemet-D{space}(7343-31{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-7343-31_Kemet-D_Pad2.25x2.55mm_HandSolder
+Tantalum{space}Capacitor{space}SMD{space}Kemet-D{space}(7343-31{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-7343-40_Kemet-Y
+Tantalum{space}Capacitor{space}SMD{space}Kemet-Y{space}(7343-40{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-7343-40_Kemet-Y_Pad2.25x2.55mm_HandSolder
+Tantalum{space}Capacitor{space}SMD{space}Kemet-Y{space}(7343-40{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-7343-43_Kemet-X
+Tantalum{space}Capacitor{space}SMD{space}Kemet-X{space}(7343-43{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-7343-43_Kemet-X_Pad2.25x2.55mm_HandSolder
+Tantalum{space}Capacitor{space}SMD{space}Kemet-X{space}(7343-43{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-7360-38_Kemet-E
+Tantalum{space}Capacitor{space}SMD{space}Kemet-E{space}(7360-38{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-7360-38_Kemet-E_Pad2.25x4.25mm_HandSolder
+Tantalum{space}Capacitor{space}SMD{space}Kemet-E{space}(7360-38{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-7361-38_AVX-V
+Tantalum{space}Capacitor{space}SMD{space}AVX-V{space}(7361-38{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://datasheets.avx.com/NOS.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-7361-38_AVX-V_Pad2.18x3.30mm_HandSolder
+Tantalum{space}Capacitor{space}SMD{space}AVX-V{space}(7361-38{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://datasheets.avx.com/NOS.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-7361-438_AVX-U
+Tantalum{space}Capacitor{space}SMD{space}AVX-U{space}(7361-438{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://datasheets.avx.com/NOS.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Capacitor_Tantalum_SMD
+CP_EIA-7361-438_AVX-U_Pad2.18x3.30mm_HandSolder
+Tantalum{space}Capacitor{space}SMD{space}AVX-U{space}(7361-438{space}Metric),{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://datasheets.avx.com/NOS.pdf),{space}generated{space}with{space}kicad-footprint-generator
+capacitor{space}tantalum
+0
+2
+2
+Connector
+Banana_Jack_1Pin
+Single{space}banana{space}socket,{space}footprint{space}-{space}6mm{space}drill
+banana{space}socket
+0
+1
+1
+Connector
+Banana_Jack_2Pin
+Dual{space}banana{space}socket,{space}footprint{space}-{space}2{space}x{space}6mm{space}drills
+banana{space}socket
+0
+2
+2
+Connector
+Banana_Jack_3Pin
+Triple{space}banana{space}socket,{space}footprint{space}-{space}3{space}x{space}6mm{space}drills
+banana{space}socket
+0
+3
+3
+Connector
+CUI_PD-30
+3{space}pin{space}connector,{space}PD-30,{space}http://www.cui.com/product/resource/pd-30.pdf
+connector{space}3-pin{space}PD-30{space}power{space}DIN
+0
+4
+4
+Connector
+CalTest_CT3151
+Right-angle{space}standard{space}banana{space}jack,{space}http://www.caltestelectronics.com/images/attachments/P315100rH_drawing.pdf
+banana{space}jack{space}horizontal
+0
+4
+1
+Connector
+Connector_SFP_and_Cage
+https://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=2227302&DocType=Customer+Drawing&DocLang=English
+SFP+{space}SFP
+0
+40
+21
+Connector
+DTF13-12Px
+http://www.te.com/usa-en/product-DTF13-12PA-G003.html
+DEUTSCH{space}DT{space}header{space}12{space}pin{space}
+0
+12
+12
+Connector
+FanPinHeader_1x03_P2.54mm_Vertical
+3-pin{space}CPU{space}fan{space}Through{space}hole{space}pin{space}header,{space}see{space}http://www.formfactors.org/developer%5Cspecs%5Crev1_2_public.pdf
+pin{space}header{space}3-pin{space}CPU{space}fan
+0
+3
+3
+Connector
+FanPinHeader_1x04_P2.54mm_Vertical
+4-pin{space}CPU{space}fan{space}Through{space}hole{space}pin{space}header,{space}e.g.{space}for{space}Wieson{space}part{space}number{space}2366C888-007{space}Molex{space}47053-1000,{space}Foxconn{space}HF27040-M1,{space}Tyco{space}1470947-1{space}or{space}equivalent,{space}see{space}http://www.formfactors.org/developer%5Cspecs%5Crev1_2_public.pdf
+pin{space}header{space}4-pin{space}CPU{space}fan
+0
+4
+4
+Connector
+GB042-34S-H10
+http://www.lsmtron.com/pdf/Connector&Antenna_catalog.PDF
+34pin{space}SMD{space}connector{space}
+0
+34
+34
+Connector
+IHI_B6A-PCB-45_Vertical
+https://lugsdirect.com/PDF_Webprint/B6A-PCB-45-XX(-X).pdf
+connector{space}IHI{space}B6A-PCB-45
+0
+49
+1
+Connector
+JWT_A3963_1x02_P3.96mm_Vertical
+JWT{space}A3963,{space}3.96mm{space}pitch{space}Pin{space}head{space}connector{space}(http://www.jwt.com.tw/pro_pdf/A3963.pdf)
+connector{space}JWT{space}A3963{space}pinhead
+0
+2
+2
+Connector
+NS-Tech_Grove_1x04_P2mm_Vertical
+https://statics3.seeedstudio.com/images/opl/datasheet/3470130P1.pdf
+Grove-1x04
+0
+4
+4
+Connector
+Tag-Connect_TC2030-IDC-FP_2x03_P1.27mm_Vertical
+Tag-Connect{space}programming{space}header;{space}http://www.tag-connect.com/Materials/TC2030-IDC.pdf
+tag{space}connect{space}programming{space}header{space}pogo{space}pins
+0
+6
+6
+Connector
+Tag-Connect_TC2030-IDC-NL_2x03_P1.27mm_Vertical
+Tag-Connect{space}programming{space}header;{space}http://www.tag-connect.com/Materials/TC2030-IDC-NL.pdf
+tag{space}connect{space}programming{space}header{space}pogo{space}pins
+0
+6
+6
+Connector
+Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical
+Tag-Connect{space}programming{space}header;{space}http://www.tag-connect.com/Materials/TC2050-IDC-430%20Datasheet.pdf
+tag{space}connect{space}programming{space}header{space}pogo{space}pins
+0
+10
+10
+Connector
+Tag-Connect_TC2050-IDC-NL_2x05_P1.27mm_Vertical
+Tag-Connect{space}programming{space}header;{space}http://www.tag-connect.com/Materials/TC2050-IDC-NL%20Datasheet.pdf
+tag{space}connect{space}programming{space}header{space}pogo{space}pins
+0
+10
+10
+Connector
+Tag-Connect_TC2070-IDC-FP_2x07_P1.27mm_Vertical
+Tag-Connect{space}programming{space}header;{space}http://www.tag-connect.com/Materials/TC2070-IDC%20Datasheet.pdf
+tag{space}connect{space}programming{space}header{space}pogo{space}pins
+0
+14
+14
+Connector_AMASS
+AMASS_MR30PW-FB_1x03_P3.50mm_Horizontal
+Connector{space}XT30{space}Horizontal{space}PCB{space}Female,{space}https://www.tme.eu/en/Document/5e47640ba39fa492dbd4c0f4c8ae7b93/MR30PW%20SPEC.pdf
+RC{space}Connector{space}XT30
+0
+5
+3
+Connector_AMASS
+AMASS_MR30PW-M_1x03_P3.50mm_Horizontal
+Connector{space}XT30{space}Horizontal{space}PCB{space}Male,{space}https://www.tme.eu/en/Document/5e47640ba39fa492dbd4c0f4c8ae7b93/MR30PW%20SPEC.pdf
+RC{space}Connector{space}XT30
+0
+5
+3
+Connector_AMASS
+AMASS_XT30PW-F_1x02_P2.50mm_Horizontal
+Connector{space}XT30{space}Horizontal{space}PCB{space}Female,{space}https://www.tme.eu/en/Document/ce4077e36b79046da520ca73227e15de/XT30PW%20SPEC.pdf
+RC{space}Connector{space}XT30
+0
+4
+2
+Connector_AMASS
+AMASS_XT30PW-M_1x02_P2.50mm_Horizontal
+Connector{space}XT30{space}Horizontal{space}PCB{space}Male,{space}https://www.tme.eu/en/Document/ce4077e36b79046da520ca73227e15de/XT30PW%20SPEC.pdf
+RC{space}Connector{space}XT30
+0
+4
+2
+Connector_AMASS
+AMASS_XT30U-F_1x02_P5.0mm_Vertical
+Connector{space}XT30{space}Vertical{space}Cable{space}Female,{space}https://www.tme.eu/en/Document/3cbfa5cfa544d79584972dd5234a409e/XT30U%20SPEC.pdf
+RC{space}Connector{space}XT30
+0
+2
+2
+Connector_AMASS
+AMASS_XT30U-M_1x02_P5.0mm_Vertical
+Connector{space}XT30{space}Vertical{space}Cable{space}Male,{space}https://www.tme.eu/en/Document/3cbfa5cfa544d79584972dd5234a409e/XT30U%20SPEC.pdf
+RC{space}Connector{space}XT30
+0
+2
+2
+Connector_AMASS
+AMASS_XT30UPB-F_1x02_P5.0mm_Vertical
+Connector{space}XT30{space}Vertical{space}PCB{space}Female,{space}https://www.tme.eu/en/Document/4acc913878197f8c2e30d4b8cdc47230/XT30UPB%20SPEC.pdf
+RC{space}Connector{space}XT30
+0
+2
+2
+Connector_AMASS
+AMASS_XT30UPB-M_1x02_P5.0mm_Vertical
+Connector{space}XT30{space}Vertical{space}PCB{space}Male,{space}https://www.tme.eu/en/Document/4acc913878197f8c2e30d4b8cdc47230/XT30UPB%20SPEC.pdf
+RC{space}Connector{space}XT30
+0
+2
+2
+Connector_Audio
+Jack_3.5mm_CUI_SJ-3523-SMT_Horizontal
+3.5{space}mm,{space}Stereo,{space}Right{space}Angle,{space}Surface{space}Mount{space}(SMT),{space}Audio{space}Jack{space}Connector{space}(https://www.cui.com/product/resource/sj-352x-smt-series.pdf)
+3.5mm{space}audio{space}cui{space}horizontal{space}jack{space}stereo
+0
+3
+3
+Connector_Audio
+Jack_3.5mm_CUI_SJ-3524-SMT_Horizontal
+3.5{space}mm,{space}Stereo,{space}Right{space}Angle,{space}Surface{space}Mount{space}(SMT),{space}Audio{space}Jack{space}Connector{space}(https://www.cui.com/product/resource/sj-352x-smt-series.pdf)
+3.5mm{space}audio{space}cui{space}horizontal{space}jack{space}stereo
+0
+4
+4
+Connector_Audio
+Jack_3.5mm_CUI_SJ1-3533NG_Horizontal
+TRS{space}3.5mm,{space}horizontal,{space}through-hole,{space}https://www.cui.com/product/resource/sj1-353xng.pdf
+TRS{space}audio{space}jack{space}stereo{space}horizontal
+0
+3
+3
+Connector_Audio
+Jack_3.5mm_CUI_SJ1-3533NG_Horizontal_CircularHoles
+TRS{space}3.5mm,{space}horizontal,{space}through-hole,{space},{space}circular{space}holeshttps://www.cui.com/product/resource/sj1-353xng.pdf
+TRS{space}audio{space}jack{space}stereo{space}horizontal{space}circular
+0
+3
+3
+Connector_Audio
+Jack_3.5mm_Ledino_KB3SPRS_Horizontal
+https://www.reichelt.de/index.html?ACTION=7&LA=3&OPEN=0&INDEX=0&FILENAME=C160%252FKB3SPRS.pdf
+jack{space}stereo{space}TRS
+0
+5
+5
+Connector_Audio
+Jack_3.5mm_Neutrik_NMJ6HCD2_Horizontal
+NMJ6HCD2,{space}TRS{space}1/4in{space}(http://www.neutrik.com/en/audio/plugs-and-jacks/m-series/nmj6hcd2)
+NMJ6HCD2{space}TRS{space}stereo{space}jack{space}connector
+0
+6
+6
+Connector_Audio
+Jack_3.5mm_PJ311_Horizontal
+PJ311{space}6pin{space}SMD{space}3.5mm{space}stereo{space}headphones{space}jack.
+headphones{space}jack{space}plug{space}stereo{space}3.5mm{space}PJ311
+0
+6
+6
+Connector_Audio
+Jack_3.5mm_PJ320D_Horizontal
+Headphones{space}with{space}microphone{space}connector,{space}3.5mm,{space}4{space}pins{space}(http://www.qingpu-electronics.com/en/products/WQP-PJ320D-72.html)
+3.5mm{space}jack{space}mic{space}microphone{space}phones{space}headphones{space}4pins{space}audio{space}plug
+0
+4
+4
+Connector_Audio
+Jack_3.5mm_PJ320E_Horizontal
+Headphones{space}with{space}microphone{space}connector,{space}3.5mm,{space}4{space}pins{space}(http://www.qingpu-electronics.com/en/products/WQP-PJ320E-177.html)
+3.5mm{space}jack{space}mic{space}microphone{space}phones{space}headphones{space}4pins{space}audio{space}plug
+0
+5
+4
+Connector_Audio
+Jack_3.5mm_PJ31060-I_Horizontal
+PJ31060-I{space}6pin{space}SMD{space}3.5mm{space}headphones{space}jack{space}(http://www.china-bsun.com/Product48/1577.html)
+headphones{space}jack{space}plug{space}stereo{space}3.5mm{space}PJ31060-I{space}PJ31060
+0
+6
+6
+Connector_Audio
+Jack_3.5mm_QingPu_WQP-PJ398SM_Vertical_CircularHoles
+TRS{space}3.5mm,{space}vertical,{space}Thonkiconn,{space}PCB{space}mount,{space}(http://www.qingpu-electronics.com/en/products/WQP-PJ398SM-362.html)
+WQP-PJ398SM{space}WQP-PJ301M-12{space}TRS{space}3.5mm{space}mono{space}vertical{space}jack{space}thonkiconn{space}qingpu
+0
+3
+3
+Connector_Audio
+MiniXLR-5_Switchcraft_TRAPC_Horizontal
+http://www.switchcraft.com/ProductSummary.aspx?Parent=620{space}http://www.switchcraft.com/Drawings/TRAPC_X-TRASM_X_SERIES_CD.PDF
+THT{space}Mini{space}XLR{space}5Pin{space}right{space}angle
+0
+5
+5
+Connector_BarrelJack
+BarrelJack_CUI_PJ-036AH-SMT_Horizontal
+Surface-mount{space}DC{space}Barrel{space}Jack,{space}http://www.cui.com/product/resource/pj-036ah-smt.pdf
+Power{space}Jack{space}SMT
+0
+3
+3
+Connector_BarrelJack
+BarrelJack_CUI_PJ-063AH_Horizontal
+Barrel{space}Jack,{space}2.0mm{space}ID,{space}5.5mm{space}OD,{space}24V,{space}8A,{space}no{space}switch,{space}https://www.cui.com/product/resource/pj-063ah.pdf
+barrel{space}jack{space}cui{space}dc{space}power
+0
+4
+3
+Connector_BarrelJack
+BarrelJack_CUI_PJ-063AH_Horizontal_CircularHoles
+Barrel{space}Jack,{space}2.0mm{space}ID,{space}5.5mm{space}OD,{space}24V,{space}8A,{space}no{space}switch,{space}https://www.cui.com/product/resource/pj-063ah.pdf
+barrel{space}jack{space}cui{space}dc{space}power
+0
+4
+3
+Connector_BarrelJack
+BarrelJack_CUI_PJ-102AH_Horizontal
+Thin-pin{space}DC{space}Barrel{space}Jack,{space}https://cdn-shop.adafruit.com/datasheets/21mmdcjackDatasheet.pdf
+Power{space}Jack
+0
+3
+3
+Connector_BarrelJack
+BarrelJack_Horizontal
+DC{space}Barrel{space}Jack
+Power{space}Jack
+0
+3
+3
+Connector_BarrelJack
+BarrelJack_Wuerth_6941xx301002
+Wuerth{space}electronics{space}barrel{space}jack{space}connector{space}(5.5mm{space}outher{space}diameter,{space}inner{space}diameter{space}2.05mm{space}or{space}2.55mm{space}depending{space}on{space}exact{space}order{space}number),{space}See:{space}http://katalog.we-online.de/em/datasheet/6941xx301002.pdf
+connector{space}barrel{space}jack
+0
+3
+3
+Connector_Card
+CF-Card_3M_N7E50-7516PK-20-WF
+Compact{space}Flash{space}Card{space}connector{space}(https://multimedia.3m.com/mws/media/22365O/3mtm-cf-card-header-compactflashtm-type-i-sm-ts0662.pdf)
+connector{space}cf
+0
+54
+50
+Connector_Card
+SD_Kyocera_145638009211859+
+SD{space}Card{space}Connector,{space}Normal{space}Type,{space}Outer{space}Tail,{space}Without{space}Ejector{space}(https://global.kyocera.com/prdct/electro/product/pdf/5638.pdf)
+sd{space}card{space}smt
+0
+13
+12
+Connector_Card
+SD_Kyocera_145638009511859+
+SD{space}Card{space}Connector,{space}Normal{space}Type,{space}Outer{space}Tail,{space}Spring{space}Eject{space}Type{space}(https://global.kyocera.com/prdct/electro/product/pdf/5638.pdf)
+sd{space}card{space}smt
+0
+13
+12
+Connector_Card
+SD_Kyocera_145638109211859+
+SD{space}Card{space}Connector,{space}Reverse{space}Type,{space}Outer{space}Tail,{space}Without{space}Ejector{space}(https://global.kyocera.com/prdct/electro/product/pdf/5638.pdf)
+sd{space}card{space}smt
+0
+13
+12
+Connector_Card
+SD_Kyocera_145638109511859+
+SD{space}Card{space}Connector,{space}Reverse{space}Type,{space}Outer{space}Tail,{space}Spring{space}Eject{space}Type{space}(https://global.kyocera.com/prdct/electro/product/pdf/5638.pdf)
+sd{space}card{space}smt
+0
+13
+12
+Connector_Card
+SD_TE_2041021
+SD{space}card{space}connector,{space}top{space}mount,{space}SMT{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F2041021%7FB%7Fpdf%7FEnglish%7FENG_CD_2041021_B_C_2041021_B.pdf%7F2041021-4)
+sd{space}card
+0
+15
+12
+Connector_Card
+microSD_HC_Hirose_DM3AT-SF-PEJM5
+Micro{space}SD,{space}SMD,{space}right-angle,{space}push-pull{space}(https://www.hirose.com/product/en/download_file/key_name/DM3AT-SF-PEJM5/category/Drawing%20(2D)/doc_file_id/44099/?file_category_id=6&item_id=06090031000&is_series=)
+Micro{space}SD
+0
+14
+11
+Connector_Card
+microSD_HC_Hirose_DM3BT-DSF-PEJS
+Micro{space}SD,{space}SMD,{space}reverse{space}on-board,{space}right-angle,{space}push-pull{space}(https://www.hirose.com/product/en/download_file/key_name/DM3BT-DSF-PEJS/category/Drawing%20(2D)/doc_file_id/44097/?file_category_id=6&item_id=06090029900&is_series=)
+Micro{space}SD
+0
+16
+11
+Connector_Card
+microSD_HC_Hirose_DM3D-SF
+Micro{space}SD,{space}SMD,{space}right-angle,{space}push-pull{space}(https://media.digikey.com/PDF/Data%20Sheets/Hirose%20PDFs/DM3D-SF.pdf)
+Micro{space}SD
+0
+14
+11
+Connector_Card
+microSD_HC_Wuerth_693072010801
+http://katalog.we-online.de/em/datasheet/693072010801.pdf
+Micro{space}SD{space}Wuerth{space}Wurth{space}Würth
+0
+12
+9
+Connector_Card
+microSIM_JAE_SF53S006VCBR2000
+https://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ115712.pdf
+microSIM{space}GSM{space}Card
+0
+14
+7
+Connector_Coaxial
+BNC_Amphenol_B6252HB-NPP3G-50_Horizontal
+http://www.farnell.com/datasheets/612848.pdf
+BNC{space}Amphenol{space}Horizontal
+0
+4
+2
+Connector_Coaxial
+BNC_PanelMountable_Vertical
+Panel-mountable{space}BNC{space}connector{space}mounted{space}through{space}PCB,{space}vertical
+BNC{space}connector
+0
+2
+2
+Connector_Coaxial
+BNC_TEConnectivity_1478204_Vertical
+BNC{space}female{space}PCB{space}mount{space}4{space}pin{space}straight{space}chassis{space}connector{space}http://www.te.com/usa-en/product-1-1478204-0.html
+BNC{space}female{space}PCB{space}mount{space}4{space}pin{space}straight{space}chassis{space}connector{space}
+0
+5
+2
+Connector_Coaxial
+MMCX_Molex_73415-0961_Horizontal_0.8mm-PCB
+Molex{space}MMCX{space}Horizontal{space}Coaxial{space}https://www.molex.com/pdm_docs/sd/734150961_sd.pdf
+Molex{space}MMCX{space}Horizontal{space}Coaxial
+0
+3
+2
+Connector_Coaxial
+MMCX_Molex_73415-0961_Horizontal_1.0mm-PCB
+Molex{space}MMCX{space}Horizontal{space}Coaxial{space}https://www.molex.com/pdm_docs/sd/734150961_sd.pdf
+Molex{space}MMCX{space}Horizontal{space}Coaxial
+0
+3
+2
+Connector_Coaxial
+MMCX_Molex_73415-0961_Horizontal_1.6mm-PCB
+Molex{space}MMCX{space}Horizontal{space}Coaxial{space}https://www.molex.com/pdm_docs/sd/734150961_sd.pdf
+Molex{space}MMCX{space}Horizontal{space}Coaxial
+0
+3
+2
+Connector_Coaxial
+MMCX_Molex_73415-1471_Vertical
+http://www.molex.com/pdm_docs/sd/734151471_sd.pdf
+Molex{space}MMCX{space}Coaxial{space}Connector{space}50{space}ohms{space}Female{space}Jack{space}Vertical{space}THT
+0
+5
+2
+Connector_Coaxial
+SMA_Amphenol_901-144_Vertical
+https://www.amphenolrf.com/downloads/dl/file/id/7023/product/3103/901_144_customer_drawing.pdf
+SMA{space}THT{space}Female{space}Jack{space}Vertical
+0
+5
+2
+Connector_Coaxial
+SMA_Amphenol_132134-10_Vertical
+https://www.amphenolrf.com/downloads/dl/file/id/4007/product/2974/132134_10_customer_drawing.pdf
+SMA{space}SMD{space}Female{space}Jack{space}Vertical
+0
+3
+2
+Connector_Coaxial
+SMA_Amphenol_132134-11_Vertical
+https://www.amphenolrf.com/downloads/dl/file/id/3406/product/2975/132134_11_customer_drawing.pdf
+SMA{space}THT{space}Female{space}Jack{space}Vertical{space}ExtendedLegs
+0
+5
+2
+Connector_Coaxial
+SMA_Amphenol_132134-14_Vertical
+https://www.amphenolrf.com/downloads/dl/file/id/1793/product/2976/132134_14_customer_drawing.pdf
+SMA{space}THT{space}Female{space}Jack{space}Vertical{space}ExtendedLegs
+0
+5
+2
+Connector_Coaxial
+SMA_Amphenol_132134-16_Vertical
+https://www.amphenolrf.com/downloads/dl/file/id/1141/product/2978/132134_16_customer_drawing.pdf
+SMA{space}THT{space}Female{space}Jack{space}Vertical{space}ExtendedLegs
+0
+5
+2
+Connector_Coaxial
+SMA_Amphenol_132134_Vertical
+https://www.amphenolrf.com/downloads/dl/file/id/2187/product/2843/132134_customer_drawing.pdf
+SMA{space}THT{space}Female{space}Jack{space}Vertical{space}ExtendedLegs
+0
+5
+2
+Connector_Coaxial
+SMA_Amphenol_132289_EdgeMount
+http://www.amphenolrf.com/132289.html
+SMA
+0
+5
+2
+Connector_Coaxial
+SMA_Amphenol_132291-12_Vertical
+https://www.amphenolrf.com/downloads/dl/file/id/1688/product/3020/132291_12_customer_drawing.pdf
+SMA{space}THT{space}Female{space}Jack{space}Vertical{space}Bulkhead
+0
+5
+2
+Connector_Coaxial
+SMA_Amphenol_132291_Vertical
+https://www.amphenolrf.com/downloads/dl/file/id/3222/product/2918/132291_customer_drawing.pdf
+SMA{space}THT{space}Female{space}Jack{space}Vertical{space}Bulkhead
+0
+5
+2
+Connector_Coaxial
+SMA_Molex_73251-1153_EdgeMount_Horizontal
+Molex{space}SMA{space}RF{space}Connectors,{space}Edge{space}Mount,{space}(http://www.molex.com/pdm_docs/sd/732511150_sd.pdf)
+sma{space}edge
+0
+11
+2
+Connector_Coaxial
+SMA_Molex_73251-2200_Horizontal
+https://www.molex.com/webdocs/datasheets/pdf/en-us/0732512200_RF_COAX_CONNECTORS.pdf
+SMA{space}THT{space}Female{space}Jack{space}Horizontal
+0
+5
+2
+Connector_Coaxial
+SMA_Samtec_SMA-J-P-H-ST-EM1_EdgeMount
+http://suddendocs.samtec.com/prints/sma-j-p-x-st-em1-mkt.pdf
+SMA
+0
+5
+2
+Connector_Coaxial
+SMB_Jack_Vertical
+SMB{space}pcb{space}mounting{space}jack
+SMB{space}Jack{space}{space}Striaght
+0
+5
+2
+Connector_Coaxial
+U.FL_Hirose_U.FL-R-SMT-1_Vertical
+Hirose{space}U.FL{space}Coaxial{space}https://www.hirose.com/product/en/products/U.FL/U.FL-R-SMT-1%2810%29/
+Hirose{space}U.FL{space}Coaxial
+0
+3
+2
+Connector_Coaxial
+U.FL_Molex_MCRF_73412-0110_Vertical
+Molex{space}Microcoaxial{space}RF{space}Connectors{space}(MCRF),{space}mates{space}Hirose{space}U.FL,{space}(http://www.molex.com/pdm_docs/sd/734120110_sd.pdf)
+mcrf{space}hirose{space}ufl{space}u.fl{space}microcoaxial
+0
+4
+2
+Connector_DIN
+DIN41612_B2_2x8_Horizontal
+DIN{space}41612{space}connector,{space}type{space}B/2,{space}horizontal,{space}16{space}pins{space}wide,{space}2{space}rows,{space}even{space}columns
+DIN{space}41512{space}IEC{space}60603{space}B/2
+0
+16
+16
+Connector_DIN
+DIN41612_B2_2x8_Vertical
+DIN{space}41612{space}connector,{space}type{space}B/2,{space}vertical,{space}16{space}pins{space}wide,{space}2{space}rows,{space}even{space}columns
+DIN{space}41512{space}IEC{space}60603{space}B/2
+0
+16
+16
+Connector_DIN
+DIN41612_B2_2x16_Horizontal
+DIN{space}41612{space}connector,{space}type{space}B/2,{space}horizontal,{space}16{space}pins{space}wide,{space}2{space}rows,{space}full{space}configuration
+DIN{space}41512{space}IEC{space}60603{space}B/2
+0
+32
+32
+Connector_DIN
+DIN41612_B2_2x16_Vertical
+DIN{space}41612{space}connector,{space}type{space}B/2,{space}vertical,{space}16{space}pins{space}wide,{space}2{space}rows,{space}full{space}configuration
+DIN{space}41512{space}IEC{space}60603{space}B/2
+0
+32
+32
+Connector_DIN
+DIN41612_B3_2x5_Horizontal
+DIN{space}41612{space}connector,{space}type{space}B/3,{space}horizontal,{space}10{space}pins{space}wide,{space}2{space}rows,{space}even{space}columns
+DIN{space}41512{space}IEC{space}60603{space}B/3
+0
+10
+10
+Connector_DIN
+DIN41612_B3_2x5_Vertical
+DIN{space}41612{space}connector,{space}type{space}B/3,{space}vertical,{space}10{space}pins{space}wide,{space}2{space}rows,{space}even{space}columns
+DIN{space}41512{space}IEC{space}60603{space}B/3
+0
+10
+10
+Connector_DIN
+DIN41612_B3_2x10_Horizontal
+DIN{space}41612{space}connector,{space}type{space}B/3,{space}horizontal,{space}10{space}pins{space}wide,{space}2{space}rows,{space}full{space}configuration
+DIN{space}41512{space}IEC{space}60603{space}B/3
+0
+20
+20
+Connector_DIN
+DIN41612_B3_2x10_Vertical
+DIN{space}41612{space}connector,{space}type{space}B/3,{space}vertical,{space}10{space}pins{space}wide,{space}2{space}rows,{space}full{space}configuration
+DIN{space}41512{space}IEC{space}60603{space}B/3
+0
+20
+20
+Connector_DIN
+DIN41612_B_2x16_Horizontal
+DIN{space}41612{space}connector,{space}type{space}B,{space}horizontal,{space}32{space}pins{space}wide,{space}2{space}rows,{space}even{space}columns
+DIN{space}41512{space}IEC{space}60603{space}B
+0
+32
+32
+Connector_DIN
+DIN41612_B_2x16_Vertical
+DIN{space}41612{space}connector,{space}type{space}B,{space}vertical,{space}32{space}pins{space}wide,{space}2{space}rows,{space}even{space}columns
+DIN{space}41512{space}IEC{space}60603{space}B
+0
+32
+32
+Connector_DIN
+DIN41612_B_2x32_Horizontal
+DIN{space}41612{space}connector,{space}type{space}B,{space}horizontal,{space}32{space}pins{space}wide,{space}2{space}rows,{space}full{space}configuration
+DIN{space}41512{space}IEC{space}60603{space}B
+0
+64
+64
+Connector_DIN
+DIN41612_B_2x32_Vertical
+DIN{space}41612{space}connector,{space}type{space}B,{space}vertical,{space}32{space}pins{space}wide,{space}2{space}rows,{space}full{space}configuration
+DIN{space}41512{space}IEC{space}60603{space}B
+0
+64
+64
+Connector_Dsub
+DSUB-9_Female_EdgeMount_P2.77mm
+9-pin{space}D-Sub{space}connector,{space}solder-cups{space}edge-mounted,{space}female,{space}x-pin-pitch{space}2.77mm,{space}distance{space}of{space}mounting{space}holes{space}25mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+9-pin{space}D-Sub{space}connector{space}edge{space}mount{space}solder{space}cup{space}female{space}x-pin-pitch{space}2.77mm{space}mounting{space}holes{space}distance{space}25mm
+0
+9
+9
+Connector_Dsub
+DSUB-9_Female_Horizontal_P2.77x2.54mm_EdgePinOffset9.40mm
+9-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.54mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+9-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.54mm{space}pin-PCB-offset{space}9.4mm
+0
+9
+9
+Connector_Dsub
+DSUB-9_Female_Horizontal_P2.77x2.84mm_EdgePinOffset4.94mm_Housed_MountingHolesOffset7.48mm
+9-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}4.9399999999999995mm,{space}distance{space}of{space}mounting{space}holes{space}25mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}7.4799999999999995mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+9-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}4.9399999999999995mm{space}mounting-holes-distance{space}25mm{space}mounting-hole-offset{space}25mm
+0
+11
+10
+Connector_Dsub
+DSUB-9_Female_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm
+9-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}7.699999999999999mm,{space}distance{space}of{space}mounting{space}holes{space}25mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}9.12mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+9-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}7.699999999999999mm{space}mounting-holes-distance{space}25mm{space}mounting-hole-offset{space}25mm
+0
+11
+10
+Connector_Dsub
+DSUB-9_Female_Horizontal_P2.77x2.84mm_EdgePinOffset9.40mm
+9-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+9-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}9.4mm
+0
+9
+9
+Connector_Dsub
+DSUB-9_Female_Horizontal_P2.77x2.84mm_EdgePinOffset9.90mm_Housed_MountingHolesOffset11.32mm
+9-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}9.9mm,{space}distance{space}of{space}mounting{space}holes{space}25mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}11.32mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+9-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}9.9mm{space}mounting-holes-distance{space}25mm{space}mounting-hole-offset{space}25mm
+0
+11
+10
+Connector_Dsub
+DSUB-9_Female_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset8.20mm
+9-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}14.56mm,{space}distance{space}of{space}mounting{space}holes{space}25mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}8.2mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+9-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}14.56mm{space}mounting-holes-distance{space}25mm{space}mounting-hole-offset{space}25mm
+0
+11
+10
+Connector_Dsub
+DSUB-9_Female_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset15.98mm
+9-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}14.56mm,{space}distance{space}of{space}mounting{space}holes{space}25mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}15.979999999999999mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+9-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}14.56mm{space}mounting-holes-distance{space}25mm{space}mounting-hole-offset{space}25mm
+0
+11
+10
+Connector_Dsub
+DSUB-9_Female_Vertical_P2.77x2.84mm
+9-pin{space}D-Sub{space}connector,{space}straight/vertical,{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}distance{space}of{space}mounting{space}holes{space}25mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+9-pin{space}D-Sub{space}connector{space}straight{space}vertical{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}mounting{space}holes{space}distance{space}25mm
+0
+9
+9
+Connector_Dsub
+DSUB-9_Female_Vertical_P2.77x2.84mm_MountingHoles
+9-pin{space}D-Sub{space}connector,{space}straight/vertical,{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}distance{space}of{space}mounting{space}holes{space}25mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+9-pin{space}D-Sub{space}connector{space}straight{space}vertical{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}mounting{space}holes{space}distance{space}25mm
+0
+11
+10
+Connector_Dsub
+DSUB-9_Male_EdgeMount_P2.77mm
+9-pin{space}D-Sub{space}connector,{space}solder-cups{space}edge-mounted,{space}male,{space}x-pin-pitch{space}2.77mm,{space}distance{space}of{space}mounting{space}holes{space}25mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+9-pin{space}D-Sub{space}connector{space}edge{space}mount{space}solder{space}cup{space}male{space}x-pin-pitch{space}2.77mm{space}mounting{space}holes{space}distance{space}25mm
+0
+9
+9
+Connector_Dsub
+DSUB-9_Male_Horizontal_P2.77x2.54mm_EdgePinOffset9.40mm
+9-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.54mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+9-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.54mm{space}pin-PCB-offset{space}9.4mm
+0
+9
+9
+Connector_Dsub
+DSUB-9_Male_Horizontal_P2.77x2.84mm_EdgePinOffset4.94mm_Housed_MountingHolesOffset7.48mm
+9-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}4.9399999999999995mm,{space}distance{space}of{space}mounting{space}holes{space}25mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}7.4799999999999995mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+9-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}4.9399999999999995mm{space}mounting-holes-distance{space}25mm{space}mounting-hole-offset{space}25mm
+0
+11
+10
+Connector_Dsub
+DSUB-9_Male_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm
+9-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}7.699999999999999mm,{space}distance{space}of{space}mounting{space}holes{space}25mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}9.12mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+9-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}7.699999999999999mm{space}mounting-holes-distance{space}25mm{space}mounting-hole-offset{space}25mm
+0
+11
+10
+Connector_Dsub
+DSUB-9_Male_Horizontal_P2.77x2.84mm_EdgePinOffset9.40mm
+9-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+9-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}9.4mm
+0
+9
+9
+Connector_Dsub
+DSUB-9_Male_Horizontal_P2.77x2.84mm_EdgePinOffset9.90mm_Housed_MountingHolesOffset11.32mm
+9-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}9.9mm,{space}distance{space}of{space}mounting{space}holes{space}25mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}11.32mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+9-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}9.9mm{space}mounting-holes-distance{space}25mm{space}mounting-hole-offset{space}25mm
+0
+11
+10
+Connector_Dsub
+DSUB-9_Male_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset8.20mm
+9-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}14.56mm,{space}distance{space}of{space}mounting{space}holes{space}25mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}8.2mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+9-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}14.56mm{space}mounting-holes-distance{space}25mm{space}mounting-hole-offset{space}25mm
+0
+11
+10
+Connector_Dsub
+DSUB-9_Male_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset15.98mm
+9-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}14.56mm,{space}distance{space}of{space}mounting{space}holes{space}25mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}15.979999999999999mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+9-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}14.56mm{space}mounting-holes-distance{space}25mm{space}mounting-hole-offset{space}25mm
+0
+11
+10
+Connector_Dsub
+DSUB-9_Male_Vertical_P2.77x2.84mm
+9-pin{space}D-Sub{space}connector,{space}straight/vertical,{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}distance{space}of{space}mounting{space}holes{space}25mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+9-pin{space}D-Sub{space}connector{space}straight{space}vertical{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}mounting{space}holes{space}distance{space}25mm
+0
+9
+9
+Connector_Dsub
+DSUB-9_Male_Vertical_P2.77x2.84mm_MountingHoles
+9-pin{space}D-Sub{space}connector,{space}straight/vertical,{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}distance{space}of{space}mounting{space}holes{space}25mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+9-pin{space}D-Sub{space}connector{space}straight{space}vertical{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}mounting{space}holes{space}distance{space}25mm
+0
+11
+10
+Connector_Dsub
+DSUB-15-HD_Female_Horizontal_P2.29x1.98mm_EdgePinOffset3.03mm_Housed_MountingHolesOffset4.94mm
+15-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.29x1.98mm,{space}pin-PCB-offset{space}3.0300000000000002mm,{space}distance{space}of{space}mounting{space}holes{space}25mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}4.9399999999999995mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+15-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.29x1.98mm{space}pin-PCB-offset{space}3.0300000000000002mm{space}mounting-holes-distance{space}25mm{space}mounting-hole-offset{space}25mm
+0
+17
+16
+Connector_Dsub
+DSUB-15-HD_Female_Horizontal_P2.29x1.98mm_EdgePinOffset8.35mm_Housed_MountingHolesOffset10.89mm
+15-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.29x1.98mm,{space}pin-PCB-offset{space}8.35mm,{space}distance{space}of{space}mounting{space}holes{space}25mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}10.889999999999999mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+15-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.29x1.98mm{space}pin-PCB-offset{space}8.35mm{space}mounting-holes-distance{space}25mm{space}mounting-hole-offset{space}25mm
+0
+17
+16
+Connector_Dsub
+DSUB-15-HD_Female_Horizontal_P2.29x1.98mm_EdgePinOffset9.40mm
+15-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.29x1.98mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+15-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.29x1.98mm{space}pin-PCB-offset{space}9.4mm
+0
+15
+15
+Connector_Dsub
+DSUB-15-HD_Female_Horizontal_P2.29x2.54mm_EdgePinOffset9.40mm
+15-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.29x2.54mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+15-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.29x2.54mm{space}pin-PCB-offset{space}9.4mm
+0
+15
+15
+Connector_Dsub
+DSUB-15-HD_Female_Vertical_P2.29x1.98mm_MountingHoles
+15-pin{space}D-Sub{space}connector,{space}straight/vertical,{space}THT-mount,{space}female,{space}pitch{space}2.29x1.98mm,{space}distance{space}of{space}mounting{space}holes{space}25mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+15-pin{space}D-Sub{space}connector{space}straight{space}vertical{space}THT{space}female{space}pitch{space}2.29x1.98mm{space}mounting{space}holes{space}distance{space}25mm
+0
+17
+16
+Connector_Dsub
+DSUB-15-HD_Male_Horizontal_P2.29x1.98mm_EdgePinOffset3.03mm_Housed_MountingHolesOffset4.94mm
+15-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.29x1.98mm,{space}pin-PCB-offset{space}3.0300000000000002mm,{space}distance{space}of{space}mounting{space}holes{space}25mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}4.9399999999999995mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+15-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.29x1.98mm{space}pin-PCB-offset{space}3.0300000000000002mm{space}mounting-holes-distance{space}25mm{space}mounting-hole-offset{space}25mm
+0
+17
+16
+Connector_Dsub
+DSUB-15-HD_Male_Horizontal_P2.29x1.98mm_EdgePinOffset8.35mm_Housed_MountingHolesOffset10.89mm
+15-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.29x1.98mm,{space}pin-PCB-offset{space}8.35mm,{space}distance{space}of{space}mounting{space}holes{space}25mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}10.889999999999999mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+15-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.29x1.98mm{space}pin-PCB-offset{space}8.35mm{space}mounting-holes-distance{space}25mm{space}mounting-hole-offset{space}25mm
+0
+17
+16
+Connector_Dsub
+DSUB-15-HD_Male_Horizontal_P2.29x1.98mm_EdgePinOffset9.40mm
+15-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.29x1.98mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+15-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.29x1.98mm{space}pin-PCB-offset{space}9.4mm
+0
+15
+15
+Connector_Dsub
+DSUB-15-HD_Male_Horizontal_P2.29x2.54mm_EdgePinOffset9.40mm
+15-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.29x2.54mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+15-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.29x2.54mm{space}pin-PCB-offset{space}9.4mm
+0
+15
+15
+Connector_Dsub
+DSUB-15-HD_Male_Vertical_P2.29x1.98mm_MountingHoles
+15-pin{space}D-Sub{space}connector,{space}straight/vertical,{space}THT-mount,{space}male,{space}pitch{space}2.29x1.98mm,{space}distance{space}of{space}mounting{space}holes{space}25mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+15-pin{space}D-Sub{space}connector{space}straight{space}vertical{space}THT{space}male{space}pitch{space}2.29x1.98mm{space}mounting{space}holes{space}distance{space}25mm
+0
+17
+16
+Connector_Dsub
+DSUB-15_Female_EdgeMount_P2.77mm
+15-pin{space}D-Sub{space}connector,{space}solder-cups{space}edge-mounted,{space}female,{space}x-pin-pitch{space}2.77mm,{space}distance{space}of{space}mounting{space}holes{space}33.3mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+15-pin{space}D-Sub{space}connector{space}edge{space}mount{space}solder{space}cup{space}female{space}x-pin-pitch{space}2.77mm{space}mounting{space}holes{space}distance{space}33.3mm
+0
+15
+15
+Connector_Dsub
+DSUB-15_Female_Horizontal_P2.77x2.54mm_EdgePinOffset9.40mm
+15-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.54mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+15-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.54mm{space}pin-PCB-offset{space}9.4mm
+0
+15
+15
+Connector_Dsub
+DSUB-15_Female_Horizontal_P2.77x2.84mm_EdgePinOffset4.94mm_Housed_MountingHolesOffset7.48mm
+15-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}4.9399999999999995mm,{space}distance{space}of{space}mounting{space}holes{space}33.3mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}7.4799999999999995mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+15-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}4.9399999999999995mm{space}mounting-holes-distance{space}33.3mm{space}mounting-hole-offset{space}33.3mm
+0
+17
+16
+Connector_Dsub
+DSUB-15_Female_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm
+15-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}7.699999999999999mm,{space}distance{space}of{space}mounting{space}holes{space}33.3mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}9.12mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+15-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}7.699999999999999mm{space}mounting-holes-distance{space}33.3mm{space}mounting-hole-offset{space}33.3mm
+0
+17
+16
+Connector_Dsub
+DSUB-15_Female_Horizontal_P2.77x2.84mm_EdgePinOffset9.40mm
+15-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+15-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}9.4mm
+0
+15
+15
+Connector_Dsub
+DSUB-15_Female_Horizontal_P2.77x2.84mm_EdgePinOffset9.90mm_Housed_MountingHolesOffset11.32mm
+15-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}9.9mm,{space}distance{space}of{space}mounting{space}holes{space}33.3mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}11.32mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+15-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}9.9mm{space}mounting-holes-distance{space}33.3mm{space}mounting-hole-offset{space}33.3mm
+0
+17
+16
+Connector_Dsub
+DSUB-15_Female_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset8.20mm
+15-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}14.56mm,{space}distance{space}of{space}mounting{space}holes{space}33.3mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}8.2mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+15-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}14.56mm{space}mounting-holes-distance{space}33.3mm{space}mounting-hole-offset{space}33.3mm
+0
+17
+16
+Connector_Dsub
+DSUB-15_Female_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset15.98mm
+15-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}14.56mm,{space}distance{space}of{space}mounting{space}holes{space}33.3mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}15.979999999999999mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+15-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}14.56mm{space}mounting-holes-distance{space}33.3mm{space}mounting-hole-offset{space}33.3mm
+0
+17
+16
+Connector_Dsub
+DSUB-15_Female_Vertical_P2.77x2.84mm
+15-pin{space}D-Sub{space}connector,{space}straight/vertical,{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}distance{space}of{space}mounting{space}holes{space}33.3mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+15-pin{space}D-Sub{space}connector{space}straight{space}vertical{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}mounting{space}holes{space}distance{space}33.3mm
+0
+15
+15
+Connector_Dsub
+DSUB-15_Female_Vertical_P2.77x2.84mm_MountingHoles
+15-pin{space}D-Sub{space}connector,{space}straight/vertical,{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}distance{space}of{space}mounting{space}holes{space}33.3mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+15-pin{space}D-Sub{space}connector{space}straight{space}vertical{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}mounting{space}holes{space}distance{space}33.3mm
+0
+17
+16
+Connector_Dsub
+DSUB-15_Male_EdgeMount_P2.77mm
+15-pin{space}D-Sub{space}connector,{space}solder-cups{space}edge-mounted,{space}male,{space}x-pin-pitch{space}2.77mm,{space}distance{space}of{space}mounting{space}holes{space}33.3mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+15-pin{space}D-Sub{space}connector{space}edge{space}mount{space}solder{space}cup{space}male{space}x-pin-pitch{space}2.77mm{space}mounting{space}holes{space}distance{space}33.3mm
+0
+15
+15
+Connector_Dsub
+DSUB-15_Male_Horizontal_P2.77x2.54mm_EdgePinOffset9.40mm
+15-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.54mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+15-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.54mm{space}pin-PCB-offset{space}9.4mm
+0
+15
+15
+Connector_Dsub
+DSUB-15_Male_Horizontal_P2.77x2.84mm_EdgePinOffset4.94mm_Housed_MountingHolesOffset7.48mm
+15-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}4.9399999999999995mm,{space}distance{space}of{space}mounting{space}holes{space}33.3mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}7.4799999999999995mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+15-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}4.9399999999999995mm{space}mounting-holes-distance{space}33.3mm{space}mounting-hole-offset{space}33.3mm
+0
+17
+16
+Connector_Dsub
+DSUB-15_Male_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm
+15-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}7.699999999999999mm,{space}distance{space}of{space}mounting{space}holes{space}33.3mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}9.12mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+15-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}7.699999999999999mm{space}mounting-holes-distance{space}33.3mm{space}mounting-hole-offset{space}33.3mm
+0
+17
+16
+Connector_Dsub
+DSUB-15_Male_Horizontal_P2.77x2.84mm_EdgePinOffset9.40mm
+15-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+15-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}9.4mm
+0
+15
+15
+Connector_Dsub
+DSUB-15_Male_Horizontal_P2.77x2.84mm_EdgePinOffset9.90mm_Housed_MountingHolesOffset11.32mm
+15-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}9.9mm,{space}distance{space}of{space}mounting{space}holes{space}33.3mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}11.32mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+15-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}9.9mm{space}mounting-holes-distance{space}33.3mm{space}mounting-hole-offset{space}33.3mm
+0
+17
+16
+Connector_Dsub
+DSUB-15_Male_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset8.20mm
+15-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}14.56mm,{space}distance{space}of{space}mounting{space}holes{space}33.3mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}8.2mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+15-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}14.56mm{space}mounting-holes-distance{space}33.3mm{space}mounting-hole-offset{space}33.3mm
+0
+17
+16
+Connector_Dsub
+DSUB-15_Male_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset15.98mm
+15-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}14.56mm,{space}distance{space}of{space}mounting{space}holes{space}33.3mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}15.979999999999999mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+15-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}14.56mm{space}mounting-holes-distance{space}33.3mm{space}mounting-hole-offset{space}33.3mm
+0
+17
+16
+Connector_Dsub
+DSUB-15_Male_Vertical_P2.77x2.84mm
+15-pin{space}D-Sub{space}connector,{space}straight/vertical,{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}distance{space}of{space}mounting{space}holes{space}33.3mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+15-pin{space}D-Sub{space}connector{space}straight{space}vertical{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}mounting{space}holes{space}distance{space}33.3mm
+0
+15
+15
+Connector_Dsub
+DSUB-15_Male_Vertical_P2.77x2.84mm_MountingHoles
+15-pin{space}D-Sub{space}connector,{space}straight/vertical,{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}distance{space}of{space}mounting{space}holes{space}33.3mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+15-pin{space}D-Sub{space}connector{space}straight{space}vertical{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}mounting{space}holes{space}distance{space}33.3mm
+0
+17
+16
+Connector_Dsub
+DSUB-25_Female_EdgeMount_P2.77mm
+25-pin{space}D-Sub{space}connector,{space}solder-cups{space}edge-mounted,{space}female,{space}x-pin-pitch{space}2.77mm,{space}distance{space}of{space}mounting{space}holes{space}47.1mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+25-pin{space}D-Sub{space}connector{space}edge{space}mount{space}solder{space}cup{space}female{space}x-pin-pitch{space}2.77mm{space}mounting{space}holes{space}distance{space}47.1mm
+0
+25
+25
+Connector_Dsub
+DSUB-25_Female_Horizontal_P2.77x2.54mm_EdgePinOffset9.40mm
+25-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.54mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+25-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.54mm{space}pin-PCB-offset{space}9.4mm
+0
+25
+25
+Connector_Dsub
+DSUB-25_Female_Horizontal_P2.77x2.84mm_EdgePinOffset4.94mm_Housed_MountingHolesOffset7.48mm
+25-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}4.9399999999999995mm,{space}distance{space}of{space}mounting{space}holes{space}47.1mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}7.4799999999999995mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+25-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}4.9399999999999995mm{space}mounting-holes-distance{space}47.1mm{space}mounting-hole-offset{space}47.1mm
+0
+27
+26
+Connector_Dsub
+DSUB-25_Female_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm
+25-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}7.699999999999999mm,{space}distance{space}of{space}mounting{space}holes{space}47.1mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}9.12mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+25-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}7.699999999999999mm{space}mounting-holes-distance{space}47.1mm{space}mounting-hole-offset{space}47.1mm
+0
+27
+26
+Connector_Dsub
+DSUB-25_Female_Horizontal_P2.77x2.84mm_EdgePinOffset9.40mm
+25-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+25-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}9.4mm
+0
+25
+25
+Connector_Dsub
+DSUB-25_Female_Horizontal_P2.77x2.84mm_EdgePinOffset9.90mm_Housed_MountingHolesOffset11.32mm
+25-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}9.9mm,{space}distance{space}of{space}mounting{space}holes{space}47.1mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}11.32mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+25-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}9.9mm{space}mounting-holes-distance{space}47.1mm{space}mounting-hole-offset{space}47.1mm
+0
+27
+26
+Connector_Dsub
+DSUB-25_Female_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset8.20mm
+25-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}14.56mm,{space}distance{space}of{space}mounting{space}holes{space}47.1mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}8.2mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+25-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}14.56mm{space}mounting-holes-distance{space}47.1mm{space}mounting-hole-offset{space}47.1mm
+0
+27
+26
+Connector_Dsub
+DSUB-25_Female_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset15.98mm
+25-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}14.56mm,{space}distance{space}of{space}mounting{space}holes{space}47.1mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}15.979999999999999mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+25-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}14.56mm{space}mounting-holes-distance{space}47.1mm{space}mounting-hole-offset{space}47.1mm
+0
+27
+26
+Connector_Dsub
+DSUB-25_Female_Vertical_P2.77x2.84mm
+25-pin{space}D-Sub{space}connector,{space}straight/vertical,{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}distance{space}of{space}mounting{space}holes{space}47.1mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+25-pin{space}D-Sub{space}connector{space}straight{space}vertical{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}mounting{space}holes{space}distance{space}47.1mm
+0
+25
+25
+Connector_Dsub
+DSUB-25_Female_Vertical_P2.77x2.84mm_MountingHoles
+25-pin{space}D-Sub{space}connector,{space}straight/vertical,{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}distance{space}of{space}mounting{space}holes{space}47.1mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+25-pin{space}D-Sub{space}connector{space}straight{space}vertical{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}mounting{space}holes{space}distance{space}47.1mm
+0
+27
+26
+Connector_Dsub
+DSUB-25_Male_EdgeMount_P2.77mm
+25-pin{space}D-Sub{space}connector,{space}solder-cups{space}edge-mounted,{space}male,{space}x-pin-pitch{space}2.77mm,{space}distance{space}of{space}mounting{space}holes{space}47.1mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+25-pin{space}D-Sub{space}connector{space}edge{space}mount{space}solder{space}cup{space}male{space}x-pin-pitch{space}2.77mm{space}mounting{space}holes{space}distance{space}47.1mm
+0
+25
+25
+Connector_Dsub
+DSUB-25_Male_Horizontal_P2.77x2.54mm_EdgePinOffset9.40mm
+25-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.54mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+25-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.54mm{space}pin-PCB-offset{space}9.4mm
+0
+25
+25
+Connector_Dsub
+DSUB-25_Male_Horizontal_P2.77x2.84mm_EdgePinOffset4.94mm_Housed_MountingHolesOffset7.48mm
+25-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}4.9399999999999995mm,{space}distance{space}of{space}mounting{space}holes{space}47.1mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}7.4799999999999995mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+25-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}4.9399999999999995mm{space}mounting-holes-distance{space}47.1mm{space}mounting-hole-offset{space}47.1mm
+0
+27
+26
+Connector_Dsub
+DSUB-25_Male_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm
+25-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}7.699999999999999mm,{space}distance{space}of{space}mounting{space}holes{space}47.1mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}9.12mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+25-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}7.699999999999999mm{space}mounting-holes-distance{space}47.1mm{space}mounting-hole-offset{space}47.1mm
+0
+27
+26
+Connector_Dsub
+DSUB-25_Male_Horizontal_P2.77x2.84mm_EdgePinOffset9.40mm
+25-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+25-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}9.4mm
+0
+25
+25
+Connector_Dsub
+DSUB-25_Male_Horizontal_P2.77x2.84mm_EdgePinOffset9.90mm_Housed_MountingHolesOffset11.32mm
+25-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}9.9mm,{space}distance{space}of{space}mounting{space}holes{space}47.1mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}11.32mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+25-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}9.9mm{space}mounting-holes-distance{space}47.1mm{space}mounting-hole-offset{space}47.1mm
+0
+27
+26
+Connector_Dsub
+DSUB-25_Male_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset8.20mm
+25-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}14.56mm,{space}distance{space}of{space}mounting{space}holes{space}47.1mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}8.2mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+25-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}14.56mm{space}mounting-holes-distance{space}47.1mm{space}mounting-hole-offset{space}47.1mm
+0
+27
+26
+Connector_Dsub
+DSUB-25_Male_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset15.98mm
+25-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}14.56mm,{space}distance{space}of{space}mounting{space}holes{space}47.1mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}15.979999999999999mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+25-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}14.56mm{space}mounting-holes-distance{space}47.1mm{space}mounting-hole-offset{space}47.1mm
+0
+27
+26
+Connector_Dsub
+DSUB-25_Male_Vertical_P2.77x2.84mm
+25-pin{space}D-Sub{space}connector,{space}straight/vertical,{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}distance{space}of{space}mounting{space}holes{space}47.1mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+25-pin{space}D-Sub{space}connector{space}straight{space}vertical{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}mounting{space}holes{space}distance{space}47.1mm
+0
+25
+25
+Connector_Dsub
+DSUB-25_Male_Vertical_P2.77x2.84mm_MountingHoles
+25-pin{space}D-Sub{space}connector,{space}straight/vertical,{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}distance{space}of{space}mounting{space}holes{space}47.1mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+25-pin{space}D-Sub{space}connector{space}straight{space}vertical{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}mounting{space}holes{space}distance{space}47.1mm
+0
+27
+26
+Connector_Dsub
+DSUB-26-HD_Female_Horizontal_P2.29x1.98mm_EdgePinOffset3.03mm_Housed_MountingHolesOffset4.94mm
+26-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.29x1.98mm,{space}pin-PCB-offset{space}3.0300000000000002mm,{space}distance{space}of{space}mounting{space}holes{space}33.3mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}4.9399999999999995mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+26-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.29x1.98mm{space}pin-PCB-offset{space}3.0300000000000002mm{space}mounting-holes-distance{space}33.3mm{space}mounting-hole-offset{space}33.3mm
+0
+28
+27
+Connector_Dsub
+DSUB-26-HD_Female_Horizontal_P2.29x1.98mm_EdgePinOffset8.35mm_Housed_MountingHolesOffset10.89mm
+26-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.29x1.98mm,{space}pin-PCB-offset{space}8.35mm,{space}distance{space}of{space}mounting{space}holes{space}33.3mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}10.889999999999999mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+26-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.29x1.98mm{space}pin-PCB-offset{space}8.35mm{space}mounting-holes-distance{space}33.3mm{space}mounting-hole-offset{space}33.3mm
+0
+28
+27
+Connector_Dsub
+DSUB-26-HD_Female_Horizontal_P2.29x1.98mm_EdgePinOffset9.40mm
+26-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.29x1.98mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+26-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.29x1.98mm{space}pin-PCB-offset{space}9.4mm
+0
+26
+26
+Connector_Dsub
+DSUB-26-HD_Female_Horizontal_P2.29x2.54mm_EdgePinOffset9.40mm
+26-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.29x2.54mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+26-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.29x2.54mm{space}pin-PCB-offset{space}9.4mm
+0
+26
+26
+Connector_Dsub
+DSUB-26-HD_Female_Vertical_P2.29x1.98mm_MountingHoles
+26-pin{space}D-Sub{space}connector,{space}straight/vertical,{space}THT-mount,{space}female,{space}pitch{space}2.29x1.98mm,{space}distance{space}of{space}mounting{space}holes{space}33.3mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+26-pin{space}D-Sub{space}connector{space}straight{space}vertical{space}THT{space}female{space}pitch{space}2.29x1.98mm{space}mounting{space}holes{space}distance{space}33.3mm
+0
+28
+27
+Connector_Dsub
+DSUB-26-HD_Male_Horizontal_P2.29x1.98mm_EdgePinOffset3.03mm_Housed_MountingHolesOffset4.94mm
+26-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.29x1.98mm,{space}pin-PCB-offset{space}3.0300000000000002mm,{space}distance{space}of{space}mounting{space}holes{space}33.3mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}4.9399999999999995mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+26-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.29x1.98mm{space}pin-PCB-offset{space}3.0300000000000002mm{space}mounting-holes-distance{space}33.3mm{space}mounting-hole-offset{space}33.3mm
+0
+28
+27
+Connector_Dsub
+DSUB-26-HD_Male_Horizontal_P2.29x1.98mm_EdgePinOffset8.35mm_Housed_MountingHolesOffset10.89mm
+26-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.29x1.98mm,{space}pin-PCB-offset{space}8.35mm,{space}distance{space}of{space}mounting{space}holes{space}33.3mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}10.889999999999999mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+26-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.29x1.98mm{space}pin-PCB-offset{space}8.35mm{space}mounting-holes-distance{space}33.3mm{space}mounting-hole-offset{space}33.3mm
+0
+28
+27
+Connector_Dsub
+DSUB-26-HD_Male_Horizontal_P2.29x1.98mm_EdgePinOffset9.40mm
+26-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.29x1.98mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+26-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.29x1.98mm{space}pin-PCB-offset{space}9.4mm
+0
+26
+26
+Connector_Dsub
+DSUB-26-HD_Male_Horizontal_P2.29x2.54mm_EdgePinOffset9.40mm
+26-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.29x2.54mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+26-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.29x2.54mm{space}pin-PCB-offset{space}9.4mm
+0
+26
+26
+Connector_Dsub
+DSUB-26-HD_Male_Vertical_P2.29x1.98mm_MountingHoles
+26-pin{space}D-Sub{space}connector,{space}straight/vertical,{space}THT-mount,{space}male,{space}pitch{space}2.29x1.98mm,{space}distance{space}of{space}mounting{space}holes{space}33.3mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+26-pin{space}D-Sub{space}connector{space}straight{space}vertical{space}THT{space}male{space}pitch{space}2.29x1.98mm{space}mounting{space}holes{space}distance{space}33.3mm
+0
+28
+27
+Connector_Dsub
+DSUB-37_Female_EdgeMount_P2.77mm
+37-pin{space}D-Sub{space}connector,{space}solder-cups{space}edge-mounted,{space}female,{space}x-pin-pitch{space}2.77mm,{space}distance{space}of{space}mounting{space}holes{space}63.5mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+37-pin{space}D-Sub{space}connector{space}edge{space}mount{space}solder{space}cup{space}female{space}x-pin-pitch{space}2.77mm{space}mounting{space}holes{space}distance{space}63.5mm
+0
+37
+37
+Connector_Dsub
+DSUB-37_Female_Horizontal_P2.77x2.54mm_EdgePinOffset9.40mm
+37-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.54mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+37-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.54mm{space}pin-PCB-offset{space}9.4mm
+0
+37
+37
+Connector_Dsub
+DSUB-37_Female_Horizontal_P2.77x2.84mm_EdgePinOffset4.94mm_Housed_MountingHolesOffset7.48mm
+37-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}4.9399999999999995mm,{space}distance{space}of{space}mounting{space}holes{space}63.5mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}7.4799999999999995mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+37-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}4.9399999999999995mm{space}mounting-holes-distance{space}63.5mm{space}mounting-hole-offset{space}63.5mm
+0
+39
+38
+Connector_Dsub
+DSUB-37_Female_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm
+37-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}7.699999999999999mm,{space}distance{space}of{space}mounting{space}holes{space}63.5mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}9.12mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+37-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}7.699999999999999mm{space}mounting-holes-distance{space}63.5mm{space}mounting-hole-offset{space}63.5mm
+0
+39
+38
+Connector_Dsub
+DSUB-37_Female_Horizontal_P2.77x2.84mm_EdgePinOffset9.40mm
+37-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+37-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}9.4mm
+0
+37
+37
+Connector_Dsub
+DSUB-37_Female_Horizontal_P2.77x2.84mm_EdgePinOffset9.90mm_Housed_MountingHolesOffset11.32mm
+37-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}9.9mm,{space}distance{space}of{space}mounting{space}holes{space}63.5mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}11.32mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+37-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}9.9mm{space}mounting-holes-distance{space}63.5mm{space}mounting-hole-offset{space}63.5mm
+0
+39
+38
+Connector_Dsub
+DSUB-37_Female_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset8.20mm
+37-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}14.56mm,{space}distance{space}of{space}mounting{space}holes{space}63.5mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}8.2mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+37-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}14.56mm{space}mounting-holes-distance{space}63.5mm{space}mounting-hole-offset{space}63.5mm
+0
+39
+38
+Connector_Dsub
+DSUB-37_Female_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset15.98mm
+37-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}14.56mm,{space}distance{space}of{space}mounting{space}holes{space}63.5mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}15.979999999999999mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+37-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}14.56mm{space}mounting-holes-distance{space}63.5mm{space}mounting-hole-offset{space}63.5mm
+0
+39
+38
+Connector_Dsub
+DSUB-37_Female_Vertical_P2.77x2.84mm
+37-pin{space}D-Sub{space}connector,{space}straight/vertical,{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}distance{space}of{space}mounting{space}holes{space}63.5mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+37-pin{space}D-Sub{space}connector{space}straight{space}vertical{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}mounting{space}holes{space}distance{space}63.5mm
+0
+37
+37
+Connector_Dsub
+DSUB-37_Female_Vertical_P2.77x2.84mm_MountingHoles
+37-pin{space}D-Sub{space}connector,{space}straight/vertical,{space}THT-mount,{space}female,{space}pitch{space}2.77x2.84mm,{space}distance{space}of{space}mounting{space}holes{space}63.5mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+37-pin{space}D-Sub{space}connector{space}straight{space}vertical{space}THT{space}female{space}pitch{space}2.77x2.84mm{space}mounting{space}holes{space}distance{space}63.5mm
+0
+39
+38
+Connector_Dsub
+DSUB-37_Male_EdgeMount_P2.77mm
+37-pin{space}D-Sub{space}connector,{space}solder-cups{space}edge-mounted,{space}male,{space}x-pin-pitch{space}2.77mm,{space}distance{space}of{space}mounting{space}holes{space}63.5mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+37-pin{space}D-Sub{space}connector{space}edge{space}mount{space}solder{space}cup{space}male{space}x-pin-pitch{space}2.77mm{space}mounting{space}holes{space}distance{space}63.5mm
+0
+37
+37
+Connector_Dsub
+DSUB-37_Male_Horizontal_P2.77x2.54mm_EdgePinOffset9.40mm
+37-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.54mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+37-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.54mm{space}pin-PCB-offset{space}9.4mm
+0
+37
+37
+Connector_Dsub
+DSUB-37_Male_Horizontal_P2.77x2.84mm_EdgePinOffset4.94mm_Housed_MountingHolesOffset7.48mm
+37-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}4.9399999999999995mm,{space}distance{space}of{space}mounting{space}holes{space}63.5mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}7.4799999999999995mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+37-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}4.9399999999999995mm{space}mounting-holes-distance{space}63.5mm{space}mounting-hole-offset{space}63.5mm
+0
+39
+38
+Connector_Dsub
+DSUB-37_Male_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm
+37-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}7.699999999999999mm,{space}distance{space}of{space}mounting{space}holes{space}63.5mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}9.12mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+37-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}7.699999999999999mm{space}mounting-holes-distance{space}63.5mm{space}mounting-hole-offset{space}63.5mm
+0
+39
+38
+Connector_Dsub
+DSUB-37_Male_Horizontal_P2.77x2.84mm_EdgePinOffset9.40mm
+37-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+37-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}9.4mm
+0
+37
+37
+Connector_Dsub
+DSUB-37_Male_Horizontal_P2.77x2.84mm_EdgePinOffset9.90mm_Housed_MountingHolesOffset11.32mm
+37-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}9.9mm,{space}distance{space}of{space}mounting{space}holes{space}63.5mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}11.32mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+37-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}9.9mm{space}mounting-holes-distance{space}63.5mm{space}mounting-hole-offset{space}63.5mm
+0
+39
+38
+Connector_Dsub
+DSUB-37_Male_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset8.20mm
+37-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}14.56mm,{space}distance{space}of{space}mounting{space}holes{space}63.5mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}8.2mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+37-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}14.56mm{space}mounting-holes-distance{space}63.5mm{space}mounting-hole-offset{space}63.5mm
+0
+39
+38
+Connector_Dsub
+DSUB-37_Male_Horizontal_P2.77x2.84mm_EdgePinOffset14.56mm_Housed_MountingHolesOffset15.98mm
+37-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}pin-PCB-offset{space}14.56mm,{space}distance{space}of{space}mounting{space}holes{space}63.5mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}15.979999999999999mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+37-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}pin-PCB-offset{space}14.56mm{space}mounting-holes-distance{space}63.5mm{space}mounting-hole-offset{space}63.5mm
+0
+39
+38
+Connector_Dsub
+DSUB-37_Male_Vertical_P2.77x2.84mm
+37-pin{space}D-Sub{space}connector,{space}straight/vertical,{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}distance{space}of{space}mounting{space}holes{space}63.5mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+37-pin{space}D-Sub{space}connector{space}straight{space}vertical{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}mounting{space}holes{space}distance{space}63.5mm
+0
+37
+37
+Connector_Dsub
+DSUB-37_Male_Vertical_P2.77x2.84mm_MountingHoles
+37-pin{space}D-Sub{space}connector,{space}straight/vertical,{space}THT-mount,{space}male,{space}pitch{space}2.77x2.84mm,{space}distance{space}of{space}mounting{space}holes{space}63.5mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+37-pin{space}D-Sub{space}connector{space}straight{space}vertical{space}THT{space}male{space}pitch{space}2.77x2.84mm{space}mounting{space}holes{space}distance{space}63.5mm
+0
+39
+38
+Connector_Dsub
+DSUB-44-HD_Female_Horizontal_P2.29x1.98mm_EdgePinOffset3.03mm_Housed_MountingHolesOffset4.94mm
+44-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.29x1.98mm,{space}pin-PCB-offset{space}3.0300000000000002mm,{space}distance{space}of{space}mounting{space}holes{space}47.1mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}4.9399999999999995mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+44-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.29x1.98mm{space}pin-PCB-offset{space}3.0300000000000002mm{space}mounting-holes-distance{space}47.1mm{space}mounting-hole-offset{space}47.1mm
+0
+46
+45
+Connector_Dsub
+DSUB-44-HD_Female_Horizontal_P2.29x1.98mm_EdgePinOffset8.35mm_Housed_MountingHolesOffset10.89mm
+44-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.29x1.98mm,{space}pin-PCB-offset{space}8.35mm,{space}distance{space}of{space}mounting{space}holes{space}47.1mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}10.889999999999999mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+44-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.29x1.98mm{space}pin-PCB-offset{space}8.35mm{space}mounting-holes-distance{space}47.1mm{space}mounting-hole-offset{space}47.1mm
+0
+46
+45
+Connector_Dsub
+DSUB-44-HD_Female_Horizontal_P2.29x1.98mm_EdgePinOffset9.40mm
+44-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.29x1.98mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+44-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.29x1.98mm{space}pin-PCB-offset{space}9.4mm
+0
+44
+44
+Connector_Dsub
+DSUB-44-HD_Female_Horizontal_P2.29x2.54mm_EdgePinOffset9.40mm
+44-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.29x2.54mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+44-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.29x2.54mm{space}pin-PCB-offset{space}9.4mm
+0
+44
+44
+Connector_Dsub
+DSUB-44-HD_Female_Vertical_P2.29x1.98mm_MountingHoles
+44-pin{space}D-Sub{space}connector,{space}straight/vertical,{space}THT-mount,{space}female,{space}pitch{space}2.29x1.98mm,{space}distance{space}of{space}mounting{space}holes{space}47.1mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+44-pin{space}D-Sub{space}connector{space}straight{space}vertical{space}THT{space}female{space}pitch{space}2.29x1.98mm{space}mounting{space}holes{space}distance{space}47.1mm
+0
+46
+45
+Connector_Dsub
+DSUB-44-HD_Male_Horizontal_P2.29x1.98mm_EdgePinOffset3.03mm_Housed_MountingHolesOffset4.94mm
+44-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.29x1.98mm,{space}pin-PCB-offset{space}3.0300000000000002mm,{space}distance{space}of{space}mounting{space}holes{space}47.1mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}4.9399999999999995mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+44-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.29x1.98mm{space}pin-PCB-offset{space}3.0300000000000002mm{space}mounting-holes-distance{space}47.1mm{space}mounting-hole-offset{space}47.1mm
+0
+46
+45
+Connector_Dsub
+DSUB-44-HD_Male_Horizontal_P2.29x1.98mm_EdgePinOffset8.35mm_Housed_MountingHolesOffset10.89mm
+44-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.29x1.98mm,{space}pin-PCB-offset{space}8.35mm,{space}distance{space}of{space}mounting{space}holes{space}47.1mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}10.889999999999999mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+44-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.29x1.98mm{space}pin-PCB-offset{space}8.35mm{space}mounting-holes-distance{space}47.1mm{space}mounting-hole-offset{space}47.1mm
+0
+46
+45
+Connector_Dsub
+DSUB-44-HD_Male_Horizontal_P2.29x1.98mm_EdgePinOffset9.40mm
+44-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.29x1.98mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+44-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.29x1.98mm{space}pin-PCB-offset{space}9.4mm
+0
+44
+44
+Connector_Dsub
+DSUB-44-HD_Male_Horizontal_P2.29x2.54mm_EdgePinOffset9.40mm
+44-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.29x2.54mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+44-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.29x2.54mm{space}pin-PCB-offset{space}9.4mm
+0
+44
+44
+Connector_Dsub
+DSUB-44-HD_Male_Vertical_P2.29x1.98mm_MountingHoles
+44-pin{space}D-Sub{space}connector,{space}straight/vertical,{space}THT-mount,{space}male,{space}pitch{space}2.29x1.98mm,{space}distance{space}of{space}mounting{space}holes{space}47.1mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+44-pin{space}D-Sub{space}connector{space}straight{space}vertical{space}THT{space}male{space}pitch{space}2.29x1.98mm{space}mounting{space}holes{space}distance{space}47.1mm
+0
+46
+45
+Connector_Dsub
+DSUB-62-HD_Female_Horizontal_P2.41x1.98mm_EdgePinOffset3.03mm_Housed_MountingHolesOffset4.94mm
+62-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.41x1.98mm,{space}pin-PCB-offset{space}3.0300000000000002mm,{space}distance{space}of{space}mounting{space}holes{space}63.5mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}4.9399999999999995mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+62-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.41x1.98mm{space}pin-PCB-offset{space}3.0300000000000002mm{space}mounting-holes-distance{space}63.5mm{space}mounting-hole-offset{space}63.5mm
+0
+64
+63
+Connector_Dsub
+DSUB-62-HD_Female_Horizontal_P2.41x1.98mm_EdgePinOffset8.35mm_Housed_MountingHolesOffset10.89mm
+62-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.41x1.98mm,{space}pin-PCB-offset{space}8.35mm,{space}distance{space}of{space}mounting{space}holes{space}63.5mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}10.889999999999999mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+62-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.41x1.98mm{space}pin-PCB-offset{space}8.35mm{space}mounting-holes-distance{space}63.5mm{space}mounting-hole-offset{space}63.5mm
+0
+64
+63
+Connector_Dsub
+DSUB-62-HD_Female_Horizontal_P2.41x1.98mm_EdgePinOffset9.40mm
+62-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.41x1.98mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+62-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.41x1.98mm{space}pin-PCB-offset{space}9.4mm
+0
+62
+62
+Connector_Dsub
+DSUB-62-HD_Female_Horizontal_P2.41x2.54mm_EdgePinOffset9.40mm
+62-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}female,{space}pitch{space}2.41x2.54mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+62-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}female{space}pitch{space}2.41x2.54mm{space}pin-PCB-offset{space}9.4mm
+0
+62
+62
+Connector_Dsub
+DSUB-62-HD_Female_Vertical_P2.41x1.98mm_MountingHoles
+62-pin{space}D-Sub{space}connector,{space}straight/vertical,{space}THT-mount,{space}female,{space}pitch{space}2.41x1.98mm,{space}distance{space}of{space}mounting{space}holes{space}63.5mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+62-pin{space}D-Sub{space}connector{space}straight{space}vertical{space}THT{space}female{space}pitch{space}2.41x1.98mm{space}mounting{space}holes{space}distance{space}63.5mm
+0
+64
+63
+Connector_Dsub
+DSUB-62-HD_Male_Horizontal_P2.41x1.98mm_EdgePinOffset3.03mm_Housed_MountingHolesOffset4.94mm
+62-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.41x1.98mm,{space}pin-PCB-offset{space}3.0300000000000002mm,{space}distance{space}of{space}mounting{space}holes{space}63.5mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}4.9399999999999995mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+62-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.41x1.98mm{space}pin-PCB-offset{space}3.0300000000000002mm{space}mounting-holes-distance{space}63.5mm{space}mounting-hole-offset{space}63.5mm
+0
+64
+63
+Connector_Dsub
+DSUB-62-HD_Male_Horizontal_P2.41x1.98mm_EdgePinOffset8.35mm_Housed_MountingHolesOffset10.89mm
+62-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.41x1.98mm,{space}pin-PCB-offset{space}8.35mm,{space}distance{space}of{space}mounting{space}holes{space}63.5mm,{space}distance{space}of{space}mounting{space}holes{space}to{space}PCB{space}edge{space}10.889999999999999mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+62-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.41x1.98mm{space}pin-PCB-offset{space}8.35mm{space}mounting-holes-distance{space}63.5mm{space}mounting-hole-offset{space}63.5mm
+0
+64
+63
+Connector_Dsub
+DSUB-62-HD_Male_Horizontal_P2.41x1.98mm_EdgePinOffset9.40mm
+62-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.41x1.98mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+62-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.41x1.98mm{space}pin-PCB-offset{space}9.4mm
+0
+62
+62
+Connector_Dsub
+DSUB-62-HD_Male_Horizontal_P2.41x2.54mm_EdgePinOffset9.40mm
+62-pin{space}D-Sub{space}connector,{space}horizontal/angled{space}(90{space}deg),{space}THT-mount,{space}male,{space}pitch{space}2.41x2.54mm,{space}pin-PCB-offset{space}9.4mm,{space}see{space}http://docs-europe.electrocomponents.com/webdocs/1585/0900766b81585df2.pdf
+62-pin{space}D-Sub{space}connector{space}horizontal{space}angled{space}90deg{space}THT{space}male{space}pitch{space}2.41x2.54mm{space}pin-PCB-offset{space}9.4mm
+0
+62
+62
+Connector_Dsub
+DSUB-62-HD_Male_Vertical_P2.41x1.98mm_MountingHoles
+62-pin{space}D-Sub{space}connector,{space}straight/vertical,{space}THT-mount,{space}male,{space}pitch{space}2.41x1.98mm,{space}distance{space}of{space}mounting{space}holes{space}63.5mm,{space}see{space}https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf
+62-pin{space}D-Sub{space}connector{space}straight{space}vertical{space}THT{space}male{space}pitch{space}2.41x1.98mm{space}mounting{space}holes{space}distance{space}63.5mm
+0
+64
+63
+Connector_FFC-FPC
+Hirose_FH12-6S-0.5SH_1x06-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-6S-0.5SH,{space}6{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+8
+7
+Connector_FFC-FPC
+Hirose_FH12-8S-0.5SH_1x08-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-8S-0.5SH,{space}8{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+10
+9
+Connector_FFC-FPC
+Hirose_FH12-10S-0.5SH_1x10-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-10S-0.5SH,{space}10{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+12
+11
+Connector_FFC-FPC
+Hirose_FH12-11S-0.5SH_1x11-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-11S-0.5SH,{space}11{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+13
+12
+Connector_FFC-FPC
+Hirose_FH12-12S-0.5SH_1x12-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-12S-0.5SH,{space}12{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+14
+13
+Connector_FFC-FPC
+Hirose_FH12-13S-0.5SH_1x13-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-13S-0.5SH,{space}13{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+15
+14
+Connector_FFC-FPC
+Hirose_FH12-14S-0.5SH_1x14-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-14S-0.5SH,{space}14{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+16
+15
+Connector_FFC-FPC
+Hirose_FH12-15S-0.5SH_1x15-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-15S-0.5SH,{space}15{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+17
+16
+Connector_FFC-FPC
+Hirose_FH12-16S-0.5SH_1x16-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-16S-0.5SH,{space}16{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+18
+17
+Connector_FFC-FPC
+Hirose_FH12-17S-0.5SH_1x17-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-17S-0.5SH,{space}17{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+19
+18
+Connector_FFC-FPC
+Hirose_FH12-18S-0.5SH_1x18-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-18S-0.5SH,{space}18{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+20
+19
+Connector_FFC-FPC
+Hirose_FH12-19S-0.5SH_1x19-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-19S-0.5SH,{space}19{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+21
+20
+Connector_FFC-FPC
+Hirose_FH12-20S-0.5SH_1x20-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-20S-0.5SH,{space}20{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+22
+21
+Connector_FFC-FPC
+Hirose_FH12-22S-0.5SH_1x22-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-22S-0.5SH,{space}22{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+24
+23
+Connector_FFC-FPC
+Hirose_FH12-24S-0.5SH_1x24-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-24S-0.5SH,{space}24{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+26
+25
+Connector_FFC-FPC
+Hirose_FH12-25S-0.5SH_1x25-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-25S-0.5SH,{space}25{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+27
+26
+Connector_FFC-FPC
+Hirose_FH12-26S-0.5SH_1x26-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-26S-0.5SH,{space}26{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+28
+27
+Connector_FFC-FPC
+Hirose_FH12-28S-0.5SH_1x28-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-28S-0.5SH,{space}28{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+30
+29
+Connector_FFC-FPC
+Hirose_FH12-30S-0.5SH_1x30-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-30S-0.5SH,{space}30{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+32
+31
+Connector_FFC-FPC
+Hirose_FH12-32S-0.5SH_1x32-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-32S-0.5SH,{space}32{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+34
+33
+Connector_FFC-FPC
+Hirose_FH12-33S-0.5SH_1x33-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-33S-0.5SH,{space}33{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+35
+34
+Connector_FFC-FPC
+Hirose_FH12-34S-0.5SH_1x34-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-34S-0.5SH,{space}34{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+36
+35
+Connector_FFC-FPC
+Hirose_FH12-35S-0.5SH_1x35-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-35S-0.5SH,{space}35{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+37
+36
+Connector_FFC-FPC
+Hirose_FH12-36S-0.5SH_1x36-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-36S-0.5SH,{space}36{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+38
+37
+Connector_FFC-FPC
+Hirose_FH12-40S-0.5SH_1x40-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-40S-0.5SH,{space}40{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+42
+41
+Connector_FFC-FPC
+Hirose_FH12-45S-0.5SH_1x45-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-45S-0.5SH,{space}45{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+47
+46
+Connector_FFC-FPC
+Hirose_FH12-50S-0.5SH_1x50-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-50S-0.5SH,{space}50{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+52
+51
+Connector_FFC-FPC
+Hirose_FH12-53S-0.5SH_1x53-1MP_P0.50mm_Horizontal
+Molex{space}FH12,{space}FFC/FPC{space}connector,{space}FH12-53S-0.5SH,{space}53{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/FH12/FH12-24S-0.5SH(55)/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+55
+54
+Connector_FFC-FPC
+JAE_FF0825SA1_2Rows-25Pins_P0.40mm_Horizontal
+Molex{space}JAE{space}0.2mm{space}pitch,{space}1mm{space}overall{space}height{space}FFC/FPC{space}connector,{space}FF0825SA1,{space}25{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ108178.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+25
+25
+Connector_FFC-FPC
+JAE_FF0829SA1_2Rows-29Pins_P0.40mm_Horizontal
+Molex{space}JAE{space}0.2mm{space}pitch,{space}1mm{space}overall{space}height{space}FFC/FPC{space}connector,{space}FF0829SA1,{space}29{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ108178.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+29
+29
+Connector_FFC-FPC
+JAE_FF0841SA1_2Rows-41Pins_P0.40mm_Horizontal
+Molex{space}JAE{space}0.2mm{space}pitch,{space}1mm{space}overall{space}height{space}FFC/FPC{space}connector,{space}FF0841SA1,{space}41{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ108178.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+41
+41
+Connector_FFC-FPC
+JAE_FF0851SA1_2Rows-51Pins_P0.40mm_Horizontal
+Molex{space}JAE{space}0.2mm{space}pitch,{space}1mm{space}overall{space}height{space}FFC/FPC{space}connector,{space}FF0851SA1,{space}51{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ108178.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+51
+51
+Connector_FFC-FPC
+JAE_FF0871SA1_2Rows-71Pins_P0.40mm_Horizontal
+Molex{space}JAE{space}0.2mm{space}pitch,{space}1mm{space}overall{space}height{space}FFC/FPC{space}connector,{space}FF0871SA1,{space}71{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ108178.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+71
+71
+Connector_FFC-FPC
+JAE_FF0881SA1_2Rows-81Pins_P0.40mm_Horizontal
+Molex{space}JAE{space}0.2mm{space}pitch,{space}1mm{space}overall{space}height{space}FFC/FPC{space}connector,{space}FF0881SA1,{space}81{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ108178.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+81
+81
+Connector_FFC-FPC
+Molex_54132-5033_1x50-1MP_P0.5mm_Horizontal
+Molex{space}FFC/FPC{space}connector,{space}50{space}bottom-side{space}contacts,{space}0.5mm{space}pitch,{space}2.0mm{space}height,{space}https://www.molex.com/pdm_docs/sd/541325033_sd.pdf
+FFC{space}FPC
+0
+54
+51
+Connector_FFC-FPC
+Molex_200528-0040_1x04-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0040,{space}4{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280040_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+6
+5
+Connector_FFC-FPC
+Molex_200528-0050_1x05-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0050,{space}5{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280050_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+7
+6
+Connector_FFC-FPC
+Molex_200528-0060_1x06-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0060,{space}6{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280060_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+8
+7
+Connector_FFC-FPC
+Molex_200528-0070_1x07-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0070,{space}7{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280070_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+9
+8
+Connector_FFC-FPC
+Molex_200528-0080_1x08-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0080,{space}8{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280080_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+10
+9
+Connector_FFC-FPC
+Molex_200528-0090_1x09-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0090,{space}9{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280090_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+11
+10
+Connector_FFC-FPC
+Molex_200528-0100_1x10-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0100,{space}10{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280100_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+12
+11
+Connector_FFC-FPC
+Molex_200528-0110_1x11-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0110,{space}11{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280110_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+13
+12
+Connector_FFC-FPC
+Molex_200528-0120_1x12-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0120,{space}12{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280120_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+14
+13
+Connector_FFC-FPC
+Molex_200528-0130_1x13-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0130,{space}13{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280130_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+15
+14
+Connector_FFC-FPC
+Molex_200528-0140_1x14-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0140,{space}14{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280140_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+16
+15
+Connector_FFC-FPC
+Molex_200528-0150_1x15-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0150,{space}15{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280150_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+17
+16
+Connector_FFC-FPC
+Molex_200528-0160_1x16-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0160,{space}16{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280160_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+18
+17
+Connector_FFC-FPC
+Molex_200528-0170_1x17-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0170,{space}17{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280170_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+19
+18
+Connector_FFC-FPC
+Molex_200528-0180_1x18-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0180,{space}18{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280180_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+20
+19
+Connector_FFC-FPC
+Molex_200528-0190_1x19-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0190,{space}19{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280190_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+21
+20
+Connector_FFC-FPC
+Molex_200528-0200_1x20-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0200,{space}20{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280200_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+22
+21
+Connector_FFC-FPC
+Molex_200528-0210_1x21-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0210,{space}21{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+23
+22
+Connector_FFC-FPC
+Molex_200528-0220_1x22-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0220,{space}22{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280220_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+24
+23
+Connector_FFC-FPC
+Molex_200528-0230_1x23-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0230,{space}23{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280230_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+25
+24
+Connector_FFC-FPC
+Molex_200528-0240_1x24-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0240,{space}24{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280240_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+26
+25
+Connector_FFC-FPC
+Molex_200528-0250_1x25-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0250,{space}25{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280250_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+27
+26
+Connector_FFC-FPC
+Molex_200528-0260_1x26-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0260,{space}26{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280260_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+28
+27
+Connector_FFC-FPC
+Molex_200528-0270_1x27-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0270,{space}27{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+29
+28
+Connector_FFC-FPC
+Molex_200528-0280_1x28-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0280,{space}28{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280280_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+30
+29
+Connector_FFC-FPC
+Molex_200528-0290_1x29-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0290,{space}29{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280290_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+31
+30
+Connector_FFC-FPC
+Molex_200528-0300_1x30-1MP_P1.00mm_Horizontal
+Molex{space}Molex{space}1.00mm{space}Pitch{space}Easy-On{space}BackFlip,{space}Right-Angle,{space}Bottom{space}Contact{space}FFC/FPC,{space}200528-0300,{space}30{space}Circuits{space}(https://www.molex.com/pdm_docs/sd/2005280300_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+32
+31
+Connector_FFC-FPC
+Molex_502244-1530_1x15-1MP_P0.5mm_Horizontal
+Molex{space}0.50mm{space}Pitch{space}Easy-On{space}Type{space}FFC/FPC{space}Connector,{space}For{space}LVDS,{space}2.33mm{space}Height,{space}Right{space}Angle,{space}Surface{space}Mount,{space}ZIF,{space}Bottom{space}Contact{space}Style,{space}15{space}Circuits{space}(http://www.molex.com/pdm_docs/sd/5022441530_sd.pdf)
+molex{space}FFC/FPC{space}connector{space}Pitch{space}0.5mm{space}right{space}angle
+0
+19
+16
+Connector_FFC-FPC
+Molex_502244-2430_1x24-1MP_P0.5mm_Horizontal
+Molex{space}0.50mm{space}Pitch{space}Easy-On{space}Type{space}FFC/FPC{space}Connector,{space}For{space}LVDS,{space}2.33mm{space}Height,{space}Right{space}Angle,{space}Surface{space}Mount,{space}ZIF,{space}Bottom{space}Contact{space}Style,{space}24{space}Circuits{space}(http://www.molex.com/pdm_docs/sd/5022441530_sd.pdf)
+molex{space}FFC/FPC{space}connector{space}Pitch{space}0.5mm{space}right{space}angle
+0
+28
+25
+Connector_FFC-FPC
+Molex_502244-3330_1x33-1MP_P0.5mm_Horizontal
+Molex{space}0.50mm{space}Pitch{space}Easy-On{space}Type{space}FFC/FPC{space}Connector,{space}For{space}LVDS,{space}2.33mm{space}Height,{space}Right{space}Angle,{space}Surface{space}Mount,{space}ZIF,{space}Bottom{space}Contact{space}Style,{space}33{space}Circuits{space}(http://www.molex.com/pdm_docs/sd/5022441530_sd.pdf)
+molex{space}FFC/FPC{space}connector{space}Pitch{space}0.5mm{space}right{space}angle
+0
+39
+34
+Connector_FFC-FPC
+Molex_502250-1791_2Rows-17Pins-1MP_P0.60mm_Horizontal
+Molex{space}Molex{space}0.30mm{space}Pitch{space}Easy-On{space}BackFlip{space}Type{space}FFC/FPC,{space}502250-1791,{space}17{space}Circuits{space}(http://www.molex.com/pdm_docs/sd/5022501791_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+19
+18
+Connector_FFC-FPC
+Molex_502250-2191_2Rows-21Pins-1MP_P0.60mm_Horizontal
+Molex{space}Molex{space}0.30mm{space}Pitch{space}Easy-On{space}BackFlip{space}Type{space}FFC/FPC,{space}502250-2191,{space}21{space}Circuits{space}(http://www.molex.com/pdm_docs/sd/5022502191_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+23
+22
+Connector_FFC-FPC
+Molex_502250-2391_2Rows-23Pins-1MP_P0.60mm_Horizontal
+Molex{space}Molex{space}0.30mm{space}Pitch{space}Easy-On{space}BackFlip{space}Type{space}FFC/FPC,{space}502250-2391,{space}23{space}Circuits{space}(http://www.molex.com/pdm_docs/sd/5022502391_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+25
+24
+Connector_FFC-FPC
+Molex_502250-2791_2Rows-27Pins-1MP_P0.60mm_Horizontal
+Molex{space}Molex{space}0.30mm{space}Pitch{space}Easy-On{space}BackFlip{space}Type{space}FFC/FPC,{space}502250-2791,{space}27{space}Circuits{space}(http://www.molex.com/pdm_docs/sd/5022502791_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+29
+28
+Connector_FFC-FPC
+Molex_502250-3391_2Rows-33Pins-1MP_P0.60mm_Horizontal
+Molex{space}Molex{space}0.30mm{space}Pitch{space}Easy-On{space}BackFlip{space}Type{space}FFC/FPC,{space}502250-3391,{space}33{space}Circuits{space}(http://www.molex.com/pdm_docs/sd/5022503391_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+35
+34
+Connector_FFC-FPC
+Molex_502250-3591_2Rows-35Pins-1MP_P0.60mm_Horizontal
+Molex{space}Molex{space}0.30mm{space}Pitch{space}Easy-On{space}BackFlip{space}Type{space}FFC/FPC,{space}502250-3591,{space}35{space}Circuits{space}(http://www.molex.com/pdm_docs/sd/5022503591_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+37
+36
+Connector_FFC-FPC
+Molex_502250-3991_2Rows-39Pins-1MP_P0.60mm_Horizontal
+Molex{space}Molex{space}0.30mm{space}Pitch{space}Easy-On{space}BackFlip{space}Type{space}FFC/FPC,{space}502250-3991,{space}39{space}Circuits{space}(http://www.molex.com/pdm_docs/sd/5022503991_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+41
+40
+Connector_FFC-FPC
+Molex_502250-4191_2Rows-41Pins-1MP_P0.60mm_Horizontal
+Molex{space}Molex{space}0.30mm{space}Pitch{space}Easy-On{space}BackFlip{space}Type{space}FFC/FPC,{space}502250-4191,{space}41{space}Circuits{space}(http://www.molex.com/pdm_docs/sd/5022504191_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+43
+42
+Connector_FFC-FPC
+Molex_502250-5191_2Rows-51Pins-1MP_P0.60mm_Horizontal
+Molex{space}Molex{space}0.30mm{space}Pitch{space}Easy-On{space}BackFlip{space}Type{space}FFC/FPC,{space}502250-5191,{space}51{space}Circuits{space}(http://www.molex.com/pdm_docs/sd/5022505191_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}{space}top{space}entry
+0
+53
+52
+Connector_FFC-FPC
+TE_1-84952-0_1x10-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}10{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+12
+11
+Connector_FFC-FPC
+TE_1-84952-1_1x11-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}11{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+13
+12
+Connector_FFC-FPC
+TE_1-84952-2_1x12-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}12{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+14
+13
+Connector_FFC-FPC
+TE_1-84952-3_1x13-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}13{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+15
+14
+Connector_FFC-FPC
+TE_1-84952-4_1x14-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}14{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+16
+15
+Connector_FFC-FPC
+TE_1-84952-5_1x15-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}15{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+17
+16
+Connector_FFC-FPC
+TE_1-84952-6_1x16-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}16{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+18
+17
+Connector_FFC-FPC
+TE_1-84952-7_1x17-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}17{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+19
+18
+Connector_FFC-FPC
+TE_1-84952-8_1x18-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}18{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+20
+19
+Connector_FFC-FPC
+TE_1-84952-9_1x19-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}19{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+21
+20
+Connector_FFC-FPC
+TE_1-84953-0_1x10-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}10{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+12
+11
+Connector_FFC-FPC
+TE_1-84953-1_1x11-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}11{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+13
+12
+Connector_FFC-FPC
+TE_1-84953-2_1x12-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}12{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+14
+13
+Connector_FFC-FPC
+TE_1-84953-3_1x13-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}13{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+15
+14
+Connector_FFC-FPC
+TE_1-84953-4_1x14-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}14{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+16
+15
+Connector_FFC-FPC
+TE_1-84953-5_1x15-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}15{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+17
+16
+Connector_FFC-FPC
+TE_1-84953-6_1x16-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}16{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+18
+17
+Connector_FFC-FPC
+TE_1-84953-7_1x17-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}17{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+19
+18
+Connector_FFC-FPC
+TE_1-84953-8_1x18-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}18{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+20
+19
+Connector_FFC-FPC
+TE_1-84953-9_1x19-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}19{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+21
+20
+Connector_FFC-FPC
+TE_2-84952-0_1x20-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}20{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+22
+21
+Connector_FFC-FPC
+TE_2-84952-1_1x21-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}21{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+23
+22
+Connector_FFC-FPC
+TE_2-84952-2_1x22-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}22{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+24
+23
+Connector_FFC-FPC
+TE_2-84952-3_1x23-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}23{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+25
+24
+Connector_FFC-FPC
+TE_2-84952-4_1x24-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}24{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+26
+25
+Connector_FFC-FPC
+TE_2-84952-5_1x25-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}25{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+27
+26
+Connector_FFC-FPC
+TE_2-84952-6_1x26-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}26{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+28
+27
+Connector_FFC-FPC
+TE_2-84952-7_1x27-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}27{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+29
+28
+Connector_FFC-FPC
+TE_2-84952-8_1x28-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}28{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+30
+29
+Connector_FFC-FPC
+TE_2-84952-9_1x29-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}29{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+31
+30
+Connector_FFC-FPC
+TE_2-84953-0_1x20-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}20{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+22
+21
+Connector_FFC-FPC
+TE_2-84953-1_1x21-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}21{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+23
+22
+Connector_FFC-FPC
+TE_2-84953-2_1x22-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}22{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+24
+23
+Connector_FFC-FPC
+TE_2-84953-3_1x23-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}23{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+25
+24
+Connector_FFC-FPC
+TE_2-84953-4_1x24-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}24{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+26
+25
+Connector_FFC-FPC
+TE_2-84953-5_1x25-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}25{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+27
+26
+Connector_FFC-FPC
+TE_2-84953-6_1x26-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}26{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+28
+27
+Connector_FFC-FPC
+TE_2-84953-7_1x27-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}27{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+29
+28
+Connector_FFC-FPC
+TE_2-84953-8_1x28-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}28{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+30
+29
+Connector_FFC-FPC
+TE_2-84953-9_1x29-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}29{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+31
+30
+Connector_FFC-FPC
+TE_3-84952-0_1x30-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}30{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+32
+31
+Connector_FFC-FPC
+TE_3-84953-0_1x30-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}30{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+32
+31
+Connector_FFC-FPC
+TE_84952-4_1x04-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}04{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+6
+5
+Connector_FFC-FPC
+TE_84952-5_1x05-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}05{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+7
+6
+Connector_FFC-FPC
+TE_84952-6_1x06-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}06{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+8
+7
+Connector_FFC-FPC
+TE_84952-7_1x07-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}07{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+9
+8
+Connector_FFC-FPC
+TE_84952-8_1x08-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}08{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+10
+9
+Connector_FFC-FPC
+TE_84952-9_1x09-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}09{space}bottom-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84952&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84952-4
+te{space}fpc{space}84952
+0
+11
+10
+Connector_FFC-FPC
+TE_84953-4_1x04-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}04{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+6
+5
+Connector_FFC-FPC
+TE_84953-5_1x05-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}05{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+7
+6
+Connector_FFC-FPC
+TE_84953-6_1x06-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}06{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+8
+7
+Connector_FFC-FPC
+TE_84953-7_1x07-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}07{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+9
+8
+Connector_FFC-FPC
+TE_84953-8_1x08-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}08{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+10
+9
+Connector_FFC-FPC
+TE_84953-9_1x09-1MP_P1.0mm_Horizontal
+TE{space}FPC{space}connector,{space}09{space}top-side{space}contacts,{space}1.0mm{space}pitch,{space}1.0mm{space}height,{space}SMT,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=84953&DocType=Customer+Drawing&DocLang=English&DocFormat=pdf&PartCntxt=84953-4
+te{space}fpc{space}84953
+0
+11
+10
+Connector_FFC-FPC
+Wuerth_68611214422_1x12-1MP_P1.0mm_Horizontal
+http://katalog.we-online.de/em/datasheet/68611214422.pdf
+Wuerth{space}FPC{space}68611214422{space}connector{space}12{space}bottom-side{space}contacts{space}1.0mm{space}pitch{space}1.0mm{space}height{space}SMT
+0
+14
+13
+Connector_HDMI
+HDMI_A_Contact_Technology_HDMI-19APL2_Horizontal
+HDMI{space}Contact{space}Technology{space}Type{space}A{space}http://www.contactswitch.com/en/download.aspx?id=1449
+HDMI{space}Contact{space}Technology{space}Type{space}A
+0
+23
+20
+Connector_HDMI
+HDMI_Micro-D_Molex_46765-0x01
+HDMI,{space}Micro,{space}Type{space}D,{space}SMD,{space}0.4mm{space}pitch,{space}19{space}ckt,{space}right{space}angle{space}(http://www.molex.com/pdm_docs/sd/467651301_sd.pdf)
+hdmi{space}micro{space}type{space}d{space}right{space}angle{space}smd
+0
+23
+20
+Connector_HDMI
+HDMI_Micro-D_Molex_46765-1x01
+HDMI,{space}Micro,{space}Type{space}D,{space}THT,{space}0.4mm{space}pitch,{space}19{space}ckt,{space}right{space}angle{space}(http://www.molex.com/pdm_docs/sd/467651301_sd.pdf)
+hdmi{space}micro{space}type{space}d{space}right{space}angle{space}tht
+0
+23
+20
+Connector_HDMI
+HDMI_Micro-D_Molex_46765-2x0x
+HDMI,{space}Micro,{space}Type{space}D,{space}THT/SMD{space}hybrid,{space}0.4mm{space}pitch,{space}19{space}ckt,{space}right{space}angle{space}(http://www.molex.com/pdm_docs/sd/467651301_sd.pdf)
+hdmi{space}micro{space}type{space}d{space}right{space}angle{space}tht{space}smd{space}hybrid{space}
+0
+23
+20
+Connector_Harwin
+Harwin_Gecko-G125-FVX0605L0X_2x03_P1.25mm_Vertical
+Harwin{space}Gecko{space}Connector,{space}6{space}pins,{space}dual{space}row{space}female,{space}vertical{space}entry,{space}PN:G125-FVX0605L0X
+connector{space}harwin{space}gecko
+0
+6
+6
+Connector_Harwin
+Harwin_Gecko-G125-FVX1005L0X_2x05_P1.25mm_Vertical
+Harwin{space}Gecko{space}Connector,{space}10{space}pins,{space}dual{space}row{space}female,{space}vertical{space}entry,{space}PN:G125-FVX1005L0X
+connector{space}harwin{space}gecko
+0
+10
+10
+Connector_Harwin
+Harwin_Gecko-G125-FVX1205L0X_2x06_P1.25mm_Vertical
+Harwin{space}Gecko{space}Connector,{space}12{space}pins,{space}dual{space}row{space}female,{space}vertical{space}entry,{space}PN:G125-FVX1205L0X
+connector{space}harwin{space}gecko
+0
+12
+12
+Connector_Harwin
+Harwin_Gecko-G125-FVX1605L0X_2x08_P1.25mm_Vertical
+Harwin{space}Gecko{space}Connector,{space}16{space}pins,{space}dual{space}row{space}female,{space}vertical{space}entry,{space}PN:G125-FVX1605L0X
+connector{space}harwin{space}gecko
+0
+16
+16
+Connector_Harwin
+Harwin_Gecko-G125-FVX2005L0X_2x10_P1.25mm_Vertical
+Harwin{space}Gecko{space}Connector,{space}20{space}pins,{space}dual{space}row{space}female,{space}vertical{space}entry,{space}PN:G125-FVX2005L0X
+connector{space}harwin{space}gecko
+0
+20
+20
+Connector_Harwin
+Harwin_Gecko-G125-FVX2605L0X_2x13_P1.25mm_Vertical
+Harwin{space}Gecko{space}Connector,{space}26{space}pins,{space}dual{space}row{space}female,{space}vertical{space}entry,{space}PN:G125-FVX2605L0X
+connector{space}harwin{space}gecko
+0
+26
+26
+Connector_Harwin
+Harwin_Gecko-G125-FVX3405L0X_2x17_P1.25mm_Vertical
+Harwin{space}Gecko{space}Connector,{space}34{space}pins,{space}dual{space}row{space}female,{space}vertical{space}entry,{space}PN:G125-FVX3405L0X
+connector{space}harwin{space}gecko
+0
+34
+34
+Connector_Harwin
+Harwin_Gecko-G125-FVX5005L0X_2x25_P1.25mm_Vertical
+Harwin{space}Gecko{space}Connector,{space}50{space}pins,{space}dual{space}row{space}female,{space}vertical{space}entry,{space}PN:G125-FVX5005L0X
+connector{space}harwin{space}gecko
+0
+50
+50
+Connector_Harwin
+Harwin_Gecko-G125-MVX0605L0X_2x03_P1.25mm_Vertical
+Harwin{space}Gecko{space}Connector,{space}6{space}pins,{space}dual{space}row{space}male,{space}vertical{space}entry,{space}no{space}latches,{space}PN:G125-MVX0605L0X
+connector{space}harwin{space}gecko
+0
+6
+6
+Connector_Harwin
+Harwin_Gecko-G125-MVX0605L1X_2x03_P1.25mm_Vertical
+Harwin{space}Gecko{space}Connector,{space}6{space}pins,{space}dual{space}row{space}male,{space}vertical{space}entry,{space}with{space}latches,{space}PN:G125-MVX0605L1X
+connector{space}harwin{space}gecko
+0
+6
+6
+Connector_Harwin
+Harwin_Gecko-G125-MVX1005L0X_2x05_P1.25mm_Vertical
+Harwin{space}Gecko{space}Connector,{space}10{space}pins,{space}dual{space}row{space}male,{space}vertical{space}entry,{space}no{space}latches,{space}PN:G125-MVX1005L0X
+connector{space}harwin{space}gecko
+0
+10
+10
+Connector_Harwin
+Harwin_Gecko-G125-MVX1005L1X_2x05_P1.25mm_Vertical
+Harwin{space}Gecko{space}Connector,{space}10{space}pins,{space}dual{space}row{space}male,{space}vertical{space}entry,{space}with{space}latches,{space}PN:G125-MVX1005L1X
+connector{space}harwin{space}gecko
+0
+10
+10
+Connector_Harwin
+Harwin_Gecko-G125-MVX1205L0X_2x06_P1.25mm_Vertical
+Harwin{space}Gecko{space}Connector,{space}12{space}pins,{space}dual{space}row{space}male,{space}vertical{space}entry,{space}no{space}latches,{space}PN:G125-MVX1205L0X
+connector{space}harwin{space}gecko
+0
+12
+12
+Connector_Harwin
+Harwin_Gecko-G125-MVX1205L1X_2x06_P1.25mm_Vertical
+Harwin{space}Gecko{space}Connector,{space}12{space}pins,{space}dual{space}row{space}male,{space}vertical{space}entry,{space}with{space}latches,{space}PN:G125-MVX1205L1X
+connector{space}harwin{space}gecko
+0
+12
+12
+Connector_Harwin
+Harwin_Gecko-G125-MVX1605L0X_2x08_P1.25mm_Vertical
+Harwin{space}Gecko{space}Connector,{space}16{space}pins,{space}dual{space}row{space}male,{space}vertical{space}entry,{space}no{space}latches,{space}PN:G125-MVX1605L0X
+connector{space}harwin{space}gecko
+0
+16
+16
+Connector_Harwin
+Harwin_Gecko-G125-MVX1605L1X_2x08_P1.25mm_Vertical
+Harwin{space}Gecko{space}Connector,{space}16{space}pins,{space}dual{space}row{space}male,{space}vertical{space}entry,{space}with{space}latches,{space}PN:G125-MVX1605L1X
+connector{space}harwin{space}gecko
+0
+16
+16
+Connector_Harwin
+Harwin_Gecko-G125-MVX2005L0X_2x10_P1.25mm_Vertical
+Harwin{space}Gecko{space}Connector,{space}20{space}pins,{space}dual{space}row{space}male,{space}vertical{space}entry,{space}no{space}latches,{space}PN:G125-MVX2005L0X
+connector{space}harwin{space}gecko
+0
+20
+20
+Connector_Harwin
+Harwin_Gecko-G125-MVX2005L1X_2x10_P1.25mm_Vertical
+Harwin{space}Gecko{space}Connector,{space}20{space}pins,{space}dual{space}row{space}male,{space}vertical{space}entry,{space}with{space}latches,{space}PN:G125-MVX2005L1X
+connector{space}harwin{space}gecko
+0
+20
+20
+Connector_Harwin
+Harwin_Gecko-G125-MVX2605L0X_2x13_P1.25mm_Vertical
+Harwin{space}Gecko{space}Connector,{space}26{space}pins,{space}dual{space}row{space}male,{space}vertical{space}entry,{space}no{space}latches,{space}PN:G125-MVX2605L0X
+connector{space}harwin{space}gecko
+0
+26
+26
+Connector_Harwin
+Harwin_Gecko-G125-MVX2605L1X_2x13_P1.25mm_Vertical
+Harwin{space}Gecko{space}Connector,{space}26{space}pins,{space}dual{space}row{space}male,{space}vertical{space}entry,{space}with{space}latches,{space}PN:G125-MVX2605L1X
+connector{space}harwin{space}gecko
+0
+26
+26
+Connector_Harwin
+Harwin_Gecko-G125-MVX3405L0X_2x17_P1.25mm_Vertical
+Harwin{space}Gecko{space}Connector,{space}34{space}pins,{space}dual{space}row{space}male,{space}vertical{space}entry,{space}no{space}latches,{space}PN:G125-MVX3405L0X
+connector{space}harwin{space}gecko
+0
+34
+34
+Connector_Harwin
+Harwin_Gecko-G125-MVX3405L1X_2x17_P1.25mm_Vertical
+Harwin{space}Gecko{space}Connector,{space}34{space}pins,{space}dual{space}row{space}male,{space}vertical{space}entry,{space}with{space}latches,{space}PN:G125-MVX3405L1X
+connector{space}harwin{space}gecko
+0
+34
+34
+Connector_Harwin
+Harwin_Gecko-G125-MVX5005L0X_2x25_P1.25mm_Vertical
+Harwin{space}Gecko{space}Connector,{space}50{space}pins,{space}dual{space}row{space}male,{space}vertical{space}entry,{space}no{space}latches,{space}PN:G125-MVX5005L0X
+connector{space}harwin{space}gecko
+0
+50
+50
+Connector_Harwin
+Harwin_Gecko-G125-MVX5005L1X_2x25_P1.25mm_Vertical
+Harwin{space}Gecko{space}Connector,{space}50{space}pins,{space}dual{space}row{space}male,{space}vertical{space}entry,{space}with{space}latches,{space}PN:G125-MVX5005L1X
+connector{space}harwin{space}gecko
+0
+50
+50
+Connector_Harwin
+Harwin_LTek-Male_02_P2.00mm_Vertical
+Harwin{space}LTek{space}Connector,{space}2{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry
+connector{space}harwin{space}ltek{space}M80
+0
+2
+2
+Connector_Harwin
+Harwin_LTek-Male_02_P2.00mm_Vertical_StrainRelief
+Harwin{space}LTek{space}Connector,{space}2{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry,{space}strain{space}relief{space}clip
+connector{space}harwin{space}ltek{space}M80
+0
+6
+2
+Connector_Harwin
+Harwin_LTek-Male_2x02_P2.00mm_Vertical
+Harwin{space}LTek{space}Connector,{space}4{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry
+connector{space}harwin{space}ltek{space}M80
+0
+4
+4
+Connector_Harwin
+Harwin_LTek-Male_2x02_P2.00mm_Vertical_StrainRelief
+Harwin{space}LTek{space}Connector,{space}4{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry,{space}strain{space}relief{space}clip
+connector{space}harwin{space}ltek{space}M80
+0
+8
+4
+Connector_Harwin
+Harwin_LTek-Male_2x03_P2.00mm_Vertical
+Harwin{space}LTek{space}Connector,{space}6{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry
+connector{space}harwin{space}ltek{space}M80
+0
+6
+6
+Connector_Harwin
+Harwin_LTek-Male_2x03_P2.00mm_Vertical_StrainRelief
+Harwin{space}LTek{space}Connector,{space}6{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry,{space}strain{space}relief{space}clip
+connector{space}harwin{space}ltek{space}M80
+0
+10
+6
+Connector_Harwin
+Harwin_LTek-Male_2x04_P2.00mm_Vertical
+Harwin{space}LTek{space}Connector,{space}8{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry
+connector{space}harwin{space}ltek{space}M80
+0
+8
+8
+Connector_Harwin
+Harwin_LTek-Male_2x04_P2.00mm_Vertical_StrainRelief
+Harwin{space}LTek{space}Connector,{space}8{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry,{space}strain{space}relief{space}clip
+connector{space}harwin{space}ltek{space}M80
+0
+12
+8
+Connector_Harwin
+Harwin_LTek-Male_2x05_P2.00mm_Vertical
+Harwin{space}LTek{space}Connector,{space}10{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry
+connector{space}harwin{space}ltek{space}M80
+0
+10
+10
+Connector_Harwin
+Harwin_LTek-Male_2x05_P2.00mm_Vertical_StrainRelief
+Harwin{space}LTek{space}Connector,{space}10{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry,{space}strain{space}relief{space}clip
+connector{space}harwin{space}ltek{space}M80
+0
+14
+10
+Connector_Harwin
+Harwin_LTek-Male_2x06_P2.00mm_Vertical
+Harwin{space}LTek{space}Connector,{space}12{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry
+connector{space}harwin{space}ltek{space}M80
+0
+12
+12
+Connector_Harwin
+Harwin_LTek-Male_2x06_P2.00mm_Vertical_StrainRelief
+Harwin{space}LTek{space}Connector,{space}12{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry,{space}strain{space}relief{space}clip
+connector{space}harwin{space}ltek{space}M80
+0
+16
+12
+Connector_Harwin
+Harwin_LTek-Male_2x07_P2.00mm_Vertical
+Harwin{space}LTek{space}Connector,{space}14{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry
+connector{space}harwin{space}ltek{space}M80
+0
+14
+14
+Connector_Harwin
+Harwin_LTek-Male_2x07_P2.00mm_Vertical_StrainRelief
+Harwin{space}LTek{space}Connector,{space}14{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry,{space}strain{space}relief{space}clip
+connector{space}harwin{space}ltek{space}M80
+0
+18
+14
+Connector_Harwin
+Harwin_LTek-Male_2x08_P2.00mm_Vertical
+Harwin{space}LTek{space}Connector,{space}16{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry
+connector{space}harwin{space}ltek{space}M80
+0
+16
+16
+Connector_Harwin
+Harwin_LTek-Male_2x08_P2.00mm_Vertical_StrainRelief
+Harwin{space}LTek{space}Connector,{space}16{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry,{space}strain{space}relief{space}clip
+connector{space}harwin{space}ltek{space}M80
+0
+20
+16
+Connector_Harwin
+Harwin_LTek-Male_2x09_P2.00mm_Vertical
+Harwin{space}LTek{space}Connector,{space}18{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry
+connector{space}harwin{space}ltek{space}M80
+0
+18
+18
+Connector_Harwin
+Harwin_LTek-Male_2x09_P2.00mm_Vertical_StrainRelief
+Harwin{space}LTek{space}Connector,{space}18{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry,{space}strain{space}relief{space}clip
+connector{space}harwin{space}ltek{space}M80
+0
+22
+18
+Connector_Harwin
+Harwin_LTek-Male_2x10_P2.00mm_Vertical
+Harwin{space}LTek{space}Connector,{space}20{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry
+connector{space}harwin{space}ltek{space}M80
+0
+20
+20
+Connector_Harwin
+Harwin_LTek-Male_2x10_P2.00mm_Vertical_StrainRelief
+Harwin{space}LTek{space}Connector,{space}20{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry,{space}strain{space}relief{space}clip
+connector{space}harwin{space}ltek{space}M80
+0
+24
+20
+Connector_Harwin
+Harwin_LTek-Male_2x13_P2.00mm_Vertical
+Harwin{space}LTek{space}Connector,{space}26{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry
+connector{space}harwin{space}ltek{space}M80
+0
+26
+26
+Connector_Harwin
+Harwin_LTek-Male_2x13_P2.00mm_Vertical_StrainRelief
+Harwin{space}LTek{space}Connector,{space}26{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry,{space}strain{space}relief{space}clip
+connector{space}harwin{space}ltek{space}M80
+0
+30
+26
+Connector_Harwin
+Harwin_LTek-Male_2x17_P2.00mm_Vertical
+Harwin{space}LTek{space}Connector,{space}34{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry
+connector{space}harwin{space}ltek{space}M80
+0
+34
+34
+Connector_Harwin
+Harwin_LTek-Male_2x17_P2.00mm_Vertical_StrainRelief
+Harwin{space}LTek{space}Connector,{space}34{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry,{space}strain{space}relief{space}clip
+connector{space}harwin{space}ltek{space}M80
+0
+38
+34
+Connector_Harwin
+Harwin_LTek-Male_2x22_P2.00mm_Vertical
+Harwin{space}LTek{space}Connector,{space}44{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry
+connector{space}harwin{space}ltek{space}M80
+0
+44
+44
+Connector_Harwin
+Harwin_LTek-Male_2x22_P2.00mm_Vertical_StrainRelief
+Harwin{space}LTek{space}Connector,{space}44{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry,{space}strain{space}relief{space}clip
+connector{space}harwin{space}ltek{space}M80
+0
+48
+44
+Connector_Harwin
+Harwin_LTek-Male_03_P2.00mm_Vertical
+Harwin{space}LTek{space}Connector,{space}3{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry
+connector{space}harwin{space}ltek{space}M80
+0
+3
+3
+Connector_Harwin
+Harwin_LTek-Male_03_P2.00mm_Vertical_StrainRelief
+Harwin{space}LTek{space}Connector,{space}3{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry,{space}strain{space}relief{space}clip
+connector{space}harwin{space}ltek{space}M80
+0
+7
+3
+Connector_Harwin
+Harwin_LTek-Male_04_P2.00mm_Vertical
+Harwin{space}LTek{space}Connector,{space}4{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry
+connector{space}harwin{space}ltek{space}M80
+0
+4
+4
+Connector_Harwin
+Harwin_LTek-Male_04_P2.00mm_Vertical_StrainRelief
+Harwin{space}LTek{space}Connector,{space}4{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry,{space}strain{space}relief{space}clip
+connector{space}harwin{space}ltek{space}M80
+0
+8
+4
+Connector_Harwin
+Harwin_LTek-Male_05_P2.00mm_Vertical
+Harwin{space}LTek{space}Connector,{space}5{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry
+connector{space}harwin{space}ltek{space}M80
+0
+5
+5
+Connector_Harwin
+Harwin_LTek-Male_05_P2.00mm_Vertical_StrainRelief
+Harwin{space}LTek{space}Connector,{space}5{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry,{space}strain{space}relief{space}clip
+connector{space}harwin{space}ltek{space}M80
+0
+9
+5
+Connector_Harwin
+Harwin_LTek-Male_06_P2.00mm_Vertical
+Harwin{space}LTek{space}Connector,{space}6{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry
+connector{space}harwin{space}ltek{space}M80
+0
+6
+6
+Connector_Harwin
+Harwin_LTek-Male_06_P2.00mm_Vertical_StrainRelief
+Harwin{space}LTek{space}Connector,{space}6{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry,{space}strain{space}relief{space}clip
+connector{space}harwin{space}ltek{space}M80
+0
+10
+6
+Connector_Harwin
+Harwin_LTek-Male_07_P2.00mm_Vertical
+Harwin{space}LTek{space}Connector,{space}7{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry
+connector{space}harwin{space}ltek{space}M80
+0
+7
+7
+Connector_Harwin
+Harwin_LTek-Male_07_P2.00mm_Vertical_StrainRelief
+Harwin{space}LTek{space}Connector,{space}7{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry,{space}strain{space}relief{space}clip
+connector{space}harwin{space}ltek{space}M80
+0
+11
+7
+Connector_Harwin
+Harwin_LTek-Male_17_P2.00mm_Vertical
+Harwin{space}LTek{space}Connector,{space}17{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry
+connector{space}harwin{space}ltek{space}M80
+0
+17
+17
+Connector_Harwin
+Harwin_LTek-Male_17_P2.00mm_Vertical_StrainRelief
+Harwin{space}LTek{space}Connector,{space}17{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry,{space}strain{space}relief{space}clip
+connector{space}harwin{space}ltek{space}M80
+0
+21
+17
+Connector_Harwin
+Harwin_LTek-Male_22_P2.00mm_Vertical
+Harwin{space}LTek{space}Connector,{space}22{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry
+connector{space}harwin{space}ltek{space}M80
+0
+22
+22
+Connector_Harwin
+Harwin_LTek-Male_22_P2.00mm_Vertical_StrainRelief
+Harwin{space}LTek{space}Connector,{space}22{space}pins,{space}single{space}row{space}male,{space}vertical{space}entry,{space}strain{space}relief{space}clip
+connector{space}harwin{space}ltek{space}M80
+0
+26
+22
+Connector_Harwin
+Harwin_M20-89003xx_1x03_P2.54mm_Horizontal
+Harwin{space}Male{space}Horizontal{space}Surface{space}Mount{space}Single{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-89003xx,{space}3{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-890.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20-890{space}horizontal
+0
+4
+3
+Connector_Harwin
+Harwin_M20-89004xx_1x04_P2.54mm_Horizontal
+Harwin{space}Male{space}Horizontal{space}Surface{space}Mount{space}Single{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-89004xx,{space}4{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-890.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20-890{space}horizontal
+0
+5
+4
+Connector_Harwin
+Harwin_M20-89005xx_1x05_P2.54mm_Horizontal
+Harwin{space}Male{space}Horizontal{space}Surface{space}Mount{space}Single{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-89005xx,{space}5{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-890.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20-890{space}horizontal
+0
+6
+5
+Connector_Harwin
+Harwin_M20-89006xx_1x06_P2.54mm_Horizontal
+Harwin{space}Male{space}Horizontal{space}Surface{space}Mount{space}Single{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-89006xx,{space}6{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-890.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20-890{space}horizontal
+0
+7
+6
+Connector_Harwin
+Harwin_M20-89007xx_1x07_P2.54mm_Horizontal
+Harwin{space}Male{space}Horizontal{space}Surface{space}Mount{space}Single{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-89007xx,{space}7{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-890.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20-890{space}horizontal
+0
+8
+7
+Connector_Harwin
+Harwin_M20-89008xx_1x08_P2.54mm_Horizontal
+Harwin{space}Male{space}Horizontal{space}Surface{space}Mount{space}Single{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-89008xx,{space}8{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-890.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20-890{space}horizontal
+0
+9
+8
+Connector_Harwin
+Harwin_M20-89009xx_1x09_P2.54mm_Horizontal
+Harwin{space}Male{space}Horizontal{space}Surface{space}Mount{space}Single{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-89009xx,{space}9{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-890.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20-890{space}horizontal
+0
+10
+9
+Connector_Harwin
+Harwin_M20-89010xx_1x10_P2.54mm_Horizontal
+Harwin{space}Male{space}Horizontal{space}Surface{space}Mount{space}Single{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-89010xx,{space}10{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-890.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20-890{space}horizontal
+0
+11
+10
+Connector_Harwin
+Harwin_M20-89011xx_1x11_P2.54mm_Horizontal
+Harwin{space}Male{space}Horizontal{space}Surface{space}Mount{space}Single{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-89011xx,{space}11{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-890.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20-890{space}horizontal
+0
+12
+11
+Connector_Harwin
+Harwin_M20-89012xx_1x12_P2.54mm_Horizontal
+Harwin{space}Male{space}Horizontal{space}Surface{space}Mount{space}Single{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-89012xx,{space}12{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-890.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20-890{space}horizontal
+0
+13
+12
+Connector_Harwin
+Harwin_M20-89013xx_1x13_P2.54mm_Horizontal
+Harwin{space}Male{space}Horizontal{space}Surface{space}Mount{space}Single{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-89013xx,{space}13{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-890.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20-890{space}horizontal
+0
+14
+13
+Connector_Harwin
+Harwin_M20-89014xx_1x14_P2.54mm_Horizontal
+Harwin{space}Male{space}Horizontal{space}Surface{space}Mount{space}Single{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-89014xx,{space}14{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-890.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20-890{space}horizontal
+0
+15
+14
+Connector_Harwin
+Harwin_M20-89015xx_1x15_P2.54mm_Horizontal
+Harwin{space}Male{space}Horizontal{space}Surface{space}Mount{space}Single{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-89015xx,{space}15{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-890.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20-890{space}horizontal
+0
+16
+15
+Connector_Harwin
+Harwin_M20-89016xx_1x16_P2.54mm_Horizontal
+Harwin{space}Male{space}Horizontal{space}Surface{space}Mount{space}Single{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-89016xx,{space}16{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-890.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20-890{space}horizontal
+0
+17
+16
+Connector_Harwin
+Harwin_M20-89017xx_1x17_P2.54mm_Horizontal
+Harwin{space}Male{space}Horizontal{space}Surface{space}Mount{space}Single{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-89017xx,{space}17{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-890.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20-890{space}horizontal
+0
+18
+17
+Connector_Harwin
+Harwin_M20-89018xx_1x18_P2.54mm_Horizontal
+Harwin{space}Male{space}Horizontal{space}Surface{space}Mount{space}Single{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-89018xx,{space}18{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-890.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20-890{space}horizontal
+0
+19
+18
+Connector_Harwin
+Harwin_M20-89019xx_1x19_P2.54mm_Horizontal
+Harwin{space}Male{space}Horizontal{space}Surface{space}Mount{space}Single{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-89019xx,{space}19{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-890.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20-890{space}horizontal
+0
+20
+19
+Connector_Harwin
+Harwin_M20-89020xx_1x20_P2.54mm_Horizontal
+Harwin{space}Male{space}Horizontal{space}Surface{space}Mount{space}Single{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-89020xx,{space}20{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-890.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20-890{space}horizontal
+0
+21
+20
+Connector_Harwin
+Harwin_M20-7810245_2x02_P2.54mm_Vertical
+Harwin{space}Female{space}Vertical{space}Surface{space}Mount{space}Double{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-7810245,{space}2{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-781.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20{space}side{space}entry
+0
+4
+4
+Connector_Harwin
+Harwin_M20-7810345_2x03_P2.54mm_Vertical
+Harwin{space}Female{space}Vertical{space}Surface{space}Mount{space}Double{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-7810345,{space}3{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-781.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20{space}side{space}entry
+0
+6
+6
+Connector_Harwin
+Harwin_M20-7810445_2x04_P2.54mm_Vertical
+Harwin{space}Female{space}Vertical{space}Surface{space}Mount{space}Double{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-7810445,{space}4{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-781.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20{space}side{space}entry
+0
+8
+8
+Connector_Harwin
+Harwin_M20-7810545_2x05_P2.54mm_Vertical
+Harwin{space}Female{space}Vertical{space}Surface{space}Mount{space}Double{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-7810545,{space}5{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-781.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20{space}side{space}entry
+0
+10
+10
+Connector_Harwin
+Harwin_M20-7810645_2x06_P2.54mm_Vertical
+Harwin{space}Female{space}Vertical{space}Surface{space}Mount{space}Double{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-7810645,{space}6{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-781.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20{space}side{space}entry
+0
+12
+12
+Connector_Harwin
+Harwin_M20-7810745_2x07_P2.54mm_Vertical
+Harwin{space}Female{space}Vertical{space}Surface{space}Mount{space}Double{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-7810745,{space}7{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-781.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20{space}side{space}entry
+0
+14
+14
+Connector_Harwin
+Harwin_M20-7810845_2x08_P2.54mm_Vertical
+Harwin{space}Female{space}Vertical{space}Surface{space}Mount{space}Double{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-7810845,{space}8{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-781.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20{space}side{space}entry
+0
+16
+16
+Connector_Harwin
+Harwin_M20-7810945_2x09_P2.54mm_Vertical
+Harwin{space}Female{space}Vertical{space}Surface{space}Mount{space}Double{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-7810945,{space}9{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-781.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20{space}side{space}entry
+0
+18
+18
+Connector_Harwin
+Harwin_M20-7811045_2x10_P2.54mm_Vertical
+Harwin{space}Female{space}Vertical{space}Surface{space}Mount{space}Double{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-7811045,{space}10{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-781.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20{space}side{space}entry
+0
+20
+20
+Connector_Harwin
+Harwin_M20-7811245_2x12_P2.54mm_Vertical
+Harwin{space}Female{space}Vertical{space}Surface{space}Mount{space}Double{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-7811245,{space}12{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-781.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20{space}side{space}entry
+0
+24
+24
+Connector_Harwin
+Harwin_M20-7811545_2x15_P2.54mm_Vertical
+Harwin{space}Female{space}Vertical{space}Surface{space}Mount{space}Double{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-7811545,{space}15{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-781.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20{space}side{space}entry
+0
+30
+30
+Connector_Harwin
+Harwin_M20-7812045_2x20_P2.54mm_Vertical
+Harwin{space}Female{space}Vertical{space}Surface{space}Mount{space}Double{space}Row{space}2.54mm{space}(0.1{space}inch){space}Pitch{space}PCB{space}Connector,{space}M20-7812045,{space}20{space}Pins{space}per{space}row{space}(https://cdn.harwin.com/pdfs/M20-781.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Harwin{space}M20{space}side{space}entry
+0
+40
+40
+Connector_Hirose
+Hirose_BM24_BM24-40DP-2-0.35V_2x20_P0.35mm_PowerPin2_Vertical
+Hirose{space}BM24{space}series{space}connector,{space}BM24-40DP/2-0.35V{space}(https://www.hirose.com/product/en/download_file/key_name/BM24/category/Catalog/doc_file_id/47680/?file_category_id=4&item_id=50&is_series=1)
+connector{space}Hirose{space}{space}BM24{space}40pin{space}header
+0
+44
+42
+Connector_Hirose
+Hirose_BM24_BM24-40DS-2-0.35V_2x20_P0.35mm_PowerPin2_Vertical
+Hirose{space}BM24{space}series{space}connector,{space}BM24-40DS/2-0.35V{space}(https://www.hirose.com/product/en/download_file/key_name/BM24/category/Catalog/doc_file_id/47680/?file_category_id=4&item_id=50&is_series=1)
+connector{space}Hirose{space}40pin{space}receptacle{space}vertical
+0
+42
+42
+Connector_Hirose
+Hirose_DF13-02P-1.25DSA_1x02_P1.25mm_Vertical
+Molex{space}DF13{space}through{space}hole,{space}DF13-02P-1.25DSA,{space}2{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}side{space}entry
+0
+2
+2
+Connector_Hirose
+Hirose_DF13-02P-1.25DS_1x02_P1.25mm_Horizontal
+Molex{space}DF13{space}through{space}hole,{space}DF13-02P-1.25DS,{space}2{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+2
+2
+Connector_Hirose
+Hirose_DF13-03P-1.25DSA_1x03_P1.25mm_Vertical
+Molex{space}DF13{space}through{space}hole,{space}DF13-03P-1.25DSA,{space}3{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}side{space}entry
+0
+3
+3
+Connector_Hirose
+Hirose_DF13-03P-1.25DS_1x03_P1.25mm_Horizontal
+Molex{space}DF13{space}through{space}hole,{space}DF13-03P-1.25DS,{space}3{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+3
+3
+Connector_Hirose
+Hirose_DF13-04P-1.25DSA_1x04_P1.25mm_Vertical
+Molex{space}DF13{space}through{space}hole,{space}DF13-04P-1.25DSA,{space}4{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}side{space}entry
+0
+4
+4
+Connector_Hirose
+Hirose_DF13-04P-1.25DS_1x04_P1.25mm_Horizontal
+Molex{space}DF13{space}through{space}hole,{space}DF13-04P-1.25DS,{space}4{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+4
+4
+Connector_Hirose
+Hirose_DF13-05P-1.25DSA_1x05_P1.25mm_Vertical
+Molex{space}DF13{space}through{space}hole,{space}DF13-05P-1.25DSA,{space}5{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}side{space}entry
+0
+5
+5
+Connector_Hirose
+Hirose_DF13-05P-1.25DS_1x05_P1.25mm_Horizontal
+Molex{space}DF13{space}through{space}hole,{space}DF13-05P-1.25DS,{space}5{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+5
+5
+Connector_Hirose
+Hirose_DF13-06P-1.25DSA_1x06_P1.25mm_Vertical
+Molex{space}DF13{space}through{space}hole,{space}DF13-06P-1.25DSA,{space}6{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}side{space}entry
+0
+6
+6
+Connector_Hirose
+Hirose_DF13-06P-1.25DS_1x06_P1.25mm_Horizontal
+Molex{space}DF13{space}through{space}hole,{space}DF13-06P-1.25DS,{space}6{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+6
+6
+Connector_Hirose
+Hirose_DF13-07P-1.25DSA_1x07_P1.25mm_Vertical
+Molex{space}DF13{space}through{space}hole,{space}DF13-07P-1.25DSA,{space}7{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}side{space}entry
+0
+7
+7
+Connector_Hirose
+Hirose_DF13-07P-1.25DS_1x07_P1.25mm_Horizontal
+Molex{space}DF13{space}through{space}hole,{space}DF13-07P-1.25DS,{space}7{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+7
+7
+Connector_Hirose
+Hirose_DF13-08P-1.25DSA_1x08_P1.25mm_Vertical
+Molex{space}DF13{space}through{space}hole,{space}DF13-08P-1.25DSA,{space}8{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}side{space}entry
+0
+8
+8
+Connector_Hirose
+Hirose_DF13-08P-1.25DS_1x08_P1.25mm_Horizontal
+Molex{space}DF13{space}through{space}hole,{space}DF13-08P-1.25DS,{space}8{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+8
+8
+Connector_Hirose
+Hirose_DF13-09P-1.25DSA_1x09_P1.25mm_Vertical
+Molex{space}DF13{space}through{space}hole,{space}DF13-09P-1.25DSA,{space}9{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}side{space}entry
+0
+9
+9
+Connector_Hirose
+Hirose_DF13-09P-1.25DS_1x09_P1.25mm_Horizontal
+Molex{space}DF13{space}through{space}hole,{space}DF13-09P-1.25DS,{space}9{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+9
+9
+Connector_Hirose
+Hirose_DF13-10P-1.25DSA_1x10_P1.25mm_Vertical
+Molex{space}DF13{space}through{space}hole,{space}DF13-10P-1.25DSA,{space}10{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}side{space}entry
+0
+10
+10
+Connector_Hirose
+Hirose_DF13-10P-1.25DS_1x10_P1.25mm_Horizontal
+Molex{space}DF13{space}through{space}hole,{space}DF13-10P-1.25DS,{space}10{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+10
+10
+Connector_Hirose
+Hirose_DF13-11P-1.25DSA_1x11_P1.25mm_Vertical
+Molex{space}DF13{space}through{space}hole,{space}DF13-11P-1.25DSA,{space}11{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}side{space}entry
+0
+11
+11
+Connector_Hirose
+Hirose_DF13-11P-1.25DS_1x11_P1.25mm_Horizontal
+Molex{space}DF13{space}through{space}hole,{space}DF13-11P-1.25DS,{space}11{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+11
+11
+Connector_Hirose
+Hirose_DF13-12P-1.25DSA_1x12_P1.25mm_Vertical
+Molex{space}DF13{space}through{space}hole,{space}DF13-12P-1.25DSA,{space}12{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}side{space}entry
+0
+12
+12
+Connector_Hirose
+Hirose_DF13-12P-1.25DS_1x12_P1.25mm_Horizontal
+Molex{space}DF13{space}through{space}hole,{space}DF13-12P-1.25DS,{space}12{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+12
+12
+Connector_Hirose
+Hirose_DF13-13P-1.25DSA_1x13_P1.25mm_Vertical
+Molex{space}DF13{space}through{space}hole,{space}DF13-13P-1.25DSA,{space}13{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}side{space}entry
+0
+13
+13
+Connector_Hirose
+Hirose_DF13-14P-1.25DSA_1x14_P1.25mm_Vertical
+Molex{space}DF13{space}through{space}hole,{space}DF13-14P-1.25DSA,{space}14{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}side{space}entry
+0
+14
+14
+Connector_Hirose
+Hirose_DF13-14P-1.25DS_1x14_P1.25mm_Horizontal
+Molex{space}DF13{space}through{space}hole,{space}DF13-14P-1.25DS,{space}14{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+14
+14
+Connector_Hirose
+Hirose_DF13-15P-1.25DSA_1x15_P1.25mm_Vertical
+Molex{space}DF13{space}through{space}hole,{space}DF13-15P-1.25DSA,{space}15{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-2P-1.25DSA%2850%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}side{space}entry
+0
+15
+15
+Connector_Hirose
+Hirose_DF13-15P-1.25DS_1x15_P1.25mm_Horizontal
+Molex{space}DF13{space}through{space}hole,{space}DF13-15P-1.25DS,{space}15{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13-4P-1.25DS%2820%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+15
+15
+Connector_Hirose
+Hirose_DF13C_CL535-0402-2-51_1x02-1MP_P1.25mm_Vertical
+Molex{space}DF13C{space}SMD,{space}CL535-0402-2-51,{space}2{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}DF13C{space}side{space}entry
+0
+4
+3
+Connector_Hirose
+Hirose_DF13C_CL535-0403-5-51_1x03-1MP_P1.25mm_Vertical
+Molex{space}DF13C{space}SMD,{space}CL535-0403-5-51,{space}3{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}DF13C{space}side{space}entry
+0
+5
+4
+Connector_Hirose
+Hirose_DF13C_CL535-0404-8-51_1x04-1MP_P1.25mm_Vertical
+Molex{space}DF13C{space}SMD,{space}CL535-0404-8-51,{space}4{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}DF13C{space}side{space}entry
+0
+6
+5
+Connector_Hirose
+Hirose_DF13C_CL535-0405-0-51_1x05-1MP_P1.25mm_Vertical
+Molex{space}DF13C{space}SMD,{space}CL535-0405-0-51,{space}5{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}DF13C{space}side{space}entry
+0
+7
+6
+Connector_Hirose
+Hirose_DF13C_CL535-0406-3-51_1x06-1MP_P1.25mm_Vertical
+Molex{space}DF13C{space}SMD,{space}CL535-0406-3-51,{space}6{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}DF13C{space}side{space}entry
+0
+8
+7
+Connector_Hirose
+Hirose_DF13C_CL535-0407-6-51_1x07-1MP_P1.25mm_Vertical
+Molex{space}DF13C{space}SMD,{space}CL535-0407-6-51,{space}7{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}DF13C{space}side{space}entry
+0
+9
+8
+Connector_Hirose
+Hirose_DF13C_CL535-0408-9-51_1x08-1MP_P1.25mm_Vertical
+Molex{space}DF13C{space}SMD,{space}CL535-0408-9-51,{space}8{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}DF13C{space}side{space}entry
+0
+10
+9
+Connector_Hirose
+Hirose_DF13C_CL535-0409-1-51_1x09-1MP_P1.25mm_Vertical
+Molex{space}DF13C{space}SMD,{space}CL535-0409-1-51,{space}9{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}DF13C{space}side{space}entry
+0
+11
+10
+Connector_Hirose
+Hirose_DF13C_CL535-0410-4-51_1x10-1MP_P1.25mm_Vertical
+Molex{space}DF13C{space}SMD,{space}CL535-0410-4-51,{space}10{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}DF13C{space}side{space}entry
+0
+12
+11
+Connector_Hirose
+Hirose_DF13C_CL535-0411-3-51_1x11-1MP_P1.25mm_Vertical
+Molex{space}DF13C{space}SMD,{space}CL535-0411-3-51,{space}11{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}DF13C{space}side{space}entry
+0
+13
+12
+Connector_Hirose
+Hirose_DF13C_CL535-0412-6-51_1x12-1MP_P1.25mm_Vertical
+Molex{space}DF13C{space}SMD,{space}CL535-0412-6-51,{space}12{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}DF13C{space}side{space}entry
+0
+14
+13
+Connector_Hirose
+Hirose_DF13C_CL535-0414-1-51_1x14-1MP_P1.25mm_Vertical
+Molex{space}DF13C{space}SMD,{space}CL535-0414-1-51,{space}14{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}DF13C{space}side{space}entry
+0
+16
+15
+Connector_Hirose
+Hirose_DF13C_CL535-0415-4-51_1x15-1MP_P1.25mm_Vertical
+Molex{space}DF13C{space}SMD,{space}CL535-0415-4-51,{space}15{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF13/DF13C-10P-1.25V%2851%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}DF13C{space}side{space}entry
+0
+17
+16
+Connector_Hirose
+Hirose_DF52-2S-0.8H_1x02-1MP_P0.80mm_Horizontal
+Hirose{space}{space}series{space}connector,{space}DF52-2S-0.8H{space}(https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+4
+3
+Connector_Hirose
+Hirose_DF52-3S-0.8H_1x03-1MP_P0.80mm_Horizontal
+Hirose{space}{space}series{space}connector,{space}DF52-3S-0.8H{space}(https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+5
+4
+Connector_Hirose
+Hirose_DF52-4S-0.8H_1x04-1MP_P0.80mm_Horizontal
+Hirose{space}{space}series{space}connector,{space}DF52-4S-0.8H{space}(https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+6
+5
+Connector_Hirose
+Hirose_DF52-5S-0.8H_1x05-1MP_P0.80mm_Horizontal
+Hirose{space}{space}series{space}connector,{space}DF52-5S-0.8H{space}(https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+7
+6
+Connector_Hirose
+Hirose_DF52-6S-0.8H_1x06-1MP_P0.80mm_Horizontal
+Hirose{space}{space}series{space}connector,{space}DF52-6S-0.8H{space}(https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+8
+7
+Connector_Hirose
+Hirose_DF52-7S-0.8H_1x07-1MP_P0.80mm_Horizontal
+Hirose{space}{space}series{space}connector,{space}DF52-7S-0.8H{space}(https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+9
+8
+Connector_Hirose
+Hirose_DF52-8S-0.8H_1x08-1MP_P0.80mm_Horizontal
+Hirose{space}{space}series{space}connector,{space}DF52-8S-0.8H{space}(https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+10
+9
+Connector_Hirose
+Hirose_DF52-9S-0.8H_1x09-1MP_P0.80mm_Horizontal
+Hirose{space}{space}series{space}connector,{space}DF52-9S-0.8H{space}(https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+11
+10
+Connector_Hirose
+Hirose_DF52-10S-0.8H_1x10-1MP_P0.80mm_Horizontal
+Hirose{space}{space}series{space}connector,{space}DF52-10S-0.8H{space}(https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+12
+11
+Connector_Hirose
+Hirose_DF52-11S-0.8H_1x11-1MP_P0.80mm_Horizontal
+Hirose{space}{space}series{space}connector,{space}DF52-11S-0.8H{space}(https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+13
+12
+Connector_Hirose
+Hirose_DF52-12S-0.8H_1x12-1MP_P0.80mm_Horizontal
+Hirose{space}{space}series{space}connector,{space}DF52-12S-0.8H{space}(https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+14
+13
+Connector_Hirose
+Hirose_DF52-14S-0.8H_1x14-1MP_P0.80mm_Horizontal
+Hirose{space}{space}series{space}connector,{space}DF52-14S-0.8H{space}(https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+16
+15
+Connector_Hirose
+Hirose_DF52-15S-0.8H_1x15-1MP_P0.80mm_Horizontal
+Hirose{space}{space}series{space}connector,{space}DF52-15S-0.8H{space}(https://www.hirose.com/product/en/products/DF52/DF52-3S-0.8H%2821%29/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+17
+16
+Connector_Hirose
+Hirose_DF63-1P-3.96DSA_1x01_P3.96mm_Horizontal
+Molex{space}DF63{space}through{space}hole,{space}DF63-1P-3.96DSA,{space}1{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF63/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+1
+1
+Connector_Hirose
+Hirose_DF63-2P-3.96DSA_1x02_P3.96mm_Horizontal
+Molex{space}DF63{space}through{space}hole,{space}DF63-2P-3.96DSA,{space}2{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF63/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+2
+2
+Connector_Hirose
+Hirose_DF63-3P-3.96DSA_1x03_P3.96mm_Horizontal
+Molex{space}DF63{space}through{space}hole,{space}DF63-3P-3.96DSA,{space}3{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF63/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+3
+3
+Connector_Hirose
+Hirose_DF63-4P-3.96DSA_1x04_P3.96mm_Horizontal
+Molex{space}DF63{space}through{space}hole,{space}DF63-4P-3.96DSA,{space}4{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF63/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+4
+4
+Connector_Hirose
+Hirose_DF63-5P-3.96DSA_1x05_P3.96mm_Horizontal
+Molex{space}DF63{space}through{space}hole,{space}DF63-5P-3.96DSA,{space}5{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF63/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+5
+5
+Connector_Hirose
+Hirose_DF63-6P-3.96DSA_1x06_P3.96mm_Horizontal
+Molex{space}DF63{space}through{space}hole,{space}DF63-6P-3.96DSA,{space}6{space}Pins{space}per{space}row{space}(https://www.hirose.com/product/en/products/DF63/),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Hirose{space}{space}top{space}entry
+0
+6
+6
+Connector_IDC
+IDC-Header_2x03_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}IDC{space}box{space}header,{space}2x03,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x03{space}2.54mm{space}double{space}row
+0
+6
+6
+Connector_IDC
+IDC-Header_2x03_P2.54mm_Vertical
+Through{space}hole{space}straight{space}IDC{space}box{space}header,{space}2x03,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x03{space}2.54mm{space}double{space}row
+0
+6
+6
+Connector_IDC
+IDC-Header_2x04_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}IDC{space}box{space}header,{space}2x04,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x04{space}2.54mm{space}double{space}row
+0
+8
+8
+Connector_IDC
+IDC-Header_2x04_P2.54mm_Vertical
+Through{space}hole{space}straight{space}IDC{space}box{space}header,{space}2x04,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x04{space}2.54mm{space}double{space}row
+0
+8
+8
+Connector_IDC
+IDC-Header_2x05_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}IDC{space}box{space}header,{space}2x05,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x05{space}2.54mm{space}double{space}row
+0
+10
+10
+Connector_IDC
+IDC-Header_2x05_P2.54mm_Horizontal_Lock
+Connector{space}IDC{space}Locked,{space}10{space}contacts,{space}compatible{space}header:{space}PANCON{space}HE10{space}(Series{space}50,{space}(https://www.reboul.fr/storage/00003af6.pdf)
+connector{space}idc{space}locked
+0
+12
+10
+Connector_IDC
+IDC-Header_2x05_P2.54mm_Vertical
+Through{space}hole{space}straight{space}IDC{space}box{space}header,{space}2x05,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x05{space}2.54mm{space}double{space}row
+0
+10
+10
+Connector_IDC
+IDC-Header_2x05_P2.54mm_Vertical_Lock
+Connector{space}IDC{space}Locked,{space}10{space}contacts,{space}compatible{space}header:{space}PANCON{space}HE10{space}(Series{space}50,{space}(https://www.reboul.fr/storage/00003af6.pdf)
+connector{space}idc{space}locked
+0
+12
+10
+Connector_IDC
+IDC-Header_2x06_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}IDC{space}box{space}header,{space}2x06,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x06{space}2.54mm{space}double{space}row
+0
+12
+12
+Connector_IDC
+IDC-Header_2x06_P2.54mm_Vertical
+Through{space}hole{space}straight{space}IDC{space}box{space}header,{space}2x06,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x06{space}2.54mm{space}double{space}row
+0
+12
+12
+Connector_IDC
+IDC-Header_2x07_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}IDC{space}box{space}header,{space}2x07,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x07{space}2.54mm{space}double{space}row
+0
+14
+14
+Connector_IDC
+IDC-Header_2x07_P2.54mm_Horizontal_Lock
+Connector{space}IDC{space}Locked,{space}10{space}contacts,{space}compatible{space}header:{space}PANCON{space}HE10{space}(Series{space}50,{space}(https://www.reboul.fr/storage/00003af6.pdf)
+connector{space}idc{space}locked
+0
+16
+14
+Connector_IDC
+IDC-Header_2x07_P2.54mm_Vertical
+Through{space}hole{space}straight{space}IDC{space}box{space}header,{space}2x07,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x07{space}2.54mm{space}double{space}row
+0
+14
+14
+Connector_IDC
+IDC-Header_2x07_P2.54mm_Vertical_Lock
+Connector{space}IDC{space}Locked,{space}10{space}contacts,{space}compatible{space}header:{space}PANCON{space}HE10{space}(Series{space}50,{space}(https://www.reboul.fr/storage/00003af6.pdf)
+connector{space}idc{space}locked
+0
+16
+14
+Connector_IDC
+IDC-Header_2x08_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}IDC{space}box{space}header,{space}2x08,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x08{space}2.54mm{space}double{space}row
+0
+16
+16
+Connector_IDC
+IDC-Header_2x08_P2.54mm_Horizontal_Lock
+Connector{space}IDC{space}Locked,{space}10{space}contacts,{space}compatible{space}header:{space}PANCON{space}HE10{space}(Series{space}50,{space}(https://www.reboul.fr/storage/00003af6.pdf)
+connector{space}idc{space}locked
+0
+18
+16
+Connector_IDC
+IDC-Header_2x08_P2.54mm_Vertical
+Through{space}hole{space}straight{space}IDC{space}box{space}header,{space}2x08,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x08{space}2.54mm{space}double{space}row
+0
+16
+16
+Connector_IDC
+IDC-Header_2x08_P2.54mm_Vertical_Lock
+Connector{space}IDC{space}Locked,{space}10{space}contacts,{space}compatible{space}header:{space}PANCON{space}HE10{space}(Series{space}50,{space}(https://www.reboul.fr/storage/00003af6.pdf)
+connector{space}idc{space}locked
+0
+18
+16
+Connector_IDC
+IDC-Header_2x10_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}IDC{space}box{space}header,{space}2x10,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x10{space}2.54mm{space}double{space}row
+0
+20
+20
+Connector_IDC
+IDC-Header_2x10_P2.54mm_Horizontal_Lock
+Connector{space}IDC{space}Locked,{space}10{space}contacts,{space}compatible{space}header:{space}PANCON{space}HE10{space}(Series{space}50,{space}(https://www.reboul.fr/storage/00003af6.pdf)
+connector{space}idc{space}locked
+0
+22
+20
+Connector_IDC
+IDC-Header_2x10_P2.54mm_Vertical
+Through{space}hole{space}straight{space}IDC{space}box{space}header,{space}2x10,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x10{space}2.54mm{space}double{space}row
+0
+20
+20
+Connector_IDC
+IDC-Header_2x10_P2.54mm_Vertical_Lock
+Connector{space}IDC{space}Locked,{space}10{space}contacts,{space}compatible{space}header:{space}PANCON{space}HE10{space}(Series{space}50,{space}(https://www.reboul.fr/storage/00003af6.pdf)
+connector{space}idc{space}locked
+0
+22
+20
+Connector_IDC
+IDC-Header_2x13_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}IDC{space}box{space}header,{space}2x13,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x13{space}2.54mm{space}double{space}row
+0
+26
+26
+Connector_IDC
+IDC-Header_2x13_P2.54mm_Horizontal_Lock
+Connector{space}IDC{space}Locked,{space}10{space}contacts,{space}compatible{space}header:{space}PANCON{space}HE10{space}(Series{space}50,{space}(https://www.reboul.fr/storage/00003af6.pdf)
+connector{space}idc{space}locked
+0
+28
+26
+Connector_IDC
+IDC-Header_2x13_P2.54mm_Vertical
+Through{space}hole{space}straight{space}IDC{space}box{space}header,{space}2x13,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x13{space}2.54mm{space}double{space}row
+0
+26
+26
+Connector_IDC
+IDC-Header_2x13_P2.54mm_Vertical_Lock
+Connector{space}IDC{space}Locked,{space}10{space}contacts,{space}compatible{space}header:{space}PANCON{space}HE10{space}(Series{space}50,{space}(https://www.reboul.fr/storage/00003af6.pdf)
+connector{space}idc{space}locked
+0
+28
+26
+Connector_IDC
+IDC-Header_2x15_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}IDC{space}box{space}header,{space}2x15,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x15{space}2.54mm{space}double{space}row
+0
+30
+30
+Connector_IDC
+IDC-Header_2x15_P2.54mm_Vertical
+Through{space}hole{space}straight{space}IDC{space}box{space}header,{space}2x15,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x15{space}2.54mm{space}double{space}row
+0
+30
+30
+Connector_IDC
+IDC-Header_2x17_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}IDC{space}box{space}header,{space}2x17,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x17{space}2.54mm{space}double{space}row
+0
+34
+34
+Connector_IDC
+IDC-Header_2x17_P2.54mm_Horizontal_Lock
+Connector{space}IDC{space}Locked,{space}10{space}contacts,{space}compatible{space}header:{space}PANCON{space}HE10{space}(Series{space}50,{space}(https://www.reboul.fr/storage/00003af6.pdf)
+connector{space}idc{space}locked
+0
+36
+34
+Connector_IDC
+IDC-Header_2x17_P2.54mm_Vertical
+Through{space}hole{space}straight{space}IDC{space}box{space}header,{space}2x17,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x17{space}2.54mm{space}double{space}row
+0
+34
+34
+Connector_IDC
+IDC-Header_2x17_P2.54mm_Vertical_Lock
+Connector{space}IDC{space}Locked,{space}10{space}contacts,{space}compatible{space}header:{space}PANCON{space}HE10{space}(Series{space}50,{space}(https://www.reboul.fr/storage/00003af6.pdf)
+connector{space}idc{space}locked
+0
+36
+34
+Connector_IDC
+IDC-Header_2x20_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}IDC{space}box{space}header,{space}2x20,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x20{space}2.54mm{space}double{space}row
+0
+40
+40
+Connector_IDC
+IDC-Header_2x20_P2.54mm_Horizontal_Lock
+Connector{space}IDC{space}Locked,{space}10{space}contacts,{space}compatible{space}header:{space}PANCON{space}HE10{space}(Series{space}50,{space}(https://www.reboul.fr/storage/00003af6.pdf)
+connector{space}idc{space}locked
+0
+42
+41
+Connector_IDC
+IDC-Header_2x20_P2.54mm_Vertical
+Through{space}hole{space}straight{space}IDC{space}box{space}header,{space}2x20,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x20{space}2.54mm{space}double{space}row
+0
+40
+40
+Connector_IDC
+IDC-Header_2x20_P2.54mm_Vertical_Lock
+Connector{space}IDC{space}Locked,{space}10{space}contacts,{space}compatible{space}header:{space}PANCON{space}HE10{space}(Series{space}50,{space}(https://www.reboul.fr/storage/00003af6.pdf)
+connector{space}idc{space}locked
+0
+42
+40
+Connector_IDC
+IDC-Header_2x25_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}IDC{space}box{space}header,{space}2x25,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x25{space}2.54mm{space}double{space}row
+0
+50
+50
+Connector_IDC
+IDC-Header_2x25_P2.54mm_Vertical
+Through{space}hole{space}straight{space}IDC{space}box{space}header,{space}2x25,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x25{space}2.54mm{space}double{space}row
+0
+50
+50
+Connector_IDC
+IDC-Header_2x25_P2.54mm_Vertical_Lock
+Connector{space}IDC{space}Locked,{space}10{space}contacts,{space}compatible{space}header:{space}PANCON{space}HE10{space}(Series{space}50,{space}(https://www.reboul.fr/storage/00003af6.pdf)
+connector{space}idc{space}locked
+0
+52
+50
+Connector_IDC
+IDC-Header_2x30_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}IDC{space}box{space}header,{space}2x30,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x30{space}2.54mm{space}double{space}row
+0
+60
+60
+Connector_IDC
+IDC-Header_2x30_P2.54mm_Vertical
+Through{space}hole{space}straight{space}IDC{space}box{space}header,{space}2x30,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x30{space}2.54mm{space}double{space}row
+0
+60
+60
+Connector_IDC
+IDC-Header_2x30_P2.54mm_Vertical_Lock
+Connector{space}IDC{space}Locked,{space}10{space}contacts,{space}compatible{space}header:{space}PANCON{space}HE10{space}(Series{space}50,{space}(https://www.reboul.fr/storage/00003af6.pdf)
+connector{space}idc{space}locked
+0
+62
+60
+Connector_IDC
+IDC-Header_2x32_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}IDC{space}box{space}header,{space}2x32,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x32{space}2.54mm{space}double{space}row
+0
+64
+64
+Connector_IDC
+IDC-Header_2x32_P2.54mm_Vertical
+Through{space}hole{space}straight{space}IDC{space}box{space}header,{space}2x32,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}IDC{space}box{space}header{space}THT{space}2x32{space}2.54mm{space}double{space}row
+0
+64
+64
+Connector_IDC
+IDC-Header_2x32_P2.54mm_Vertical_Lock
+Connector{space}IDC{space}Locked,{space}10{space}contacts,{space}compatible{space}header:{space}PANCON{space}HE10{space}(Series{space}50,{space}(https://www.reboul.fr/storage/00003af6.pdf)
+connector{space}idc{space}locked
+0
+66
+64
+Connector_JAE
+JAE_LY20-4P-DLT1_2x02_P2.00mm_Horizontal
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-4P-DLT1,{space}2{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+4
+4
+Connector_JAE
+JAE_LY20-4P-DT1_2x02_P2.00mm_Vertical
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-4P-DT1,{space}2{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}side{space}entry
+0
+4
+4
+Connector_JAE
+JAE_LY20-6P-DLT1_2x03_P2.00mm_Horizontal
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-6P-DLT1,{space}3{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+6
+6
+Connector_JAE
+JAE_LY20-6P-DT1_2x03_P2.00mm_Vertical
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-6P-DT1,{space}3{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}side{space}entry
+0
+6
+6
+Connector_JAE
+JAE_LY20-8P-DLT1_2x04_P2.00mm_Horizontal
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-8P-DLT1,{space}4{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+8
+8
+Connector_JAE
+JAE_LY20-8P-DT1_2x04_P2.00mm_Vertical
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-8P-DT1,{space}4{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}side{space}entry
+0
+8
+8
+Connector_JAE
+JAE_LY20-10P-DLT1_2x05_P2.00mm_Horizontal
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-10P-DLT1,{space}5{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+10
+10
+Connector_JAE
+JAE_LY20-10P-DT1_2x05_P2.00mm_Vertical
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-10P-DT1,{space}5{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}side{space}entry
+0
+10
+10
+Connector_JAE
+JAE_LY20-12P-DLT1_2x06_P2.00mm_Horizontal
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-12P-DLT1,{space}6{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+12
+12
+Connector_JAE
+JAE_LY20-12P-DT1_2x06_P2.00mm_Vertical
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-12P-DT1,{space}6{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}side{space}entry
+0
+12
+12
+Connector_JAE
+JAE_LY20-14P-DLT1_2x07_P2.00mm_Horizontal
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-14P-DLT1,{space}7{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+14
+14
+Connector_JAE
+JAE_LY20-14P-DT1_2x07_P2.00mm_Vertical
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-14P-DT1,{space}7{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}side{space}entry
+0
+14
+14
+Connector_JAE
+JAE_LY20-16P-DLT1_2x08_P2.00mm_Horizontal
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-16P-DLT1,{space}8{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+16
+16
+Connector_JAE
+JAE_LY20-16P-DT1_2x08_P2.00mm_Vertical
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-16P-DT1,{space}8{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}side{space}entry
+0
+16
+16
+Connector_JAE
+JAE_LY20-18P-DLT1_2x09_P2.00mm_Horizontal
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-18P-DLT1,{space}9{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+18
+18
+Connector_JAE
+JAE_LY20-18P-DT1_2x09_P2.00mm_Vertical
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-18P-DT1,{space}9{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}side{space}entry
+0
+18
+18
+Connector_JAE
+JAE_LY20-20P-DLT1_2x10_P2.00mm_Horizontal
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-20P-DLT1,{space}10{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+20
+20
+Connector_JAE
+JAE_LY20-20P-DT1_2x10_P2.00mm_Vertical
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-20P-DT1,{space}10{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}side{space}entry
+0
+20
+20
+Connector_JAE
+JAE_LY20-22P-DLT1_2x11_P2.00mm_Horizontal
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-22P-DLT1,{space}11{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+22
+22
+Connector_JAE
+JAE_LY20-22P-DT1_2x11_P2.00mm_Vertical
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-22P-DT1,{space}11{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}side{space}entry
+0
+22
+22
+Connector_JAE
+JAE_LY20-24P-DLT1_2x12_P2.00mm_Horizontal
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-24P-DLT1,{space}12{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+24
+24
+Connector_JAE
+JAE_LY20-24P-DT1_2x12_P2.00mm_Vertical
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-24P-DT1,{space}12{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}side{space}entry
+0
+24
+24
+Connector_JAE
+JAE_LY20-26P-DLT1_2x13_P2.00mm_Horizontal
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-26P-DLT1,{space}13{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+26
+26
+Connector_JAE
+JAE_LY20-26P-DT1_2x13_P2.00mm_Vertical
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-26P-DT1,{space}13{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}side{space}entry
+0
+26
+26
+Connector_JAE
+JAE_LY20-28P-DLT1_2x14_P2.00mm_Horizontal
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-28P-DLT1,{space}14{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+28
+28
+Connector_JAE
+JAE_LY20-28P-DT1_2x14_P2.00mm_Vertical
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-28P-DT1,{space}14{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}side{space}entry
+0
+28
+28
+Connector_JAE
+JAE_LY20-30P-DLT1_2x15_P2.00mm_Horizontal
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-30P-DLT1,{space}15{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+30
+30
+Connector_JAE
+JAE_LY20-30P-DT1_2x15_P2.00mm_Vertical
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-30P-DT1,{space}15{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}side{space}entry
+0
+30
+30
+Connector_JAE
+JAE_LY20-32P-DLT1_2x16_P2.00mm_Horizontal
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-32P-DLT1,{space}16{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+32
+32
+Connector_JAE
+JAE_LY20-32P-DT1_2x16_P2.00mm_Vertical
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-32P-DT1,{space}16{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}side{space}entry
+0
+32
+32
+Connector_JAE
+JAE_LY20-34P-DLT1_2x17_P2.00mm_Horizontal
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-34P-DLT1,{space}17{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+34
+34
+Connector_JAE
+JAE_LY20-34P-DT1_2x17_P2.00mm_Vertical
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-34P-DT1,{space}17{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}side{space}entry
+0
+34
+34
+Connector_JAE
+JAE_LY20-36P-DLT1_2x18_P2.00mm_Horizontal
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-36P-DLT1,{space}18{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+36
+36
+Connector_JAE
+JAE_LY20-36P-DT1_2x18_P2.00mm_Vertical
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-36P-DT1,{space}18{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}side{space}entry
+0
+36
+36
+Connector_JAE
+JAE_LY20-38P-DLT1_2x19_P2.00mm_Horizontal
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-38P-DLT1,{space}19{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+38
+38
+Connector_JAE
+JAE_LY20-38P-DT1_2x19_P2.00mm_Vertical
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-38P-DT1,{space}19{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}side{space}entry
+0
+38
+38
+Connector_JAE
+JAE_LY20-40P-DLT1_2x20_P2.00mm_Horizontal
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-40P-DLT1,{space}20{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+40
+40
+Connector_JAE
+JAE_LY20-40P-DT1_2x20_P2.00mm_Vertical
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-40P-DT1,{space}20{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}side{space}entry
+0
+40
+40
+Connector_JAE
+JAE_LY20-42P-DLT1_2x21_P2.00mm_Horizontal
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-42P-DLT1,{space}21{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+42
+42
+Connector_JAE
+JAE_LY20-42P-DT1_2x21_P2.00mm_Vertical
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-42P-DT1,{space}21{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}side{space}entry
+0
+42
+42
+Connector_JAE
+JAE_LY20-44P-DLT1_2x22_P2.00mm_Horizontal
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-44P-DLT1,{space}22{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ038187.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}top{space}entry
+0
+44
+44
+Connector_JAE
+JAE_LY20-44P-DT1_2x22_P2.00mm_Vertical
+Molex{space}LY{space}20{space}series{space}connector,{space}LY20-44P-DT1,{space}22{space}Circuits{space}(http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ103130.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JAE{space}{space}side{space}entry
+0
+44
+44
+Connector_JST
+JST_ACH_BM01B-ACHSS-A-GAN-ETF_1x01-1MP_P1.20mm_Vertical
+JST{space}ACH{space}series{space}connector,{space}BM01B-ACHSS-A-GAN-ETF{space}(http://www.jst-mfg.com/product/pdf/eng/eACH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ACH{space}vertical
+0
+3
+2
+Connector_JST
+JST_ACH_BM02B-ACHSS-GAN-ETF_1x02-1MP_P1.20mm_Vertical
+JST{space}ACH{space}series{space}connector,{space}BM02B-ACHSS-GAN-ETF{space}(http://www.jst-mfg.com/product/pdf/eng/eACH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ACH{space}vertical
+0
+4
+3
+Connector_JST
+JST_ACH_BM03B-ACHSS-GAN-ETF_1x03-1MP_P1.20mm_Vertical
+JST{space}ACH{space}series{space}connector,{space}BM03B-ACHSS-GAN-ETF{space}(http://www.jst-mfg.com/product/pdf/eng/eACH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ACH{space}vertical
+0
+5
+4
+Connector_JST
+JST_ACH_BM04B-ACHSS-A-GAN-ETF_1x04-1MP_P1.20mm_Vertical
+JST{space}ACH{space}series{space}connector,{space}BM04B-ACHSS-A-GAN-ETF{space}(http://www.jst-mfg.com/product/pdf/eng/eACH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ACH{space}vertical
+0
+6
+5
+Connector_JST
+JST_ACH_BM05B-ACHSS-A-GAN-ETF_1x05-1MP_P1.20mm_Vertical
+JST{space}ACH{space}series{space}connector,{space}BM05B-ACHSS-A-GAN-ETF{space}(http://www.jst-mfg.com/product/pdf/eng/eACH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ACH{space}vertical
+0
+7
+6
+Connector_JST
+JST_AUH_BM03B-AUHKS-GA-TB_1x03-1MP_P1.50mm_Vertical
+JST{space}AUH{space}series{space}connector,{space}BM03B-AUHKS-GA-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eAUH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}AUH{space}side{space}entry
+0
+5
+4
+Connector_JST
+JST_AUH_BM05B-AUHKS-GA-TB_1x05-1MP_P1.50mm_Vertical
+JST{space}AUH{space}series{space}connector,{space}BM05B-AUHKS-GA-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eAUH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}AUH{space}side{space}entry
+0
+7
+6
+Connector_JST
+JST_EH_B2B-EH-A_1x02_P2.50mm_Vertical
+JST{space}EH{space}series{space}connector,{space}B2B-EH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}vertical
+0
+2
+2
+Connector_JST
+JST_EH_B3B-EH-A_1x03_P2.50mm_Vertical
+JST{space}EH{space}series{space}connector,{space}B3B-EH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}vertical
+0
+3
+3
+Connector_JST
+JST_EH_B4B-EH-A_1x04_P2.50mm_Vertical
+JST{space}EH{space}series{space}connector,{space}B4B-EH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}vertical
+0
+4
+4
+Connector_JST
+JST_EH_B5B-EH-A_1x05_P2.50mm_Vertical
+JST{space}EH{space}series{space}connector,{space}B5B-EH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}vertical
+0
+5
+5
+Connector_JST
+JST_EH_B6B-EH-A_1x06_P2.50mm_Vertical
+JST{space}EH{space}series{space}connector,{space}B6B-EH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}vertical
+0
+6
+6
+Connector_JST
+JST_EH_B7B-EH-A_1x07_P2.50mm_Vertical
+JST{space}EH{space}series{space}connector,{space}B7B-EH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}vertical
+0
+7
+7
+Connector_JST
+JST_EH_B8B-EH-A_1x08_P2.50mm_Vertical
+JST{space}EH{space}series{space}connector,{space}B8B-EH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}vertical
+0
+8
+8
+Connector_JST
+JST_EH_B9B-EH-A_1x09_P2.50mm_Vertical
+JST{space}EH{space}series{space}connector,{space}B9B-EH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}vertical
+0
+9
+9
+Connector_JST
+JST_EH_B10B-EH-A_1x10_P2.50mm_Vertical
+JST{space}EH{space}series{space}connector,{space}B10B-EH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}side{space}entry
+0
+10
+10
+Connector_JST
+JST_EH_B11B-EH-A_1x11_P2.50mm_Vertical
+JST{space}EH{space}series{space}connector,{space}B11B-EH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}side{space}entry
+0
+11
+11
+Connector_JST
+JST_EH_B12B-EH-A_1x12_P2.50mm_Vertical
+JST{space}EH{space}series{space}connector,{space}B12B-EH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}side{space}entry
+0
+12
+12
+Connector_JST
+JST_EH_B13B-EH-A_1x13_P2.50mm_Vertical
+JST{space}EH{space}series{space}connector,{space}B13B-EH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}side{space}entry
+0
+13
+13
+Connector_JST
+JST_EH_B14B-EH-A_1x14_P2.50mm_Vertical
+JST{space}EH{space}series{space}connector,{space}B14B-EH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}side{space}entry
+0
+14
+14
+Connector_JST
+JST_EH_B15B-EH-A_1x15_P2.50mm_Vertical
+JST{space}EH{space}series{space}connector,{space}B15B-EH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}side{space}entry
+0
+15
+15
+Connector_JST
+JST_EH_S2B-EH_1x02_P2.50mm_Horizontal
+JST{space}EH{space}series{space}connector,{space}S2B-EH{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}horizontal
+0
+2
+2
+Connector_JST
+JST_EH_S3B-EH_1x03_P2.50mm_Horizontal
+JST{space}EH{space}series{space}connector,{space}S3B-EH{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}horizontal
+0
+3
+3
+Connector_JST
+JST_EH_S4B-EH_1x04_P2.50mm_Horizontal
+JST{space}EH{space}series{space}connector,{space}S4B-EH{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}horizontal
+0
+4
+4
+Connector_JST
+JST_EH_S5B-EH_1x05_P2.50mm_Horizontal
+JST{space}EH{space}series{space}connector,{space}S5B-EH{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}horizontal
+0
+5
+5
+Connector_JST
+JST_EH_S6B-EH_1x06_P2.50mm_Horizontal
+JST{space}EH{space}series{space}connector,{space}S6B-EH{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}horizontal
+0
+6
+6
+Connector_JST
+JST_EH_S7B-EH_1x07_P2.50mm_Horizontal
+JST{space}EH{space}series{space}connector,{space}S7B-EH{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}horizontal
+0
+7
+7
+Connector_JST
+JST_EH_S8B-EH_1x08_P2.50mm_Horizontal
+JST{space}EH{space}series{space}connector,{space}S8B-EH{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}horizontal
+0
+8
+8
+Connector_JST
+JST_EH_S9B-EH_1x09_P2.50mm_Horizontal
+JST{space}EH{space}series{space}connector,{space}S9B-EH{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}horizontal
+0
+9
+9
+Connector_JST
+JST_EH_S10B-EH_1x10_P2.50mm_Horizontal
+JST{space}EH{space}series{space}connector,{space}S10B-EH{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}top{space}entry
+0
+10
+10
+Connector_JST
+JST_EH_S11B-EH_1x11_P2.50mm_Horizontal
+JST{space}EH{space}series{space}connector,{space}S11B-EH{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}top{space}entry
+0
+11
+11
+Connector_JST
+JST_EH_S12B-EH_1x12_P2.50mm_Horizontal
+JST{space}EH{space}series{space}connector,{space}S12B-EH{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}top{space}entry
+0
+12
+12
+Connector_JST
+JST_EH_S13B-EH_1x13_P2.50mm_Horizontal
+JST{space}EH{space}series{space}connector,{space}S13B-EH{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}top{space}entry
+0
+13
+13
+Connector_JST
+JST_EH_S14B-EH_1x14_P2.50mm_Horizontal
+JST{space}EH{space}series{space}connector,{space}S14B-EH{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}top{space}entry
+0
+14
+14
+Connector_JST
+JST_EH_S15B-EH_1x15_P2.50mm_Horizontal
+JST{space}EH{space}series{space}connector,{space}S15B-EH{space}(http://www.jst-mfg.com/product/pdf/eng/eEH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}EH{space}top{space}entry
+0
+15
+15
+Connector_JST
+JST_GH_BM02B-GHS-TBT_1x02-1MP_P1.25mm_Vertical
+JST{space}GH{space}series{space}connector,{space}BM02B-GHS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}side{space}entry
+0
+4
+3
+Connector_JST
+JST_GH_BM03B-GHS-TBT_1x03-1MP_P1.25mm_Vertical
+JST{space}GH{space}series{space}connector,{space}BM03B-GHS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}side{space}entry
+0
+5
+4
+Connector_JST
+JST_GH_BM04B-GHS-TBT_1x04-1MP_P1.25mm_Vertical
+JST{space}GH{space}series{space}connector,{space}BM04B-GHS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}side{space}entry
+0
+6
+5
+Connector_JST
+JST_GH_BM05B-GHS-TBT_1x05-1MP_P1.25mm_Vertical
+JST{space}GH{space}series{space}connector,{space}BM05B-GHS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}side{space}entry
+0
+7
+6
+Connector_JST
+JST_GH_BM06B-GHS-TBT_1x06-1MP_P1.25mm_Vertical
+JST{space}GH{space}series{space}connector,{space}BM06B-GHS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}side{space}entry
+0
+8
+7
+Connector_JST
+JST_GH_BM07B-GHS-TBT_1x07-1MP_P1.25mm_Vertical
+JST{space}GH{space}series{space}connector,{space}BM07B-GHS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}side{space}entry
+0
+9
+8
+Connector_JST
+JST_GH_BM08B-GHS-TBT_1x08-1MP_P1.25mm_Vertical
+JST{space}GH{space}series{space}connector,{space}BM08B-GHS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}side{space}entry
+0
+10
+9
+Connector_JST
+JST_GH_BM09B-GHS-TBT_1x09-1MP_P1.25mm_Vertical
+JST{space}GH{space}series{space}connector,{space}BM09B-GHS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}side{space}entry
+0
+11
+10
+Connector_JST
+JST_GH_BM10B-GHS-TBT_1x10-1MP_P1.25mm_Vertical
+JST{space}GH{space}series{space}connector,{space}BM10B-GHS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}side{space}entry
+0
+12
+11
+Connector_JST
+JST_GH_BM11B-GHS-TBT_1x11-1MP_P1.25mm_Vertical
+JST{space}GH{space}series{space}connector,{space}BM11B-GHS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}side{space}entry
+0
+13
+12
+Connector_JST
+JST_GH_BM12B-GHS-TBT_1x12-1MP_P1.25mm_Vertical
+JST{space}GH{space}series{space}connector,{space}BM12B-GHS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}side{space}entry
+0
+14
+13
+Connector_JST
+JST_GH_BM13B-GHS-TBT_1x13-1MP_P1.25mm_Vertical
+JST{space}GH{space}series{space}connector,{space}BM13B-GHS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}side{space}entry
+0
+15
+14
+Connector_JST
+JST_GH_BM14B-GHS-TBT_1x14-1MP_P1.25mm_Vertical
+JST{space}GH{space}series{space}connector,{space}BM14B-GHS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}side{space}entry
+0
+16
+15
+Connector_JST
+JST_GH_BM15B-GHS-TBT_1x15-1MP_P1.25mm_Vertical
+JST{space}GH{space}series{space}connector,{space}BM15B-GHS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}side{space}entry
+0
+17
+16
+Connector_JST
+JST_GH_SM02B-GHS-TB_1x02-1MP_P1.25mm_Horizontal
+JST{space}GH{space}series{space}connector,{space}SM02B-GHS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}top{space}entry
+0
+4
+3
+Connector_JST
+JST_GH_SM03B-GHS-TB_1x03-1MP_P1.25mm_Horizontal
+JST{space}GH{space}series{space}connector,{space}SM03B-GHS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}top{space}entry
+0
+5
+4
+Connector_JST
+JST_GH_SM04B-GHS-TB_1x04-1MP_P1.25mm_Horizontal
+JST{space}GH{space}series{space}connector,{space}SM04B-GHS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}top{space}entry
+0
+6
+5
+Connector_JST
+JST_GH_SM05B-GHS-TB_1x05-1MP_P1.25mm_Horizontal
+JST{space}GH{space}series{space}connector,{space}SM05B-GHS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}top{space}entry
+0
+7
+6
+Connector_JST
+JST_GH_SM06B-GHS-TB_1x06-1MP_P1.25mm_Horizontal
+JST{space}GH{space}series{space}connector,{space}SM06B-GHS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}top{space}entry
+0
+8
+7
+Connector_JST
+JST_GH_SM07B-GHS-TB_1x07-1MP_P1.25mm_Horizontal
+JST{space}GH{space}series{space}connector,{space}SM07B-GHS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}top{space}entry
+0
+9
+8
+Connector_JST
+JST_GH_SM08B-GHS-TB_1x08-1MP_P1.25mm_Horizontal
+JST{space}GH{space}series{space}connector,{space}SM08B-GHS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}top{space}entry
+0
+10
+9
+Connector_JST
+JST_GH_SM09B-GHS-TB_1x09-1MP_P1.25mm_Horizontal
+JST{space}GH{space}series{space}connector,{space}SM09B-GHS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}top{space}entry
+0
+11
+10
+Connector_JST
+JST_GH_SM10B-GHS-TB_1x10-1MP_P1.25mm_Horizontal
+JST{space}GH{space}series{space}connector,{space}SM10B-GHS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}top{space}entry
+0
+12
+11
+Connector_JST
+JST_GH_SM11B-GHS-TB_1x11-1MP_P1.25mm_Horizontal
+JST{space}GH{space}series{space}connector,{space}SM11B-GHS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}top{space}entry
+0
+13
+12
+Connector_JST
+JST_GH_SM12B-GHS-TB_1x12-1MP_P1.25mm_Horizontal
+JST{space}GH{space}series{space}connector,{space}SM12B-GHS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}top{space}entry
+0
+14
+13
+Connector_JST
+JST_GH_SM13B-GHS-TB_1x13-1MP_P1.25mm_Horizontal
+JST{space}GH{space}series{space}connector,{space}SM13B-GHS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}top{space}entry
+0
+15
+14
+Connector_JST
+JST_GH_SM14B-GHS-TB_1x14-1MP_P1.25mm_Horizontal
+JST{space}GH{space}series{space}connector,{space}SM14B-GHS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}top{space}entry
+0
+16
+15
+Connector_JST
+JST_GH_SM15B-GHS-TB_1x15-1MP_P1.25mm_Horizontal
+JST{space}GH{space}series{space}connector,{space}SM15B-GHS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eGH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}GH{space}top{space}entry
+0
+17
+16
+Connector_JST
+JST_J2100_B06B-J21DK-GGXR_2x03_P2.50x4.00mm_Vertical
+JST{space}J2100{space}series{space}connector,{space}B06B-J21DK-GGXR{space}(http://www.jst-mfg.com/product/pdf/eng/eJFA-J2000.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}J2100{space}vertical
+0
+8
+6
+Connector_JST
+JST_J2100_B08B-J21DK-GGXR_2x04_P2.50x4.00mm_Vertical
+JST{space}J2100{space}series{space}connector,{space}B08B-J21DK-GGXR{space}(http://www.jst-mfg.com/product/pdf/eng/eJFA-J2000.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}J2100{space}vertical
+0
+10
+8
+Connector_JST
+JST_J2100_B10B-J21DK-GGXR_2x05_P2.50x4.00mm_Vertical
+JST{space}J2100{space}series{space}connector,{space}B10B-J21DK-GGXR{space}(http://www.jst-mfg.com/product/pdf/eng/eJFA-J2000.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}J2100{space}vertical
+0
+12
+10
+Connector_JST
+JST_J2100_B12B-J21DK-GGXR_2x06_P2.50x4.00mm_Vertical
+JST{space}J2100{space}series{space}connector,{space}B12B-J21DK-GGXR{space}(http://www.jst-mfg.com/product/pdf/eng/eJFA-J2000.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}J2100{space}vertical
+0
+14
+12
+Connector_JST
+JST_J2100_B16B-J21DK-GGXR_2x08_P2.50x4.00mm_Vertical
+JST{space}J2100{space}series{space}connector,{space}B16B-J21DK-GGXR{space}(http://www.jst-mfg.com/product/pdf/eng/eJFA-J2000.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}J2100{space}vertical
+0
+18
+16
+Connector_JST
+JST_J2100_B20B-J21DK-GGXR_2x10_P2.50x4.00mm_Vertical
+JST{space}J2100{space}series{space}connector,{space}B20B-J21DK-GGXR{space}(http://www.jst-mfg.com/product/pdf/eng/eJFA-J2000.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}J2100{space}vertical
+0
+22
+20
+Connector_JST
+JST_J2100_S06B-J21DK-GGXR_2x03_P2.50mm_Horizontal
+JST{space}J2100{space}series{space}connector,{space}S06B-J21DK-GGXR{space}(http://www.jst-mfg.com/product/pdf/eng/eJFA-J2000.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}J2100{space}horizontal
+0
+7
+6
+Connector_JST
+JST_J2100_S08B-J21DK-GGXR_2x04_P2.50mm_Horizontal
+JST{space}J2100{space}series{space}connector,{space}S08B-J21DK-GGXR{space}(http://www.jst-mfg.com/product/pdf/eng/eJFA-J2000.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}J2100{space}horizontal
+0
+10
+8
+Connector_JST
+JST_J2100_S10B-J21DK-GGXR_2x05_P2.50mm_Horizontal
+JST{space}J2100{space}series{space}connector,{space}S10B-J21DK-GGXR{space}(http://www.jst-mfg.com/product/pdf/eng/eJFA-J2000.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}J2100{space}horizontal
+0
+12
+10
+Connector_JST
+JST_J2100_S12B-J21DK-GGXR_2x06_P2.50mm_Horizontal
+JST{space}J2100{space}series{space}connector,{space}S12B-J21DK-GGXR{space}(http://www.jst-mfg.com/product/pdf/eng/eJFA-J2000.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}J2100{space}horizontal
+0
+14
+12
+Connector_JST
+JST_J2100_S16B-J21DK-GGXR_2x08_P2.50mm_Horizontal
+JST{space}J2100{space}series{space}connector,{space}S16B-J21DK-GGXR{space}(http://www.jst-mfg.com/product/pdf/eng/eJFA-J2000.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}J2100{space}horizontal
+0
+18
+16
+Connector_JST
+JST_J2100_S20B-J21DK-GGXR_2x10_P2.50mm_Horizontal
+JST{space}J2100{space}series{space}connector,{space}S20B-J21DK-GGXR{space}(http://www.jst-mfg.com/product/pdf/eng/eJFA-J2000.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}J2100{space}horizontal
+0
+22
+20
+Connector_JST
+JST_JWPF_B02B-JWPF-SK-R_1x02_P2.00mm_Vertical
+JST{space}JWPF{space}series{space}connector,{space}B02B-JWPF-SK-R{space}(http://www.jst-mfg.com/product/pdf/eng/eJWPF1.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}JWPF{space}side{space}entry
+0
+2
+2
+Connector_JST
+JST_JWPF_B03B-JWPF-SK-R_1x03_P2.00mm_Vertical
+JST{space}JWPF{space}series{space}connector,{space}B03B-JWPF-SK-R{space}(http://www.jst-mfg.com/product/pdf/eng/eJWPF1.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}JWPF{space}side{space}entry
+0
+3
+3
+Connector_JST
+JST_JWPF_B04B-JWPF-SK-R_1x04_P2.00mm_Vertical
+JST{space}JWPF{space}series{space}connector,{space}B04B-JWPF-SK-R{space}(http://www.jst-mfg.com/product/pdf/eng/eJWPF1.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}JWPF{space}side{space}entry
+0
+4
+4
+Connector_JST
+JST_JWPF_B06B-JWPF-SK-R_2x03_P2.00mm_Vertical
+JST{space}JWPF{space}series{space}connector,{space}B06B-JWPF-SK-R{space}(http://www.jst-mfg.com/product/pdf/eng/eJWPF1.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}JWPF{space}side{space}entry
+0
+6
+6
+Connector_JST
+JST_JWPF_B08B-JWPF-SK-R_2x04_P2.00mm_Vertical
+JST{space}JWPF{space}series{space}connector,{space}B08B-JWPF-SK-R{space}(http://www.jst-mfg.com/product/pdf/eng/eJWPF1.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}JWPF{space}side{space}entry
+0
+8
+8
+Connector_JST
+JST_LEA_SM02B-LEASS-TF_1x02-1MP_P4.20mm_Horizontal
+JST{space}LEA{space}series{space}connector,{space}SM02B-LEASS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eLEA.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}LEA{space}top{space}entry
+0
+4
+3
+Connector_JST
+JST_NV_B02P-NV_1x02_P5.00mm_Vertical
+JST{space}NV{space}series{space}connector,{space}B02P-NV{space}(http://www.jst-mfg.com/product/pdf/eng/eNV.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}NV{space}side{space}entry
+0
+2
+2
+Connector_JST
+JST_NV_B03P-NV_1x03_P5.00mm_Vertical
+JST{space}NV{space}series{space}connector,{space}B03P-NV{space}(http://www.jst-mfg.com/product/pdf/eng/eNV.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}NV{space}side{space}entry
+0
+3
+3
+Connector_JST
+JST_NV_B04P-NV_1x04_P5.00mm_Vertical
+JST{space}NV{space}series{space}connector,{space}B04P-NV{space}(http://www.jst-mfg.com/product/pdf/eng/eNV.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}NV{space}side{space}entry
+0
+4
+4
+Connector_JST
+JST_PHD_B8B-PHDSS_2x04_P2.00mm_Vertical
+JST{space}PHD{space}series{space}connector,{space}B8B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}vertical
+0
+8
+8
+Connector_JST
+JST_PHD_B10B-PHDSS_2x05_P2.00mm_Vertical
+JST{space}PHD{space}series{space}connector,{space}B10B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}vertical
+0
+10
+10
+Connector_JST
+JST_PHD_B12B-PHDSS_2x06_P2.00mm_Vertical
+JST{space}PHD{space}series{space}connector,{space}B12B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}vertical
+0
+12
+12
+Connector_JST
+JST_PHD_B14B-PHDSS_2x07_P2.00mm_Vertical
+JST{space}PHD{space}series{space}connector,{space}B14B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}vertical
+0
+14
+14
+Connector_JST
+JST_PHD_B16B-PHDSS_2x08_P2.00mm_Vertical
+JST{space}PHD{space}series{space}connector,{space}B16B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}vertical
+0
+16
+16
+Connector_JST
+JST_PHD_B18B-PHDSS_2x09_P2.00mm_Vertical
+JST{space}PHD{space}series{space}connector,{space}B18B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}vertical
+0
+18
+18
+Connector_JST
+JST_PHD_B20B-PHDSS_2x10_P2.00mm_Vertical
+JST{space}PHD{space}series{space}connector,{space}B20B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}vertical
+0
+20
+20
+Connector_JST
+JST_PHD_B22B-PHDSS_2x11_P2.00mm_Vertical
+JST{space}PHD{space}series{space}connector,{space}B22B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}vertical
+0
+22
+22
+Connector_JST
+JST_PHD_B24B-PHDSS_2x12_P2.00mm_Vertical
+JST{space}PHD{space}series{space}connector,{space}B24B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}vertical
+0
+24
+24
+Connector_JST
+JST_PHD_B26B-PHDSS_2x13_P2.00mm_Vertical
+JST{space}PHD{space}series{space}connector,{space}B26B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}vertical
+0
+26
+26
+Connector_JST
+JST_PHD_B28B-PHDSS_2x14_P2.00mm_Vertical
+JST{space}PHD{space}series{space}connector,{space}B28B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}vertical
+0
+28
+28
+Connector_JST
+JST_PHD_B30B-PHDSS_2x15_P2.00mm_Vertical
+JST{space}PHD{space}series{space}connector,{space}B30B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}vertical
+0
+30
+30
+Connector_JST
+JST_PHD_B32B-PHDSS_2x16_P2.00mm_Vertical
+JST{space}PHD{space}series{space}connector,{space}B32B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}vertical
+0
+32
+32
+Connector_JST
+JST_PHD_B34B-PHDSS_2x17_P2.00mm_Vertical
+JST{space}PHD{space}series{space}connector,{space}B34B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}vertical
+0
+34
+34
+Connector_JST
+JST_PHD_S8B-PHDSS_2x04_P2.00mm_Horizontal
+JST{space}PHD{space}series{space}connector,{space}S8B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}horizontal
+0
+8
+8
+Connector_JST
+JST_PHD_S10B-PHDSS_2x05_P2.00mm_Horizontal
+JST{space}PHD{space}series{space}connector,{space}S10B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}horizontal
+0
+10
+10
+Connector_JST
+JST_PHD_S12B-PHDSS_2x06_P2.00mm_Horizontal
+JST{space}PHD{space}series{space}connector,{space}S12B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}horizontal
+0
+12
+12
+Connector_JST
+JST_PHD_S14B-PHDSS_2x07_P2.00mm_Horizontal
+JST{space}PHD{space}series{space}connector,{space}S14B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}horizontal
+0
+14
+14
+Connector_JST
+JST_PHD_S16B-PHDSS_2x08_P2.00mm_Horizontal
+JST{space}PHD{space}series{space}connector,{space}S16B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}horizontal
+0
+16
+16
+Connector_JST
+JST_PHD_S18B-PHDSS_2x09_P2.00mm_Horizontal
+JST{space}PHD{space}series{space}connector,{space}S18B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}horizontal
+0
+18
+18
+Connector_JST
+JST_PHD_S20B-PHDSS_2x10_P2.00mm_Horizontal
+JST{space}PHD{space}series{space}connector,{space}S20B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}horizontal
+0
+20
+20
+Connector_JST
+JST_PHD_S22B-PHDSS_2x11_P2.00mm_Horizontal
+JST{space}PHD{space}series{space}connector,{space}S22B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}horizontal
+0
+22
+22
+Connector_JST
+JST_PHD_S24B-PHDSS_2x12_P2.00mm_Horizontal
+JST{space}PHD{space}series{space}connector,{space}S24B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}horizontal
+0
+24
+24
+Connector_JST
+JST_PHD_S26B-PHDSS_2x13_P2.00mm_Horizontal
+JST{space}PHD{space}series{space}connector,{space}S26B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}horizontal
+0
+26
+26
+Connector_JST
+JST_PHD_S28B-PHDSS_2x14_P2.00mm_Horizontal
+JST{space}PHD{space}series{space}connector,{space}S28B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}horizontal
+0
+28
+28
+Connector_JST
+JST_PHD_S30B-PHDSS_2x15_P2.00mm_Horizontal
+JST{space}PHD{space}series{space}connector,{space}S30B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}horizontal
+0
+30
+30
+Connector_JST
+JST_PHD_S32B-PHDSS_2x16_P2.00mm_Horizontal
+JST{space}PHD{space}series{space}connector,{space}S32B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}horizontal
+0
+32
+32
+Connector_JST
+JST_PHD_S34B-PHDSS_2x17_P2.00mm_Horizontal
+JST{space}PHD{space}series{space}connector,{space}S34B-PHDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePHD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PHD{space}horizontal
+0
+34
+34
+Connector_JST
+JST_PH_B2B-PH-K_1x02_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B2B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+2
+2
+Connector_JST
+JST_PH_B2B-PH-SM4-TB_1x02-1MP_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B2B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+4
+3
+Connector_JST
+JST_PH_B3B-PH-K_1x03_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B3B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+3
+3
+Connector_JST
+JST_PH_B3B-PH-SM4-TB_1x03-1MP_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B3B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+5
+4
+Connector_JST
+JST_PH_B4B-PH-K_1x04_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B4B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+4
+4
+Connector_JST
+JST_PH_B4B-PH-SM4-TB_1x04-1MP_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B4B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+6
+5
+Connector_JST
+JST_PH_B5B-PH-K_1x05_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B5B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+5
+5
+Connector_JST
+JST_PH_B5B-PH-SM4-TB_1x05-1MP_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B5B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+7
+6
+Connector_JST
+JST_PH_B6B-PH-K_1x06_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B6B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+6
+6
+Connector_JST
+JST_PH_B6B-PH-SM4-TB_1x06-1MP_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B6B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+8
+7
+Connector_JST
+JST_PH_B7B-PH-K_1x07_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B7B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+7
+7
+Connector_JST
+JST_PH_B7B-PH-SM4-TB_1x07-1MP_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B7B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+9
+8
+Connector_JST
+JST_PH_B8B-PH-K_1x08_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B8B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+8
+8
+Connector_JST
+JST_PH_B8B-PH-SM4-TB_1x08-1MP_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B8B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+10
+9
+Connector_JST
+JST_PH_B9B-PH-K_1x09_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B9B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+9
+9
+Connector_JST
+JST_PH_B9B-PH-SM4-TB_1x09-1MP_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B9B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+11
+10
+Connector_JST
+JST_PH_B10B-PH-K_1x10_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B10B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+10
+10
+Connector_JST
+JST_PH_B10B-PH-SM4-TB_1x10-1MP_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B10B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+12
+11
+Connector_JST
+JST_PH_B11B-PH-K_1x11_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B11B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+11
+11
+Connector_JST
+JST_PH_B11B-PH-SM4-TB_1x11-1MP_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B11B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+13
+12
+Connector_JST
+JST_PH_B12B-PH-K_1x12_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B12B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+12
+12
+Connector_JST
+JST_PH_B12B-PH-SM4-TB_1x12-1MP_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B12B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+14
+13
+Connector_JST
+JST_PH_B13B-PH-K_1x13_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B13B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+13
+13
+Connector_JST
+JST_PH_B13B-PH-SM4-TB_1x13-1MP_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B13B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+15
+14
+Connector_JST
+JST_PH_B14B-PH-K_1x14_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B14B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+14
+14
+Connector_JST
+JST_PH_B14B-PH-SM4-TB_1x14-1MP_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B14B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+16
+15
+Connector_JST
+JST_PH_B15B-PH-K_1x15_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B15B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+15
+15
+Connector_JST
+JST_PH_B15B-PH-SM4-TB_1x15-1MP_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B15B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+17
+16
+Connector_JST
+JST_PH_B16B-PH-K_1x16_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B16B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+16
+16
+Connector_JST
+JST_PH_B16B-PH-SM4-TB_1x16-1MP_P2.00mm_Vertical
+JST{space}PH{space}series{space}connector,{space}B16B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}side{space}entry
+0
+18
+17
+Connector_JST
+JST_PH_S2B-PH-K_1x02_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S2B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+2
+2
+Connector_JST
+JST_PH_S2B-PH-SM4-TB_1x02-1MP_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S2B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+4
+3
+Connector_JST
+JST_PH_S3B-PH-K_1x03_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S3B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+3
+3
+Connector_JST
+JST_PH_S3B-PH-SM4-TB_1x03-1MP_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S3B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+5
+4
+Connector_JST
+JST_PH_S4B-PH-K_1x04_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S4B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+4
+4
+Connector_JST
+JST_PH_S4B-PH-SM4-TB_1x04-1MP_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S4B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+6
+5
+Connector_JST
+JST_PH_S5B-PH-K_1x05_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S5B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+5
+5
+Connector_JST
+JST_PH_S5B-PH-SM4-TB_1x05-1MP_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S5B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+7
+6
+Connector_JST
+JST_PH_S6B-PH-K_1x06_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S6B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+6
+6
+Connector_JST
+JST_PH_S6B-PH-SM4-TB_1x06-1MP_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S6B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+8
+7
+Connector_JST
+JST_PH_S7B-PH-K_1x07_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S7B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+7
+7
+Connector_JST
+JST_PH_S7B-PH-SM4-TB_1x07-1MP_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S7B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+9
+8
+Connector_JST
+JST_PH_S8B-PH-K_1x08_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S8B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+8
+8
+Connector_JST
+JST_PH_S8B-PH-SM4-TB_1x08-1MP_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S8B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+10
+9
+Connector_JST
+JST_PH_S9B-PH-K_1x09_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S9B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+9
+9
+Connector_JST
+JST_PH_S9B-PH-SM4-TB_1x09-1MP_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S9B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+11
+10
+Connector_JST
+JST_PH_S10B-PH-K_1x10_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S10B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+10
+10
+Connector_JST
+JST_PH_S10B-PH-SM4-TB_1x10-1MP_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S10B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+12
+11
+Connector_JST
+JST_PH_S11B-PH-K_1x11_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S11B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+11
+11
+Connector_JST
+JST_PH_S11B-PH-SM4-TB_1x11-1MP_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S11B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+13
+12
+Connector_JST
+JST_PH_S12B-PH-K_1x12_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S12B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+12
+12
+Connector_JST
+JST_PH_S12B-PH-SM4-TB_1x12-1MP_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S12B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+14
+13
+Connector_JST
+JST_PH_S13B-PH-K_1x13_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S13B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+13
+13
+Connector_JST
+JST_PH_S13B-PH-SM4-TB_1x13-1MP_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S13B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+15
+14
+Connector_JST
+JST_PH_S14B-PH-K_1x14_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S14B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+14
+14
+Connector_JST
+JST_PH_S14B-PH-SM4-TB_1x14-1MP_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S14B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+16
+15
+Connector_JST
+JST_PH_S15B-PH-K_1x15_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S15B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+15
+15
+Connector_JST
+JST_PH_S15B-PH-SM4-TB_1x15-1MP_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S15B-PH-SM4-TB{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+17
+16
+Connector_JST
+JST_PH_S16B-PH-K_1x16_P2.00mm_Horizontal
+JST{space}PH{space}series{space}connector,{space}S16B-PH-K{space}(http://www.jst-mfg.com/product/pdf/eng/ePH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PH{space}top{space}entry
+0
+16
+16
+Connector_JST
+JST_PUD_B08B-PUDSS_2x04_P2.00mm_Vertical
+JST{space}PUD{space}series{space}connector,{space}B08B-PUDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}side{space}entry
+0
+8
+8
+Connector_JST
+JST_PUD_B10B-PUDSS_2x05_P2.00mm_Vertical
+JST{space}PUD{space}series{space}connector,{space}B10B-PUDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}side{space}entry
+0
+10
+10
+Connector_JST
+JST_PUD_B12B-PUDSS_2x06_P2.00mm_Vertical
+JST{space}PUD{space}series{space}connector,{space}B12B-PUDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}side{space}entry
+0
+12
+12
+Connector_JST
+JST_PUD_B14B-PUDSS_2x07_P2.00mm_Vertical
+JST{space}PUD{space}series{space}connector,{space}B14B-PUDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}side{space}entry
+0
+14
+14
+Connector_JST
+JST_PUD_B16B-PUDSS_2x08_P2.00mm_Vertical
+JST{space}PUD{space}series{space}connector,{space}B16B-PUDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}side{space}entry
+0
+16
+16
+Connector_JST
+JST_PUD_B18B-PUDSS_2x09_P2.00mm_Vertical
+JST{space}PUD{space}series{space}connector,{space}B18B-PUDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}side{space}entry
+0
+18
+18
+Connector_JST
+JST_PUD_B20B-PUDSS_2x10_P2.00mm_Vertical
+JST{space}PUD{space}series{space}connector,{space}B20B-PUDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}side{space}entry
+0
+20
+20
+Connector_JST
+JST_PUD_B22B-PUDSS_2x11_P2.00mm_Vertical
+JST{space}PUD{space}series{space}connector,{space}B22B-PUDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}side{space}entry
+0
+22
+22
+Connector_JST
+JST_PUD_B24B-PUDSS_2x12_P2.00mm_Vertical
+JST{space}PUD{space}series{space}connector,{space}B24B-PUDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}side{space}entry
+0
+24
+24
+Connector_JST
+JST_PUD_B26B-PUDSS_2x13_P2.00mm_Vertical
+JST{space}PUD{space}series{space}connector,{space}B26B-PUDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}side{space}entry
+0
+26
+26
+Connector_JST
+JST_PUD_B28B-PUDSS_2x14_P2.00mm_Vertical
+JST{space}PUD{space}series{space}connector,{space}B28B-PUDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}side{space}entry
+0
+28
+28
+Connector_JST
+JST_PUD_B30B-PUDSS_2x15_P2.00mm_Vertical
+JST{space}PUD{space}series{space}connector,{space}B30B-PUDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}side{space}entry
+0
+30
+30
+Connector_JST
+JST_PUD_B32B-PUDSS_2x16_P2.00mm_Vertical
+JST{space}PUD{space}series{space}connector,{space}B32B-PUDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}side{space}entry
+0
+32
+32
+Connector_JST
+JST_PUD_B34B-PUDSS_2x17_P2.00mm_Vertical
+JST{space}PUD{space}series{space}connector,{space}B34B-PUDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}side{space}entry
+0
+34
+34
+Connector_JST
+JST_PUD_B36B-PUDSS_2x18_P2.00mm_Vertical
+JST{space}PUD{space}series{space}connector,{space}B36B-PUDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}side{space}entry
+0
+36
+36
+Connector_JST
+JST_PUD_B38B-PUDSS_2x19_P2.00mm_Vertical
+JST{space}PUD{space}series{space}connector,{space}B38B-PUDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}side{space}entry
+0
+38
+38
+Connector_JST
+JST_PUD_B40B-PUDSS_2x20_P2.00mm_Vertical
+JST{space}PUD{space}series{space}connector,{space}B40B-PUDSS{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}side{space}entry
+0
+40
+40
+Connector_JST
+JST_PUD_S08B-PUDSS-1_2x04_P2.00mm_Horizontal
+JST{space}PUD{space}series{space}connector,{space}S08B-PUDSS-1{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}top{space}entry
+0
+8
+8
+Connector_JST
+JST_PUD_S10B-PUDSS-1_2x05_P2.00mm_Horizontal
+JST{space}PUD{space}series{space}connector,{space}S10B-PUDSS-1{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}top{space}entry
+0
+10
+10
+Connector_JST
+JST_PUD_S12B-PUDSS-1_2x06_P2.00mm_Horizontal
+JST{space}PUD{space}series{space}connector,{space}S12B-PUDSS-1{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}top{space}entry
+0
+12
+12
+Connector_JST
+JST_PUD_S14B-PUDSS-1_2x07_P2.00mm_Horizontal
+JST{space}PUD{space}series{space}connector,{space}S14B-PUDSS-1{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}top{space}entry
+0
+14
+14
+Connector_JST
+JST_PUD_S16B-PUDSS-1_2x08_P2.00mm_Horizontal
+JST{space}PUD{space}series{space}connector,{space}S16B-PUDSS-1{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}top{space}entry
+0
+16
+16
+Connector_JST
+JST_PUD_S18B-PUDSS-1_2x09_P2.00mm_Horizontal
+JST{space}PUD{space}series{space}connector,{space}S18B-PUDSS-1{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}top{space}entry
+0
+18
+18
+Connector_JST
+JST_PUD_S20B-PUDSS-1_2x10_P2.00mm_Horizontal
+JST{space}PUD{space}series{space}connector,{space}S20B-PUDSS-1{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}top{space}entry
+0
+20
+20
+Connector_JST
+JST_PUD_S22B-PUDSS-1_2x11_P2.00mm_Horizontal
+JST{space}PUD{space}series{space}connector,{space}S22B-PUDSS-1{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}top{space}entry
+0
+22
+22
+Connector_JST
+JST_PUD_S24B-PUDSS-1_2x12_P2.00mm_Horizontal
+JST{space}PUD{space}series{space}connector,{space}S24B-PUDSS-1{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}top{space}entry
+0
+24
+24
+Connector_JST
+JST_PUD_S26B-PUDSS-1_2x13_P2.00mm_Horizontal
+JST{space}PUD{space}series{space}connector,{space}S26B-PUDSS-1{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}top{space}entry
+0
+26
+26
+Connector_JST
+JST_PUD_S28B-PUDSS-1_2x14_P2.00mm_Horizontal
+JST{space}PUD{space}series{space}connector,{space}S28B-PUDSS-1{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}top{space}entry
+0
+28
+28
+Connector_JST
+JST_PUD_S30B-PUDSS-1_2x15_P2.00mm_Horizontal
+JST{space}PUD{space}series{space}connector,{space}S30B-PUDSS-1{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}top{space}entry
+0
+30
+30
+Connector_JST
+JST_PUD_S32B-PUDSS-1_2x16_P2.00mm_Horizontal
+JST{space}PUD{space}series{space}connector,{space}S32B-PUDSS-1{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}top{space}entry
+0
+32
+32
+Connector_JST
+JST_PUD_S34B-PUDSS-1_2x17_P2.00mm_Horizontal
+JST{space}PUD{space}series{space}connector,{space}S34B-PUDSS-1{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}top{space}entry
+0
+34
+34
+Connector_JST
+JST_PUD_S36B-PUDSS-1_2x18_P2.00mm_Horizontal
+JST{space}PUD{space}series{space}connector,{space}S36B-PUDSS-1{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}top{space}entry
+0
+36
+36
+Connector_JST
+JST_PUD_S38B-PUDSS-1_2x19_P2.00mm_Horizontal
+JST{space}PUD{space}series{space}connector,{space}S38B-PUDSS-1{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}top{space}entry
+0
+38
+38
+Connector_JST
+JST_PUD_S40B-PUDSS-1_2x20_P2.00mm_Horizontal
+JST{space}PUD{space}series{space}connector,{space}S40B-PUDSS-1{space}(http://www.jst-mfg.com/product/pdf/eng/ePUD.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}PUD{space}top{space}entry
+0
+40
+40
+Connector_JST
+JST_SFH_SM02B-SFHRS-TF_1x02-1MP_P4.20mm_Horizontal
+JST{space}SFH{space}series{space}connector,{space}SM02B-SFHRS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSFH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SFH{space}horizontal
+0
+4
+3
+Connector_JST
+JST_SHL_SM02B-SHLS-TF_1x02-1MP_P1.00mm_Horizontal
+JST{space}SHL{space}series{space}connector,{space}SM02B-SHLS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SHL{space}top{space}entry
+0
+4
+3
+Connector_JST
+JST_SHL_SM05B-SHLS-TF_1x05-1MP_P1.00mm_Horizontal
+JST{space}SHL{space}series{space}connector,{space}SM05B-SHLS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SHL{space}top{space}entry
+0
+7
+6
+Connector_JST
+JST_SHL_SM06B-SHLS-TF_1x06-1MP_P1.00mm_Horizontal
+JST{space}SHL{space}series{space}connector,{space}SM06B-SHLS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SHL{space}top{space}entry
+0
+8
+7
+Connector_JST
+JST_SHL_SM07B-SHLS-TF_1x07-1MP_P1.00mm_Horizontal
+JST{space}SHL{space}series{space}connector,{space}SM07B-SHLS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SHL{space}top{space}entry
+0
+9
+8
+Connector_JST
+JST_SHL_SM08B-SHLS-TF_1x08-1MP_P1.00mm_Horizontal
+JST{space}SHL{space}series{space}connector,{space}SM08B-SHLS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SHL{space}top{space}entry
+0
+10
+9
+Connector_JST
+JST_SHL_SM10B-SHLS-TF_1x10-1MP_P1.00mm_Horizontal
+JST{space}SHL{space}series{space}connector,{space}SM10B-SHLS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SHL{space}top{space}entry
+0
+12
+11
+Connector_JST
+JST_SHL_SM11B-SHLS-TF_1x11-1MP_P1.00mm_Horizontal
+JST{space}SHL{space}series{space}connector,{space}SM11B-SHLS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SHL{space}top{space}entry
+0
+13
+12
+Connector_JST
+JST_SHL_SM12B-SHLS-TF_1x12-1MP_P1.00mm_Horizontal
+JST{space}SHL{space}series{space}connector,{space}SM12B-SHLS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SHL{space}top{space}entry
+0
+14
+13
+Connector_JST
+JST_SHL_SM14B-SHLS-TF_1x14-1MP_P1.00mm_Horizontal
+JST{space}SHL{space}series{space}connector,{space}SM14B-SHLS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SHL{space}top{space}entry
+0
+16
+15
+Connector_JST
+JST_SHL_SM16B-SHLS-TF_1x16-1MP_P1.00mm_Horizontal
+JST{space}SHL{space}series{space}connector,{space}SM16B-SHLS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SHL{space}top{space}entry
+0
+18
+17
+Connector_JST
+JST_SHL_SM20B-SHLS-TF_1x20-1MP_P1.00mm_Horizontal
+JST{space}SHL{space}series{space}connector,{space}SM20B-SHLS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SHL{space}top{space}entry
+0
+22
+21
+Connector_JST
+JST_SHL_SM22B-SHLS-TF_1x22-1MP_P1.00mm_Horizontal
+JST{space}SHL{space}series{space}connector,{space}SM22B-SHLS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SHL{space}top{space}entry
+0
+24
+23
+Connector_JST
+JST_SHL_SM26B-SHLS-TF_1x26-1MP_P1.00mm_Horizontal
+JST{space}SHL{space}series{space}connector,{space}SM26B-SHLS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SHL{space}top{space}entry
+0
+28
+27
+Connector_JST
+JST_SHL_SM30B-SHLS-TF_1x30-1MP_P1.00mm_Horizontal
+JST{space}SHL{space}series{space}connector,{space}SM30B-SHLS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSHL.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SHL{space}top{space}entry
+0
+32
+31
+Connector_JST
+JST_SH_BM02B-SRSS-TB_1x02-1MP_P1.00mm_Vertical
+JST{space}SH{space}series{space}connector,{space}BM02B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}side{space}entry
+0
+4
+3
+Connector_JST
+JST_SH_BM03B-SRSS-TB_1x03-1MP_P1.00mm_Vertical
+JST{space}SH{space}series{space}connector,{space}BM03B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}side{space}entry
+0
+5
+4
+Connector_JST
+JST_SH_BM04B-SRSS-TB_1x04-1MP_P1.00mm_Vertical
+JST{space}SH{space}series{space}connector,{space}BM04B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}side{space}entry
+0
+6
+5
+Connector_JST
+JST_SH_BM05B-SRSS-TB_1x05-1MP_P1.00mm_Vertical
+JST{space}SH{space}series{space}connector,{space}BM05B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}side{space}entry
+0
+7
+6
+Connector_JST
+JST_SH_BM06B-SRSS-TB_1x06-1MP_P1.00mm_Vertical
+JST{space}SH{space}series{space}connector,{space}BM06B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}side{space}entry
+0
+8
+7
+Connector_JST
+JST_SH_BM07B-SRSS-TB_1x07-1MP_P1.00mm_Vertical
+JST{space}SH{space}series{space}connector,{space}BM07B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}side{space}entry
+0
+9
+8
+Connector_JST
+JST_SH_BM08B-SRSS-TB_1x08-1MP_P1.00mm_Vertical
+JST{space}SH{space}series{space}connector,{space}BM08B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}side{space}entry
+0
+10
+9
+Connector_JST
+JST_SH_BM09B-SRSS-TB_1x09-1MP_P1.00mm_Vertical
+JST{space}SH{space}series{space}connector,{space}BM09B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}side{space}entry
+0
+11
+10
+Connector_JST
+JST_SH_BM10B-SRSS-TB_1x10-1MP_P1.00mm_Vertical
+JST{space}SH{space}series{space}connector,{space}BM10B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}side{space}entry
+0
+12
+11
+Connector_JST
+JST_SH_BM11B-SRSS-TB_1x11-1MP_P1.00mm_Vertical
+JST{space}SH{space}series{space}connector,{space}BM11B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}side{space}entry
+0
+13
+12
+Connector_JST
+JST_SH_BM12B-SRSS-TB_1x12-1MP_P1.00mm_Vertical
+JST{space}SH{space}series{space}connector,{space}BM12B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}side{space}entry
+0
+14
+13
+Connector_JST
+JST_SH_BM13B-SRSS-TB_1x13-1MP_P1.00mm_Vertical
+JST{space}SH{space}series{space}connector,{space}BM13B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}side{space}entry
+0
+15
+14
+Connector_JST
+JST_SH_BM14B-SRSS-TB_1x14-1MP_P1.00mm_Vertical
+JST{space}SH{space}series{space}connector,{space}BM14B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}side{space}entry
+0
+16
+15
+Connector_JST
+JST_SH_BM15B-SRSS-TB_1x15-1MP_P1.00mm_Vertical
+JST{space}SH{space}series{space}connector,{space}BM15B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}side{space}entry
+0
+17
+16
+Connector_JST
+JST_SH_SM02B-SRSS-TB_1x02-1MP_P1.00mm_Horizontal
+JST{space}SH{space}series{space}connector,{space}SM02B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}top{space}entry
+0
+4
+3
+Connector_JST
+JST_SH_SM03B-SRSS-TB_1x03-1MP_P1.00mm_Horizontal
+JST{space}SH{space}series{space}connector,{space}SM03B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}top{space}entry
+0
+5
+4
+Connector_JST
+JST_SH_SM04B-SRSS-TB_1x04-1MP_P1.00mm_Horizontal
+JST{space}SH{space}series{space}connector,{space}SM04B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}top{space}entry
+0
+6
+5
+Connector_JST
+JST_SH_SM05B-SRSS-TB_1x05-1MP_P1.00mm_Horizontal
+JST{space}SH{space}series{space}connector,{space}SM05B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}top{space}entry
+0
+7
+6
+Connector_JST
+JST_SH_SM06B-SRSS-TB_1x06-1MP_P1.00mm_Horizontal
+JST{space}SH{space}series{space}connector,{space}SM06B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}top{space}entry
+0
+8
+7
+Connector_JST
+JST_SH_SM07B-SRSS-TB_1x07-1MP_P1.00mm_Horizontal
+JST{space}SH{space}series{space}connector,{space}SM07B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}top{space}entry
+0
+9
+8
+Connector_JST
+JST_SH_SM08B-SRSS-TB_1x08-1MP_P1.00mm_Horizontal
+JST{space}SH{space}series{space}connector,{space}SM08B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}top{space}entry
+0
+10
+9
+Connector_JST
+JST_SH_SM09B-SRSS-TB_1x09-1MP_P1.00mm_Horizontal
+JST{space}SH{space}series{space}connector,{space}SM09B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}top{space}entry
+0
+11
+10
+Connector_JST
+JST_SH_SM10B-SRSS-TB_1x10-1MP_P1.00mm_Horizontal
+JST{space}SH{space}series{space}connector,{space}SM10B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}top{space}entry
+0
+12
+11
+Connector_JST
+JST_SH_SM11B-SRSS-TB_1x11-1MP_P1.00mm_Horizontal
+JST{space}SH{space}series{space}connector,{space}SM11B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}top{space}entry
+0
+13
+12
+Connector_JST
+JST_SH_SM12B-SRSS-TB_1x12-1MP_P1.00mm_Horizontal
+JST{space}SH{space}series{space}connector,{space}SM12B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}top{space}entry
+0
+14
+13
+Connector_JST
+JST_SH_SM13B-SRSS-TB_1x13-1MP_P1.00mm_Horizontal
+JST{space}SH{space}series{space}connector,{space}SM13B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}top{space}entry
+0
+15
+14
+Connector_JST
+JST_SH_SM14B-SRSS-TB_1x14-1MP_P1.00mm_Horizontal
+JST{space}SH{space}series{space}connector,{space}SM14B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}top{space}entry
+0
+16
+15
+Connector_JST
+JST_SH_SM15B-SRSS-TB_1x15-1MP_P1.00mm_Horizontal
+JST{space}SH{space}series{space}connector,{space}SM15B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}top{space}entry
+0
+17
+16
+Connector_JST
+JST_SH_SM20B-SRSS-TB_1x20-1MP_P1.00mm_Horizontal
+JST{space}SH{space}series{space}connector,{space}SM20B-SRSS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eSH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SH{space}top{space}entry
+0
+22
+21
+Connector_JST
+JST_SUR_BM02B-SURS-TF_1x02-1MP_P0.80mm_Vertical
+JST{space}SUR{space}series{space}connector,{space}BM02B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}side{space}entry
+0
+4
+3
+Connector_JST
+JST_SUR_BM03B-SURS-TF_1x03-1MP_P0.80mm_Vertical
+JST{space}SUR{space}series{space}connector,{space}BM03B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}side{space}entry
+0
+5
+4
+Connector_JST
+JST_SUR_BM04B-SURS-TF_1x04-1MP_P0.80mm_Vertical
+JST{space}SUR{space}series{space}connector,{space}BM04B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}side{space}entry
+0
+6
+5
+Connector_JST
+JST_SUR_BM05B-SURS-TF_1x05-1MP_P0.80mm_Vertical
+JST{space}SUR{space}series{space}connector,{space}BM05B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}side{space}entry
+0
+7
+6
+Connector_JST
+JST_SUR_BM06B-SURS-TF_1x06-1MP_P0.80mm_Vertical
+JST{space}SUR{space}series{space}connector,{space}BM06B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}side{space}entry
+0
+8
+7
+Connector_JST
+JST_SUR_BM08B-SURS-TF_1x08-1MP_P0.80mm_Vertical
+JST{space}SUR{space}series{space}connector,{space}BM08B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}side{space}entry
+0
+10
+9
+Connector_JST
+JST_SUR_BM10B-SURS-TF_1x10-1MP_P0.80mm_Vertical
+JST{space}SUR{space}series{space}connector,{space}BM10B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}side{space}entry
+0
+12
+11
+Connector_JST
+JST_SUR_BM12B-SURS-TF_1x12-1MP_P0.80mm_Vertical
+JST{space}SUR{space}series{space}connector,{space}BM12B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}side{space}entry
+0
+14
+13
+Connector_JST
+JST_SUR_BM14B-SURS-TF_1x14-1MP_P0.80mm_Vertical
+JST{space}SUR{space}series{space}connector,{space}BM14B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}side{space}entry
+0
+16
+15
+Connector_JST
+JST_SUR_BM15B-SURS-TF_1x15-1MP_P0.80mm_Vertical
+JST{space}SUR{space}series{space}connector,{space}BM15B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}side{space}entry
+0
+17
+16
+Connector_JST
+JST_SUR_BM16B-SURS-TF_1x16-1MP_P0.80mm_Vertical
+JST{space}SUR{space}series{space}connector,{space}BM16B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}side{space}entry
+0
+18
+17
+Connector_JST
+JST_SUR_BM17B-SURS-TF_1x17-1MP_P0.80mm_Vertical
+JST{space}SUR{space}series{space}connector,{space}BM17B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}side{space}entry
+0
+19
+18
+Connector_JST
+JST_SUR_BM20B-SURS-TF_1x20-1MP_P0.80mm_Vertical
+JST{space}SUR{space}series{space}connector,{space}BM20B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}side{space}entry
+0
+22
+21
+Connector_JST
+JST_SUR_SM02B-SURS-TF_1x02-1MP_P0.80mm_Horizontal
+JST{space}SUR{space}series{space}connector,{space}SM02B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}top{space}entry
+0
+4
+3
+Connector_JST
+JST_SUR_SM03B-SURS-TF_1x03-1MP_P0.80mm_Horizontal
+JST{space}SUR{space}series{space}connector,{space}SM03B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}top{space}entry
+0
+5
+4
+Connector_JST
+JST_SUR_SM04B-SURS-TF_1x04-1MP_P0.80mm_Horizontal
+JST{space}SUR{space}series{space}connector,{space}SM04B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}top{space}entry
+0
+6
+5
+Connector_JST
+JST_SUR_SM05B-SURS-TF_1x05-1MP_P0.80mm_Horizontal
+JST{space}SUR{space}series{space}connector,{space}SM05B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}top{space}entry
+0
+7
+6
+Connector_JST
+JST_SUR_SM06B-SURS-TF_1x06-1MP_P0.80mm_Horizontal
+JST{space}SUR{space}series{space}connector,{space}SM06B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}top{space}entry
+0
+8
+7
+Connector_JST
+JST_SUR_SM08B-SURS-TF_1x08-1MP_P0.80mm_Horizontal
+JST{space}SUR{space}series{space}connector,{space}SM08B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}top{space}entry
+0
+10
+9
+Connector_JST
+JST_SUR_SM10B-SURS-TF_1x10-1MP_P0.80mm_Horizontal
+JST{space}SUR{space}series{space}connector,{space}SM10B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}top{space}entry
+0
+12
+11
+Connector_JST
+JST_SUR_SM12B-SURS-TF_1x12-1MP_P0.80mm_Horizontal
+JST{space}SUR{space}series{space}connector,{space}SM12B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}top{space}entry
+0
+14
+13
+Connector_JST
+JST_SUR_SM14B-SURS-TF_1x14-1MP_P0.80mm_Horizontal
+JST{space}SUR{space}series{space}connector,{space}SM14B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}top{space}entry
+0
+16
+15
+Connector_JST
+JST_SUR_SM15B-SURS-TF_1x15-1MP_P0.80mm_Horizontal
+JST{space}SUR{space}series{space}connector,{space}SM15B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}top{space}entry
+0
+17
+16
+Connector_JST
+JST_SUR_SM16B-SURS-TF_1x16-1MP_P0.80mm_Horizontal
+JST{space}SUR{space}series{space}connector,{space}SM16B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}top{space}entry
+0
+18
+17
+Connector_JST
+JST_SUR_SM17B-SURS-TF_1x17-1MP_P0.80mm_Horizontal
+JST{space}SUR{space}series{space}connector,{space}SM17B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}top{space}entry
+0
+19
+18
+Connector_JST
+JST_SUR_SM20B-SURS-TF_1x20-1MP_P0.80mm_Horizontal
+JST{space}SUR{space}series{space}connector,{space}SM20B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}top{space}entry
+0
+22
+21
+Connector_JST
+JST_SUR_SM22B-SURS-TF_1x22-1MP_P0.80mm_Horizontal
+JST{space}SUR{space}series{space}connector,{space}SM22B-SURS-TF{space}(http://www.jst-mfg.com/product/pdf/eng/eSUR.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}SUR{space}top{space}entry
+0
+24
+23
+Connector_JST
+JST_VH_B2P-VH-B_1x02_P3.96mm_Vertical
+JST{space}VH{space}PBT{space}series{space}connector,{space}B2P-VH-B{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+2
+2
+Connector_JST
+JST_VH_B2P-VH-FB-B_1x02_P3.96mm_Vertical
+JST{space}VH{space}series{space}connector,{space}B2P-VH-FB-B,{space}shrouded{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+2
+2
+Connector_JST
+JST_VH_B2P-VH_1x02_P3.96mm_Vertical
+JST{space}VH{space}series{space}connector,{space}B2P-VH{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+2
+2
+Connector_JST
+JST_VH_B2PS-VH_1x02_P3.96mm_Horizontal
+JST{space}VH{space}series{space}connector,{space}B2PS-VH{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}top{space}entry
+0
+2
+2
+Connector_JST
+JST_VH_B3P-VH-B_1x03_P3.96mm_Vertical
+JST{space}VH{space}PBT{space}series{space}connector,{space}B3P-VH-B{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+3
+3
+Connector_JST
+JST_VH_B3P-VH-FB-B_1x03_P3.96mm_Vertical
+JST{space}VH{space}series{space}connector,{space}B3P-VH-FB-B,{space}shrouded{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+3
+3
+Connector_JST
+JST_VH_B3P-VH_1x03_P3.96mm_Vertical
+JST{space}VH{space}series{space}connector,{space}B3P-VH{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+3
+3
+Connector_JST
+JST_VH_B3PS-VH_1x03_P3.96mm_Horizontal
+JST{space}VH{space}series{space}connector,{space}B3PS-VH{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}top{space}entry
+0
+3
+3
+Connector_JST
+JST_VH_B4P-VH-B_1x04_P3.96mm_Vertical
+JST{space}VH{space}PBT{space}series{space}connector,{space}B4P-VH-B{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+4
+4
+Connector_JST
+JST_VH_B4P-VH-FB-B_1x04_P3.96mm_Vertical
+JST{space}VH{space}series{space}connector,{space}B4P-VH-FB-B,{space}shrouded{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+4
+4
+Connector_JST
+JST_VH_B4P-VH_1x04_P3.96mm_Vertical
+JST{space}VH{space}series{space}connector,{space}B4P-VH{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+4
+4
+Connector_JST
+JST_VH_B4PS-VH_1x04_P3.96mm_Horizontal
+JST{space}VH{space}series{space}connector,{space}B4PS-VH{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}top{space}entry
+0
+4
+4
+Connector_JST
+JST_VH_B5P-VH-B_1x05_P3.96mm_Vertical
+JST{space}VH{space}PBT{space}series{space}connector,{space}B5P-VH-B{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+5
+5
+Connector_JST
+JST_VH_B5P-VH-FB-B_1x05_P3.96mm_Vertical
+JST{space}VH{space}series{space}connector,{space}B5P-VH-FB-B,{space}shrouded{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+5
+5
+Connector_JST
+JST_VH_B5P-VH_1x05_P3.96mm_Vertical
+JST{space}VH{space}series{space}connector,{space}B5P-VH{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+5
+5
+Connector_JST
+JST_VH_B5PS-VH_1x05_P3.96mm_Horizontal
+JST{space}VH{space}series{space}connector,{space}B5PS-VH{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}top{space}entry
+0
+5
+5
+Connector_JST
+JST_VH_B6P-VH-B_1x06_P3.96mm_Vertical
+JST{space}VH{space}PBT{space}series{space}connector,{space}B6P-VH-B{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+6
+6
+Connector_JST
+JST_VH_B6P-VH-FB-B_1x06_P3.96mm_Vertical
+JST{space}VH{space}series{space}connector,{space}B6P-VH-FB-B,{space}shrouded{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+6
+6
+Connector_JST
+JST_VH_B6P-VH_1x06_P3.96mm_Vertical
+JST{space}VH{space}series{space}connector,{space}B6P-VH{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+6
+6
+Connector_JST
+JST_VH_B6PS-VH_1x06_P3.96mm_Horizontal
+JST{space}VH{space}series{space}connector,{space}B6PS-VH{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}top{space}entry
+0
+6
+6
+Connector_JST
+JST_VH_B7P-VH-B_1x07_P3.96mm_Vertical
+JST{space}VH{space}PBT{space}series{space}connector,{space}B7P-VH-B{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+7
+7
+Connector_JST
+JST_VH_B7P-VH-FB-B_1x07_P3.96mm_Vertical
+JST{space}VH{space}series{space}connector,{space}B7P-VH-FB-B,{space}shrouded{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+7
+7
+Connector_JST
+JST_VH_B7P-VH_1x07_P3.96mm_Vertical
+JST{space}VH{space}series{space}connector,{space}B7P-VH{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+7
+7
+Connector_JST
+JST_VH_B7PS-VH_1x07_P3.96mm_Horizontal
+JST{space}VH{space}series{space}connector,{space}B7PS-VH{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}top{space}entry
+0
+7
+7
+Connector_JST
+JST_VH_B8P-VH-B_1x08_P3.96mm_Vertical
+JST{space}VH{space}PBT{space}series{space}connector,{space}B8P-VH-B{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+8
+8
+Connector_JST
+JST_VH_B8P-VH-FB-B_1x08_P3.96mm_Vertical
+JST{space}VH{space}series{space}connector,{space}B8P-VH-FB-B,{space}shrouded{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+8
+8
+Connector_JST
+JST_VH_B8P-VH_1x08_P3.96mm_Vertical
+JST{space}VH{space}series{space}connector,{space}B8P-VH{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+8
+8
+Connector_JST
+JST_VH_B8PS-VH_1x08_P3.96mm_Horizontal
+JST{space}VH{space}series{space}connector,{space}B8PS-VH{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}top{space}entry
+0
+8
+8
+Connector_JST
+JST_VH_B9P-VH-B_1x09_P3.96mm_Vertical
+JST{space}VH{space}PBT{space}series{space}connector,{space}B9P-VH-B{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+9
+9
+Connector_JST
+JST_VH_B9P-VH-FB-B_1x09_P3.96mm_Vertical
+JST{space}VH{space}series{space}connector,{space}B9P-VH-FB-B,{space}shrouded{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+9
+9
+Connector_JST
+JST_VH_B9P-VH_1x09_P3.96mm_Vertical
+JST{space}VH{space}series{space}connector,{space}B9P-VH{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+9
+9
+Connector_JST
+JST_VH_B9PS-VH_1x09_P3.96mm_Horizontal
+JST{space}VH{space}series{space}connector,{space}B9PS-VH{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}top{space}entry
+0
+9
+9
+Connector_JST
+JST_VH_B10P-VH-B_1x10_P3.96mm_Vertical
+JST{space}VH{space}PBT{space}series{space}connector,{space}B10P-VH-B{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+10
+10
+Connector_JST
+JST_VH_B10P-VH-FB-B_1x10_P3.96mm_Vertical
+JST{space}VH{space}series{space}connector,{space}B10P-VH-FB-B,{space}shrouded{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+10
+10
+Connector_JST
+JST_VH_B10P-VH_1x10_P3.96mm_Vertical
+JST{space}VH{space}series{space}connector,{space}B10P-VH{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+10
+10
+Connector_JST
+JST_VH_B10PS-VH_1x10_P3.96mm_Horizontal
+JST{space}VH{space}series{space}connector,{space}B10PS-VH{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}top{space}entry
+0
+10
+10
+Connector_JST
+JST_VH_B11P-VH-B_1x11_P3.96mm_Vertical
+JST{space}VH{space}PBT{space}series{space}connector,{space}B11P-VH-B{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}side{space}entry
+0
+11
+11
+Connector_JST
+JST_VH_S2P-VH_1x02_P3.96mm_Horizontal
+JST{space}VH{space}series{space}connector,{space}S2P-VH{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}top{space}entry
+0
+2
+2
+Connector_JST
+JST_VH_S3P-VH_1x03_P3.96mm_Horizontal
+JST{space}VH{space}series{space}connector,{space}S3P-VH{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}top{space}entry
+0
+3
+3
+Connector_JST
+JST_VH_S4P-VH_1x04_P3.96mm_Horizontal
+JST{space}VH{space}series{space}connector,{space}S4P-VH{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}top{space}entry
+0
+4
+4
+Connector_JST
+JST_VH_S5P-VH_1x05_P3.96mm_Horizontal
+JST{space}VH{space}series{space}connector,{space}S5P-VH{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}top{space}entry
+0
+5
+5
+Connector_JST
+JST_VH_S6P-VH_1x06_P3.96mm_Horizontal
+JST{space}VH{space}series{space}connector,{space}S6P-VH{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}top{space}entry
+0
+6
+6
+Connector_JST
+JST_VH_S7P-VH_1x07_P3.96mm_Horizontal
+JST{space}VH{space}series{space}connector,{space}S7P-VH{space}(http://www.jst-mfg.com/product/pdf/eng/eVH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}VH{space}top{space}entry
+0
+7
+7
+Connector_JST
+JST_XAG_SM05B-XAGKS-BN-TB_1x05-1MP_P2.50mm_Horizontal
+JST{space}XAG{space}series{space}connector,{space}SM05B-XAGKS-BN-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eXAG.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XAG{space}top{space}entry
+0
+7
+6
+Connector_JST
+JST_XH_B1B-XH-AM_1x01_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B1B-XH-AM,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}vertical{space}boss
+0
+1
+1
+Connector_JST
+JST_XH_B2B-XH-AM_1x02_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B2B-XH-AM,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}vertical{space}boss
+0
+2
+2
+Connector_JST
+JST_XH_B2B-XH-A_1x02_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B2B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}vertical
+0
+2
+2
+Connector_JST
+JST_XH_B3B-XH-AM_1x03_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B3B-XH-AM,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}vertical{space}boss
+0
+3
+3
+Connector_JST
+JST_XH_B3B-XH-A_1x03_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B3B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}vertical
+0
+3
+3
+Connector_JST
+JST_XH_B4B-XH-AM_1x04_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B4B-XH-AM,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}vertical{space}boss
+0
+4
+4
+Connector_JST
+JST_XH_B4B-XH-A_1x04_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B4B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}vertical
+0
+4
+4
+Connector_JST
+JST_XH_B5B-XH-AM_1x05_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B5B-XH-AM,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}vertical{space}boss
+0
+5
+5
+Connector_JST
+JST_XH_B5B-XH-A_1x05_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B5B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}vertical
+0
+5
+5
+Connector_JST
+JST_XH_B6B-XH-AM_1x06_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B6B-XH-AM,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}vertical{space}boss
+0
+6
+6
+Connector_JST
+JST_XH_B6B-XH-A_1x06_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B6B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}vertical
+0
+6
+6
+Connector_JST
+JST_XH_B7B-XH-AM_1x07_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B7B-XH-AM,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}vertical{space}boss
+0
+7
+7
+Connector_JST
+JST_XH_B7B-XH-A_1x07_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B7B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}vertical
+0
+7
+7
+Connector_JST
+JST_XH_B8B-XH-AM_1x08_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B8B-XH-AM,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}vertical{space}boss
+0
+8
+8
+Connector_JST
+JST_XH_B8B-XH-A_1x08_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B8B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}vertical
+0
+8
+8
+Connector_JST
+JST_XH_B9B-XH-AM_1x09_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B9B-XH-AM,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}vertical{space}boss
+0
+9
+9
+Connector_JST
+JST_XH_B9B-XH-A_1x09_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B9B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}vertical
+0
+9
+9
+Connector_JST
+JST_XH_B10B-XH-AM_1x10_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B10B-XH-AM,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}side{space}entry{space}boss
+0
+10
+10
+Connector_JST
+JST_XH_B10B-XH-A_1x10_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B10B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}side{space}entry
+0
+10
+10
+Connector_JST
+JST_XH_B11B-XH-A_1x11_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B11B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}side{space}entry
+0
+11
+11
+Connector_JST
+JST_XH_B12B-XH-AM_1x12_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B12B-XH-AM,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}side{space}entry{space}boss
+0
+12
+12
+Connector_JST
+JST_XH_B12B-XH-A_1x12_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B12B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}side{space}entry
+0
+12
+12
+Connector_JST
+JST_XH_B13B-XH-A_1x13_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B13B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}side{space}entry
+0
+13
+13
+Connector_JST
+JST_XH_B14B-XH-A_1x14_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B14B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}side{space}entry
+0
+14
+14
+Connector_JST
+JST_XH_B15B-XH-A_1x15_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B15B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}side{space}entry
+0
+15
+15
+Connector_JST
+JST_XH_B16B-XH-A_1x16_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B16B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}side{space}entry
+0
+16
+16
+Connector_JST
+JST_XH_B20B-XH-A_1x20_P2.50mm_Vertical
+JST{space}XH{space}series{space}connector,{space}B20B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}side{space}entry
+0
+20
+20
+Connector_JST
+JST_XH_S2B-XH-A-1_1x02_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S2B-XH-A-1{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}horizontal
+0
+2
+2
+Connector_JST
+JST_XH_S2B-XH-A_1x02_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S2B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}horizontal
+0
+2
+2
+Connector_JST
+JST_XH_S3B-XH-A-1_1x03_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S3B-XH-A-1{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}horizontal
+0
+3
+3
+Connector_JST
+JST_XH_S3B-XH-A_1x03_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S3B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}horizontal
+0
+3
+3
+Connector_JST
+JST_XH_S4B-XH-A-1_1x04_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S4B-XH-A-1{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}horizontal
+0
+4
+4
+Connector_JST
+JST_XH_S4B-XH-A_1x04_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S4B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}horizontal
+0
+4
+4
+Connector_JST
+JST_XH_S5B-XH-A-1_1x05_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S5B-XH-A-1{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}horizontal
+0
+5
+5
+Connector_JST
+JST_XH_S5B-XH-A_1x05_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S5B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}horizontal
+0
+5
+5
+Connector_JST
+JST_XH_S6B-XH-A-1_1x06_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S6B-XH-A-1{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}horizontal
+0
+6
+6
+Connector_JST
+JST_XH_S6B-XH-A_1x06_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S6B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}horizontal
+0
+6
+6
+Connector_JST
+JST_XH_S7B-XH-A-1_1x07_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S7B-XH-A-1{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}horizontal
+0
+7
+7
+Connector_JST
+JST_XH_S7B-XH-A_1x07_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S7B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}horizontal
+0
+7
+7
+Connector_JST
+JST_XH_S8B-XH-A-1_1x08_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S8B-XH-A-1{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}horizontal
+0
+8
+8
+Connector_JST
+JST_XH_S8B-XH-A_1x08_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S8B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}horizontal
+0
+8
+8
+Connector_JST
+JST_XH_S9B-XH-A-1_1x09_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S9B-XH-A-1{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}horizontal
+0
+9
+9
+Connector_JST
+JST_XH_S9B-XH-A_1x09_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S9B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}horizontal
+0
+9
+9
+Connector_JST
+JST_XH_S10B-XH-A-1_1x10_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S10B-XH-A-1{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}top{space}entry
+0
+10
+10
+Connector_JST
+JST_XH_S10B-XH-A_1x10_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S10B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}top{space}entry
+0
+10
+10
+Connector_JST
+JST_XH_S11B-XH-A-1_1x11_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S11B-XH-A-1{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}top{space}entry
+0
+11
+11
+Connector_JST
+JST_XH_S11B-XH-A_1x11_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S11B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}top{space}entry
+0
+11
+11
+Connector_JST
+JST_XH_S12B-XH-A-1_1x12_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S12B-XH-A-1{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}top{space}entry
+0
+12
+12
+Connector_JST
+JST_XH_S12B-XH-A_1x12_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S12B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}top{space}entry
+0
+12
+12
+Connector_JST
+JST_XH_S13B-XH-A-1_1x13_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S13B-XH-A-1{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}top{space}entry
+0
+13
+13
+Connector_JST
+JST_XH_S13B-XH-A_1x13_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S13B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}top{space}entry
+0
+13
+13
+Connector_JST
+JST_XH_S14B-XH-A-1_1x14_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S14B-XH-A-1{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}top{space}entry
+0
+14
+14
+Connector_JST
+JST_XH_S14B-XH-A_1x14_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S14B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}top{space}entry
+0
+14
+14
+Connector_JST
+JST_XH_S15B-XH-A-1_1x15_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S15B-XH-A-1{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}top{space}entry
+0
+15
+15
+Connector_JST
+JST_XH_S15B-XH-A_1x15_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S15B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}top{space}entry
+0
+15
+15
+Connector_JST
+JST_XH_S16B-XH-A_1x16_P2.50mm_Horizontal
+JST{space}XH{space}series{space}connector,{space}S16B-XH-A{space}(http://www.jst-mfg.com/product/pdf/eng/eXH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}XH{space}top{space}entry
+0
+16
+16
+Connector_JST
+JST_ZE_B02B-ZESK-1D_1x02_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B02B-ZESK-1D,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry{space}boss
+0
+2
+2
+Connector_JST
+JST_ZE_B03B-ZESK-1D_1x03_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B03B-ZESK-1D,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry{space}boss
+0
+3
+3
+Connector_JST
+JST_ZE_B03B-ZESK-D_1x03_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B03B-ZESK-D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry
+0
+3
+3
+Connector_JST
+JST_ZE_B04B-ZESK-1D_1x04_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B04B-ZESK-1D,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry{space}boss
+0
+4
+4
+Connector_JST
+JST_ZE_B04B-ZESK-D_1x04_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B04B-ZESK-D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry
+0
+4
+4
+Connector_JST
+JST_ZE_B05B-ZESK-1D_1x05_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B05B-ZESK-1D,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry{space}boss
+0
+5
+5
+Connector_JST
+JST_ZE_B05B-ZESK-D_1x05_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B05B-ZESK-D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry
+0
+5
+5
+Connector_JST
+JST_ZE_B06B-ZESK-1D_1x06_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B06B-ZESK-1D,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry{space}boss
+0
+6
+6
+Connector_JST
+JST_ZE_B06B-ZESK-D_1x06_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B06B-ZESK-D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry
+0
+6
+6
+Connector_JST
+JST_ZE_B07B-ZESK-1D_1x07_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B07B-ZESK-1D,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry{space}boss
+0
+7
+7
+Connector_JST
+JST_ZE_B07B-ZESK-D_1x07_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B07B-ZESK-D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry
+0
+7
+7
+Connector_JST
+JST_ZE_B08B-ZESK-1D_1x08_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B08B-ZESK-1D,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry{space}boss
+0
+8
+8
+Connector_JST
+JST_ZE_B08B-ZESK-D_1x08_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B08B-ZESK-D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry
+0
+8
+8
+Connector_JST
+JST_ZE_B09B-ZESK-1D_1x09_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B09B-ZESK-1D,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry{space}boss
+0
+9
+9
+Connector_JST
+JST_ZE_B09B-ZESK-D_1x09_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B09B-ZESK-D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry
+0
+9
+9
+Connector_JST
+JST_ZE_B10B-ZESK-1D_1x10_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B10B-ZESK-1D,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry{space}boss
+0
+10
+10
+Connector_JST
+JST_ZE_B10B-ZESK-D_1x10_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B10B-ZESK-D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry
+0
+10
+10
+Connector_JST
+JST_ZE_B11B-ZESK-1D_1x11_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B11B-ZESK-1D,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry{space}boss
+0
+11
+11
+Connector_JST
+JST_ZE_B11B-ZESK-D_1x11_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B11B-ZESK-D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry
+0
+11
+11
+Connector_JST
+JST_ZE_B12B-ZESK-1D_1x12_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B12B-ZESK-1D,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry{space}boss
+0
+12
+12
+Connector_JST
+JST_ZE_B12B-ZESK-D_1x12_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B12B-ZESK-D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry
+0
+12
+12
+Connector_JST
+JST_ZE_B13B-ZESK-1D_1x13_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B13B-ZESK-1D,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry{space}boss
+0
+13
+13
+Connector_JST
+JST_ZE_B13B-ZESK-D_1x13_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B13B-ZESK-D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry
+0
+13
+13
+Connector_JST
+JST_ZE_B14B-ZESK-1D_1x14_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B14B-ZESK-1D,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry{space}boss
+0
+14
+14
+Connector_JST
+JST_ZE_B14B-ZESK-D_1x14_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B14B-ZESK-D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry
+0
+14
+14
+Connector_JST
+JST_ZE_B15B-ZESK-1D_1x15_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B15B-ZESK-1D,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry{space}boss
+0
+15
+15
+Connector_JST
+JST_ZE_B15B-ZESK-D_1x15_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B15B-ZESK-D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry
+0
+15
+15
+Connector_JST
+JST_ZE_B16B-ZESK-1D_1x16_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B16B-ZESK-1D,{space}with{space}boss{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry{space}boss
+0
+16
+16
+Connector_JST
+JST_ZE_B16B-ZESK-D_1x16_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}B16B-ZESK-D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}side{space}entry
+0
+16
+16
+Connector_JST
+JST_ZE_BM02B-ZESS-TBT_1x02-1MP_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}BM02B-ZESS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}vertical
+0
+4
+3
+Connector_JST
+JST_ZE_BM03B-ZESS-TBT_1x03-1MP_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}BM03B-ZESS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}vertical
+0
+5
+4
+Connector_JST
+JST_ZE_BM04B-ZESS-TBT_1x04-1MP_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}BM04B-ZESS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}vertical
+0
+6
+5
+Connector_JST
+JST_ZE_BM05B-ZESS-TBT_1x05-1MP_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}BM05B-ZESS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}vertical
+0
+7
+6
+Connector_JST
+JST_ZE_BM06B-ZESS-TBT_1x06-1MP_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}BM06B-ZESS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}vertical
+0
+8
+7
+Connector_JST
+JST_ZE_BM07B-ZESS-TBT_1x07-1MP_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}BM07B-ZESS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}vertical
+0
+9
+8
+Connector_JST
+JST_ZE_BM08B-ZESS-TBT_1x08-1MP_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}BM08B-ZESS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}vertical
+0
+10
+9
+Connector_JST
+JST_ZE_BM09B-ZESS-TBT_1x09-1MP_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}BM09B-ZESS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}vertical
+0
+11
+10
+Connector_JST
+JST_ZE_BM10B-ZESS-TBT_1x10-1MP_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}BM10B-ZESS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}vertical
+0
+12
+11
+Connector_JST
+JST_ZE_BM11B-ZESS-TBT_1x11-1MP_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}BM11B-ZESS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}vertical
+0
+13
+12
+Connector_JST
+JST_ZE_BM12B-ZESS-TBT_1x12-1MP_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}BM12B-ZESS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}vertical
+0
+14
+13
+Connector_JST
+JST_ZE_BM13B-ZESS-TBT_1x13-1MP_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}BM13B-ZESS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}vertical
+0
+15
+14
+Connector_JST
+JST_ZE_BM14B-ZESS-TBT_1x14-1MP_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}BM14B-ZESS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}vertical
+0
+16
+15
+Connector_JST
+JST_ZE_BM15B-ZESS-TBT_1x15-1MP_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}BM15B-ZESS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}vertical
+0
+17
+16
+Connector_JST
+JST_ZE_BM16B-ZESS-TBT_1x16-1MP_P1.50mm_Vertical
+JST{space}ZE{space}series{space}connector,{space}BM16B-ZESS-TBT{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}vertical
+0
+18
+17
+Connector_JST
+JST_ZE_S02B-ZESK-2D_1x02_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}S02B-ZESK-2D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}top{space}entry
+0
+2
+2
+Connector_JST
+JST_ZE_S03B-ZESK-2D_1x03_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}S03B-ZESK-2D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}top{space}entry
+0
+3
+3
+Connector_JST
+JST_ZE_S04B-ZESK-2D_1x04_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}S04B-ZESK-2D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}top{space}entry
+0
+4
+4
+Connector_JST
+JST_ZE_S05B-ZESK-2D_1x05_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}S05B-ZESK-2D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}top{space}entry
+0
+5
+5
+Connector_JST
+JST_ZE_S06B-ZESK-2D_1x06_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}S06B-ZESK-2D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}top{space}entry
+0
+6
+6
+Connector_JST
+JST_ZE_S07B-ZESK-2D_1x07_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}S07B-ZESK-2D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}top{space}entry
+0
+7
+7
+Connector_JST
+JST_ZE_S08B-ZESK-2D_1x08_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}S08B-ZESK-2D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}top{space}entry
+0
+8
+8
+Connector_JST
+JST_ZE_S09B-ZESK-2D_1x09_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}S09B-ZESK-2D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}top{space}entry
+0
+9
+9
+Connector_JST
+JST_ZE_S10B-ZESK-2D_1x10_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}S10B-ZESK-2D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}top{space}entry
+0
+10
+10
+Connector_JST
+JST_ZE_S11B-ZESK-2D_1x11_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}S11B-ZESK-2D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}top{space}entry
+0
+11
+11
+Connector_JST
+JST_ZE_S12B-ZESK-2D_1x12_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}S12B-ZESK-2D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}top{space}entry
+0
+12
+12
+Connector_JST
+JST_ZE_S13B-ZESK-2D_1x13_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}S13B-ZESK-2D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}top{space}entry
+0
+13
+13
+Connector_JST
+JST_ZE_S14B-ZESK-2D_1x14_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}S14B-ZESK-2D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}top{space}entry
+0
+14
+14
+Connector_JST
+JST_ZE_S15B-ZESK-2D_1x15_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}S15B-ZESK-2D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}top{space}entry
+0
+15
+15
+Connector_JST
+JST_ZE_S16B-ZESK-2D_1x16_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}S16B-ZESK-2D{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}top{space}entry
+0
+16
+16
+Connector_JST
+JST_ZE_SM02B-ZESS-TB_1x02-1MP_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}SM02B-ZESS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}horizontal
+0
+4
+3
+Connector_JST
+JST_ZE_SM03B-ZESS-TB_1x03-1MP_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}SM03B-ZESS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}horizontal
+0
+5
+4
+Connector_JST
+JST_ZE_SM04B-ZESS-TB_1x04-1MP_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}SM04B-ZESS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}horizontal
+0
+6
+5
+Connector_JST
+JST_ZE_SM05B-ZESS-TB_1x05-1MP_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}SM05B-ZESS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}horizontal
+0
+7
+6
+Connector_JST
+JST_ZE_SM06B-ZESS-TB_1x06-1MP_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}SM06B-ZESS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}horizontal
+0
+8
+7
+Connector_JST
+JST_ZE_SM07B-ZESS-TB_1x07-1MP_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}SM07B-ZESS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}horizontal
+0
+9
+8
+Connector_JST
+JST_ZE_SM08B-ZESS-TB_1x08-1MP_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}SM08B-ZESS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}horizontal
+0
+10
+9
+Connector_JST
+JST_ZE_SM09B-ZESS-TB_1x09-1MP_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}SM09B-ZESS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}horizontal
+0
+11
+10
+Connector_JST
+JST_ZE_SM10B-ZESS-TB_1x10-1MP_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}SM10B-ZESS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}horizontal
+0
+12
+11
+Connector_JST
+JST_ZE_SM11B-ZESS-TB_1x11-1MP_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}SM11B-ZESS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}horizontal
+0
+13
+12
+Connector_JST
+JST_ZE_SM12B-ZESS-TB_1x12-1MP_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}SM12B-ZESS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}horizontal
+0
+14
+13
+Connector_JST
+JST_ZE_SM13B-ZESS-TB_1x13-1MP_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}SM13B-ZESS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}horizontal
+0
+15
+14
+Connector_JST
+JST_ZE_SM14B-ZESS-TB_1x14-1MP_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}SM14B-ZESS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}horizontal
+0
+16
+15
+Connector_JST
+JST_ZE_SM15B-ZESS-TB_1x15-1MP_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}SM15B-ZESS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}horizontal
+0
+17
+16
+Connector_JST
+JST_ZE_SM16B-ZESS-TB_1x16-1MP_P1.50mm_Horizontal
+JST{space}ZE{space}series{space}connector,{space}SM16B-ZESS-TB{space}(http://www.jst-mfg.com/product/pdf/eng/eZE.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}JST{space}ZE{space}horizontal
+0
+18
+17
+Connector_Molex
+Molex_CLIK-Mate_502382-0270_1x02-1MP_P1.25mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502382-0270{space}(http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+4
+3
+Connector_Molex
+Molex_CLIK-Mate_502382-0370_1x03-1MP_P1.25mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502382-0370{space}(http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+5
+4
+Connector_Molex
+Molex_CLIK-Mate_502382-0470_1x04-1MP_P1.25mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502382-0470{space}(http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+6
+5
+Connector_Molex
+Molex_CLIK-Mate_502382-0570_1x05-1MP_P1.25mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502382-0570{space}(http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+7
+6
+Connector_Molex
+Molex_CLIK-Mate_502382-0670_1x06-1MP_P1.25mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502382-0670{space}(http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+8
+7
+Connector_Molex
+Molex_CLIK-Mate_502382-0770_1x07-1MP_P1.25mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502382-0770{space}(http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+9
+8
+Connector_Molex
+Molex_CLIK-Mate_502382-0870_1x08-1MP_P1.25mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502382-0870{space}(http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+10
+9
+Connector_Molex
+Molex_CLIK-Mate_502382-0970_1x09-1MP_P1.25mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502382-0970{space}(http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+11
+10
+Connector_Molex
+Molex_CLIK-Mate_502382-1070_1x10-1MP_P1.25mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502382-1070{space}(http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+12
+11
+Connector_Molex
+Molex_CLIK-Mate_502382-1170_1x11-1MP_P1.25mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502382-1170{space}(http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+13
+12
+Connector_Molex
+Molex_CLIK-Mate_502382-1270_1x12-1MP_P1.25mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502382-1270{space}(http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+14
+13
+Connector_Molex
+Molex_CLIK-Mate_502382-1370_1x13-1MP_P1.25mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502382-1370{space}(http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+15
+14
+Connector_Molex
+Molex_CLIK-Mate_502382-1470_1x14-1MP_P1.25mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502382-1470{space}(http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+16
+15
+Connector_Molex
+Molex_CLIK-Mate_502382-1570_1x15-1MP_P1.25mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502382-1570{space}(http://www.molex.com/pdm_docs/sd/5023820270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+17
+16
+Connector_Molex
+Molex_CLIK-Mate_502386-0270_1x02-1MP_P1.25mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502386-0270{space}(http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+4
+3
+Connector_Molex
+Molex_CLIK-Mate_502386-0370_1x03-1MP_P1.25mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502386-0370{space}(http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+5
+4
+Connector_Molex
+Molex_CLIK-Mate_502386-0470_1x04-1MP_P1.25mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502386-0470{space}(http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+6
+5
+Connector_Molex
+Molex_CLIK-Mate_502386-0570_1x05-1MP_P1.25mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502386-0570{space}(http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+7
+6
+Connector_Molex
+Molex_CLIK-Mate_502386-0670_1x06-1MP_P1.25mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502386-0670{space}(http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+8
+7
+Connector_Molex
+Molex_CLIK-Mate_502386-0770_1x07-1MP_P1.25mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502386-0770{space}(http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+9
+8
+Connector_Molex
+Molex_CLIK-Mate_502386-0870_1x08-1MP_P1.25mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502386-0870{space}(http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+10
+9
+Connector_Molex
+Molex_CLIK-Mate_502386-0970_1x09-1MP_P1.25mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502386-0970{space}(http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+11
+10
+Connector_Molex
+Molex_CLIK-Mate_502386-1070_1x10-1MP_P1.25mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502386-1070{space}(http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+12
+11
+Connector_Molex
+Molex_CLIK-Mate_502386-1170_1x11-1MP_P1.25mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502386-1170{space}(http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+13
+12
+Connector_Molex
+Molex_CLIK-Mate_502386-1270_1x12-1MP_P1.25mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502386-1270{space}(http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+14
+13
+Connector_Molex
+Molex_CLIK-Mate_502386-1370_1x13-1MP_P1.25mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502386-1370{space}(http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+15
+14
+Connector_Molex
+Molex_CLIK-Mate_502386-1470_1x14-1MP_P1.25mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502386-1470{space}(http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+16
+15
+Connector_Molex
+Molex_CLIK-Mate_502386-1570_1x15-1MP_P1.25mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502386-1570{space}(http://www.molex.com/pdm_docs/sd/5023860270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+17
+16
+Connector_Molex
+Molex_CLIK-Mate_502443-0270_1x02-1MP_P2.00mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502443-0270{space}(http://www.molex.com/pdm_docs/sd/5024430270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+4
+3
+Connector_Molex
+Molex_CLIK-Mate_502443-0370_1x03-1MP_P2.00mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502443-0370{space}(http://www.molex.com/pdm_docs/sd/5024430270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+5
+4
+Connector_Molex
+Molex_CLIK-Mate_502443-0470_1x04-1MP_P2.00mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502443-0470{space}(http://www.molex.com/pdm_docs/sd/5024430270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+6
+5
+Connector_Molex
+Molex_CLIK-Mate_502443-0570_1x05-1MP_P2.00mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502443-0570{space}(http://www.molex.com/pdm_docs/sd/5024430270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+7
+6
+Connector_Molex
+Molex_CLIK-Mate_502443-0670_1x06-1MP_P2.00mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502443-0670{space}(http://www.molex.com/pdm_docs/sd/5024430270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+8
+7
+Connector_Molex
+Molex_CLIK-Mate_502443-0770_1x07-1MP_P2.00mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502443-0770{space}(http://www.molex.com/pdm_docs/sd/5024430270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+9
+8
+Connector_Molex
+Molex_CLIK-Mate_502443-0870_1x08-1MP_P2.00mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502443-0870{space}(http://www.molex.com/pdm_docs/sd/5024430270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+10
+9
+Connector_Molex
+Molex_CLIK-Mate_502443-0970_1x09-1MP_P2.00mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502443-0970{space}(http://www.molex.com/pdm_docs/sd/5024430270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+11
+10
+Connector_Molex
+Molex_CLIK-Mate_502443-1270_1x12-1MP_P2.00mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502443-1270{space}(http://www.molex.com/pdm_docs/sd/5024430270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+14
+13
+Connector_Molex
+Molex_CLIK-Mate_502443-1370_1x13-1MP_P2.00mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502443-1370{space}(http://www.molex.com/pdm_docs/sd/5024430270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+15
+14
+Connector_Molex
+Molex_CLIK-Mate_502443-1470_1x14-1MP_P2.00mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502443-1470{space}(http://www.molex.com/pdm_docs/sd/5024430270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+16
+15
+Connector_Molex
+Molex_CLIK-Mate_502443-1570_1x15-1MP_P2.00mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502443-1570{space}(http://www.molex.com/pdm_docs/sd/5024430270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+17
+16
+Connector_Molex
+Molex_CLIK-Mate_502494-0270_1x02-1MP_P2.00mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502494-0270{space}(http://www.molex.com/pdm_docs/sd/5024940270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+4
+3
+Connector_Molex
+Molex_CLIK-Mate_502494-0370_1x03-1MP_P2.00mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502494-0370{space}(http://www.molex.com/pdm_docs/sd/5024940270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+5
+4
+Connector_Molex
+Molex_CLIK-Mate_502494-0470_1x04-1MP_P2.00mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502494-0470{space}(http://www.molex.com/pdm_docs/sd/5024940270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+6
+5
+Connector_Molex
+Molex_CLIK-Mate_502494-0670_1x06-1MP_P2.00mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502494-0670{space}(http://www.molex.com/pdm_docs/sd/5024940270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+8
+7
+Connector_Molex
+Molex_CLIK-Mate_502494-0870_1x08-1MP_P2.00mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502494-0870{space}(http://www.molex.com/pdm_docs/sd/5024940270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+10
+9
+Connector_Molex
+Molex_CLIK-Mate_502494-1070_1x10-1MP_P2.00mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502494-1070{space}(http://www.molex.com/pdm_docs/sd/5024940270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+12
+11
+Connector_Molex
+Molex_CLIK-Mate_502494-1270_1x12-1MP_P2.00mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502494-1270{space}(http://www.molex.com/pdm_docs/sd/5024940270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+14
+13
+Connector_Molex
+Molex_CLIK-Mate_502494-1370_1x13-1MP_P2.00mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502494-1370{space}(http://www.molex.com/pdm_docs/sd/5024940270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+15
+14
+Connector_Molex
+Molex_CLIK-Mate_502494-1470_1x14-1MP_P2.00mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502494-1470{space}(http://www.molex.com/pdm_docs/sd/5024940270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+16
+15
+Connector_Molex
+Molex_CLIK-Mate_502494-1570_1x15-1MP_P2.00mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502494-1570{space}(http://www.molex.com/pdm_docs/sd/5024940270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+17
+16
+Connector_Molex
+Molex_CLIK-Mate_502585-0270_1x02-1MP_P1.50mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502585-0270{space}(http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+4
+3
+Connector_Molex
+Molex_CLIK-Mate_502585-0370_1x03-1MP_P1.50mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502585-0370{space}(http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+5
+4
+Connector_Molex
+Molex_CLIK-Mate_502585-0470_1x04-1MP_P1.50mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502585-0470{space}(http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+6
+5
+Connector_Molex
+Molex_CLIK-Mate_502585-0570_1x05-1MP_P1.50mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502585-0570{space}(http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+7
+6
+Connector_Molex
+Molex_CLIK-Mate_502585-0670_1x06-1MP_P1.50mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502585-0670{space}(http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+8
+7
+Connector_Molex
+Molex_CLIK-Mate_502585-0770_1x07-1MP_P1.50mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502585-0770{space}(http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+9
+8
+Connector_Molex
+Molex_CLIK-Mate_502585-0870_1x08-1MP_P1.50mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502585-0870{space}(http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+10
+9
+Connector_Molex
+Molex_CLIK-Mate_502585-0970_1x09-1MP_P1.50mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502585-0970{space}(http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+11
+10
+Connector_Molex
+Molex_CLIK-Mate_502585-1070_1x10-1MP_P1.50mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502585-1070{space}(http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+12
+11
+Connector_Molex
+Molex_CLIK-Mate_502585-1170_1x11-1MP_P1.50mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502585-1170{space}(http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+13
+12
+Connector_Molex
+Molex_CLIK-Mate_502585-1270_1x12-1MP_P1.50mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502585-1270{space}(http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+14
+13
+Connector_Molex
+Molex_CLIK-Mate_502585-1370_1x13-1MP_P1.50mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502585-1370{space}(http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+15
+14
+Connector_Molex
+Molex_CLIK-Mate_502585-1470_1x14-1MP_P1.50mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502585-1470{space}(http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+16
+15
+Connector_Molex
+Molex_CLIK-Mate_502585-1570_1x15-1MP_P1.50mm_Horizontal
+Molex{space}CLIK-Mate{space}series{space}connector,{space}502585-1570{space}(http://www.molex.com/pdm_docs/sd/5025850270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}top{space}entry
+0
+17
+16
+Connector_Molex
+Molex_CLIK-Mate_505405-0270_1x02-1MP_P1.50mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}505405-0270{space}(http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+4
+3
+Connector_Molex
+Molex_CLIK-Mate_505405-0370_1x03-1MP_P1.50mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}505405-0370{space}(http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+5
+4
+Connector_Molex
+Molex_CLIK-Mate_505405-0470_1x04-1MP_P1.50mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}505405-0470{space}(http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+6
+5
+Connector_Molex
+Molex_CLIK-Mate_505405-0570_1x05-1MP_P1.50mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}505405-0570{space}(http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+7
+6
+Connector_Molex
+Molex_CLIK-Mate_505405-0670_1x06-1MP_P1.50mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}505405-0670{space}(http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+8
+7
+Connector_Molex
+Molex_CLIK-Mate_505405-0770_1x07-1MP_P1.50mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}505405-0770{space}(http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+9
+8
+Connector_Molex
+Molex_CLIK-Mate_505405-0870_1x08-1MP_P1.50mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}505405-0870{space}(http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+10
+9
+Connector_Molex
+Molex_CLIK-Mate_505405-0970_1x09-1MP_P1.50mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}505405-0970{space}(http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+11
+10
+Connector_Molex
+Molex_CLIK-Mate_505405-1070_1x10-1MP_P1.50mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}505405-1070{space}(http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+12
+11
+Connector_Molex
+Molex_CLIK-Mate_505405-1170_1x11-1MP_P1.50mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}505405-1170{space}(http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+13
+12
+Connector_Molex
+Molex_CLIK-Mate_505405-1270_1x12-1MP_P1.50mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}505405-1270{space}(http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+14
+13
+Connector_Molex
+Molex_CLIK-Mate_505405-1370_1x13-1MP_P1.50mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}505405-1370{space}(http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+15
+14
+Connector_Molex
+Molex_CLIK-Mate_505405-1470_1x14-1MP_P1.50mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}505405-1470{space}(http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+16
+15
+Connector_Molex
+Molex_CLIK-Mate_505405-1570_1x15-1MP_P1.50mm_Vertical
+Molex{space}CLIK-Mate{space}series{space}connector,{space}505405-1570{space}(http://www.molex.com/pdm_docs/sd/5054050270_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}CLIK-Mate{space}side{space}entry
+0
+17
+16
+Connector_Molex
+Molex_KK-254_AE-6410-02A_1x02_P2.54mm_Vertical
+Molex{space}KK-254{space}Interconnect{space}System,{space}old/engineering{space}part{space}number:{space}AE-6410-02A{space}example{space}for{space}new{space}part{space}number:{space}22-27-2021,{space}2{space}Pins{space}(http://www.molex.com/pdm_docs/sd/022272021_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}KK-254{space}side{space}entry
+0
+2
+2
+Connector_Molex
+Molex_KK-254_AE-6410-03A_1x03_P2.54mm_Vertical
+Molex{space}KK-254{space}Interconnect{space}System,{space}old/engineering{space}part{space}number:{space}AE-6410-03A{space}example{space}for{space}new{space}part{space}number:{space}22-27-2031,{space}3{space}Pins{space}(http://www.molex.com/pdm_docs/sd/022272021_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}KK-254{space}side{space}entry
+0
+3
+3
+Connector_Molex
+Molex_KK-254_AE-6410-04A_1x04_P2.54mm_Vertical
+Molex{space}KK-254{space}Interconnect{space}System,{space}old/engineering{space}part{space}number:{space}AE-6410-04A{space}example{space}for{space}new{space}part{space}number:{space}22-27-2041,{space}4{space}Pins{space}(http://www.molex.com/pdm_docs/sd/022272021_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}KK-254{space}side{space}entry
+0
+4
+4
+Connector_Molex
+Molex_KK-254_AE-6410-05A_1x05_P2.54mm_Vertical
+Molex{space}KK-254{space}Interconnect{space}System,{space}old/engineering{space}part{space}number:{space}AE-6410-05A{space}example{space}for{space}new{space}part{space}number:{space}22-27-2051,{space}5{space}Pins{space}(http://www.molex.com/pdm_docs/sd/022272021_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}KK-254{space}side{space}entry
+0
+5
+5
+Connector_Molex
+Molex_KK-254_AE-6410-06A_1x06_P2.54mm_Vertical
+Molex{space}KK-254{space}Interconnect{space}System,{space}old/engineering{space}part{space}number:{space}AE-6410-06A{space}example{space}for{space}new{space}part{space}number:{space}22-27-2061,{space}6{space}Pins{space}(http://www.molex.com/pdm_docs/sd/022272021_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}KK-254{space}side{space}entry
+0
+6
+6
+Connector_Molex
+Molex_KK-254_AE-6410-07A_1x07_P2.54mm_Vertical
+Molex{space}KK-254{space}Interconnect{space}System,{space}old/engineering{space}part{space}number:{space}AE-6410-07A{space}example{space}for{space}new{space}part{space}number:{space}22-27-2071,{space}7{space}Pins{space}(http://www.molex.com/pdm_docs/sd/022272021_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}KK-254{space}side{space}entry
+0
+7
+7
+Connector_Molex
+Molex_KK-254_AE-6410-08A_1x08_P2.54mm_Vertical
+Molex{space}KK-254{space}Interconnect{space}System,{space}old/engineering{space}part{space}number:{space}AE-6410-08A{space}example{space}for{space}new{space}part{space}number:{space}22-27-2081,{space}8{space}Pins{space}(http://www.molex.com/pdm_docs/sd/022272021_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}KK-254{space}side{space}entry
+0
+8
+8
+Connector_Molex
+Molex_KK-254_AE-6410-09A_1x09_P2.54mm_Vertical
+Molex{space}KK-254{space}Interconnect{space}System,{space}old/engineering{space}part{space}number:{space}AE-6410-09A{space}example{space}for{space}new{space}part{space}number:{space}22-27-2091,{space}9{space}Pins{space}(http://www.molex.com/pdm_docs/sd/022272021_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}KK-254{space}side{space}entry
+0
+9
+9
+Connector_Molex
+Molex_KK-254_AE-6410-10A_1x10_P2.54mm_Vertical
+Molex{space}KK-254{space}Interconnect{space}System,{space}old/engineering{space}part{space}number:{space}AE-6410-10A{space}example{space}for{space}new{space}part{space}number:{space}22-27-2101,{space}10{space}Pins{space}(http://www.molex.com/pdm_docs/sd/022272021_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}KK-254{space}side{space}entry
+0
+10
+10
+Connector_Molex
+Molex_KK-254_AE-6410-11A_1x11_P2.54mm_Vertical
+Molex{space}KK-254{space}Interconnect{space}System,{space}old/engineering{space}part{space}number:{space}AE-6410-11A{space}example{space}for{space}new{space}part{space}number:{space}22-27-2111,{space}11{space}Pins{space}(http://www.molex.com/pdm_docs/sd/022272021_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}KK-254{space}side{space}entry
+0
+11
+11
+Connector_Molex
+Molex_KK-254_AE-6410-12A_1x12_P2.54mm_Vertical
+Molex{space}KK-254{space}Interconnect{space}System,{space}old/engineering{space}part{space}number:{space}AE-6410-12A{space}example{space}for{space}new{space}part{space}number:{space}22-27-2121,{space}12{space}Pins{space}(http://www.molex.com/pdm_docs/sd/022272021_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}KK-254{space}side{space}entry
+0
+12
+12
+Connector_Molex
+Molex_KK-254_AE-6410-13A_1x13_P2.54mm_Vertical
+Molex{space}KK-254{space}Interconnect{space}System,{space}old/engineering{space}part{space}number:{space}AE-6410-13A{space}example{space}for{space}new{space}part{space}number:{space}22-27-2131,{space}13{space}Pins{space}(http://www.molex.com/pdm_docs/sd/022272021_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}KK-254{space}side{space}entry
+0
+13
+13
+Connector_Molex
+Molex_KK-254_AE-6410-14A_1x14_P2.54mm_Vertical
+Molex{space}KK-254{space}Interconnect{space}System,{space}old/engineering{space}part{space}number:{space}AE-6410-14A{space}example{space}for{space}new{space}part{space}number:{space}22-27-2141,{space}14{space}Pins{space}(http://www.molex.com/pdm_docs/sd/022272021_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}KK-254{space}side{space}entry
+0
+14
+14
+Connector_Molex
+Molex_KK-254_AE-6410-15A_1x15_P2.54mm_Vertical
+Molex{space}KK-254{space}Interconnect{space}System,{space}old/engineering{space}part{space}number:{space}AE-6410-15A{space}example{space}for{space}new{space}part{space}number:{space}22-27-2151,{space}15{space}Pins{space}(http://www.molex.com/pdm_docs/sd/022272021_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}KK-254{space}side{space}entry
+0
+15
+15
+Connector_Molex
+Molex_KK-254_AE-6410-16A_1x16_P2.54mm_Vertical
+Molex{space}KK-254{space}Interconnect{space}System,{space}old/engineering{space}part{space}number:{space}AE-6410-16A{space}example{space}for{space}new{space}part{space}number:{space}22-27-2161,{space}16{space}Pins{space}(http://www.molex.com/pdm_docs/sd/022272021_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}KK-254{space}side{space}entry
+0
+16
+16
+Connector_Molex
+Molex_Mega-Fit_76825-0002_2x01_P5.70mm_Horizontal
+Molex{space}Mega-Fit{space}Power{space}Connectors,{space}76825-0002{space}(compatible{space}alternatives:{space}172064-0002,{space}172064-1002),{space}1{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1720640002_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mega-Fit{space}top{space}entry
+0
+2
+2
+Connector_Molex
+Molex_Mega-Fit_76825-0004_2x02_P5.70mm_Horizontal
+Molex{space}Mega-Fit{space}Power{space}Connectors,{space}76825-0004{space}(compatible{space}alternatives:{space}172064-0004,{space}172064-1004),{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1720640002_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mega-Fit{space}top{space}entry
+0
+4
+4
+Connector_Molex
+Molex_Mega-Fit_76825-0006_2x03_P5.70mm_Horizontal
+Molex{space}Mega-Fit{space}Power{space}Connectors,{space}76825-0006{space}(compatible{space}alternatives:{space}172064-0006,{space}172064-1006),{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1720640002_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mega-Fit{space}top{space}entry
+0
+6
+6
+Connector_Molex
+Molex_Mega-Fit_76825-0008_2x04_P5.70mm_Horizontal
+Molex{space}Mega-Fit{space}Power{space}Connectors,{space}76825-0008{space}(compatible{space}alternatives:{space}172064-0008,{space}172064-1008),{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1720640002_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mega-Fit{space}top{space}entry
+0
+8
+8
+Connector_Molex
+Molex_Mega-Fit_76825-0010_2x05_P5.70mm_Horizontal
+Molex{space}Mega-Fit{space}Power{space}Connectors,{space}76825-0010{space}(compatible{space}alternatives:{space}172064-0010,{space}172064-1010),{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1720640002_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mega-Fit{space}top{space}entry
+0
+10
+10
+Connector_Molex
+Molex_Mega-Fit_76825-0012_2x06_P5.70mm_Horizontal
+Molex{space}Mega-Fit{space}Power{space}Connectors,{space}76825-0012{space}(compatible{space}alternatives:{space}172064-0012,{space}172064-1012),{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1720640002_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mega-Fit{space}top{space}entry
+0
+12
+12
+Connector_Molex
+Molex_Mega-Fit_76829-0002_2x01_P5.70mm_Vertical
+Molex{space}Mega-Fit{space}Power{space}Connectors,{space}76829-0002{space}(compatible{space}alternatives:{space}172065-0002,{space}172065-1002),{space}1{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/768290004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mega-Fit{space}side{space}entry
+0
+2
+2
+Connector_Molex
+Molex_Mega-Fit_76829-0004_2x02_P5.70mm_Vertical
+Molex{space}Mega-Fit{space}Power{space}Connectors,{space}76829-0004{space}(compatible{space}alternatives:{space}172065-0004,{space}172065-1004),{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/768290004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mega-Fit{space}side{space}entry
+0
+4
+4
+Connector_Molex
+Molex_Mega-Fit_76829-0006_2x03_P5.70mm_Vertical
+Molex{space}Mega-Fit{space}Power{space}Connectors,{space}76829-0006{space}(compatible{space}alternatives:{space}172065-0006,{space}172065-1006),{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/768290004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mega-Fit{space}side{space}entry
+0
+6
+6
+Connector_Molex
+Molex_Mega-Fit_76829-0008_2x04_P5.70mm_Vertical
+Molex{space}Mega-Fit{space}Power{space}Connectors,{space}76829-0008{space}(compatible{space}alternatives:{space}172065-0008,{space}172065-1008),{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/768290004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mega-Fit{space}side{space}entry
+0
+8
+8
+Connector_Molex
+Molex_Mega-Fit_76829-0010_2x05_P5.70mm_Vertical
+Molex{space}Mega-Fit{space}Power{space}Connectors,{space}76829-0010{space}(compatible{space}alternatives:{space}172065-0010,{space}172065-1010),{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/768290004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mega-Fit{space}side{space}entry
+0
+10
+10
+Connector_Molex
+Molex_Mega-Fit_76829-0012_2x06_P5.70mm_Vertical
+Molex{space}Mega-Fit{space}Power{space}Connectors,{space}76829-0012{space}(compatible{space}alternatives:{space}172065-0012,{space}172065-1012),{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/768290004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mega-Fit{space}side{space}entry
+0
+12
+12
+Connector_Molex
+Molex_Mega-Fit_76829-0102_2x01_P5.70mm_Vertical
+Molex{space}Mega-Fit{space}Power{space}Connectors,{space}76829-0102{space}(compatible{space}alternatives:{space}172065-0202,{space}172065-0302),{space}1{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/768290102_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mega-Fit{space}side{space}entry
+0
+2
+2
+Connector_Molex
+Molex_Mega-Fit_76829-0104_2x02_P5.70mm_Vertical
+Molex{space}Mega-Fit{space}Power{space}Connectors,{space}76829-0104{space}(compatible{space}alternatives:{space}172065-0204,{space}172065-0304),{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/768290102_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mega-Fit{space}side{space}entry
+0
+4
+4
+Connector_Molex
+Molex_Mega-Fit_76829-0106_2x03_P5.70mm_Vertical
+Molex{space}Mega-Fit{space}Power{space}Connectors,{space}76829-0106{space}(compatible{space}alternatives:{space}172065-0206,{space}172065-0306),{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/768290102_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mega-Fit{space}side{space}entry
+0
+6
+6
+Connector_Molex
+Molex_Mega-Fit_76829-0108_2x04_P5.70mm_Vertical
+Molex{space}Mega-Fit{space}Power{space}Connectors,{space}76829-0108{space}(compatible{space}alternatives:{space}172065-0208,{space}172065-0308),{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/768290102_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mega-Fit{space}side{space}entry
+0
+8
+8
+Connector_Molex
+Molex_Mega-Fit_76829-0110_2x05_P5.70mm_Vertical
+Molex{space}Mega-Fit{space}Power{space}Connectors,{space}76829-0110{space}(compatible{space}alternatives:{space}172065-0210,{space}172065-0310),{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/768290102_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mega-Fit{space}side{space}entry
+0
+10
+10
+Connector_Molex
+Molex_Mega-Fit_76829-0112_2x06_P5.70mm_Vertical
+Molex{space}Mega-Fit{space}Power{space}Connectors,{space}76829-0112{space}(compatible{space}alternatives:{space}172065-0212,{space}172065-0312),{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/768290102_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mega-Fit{space}side{space}entry
+0
+12
+12
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-0200_2x01_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-0200{space}(compatible{space}alternatives:{space}43045-0201,{space}43045-0202),{space}1{space}Pins{space}per{space}row{space}(https://www.molex.com/pdm_docs/sd/430450200_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+2
+2
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-0210_2x01-1MP_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-0210{space}(compatible{space}alternatives:{space}43045-0211,{space}43045-0209),{space}1{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+4
+3
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-0212_2x01_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-0212{space}(compatible{space}alternatives:{space}43045-0213,{space}43045-0224),{space}1{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450212_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+2
+2
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-0215_2x01_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-0215{space}(compatible{space}alternatives:{space}43045-0216,{space}43045-0217),{space}1{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450217_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+2
+2
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-0218_2x01-1MP_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-0218{space}(compatible{space}alternatives:{space}43045-0219,{space}43045-0220),{space}1{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450218_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+4
+3
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-0400_2x02_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-0400{space}(compatible{space}alternatives:{space}43045-0401,{space}43045-0402),{space}2{space}Pins{space}per{space}row{space}(https://www.molex.com/pdm_docs/sd/430450200_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+4
+4
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-0410_2x02-1MP_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-0410{space}(compatible{space}alternatives:{space}43045-0411,{space}43045-0409),{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+6
+5
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-0412_2x02_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-0412{space}(compatible{space}alternatives:{space}43045-0413,{space}43045-0424),{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450212_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+4
+4
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-0415_2x02_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-0415{space}(compatible{space}alternatives:{space}43045-0416,{space}43045-0417),{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450217_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+4
+4
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-0418_2x02-1MP_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-0418{space}(compatible{space}alternatives:{space}43045-0419,{space}43045-0420),{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450218_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+6
+5
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-0600_2x03_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-0600{space}(compatible{space}alternatives:{space}43045-0601,{space}43045-0602),{space}3{space}Pins{space}per{space}row{space}(https://www.molex.com/pdm_docs/sd/430450200_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+6
+6
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-0610_2x03-1MP_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-0610{space}(compatible{space}alternatives:{space}43045-0611,{space}43045-0609),{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+8
+7
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-0612_2x03_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-0612{space}(compatible{space}alternatives:{space}43045-0613,{space}43045-0624),{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450212_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+6
+6
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-0615_2x03_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-0615{space}(compatible{space}alternatives:{space}43045-0616,{space}43045-0617),{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450217_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+6
+6
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-0618_2x03-1MP_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-0618{space}(compatible{space}alternatives:{space}43045-0619,{space}43045-0620),{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450218_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+8
+7
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-0800_2x04_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-0800{space}(compatible{space}alternatives:{space}43045-0801,{space}43045-0802),{space}4{space}Pins{space}per{space}row{space}(https://www.molex.com/pdm_docs/sd/430450200_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+8
+8
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-0810_2x04-1MP_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-0810{space}(compatible{space}alternatives:{space}43045-0811,{space}43045-0809),{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+6
+5
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-0812_2x04_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-0812{space}(compatible{space}alternatives:{space}43045-0813,{space}43045-0824),{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450212_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+8
+8
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-0815_2x04_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-0815{space}(compatible{space}alternatives:{space}43045-0816,{space}43045-0817),{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450217_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+8
+8
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-0818_2x04-1MP_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-0818{space}(compatible{space}alternatives:{space}43045-0819,{space}43045-0820),{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450218_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+10
+9
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-1000_2x05_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-1000{space}(compatible{space}alternatives:{space}43045-1001,{space}43045-1002),{space}5{space}Pins{space}per{space}row{space}(https://www.molex.com/pdm_docs/sd/430450200_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+10
+10
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-1010_2x05-1MP_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-1010{space}(compatible{space}alternatives:{space}43045-1011,{space}43045-1009),{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+12
+11
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-1012_2x05_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-1012{space}(compatible{space}alternatives:{space}43045-1013,{space}43045-1024),{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450212_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+10
+10
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-1015_2x05_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-1015{space}(compatible{space}alternatives:{space}43045-1016,{space}43045-1017),{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450217_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+10
+10
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-1018_2x05-1MP_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-1018{space}(compatible{space}alternatives:{space}43045-1019,{space}43045-1020),{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450218_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+12
+11
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-1200_2x06_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-1200{space}(compatible{space}alternatives:{space}43045-1201,{space}43045-1202),{space}6{space}Pins{space}per{space}row{space}(https://www.molex.com/pdm_docs/sd/430450200_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+12
+12
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-1210_2x06-1MP_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-1210{space}(compatible{space}alternatives:{space}43045-1211,{space}43045-1209),{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+14
+13
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-1212_2x06_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-1212{space}(compatible{space}alternatives:{space}43045-1213,{space}43045-1224),{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450212_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+12
+12
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-1215_2x06_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-1215{space}(compatible{space}alternatives:{space}43045-1216,{space}43045-1217),{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450217_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+12
+12
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-1218_2x06-1MP_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-1218{space}(compatible{space}alternatives:{space}43045-1219,{space}43045-1220),{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450218_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+14
+13
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-1400_2x07_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-1400{space}(compatible{space}alternatives:{space}43045-1401,{space}43045-1402),{space}7{space}Pins{space}per{space}row{space}(https://www.molex.com/pdm_docs/sd/430450200_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+14
+14
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-1410_2x07-1MP_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-1410{space}(compatible{space}alternatives:{space}43045-1411,{space}43045-1409),{space}7{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+16
+15
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-1412_2x07_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-1412{space}(compatible{space}alternatives:{space}43045-1413,{space}43045-1424),{space}7{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450212_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+14
+14
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-1415_2x07_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-1415{space}(compatible{space}alternatives:{space}43045-1416,{space}43045-1417),{space}7{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450217_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+14
+14
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-1418_2x07-1MP_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-1418{space}(compatible{space}alternatives:{space}43045-1419,{space}43045-1420),{space}7{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450218_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+16
+15
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-1600_2x08_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-1600{space}(compatible{space}alternatives:{space}43045-1601,{space}43045-1602),{space}8{space}Pins{space}per{space}row{space}(https://www.molex.com/pdm_docs/sd/430450200_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+16
+16
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-1610_2x08-1MP_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-1610{space}(compatible{space}alternatives:{space}43045-1611,{space}43045-1609),{space}8{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+18
+17
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-1612_2x08_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-1612{space}(compatible{space}alternatives:{space}43045-1613,{space}43045-1624),{space}8{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450212_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+16
+16
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-1615_2x08_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-1615{space}(compatible{space}alternatives:{space}43045-1616,{space}43045-1617),{space}8{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450217_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+16
+16
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-1618_2x08-1MP_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-1618{space}(compatible{space}alternatives:{space}43045-1619,{space}43045-1620),{space}8{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450218_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+18
+17
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-1800_2x09_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-1800{space}(compatible{space}alternatives:{space}43045-1801,{space}43045-1802),{space}9{space}Pins{space}per{space}row{space}(https://www.molex.com/pdm_docs/sd/430450200_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+18
+18
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-1810_2x09-1MP_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-1810{space}(compatible{space}alternatives:{space}43045-1811,{space}43045-1809),{space}9{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+20
+19
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-1812_2x09_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-1812{space}(compatible{space}alternatives:{space}43045-1813,{space}43045-1824),{space}9{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450212_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+18
+18
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-1815_2x09_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-1815{space}(compatible{space}alternatives:{space}43045-1816,{space}43045-1817),{space}9{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450217_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+18
+18
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-1818_2x09-1MP_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-1818{space}(compatible{space}alternatives:{space}43045-1819,{space}43045-1820),{space}9{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450218_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+20
+19
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-2000_2x10_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-2000{space}(compatible{space}alternatives:{space}43045-2001,{space}43045-2002),{space}10{space}Pins{space}per{space}row{space}(https://www.molex.com/pdm_docs/sd/430450200_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+20
+20
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-2010_2x10-1MP_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-2010{space}(compatible{space}alternatives:{space}43045-2011,{space}43045-2009),{space}10{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+22
+21
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-2012_2x10_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-2012{space}(compatible{space}alternatives:{space}43045-2013,{space}43045-2024),{space}10{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450212_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+20
+20
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-2015_2x10_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-2015{space}(compatible{space}alternatives:{space}43045-2016,{space}43045-2017),{space}10{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450217_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+20
+20
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-2018_2x10-1MP_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-2018{space}(compatible{space}alternatives:{space}43045-2019,{space}43045-2020),{space}10{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450218_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+22
+21
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-2200_2x11_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-2200{space}(compatible{space}alternatives:{space}43045-2201,{space}43045-2202),{space}11{space}Pins{space}per{space}row{space}(https://www.molex.com/pdm_docs/sd/430450200_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+22
+22
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-2210_2x11-1MP_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-2210{space}(compatible{space}alternatives:{space}43045-2211,{space}43045-2209),{space}11{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+24
+23
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-2212_2x11_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-2212{space}(compatible{space}alternatives:{space}43045-2213,{space}43045-2224),{space}11{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450212_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+22
+22
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-2215_2x11_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-2215{space}(compatible{space}alternatives:{space}43045-2216,{space}43045-2217),{space}11{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450217_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+22
+22
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-2218_2x11-1MP_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-2218{space}(compatible{space}alternatives:{space}43045-2219,{space}43045-2220),{space}11{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450218_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+24
+23
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-2400_2x12_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-2400{space}(compatible{space}alternatives:{space}43045-2401,{space}43045-2402),{space}12{space}Pins{space}per{space}row{space}(https://www.molex.com/pdm_docs/sd/430450200_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+24
+24
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-2410_2x12-1MP_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-2410{space}(compatible{space}alternatives:{space}43045-2411,{space}43045-2409),{space}12{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+26
+25
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-2412_2x12_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-2412{space}(compatible{space}alternatives:{space}43045-2413,{space}43045-2424),{space}12{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450212_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+24
+24
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-2415_2x12_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-2415{space}(compatible{space}alternatives:{space}43045-2416,{space}43045-2417),{space}12{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450217_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+24
+24
+Connector_Molex
+Molex_Micro-Fit_3.0_43045-2418_2x12-1MP_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43045-2418{space}(compatible{space}alternatives:{space}43045-2419,{space}43045-2420),{space}12{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/430450218_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}side{space}entry
+0
+26
+25
+Connector_Molex
+Molex_Micro-Fit_3.0_43650-0200_1x02_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43650-0200{space}(compatible{space}alternatives:{space}43650-0201,{space}43650-0202),{space}2{space}Pins{space}per{space}row{space}(https://www.molex.com/pdm_docs/sd/436500300_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+2
+2
+Connector_Molex
+Molex_Micro-Fit_3.0_43650-0215_1x02_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43650-0215{space}(compatible{space}alternatives:{space}43650-0216,{space}43650-0217),{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/436500215_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}vertical
+0
+2
+2
+Connector_Molex
+Molex_Micro-Fit_3.0_43650-0300_1x03_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43650-0300{space}(compatible{space}alternatives:{space}43650-0301,{space}43650-0302),{space}3{space}Pins{space}per{space}row{space}(https://www.molex.com/pdm_docs/sd/436500300_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+3
+3
+Connector_Molex
+Molex_Micro-Fit_3.0_43650-0315_1x03_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43650-0315{space}(compatible{space}alternatives:{space}43650-0316,{space}43650-0317),{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/436500215_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}vertical
+0
+3
+3
+Connector_Molex
+Molex_Micro-Fit_3.0_43650-0400_1x04_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43650-0400{space}(compatible{space}alternatives:{space}43650-0401,{space}43650-0402),{space}4{space}Pins{space}per{space}row{space}(https://www.molex.com/pdm_docs/sd/436500300_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+4
+4
+Connector_Molex
+Molex_Micro-Fit_3.0_43650-0415_1x04_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43650-0415{space}(compatible{space}alternatives:{space}43650-0416,{space}43650-0417),{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/436500215_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}vertical
+0
+4
+4
+Connector_Molex
+Molex_Micro-Fit_3.0_43650-0500_1x05_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43650-0500{space}(compatible{space}alternatives:{space}43650-0501,{space}43650-0502),{space}5{space}Pins{space}per{space}row{space}(https://www.molex.com/pdm_docs/sd/436500300_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+5
+5
+Connector_Molex
+Molex_Micro-Fit_3.0_43650-0515_1x05_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43650-0515{space}(compatible{space}alternatives:{space}43650-0516,{space}43650-0517),{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/436500215_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}vertical
+0
+5
+5
+Connector_Molex
+Molex_Micro-Fit_3.0_43650-0600_1x06_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43650-0600{space}(compatible{space}alternatives:{space}43650-0601,{space}43650-0602),{space}6{space}Pins{space}per{space}row{space}(https://www.molex.com/pdm_docs/sd/436500300_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+6
+6
+Connector_Molex
+Molex_Micro-Fit_3.0_43650-0615_1x06_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43650-0615{space}(compatible{space}alternatives:{space}43650-0616,{space}43650-0617),{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/436500215_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}vertical
+0
+6
+6
+Connector_Molex
+Molex_Micro-Fit_3.0_43650-0700_1x07_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43650-0700{space}(compatible{space}alternatives:{space}43650-0701,{space}43650-0702),{space}7{space}Pins{space}per{space}row{space}(https://www.molex.com/pdm_docs/sd/436500300_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+7
+7
+Connector_Molex
+Molex_Micro-Fit_3.0_43650-0715_1x07_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43650-0715{space}(compatible{space}alternatives:{space}43650-0716,{space}43650-0717),{space}7{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/436500215_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}vertical
+0
+7
+7
+Connector_Molex
+Molex_Micro-Fit_3.0_43650-0800_1x08_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43650-0800{space}(compatible{space}alternatives:{space}43650-0801,{space}43650-0802),{space}8{space}Pins{space}per{space}row{space}(https://www.molex.com/pdm_docs/sd/436500300_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+8
+8
+Connector_Molex
+Molex_Micro-Fit_3.0_43650-0815_1x08_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43650-0815{space}(compatible{space}alternatives:{space}43650-0816,{space}43650-0817),{space}8{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/436500215_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}vertical
+0
+8
+8
+Connector_Molex
+Molex_Micro-Fit_3.0_43650-0900_1x09_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43650-0900{space}(compatible{space}alternatives:{space}43650-0901,{space}43650-0902),{space}9{space}Pins{space}per{space}row{space}(https://www.molex.com/pdm_docs/sd/436500300_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+9
+9
+Connector_Molex
+Molex_Micro-Fit_3.0_43650-0915_1x09_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43650-0915{space}(compatible{space}alternatives:{space}43650-0916,{space}43650-0917),{space}9{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/436500215_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}vertical
+0
+9
+9
+Connector_Molex
+Molex_Micro-Fit_3.0_43650-1000_1x10_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43650-1000{space}(compatible{space}alternatives:{space}43650-1001,{space}43650-1002),{space}10{space}Pins{space}per{space}row{space}(https://www.molex.com/pdm_docs/sd/436500300_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+10
+10
+Connector_Molex
+Molex_Micro-Fit_3.0_43650-1015_1x10_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43650-1015{space}(compatible{space}alternatives:{space}43650-1016,{space}43650-1017),{space}10{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/436500215_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}vertical
+0
+10
+10
+Connector_Molex
+Molex_Micro-Fit_3.0_43650-1100_1x11_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43650-1100{space}(compatible{space}alternatives:{space}43650-1101,{space}43650-1102),{space}11{space}Pins{space}per{space}row{space}(https://www.molex.com/pdm_docs/sd/436500300_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+11
+11
+Connector_Molex
+Molex_Micro-Fit_3.0_43650-1115_1x11_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43650-1115{space}(compatible{space}alternatives:{space}43650-1116,{space}43650-1117),{space}11{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/436500215_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}vertical
+0
+11
+11
+Connector_Molex
+Molex_Micro-Fit_3.0_43650-1200_1x12_P3.00mm_Horizontal
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43650-1200{space}(compatible{space}alternatives:{space}43650-1201,{space}43650-1202),{space}12{space}Pins{space}per{space}row{space}(https://www.molex.com/pdm_docs/sd/436500300_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}top{space}entry
+0
+12
+12
+Connector_Molex
+Molex_Micro-Fit_3.0_43650-1215_1x12_P3.00mm_Vertical
+Molex{space}Micro-Fit{space}3.0{space}Connector{space}System,{space}43650-1215{space}(compatible{space}alternatives:{space}43650-1216,{space}43650-1217),{space}12{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/436500215_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Fit_3.0{space}vertical
+0
+12
+12
+Connector_Molex
+Molex_Micro-Latch_53253-0270_1x02_P2.00mm_Vertical
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53253-0270{space}(compatible{space}alternatives:{space}53253-0250),{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}side{space}entry
+0
+2
+2
+Connector_Molex
+Molex_Micro-Latch_53253-0370_1x03_P2.00mm_Vertical
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53253-0370{space}(compatible{space}alternatives:{space}53253-0350),{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}side{space}entry
+0
+3
+3
+Connector_Molex
+Molex_Micro-Latch_53253-0470_1x04_P2.00mm_Vertical
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53253-0470{space}(compatible{space}alternatives:{space}53253-0450),{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}side{space}entry
+0
+4
+4
+Connector_Molex
+Molex_Micro-Latch_53253-0570_1x05_P2.00mm_Vertical
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53253-0570{space}(compatible{space}alternatives:{space}53253-0550),{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}side{space}entry
+0
+5
+5
+Connector_Molex
+Molex_Micro-Latch_53253-0670_1x06_P2.00mm_Vertical
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53253-0670{space}(compatible{space}alternatives:{space}53253-0650),{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}side{space}entry
+0
+6
+6
+Connector_Molex
+Molex_Micro-Latch_53253-0770_1x07_P2.00mm_Vertical
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53253-0770{space}(compatible{space}alternatives:{space}53253-0750),{space}7{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}side{space}entry
+0
+7
+7
+Connector_Molex
+Molex_Micro-Latch_53253-0870_1x08_P2.00mm_Vertical
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53253-0870{space}(compatible{space}alternatives:{space}53253-0850),{space}8{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}side{space}entry
+0
+8
+8
+Connector_Molex
+Molex_Micro-Latch_53253-0970_1x09_P2.00mm_Vertical
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53253-0970{space}(compatible{space}alternatives:{space}53253-0950),{space}9{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}side{space}entry
+0
+9
+9
+Connector_Molex
+Molex_Micro-Latch_53253-1070_1x10_P2.00mm_Vertical
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53253-1070{space}(compatible{space}alternatives:{space}53253-1050),{space}10{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}side{space}entry
+0
+10
+10
+Connector_Molex
+Molex_Micro-Latch_53253-1170_1x11_P2.00mm_Vertical
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53253-1170{space}(compatible{space}alternatives:{space}53253-1150),{space}11{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}side{space}entry
+0
+11
+11
+Connector_Molex
+Molex_Micro-Latch_53253-1270_1x12_P2.00mm_Vertical
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53253-1270{space}(compatible{space}alternatives:{space}53253-1250),{space}12{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}side{space}entry
+0
+12
+12
+Connector_Molex
+Molex_Micro-Latch_53253-1370_1x13_P2.00mm_Vertical
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53253-1370{space}(compatible{space}alternatives:{space}53253-1350),{space}13{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}side{space}entry
+0
+13
+13
+Connector_Molex
+Molex_Micro-Latch_53253-1470_1x14_P2.00mm_Vertical
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53253-1470{space}(compatible{space}alternatives:{space}53253-1450),{space}14{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}side{space}entry
+0
+14
+14
+Connector_Molex
+Molex_Micro-Latch_53253-1570_1x15_P2.00mm_Vertical
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53253-1570{space}(compatible{space}alternatives:{space}53253-1550),{space}15{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}side{space}entry
+0
+15
+15
+Connector_Molex
+Molex_Micro-Latch_53254-0270_1x02_P2.00mm_Horizontal
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53254-0270{space}(compatible{space}alternatives:{space}53254-0250),{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}top{space}entry
+0
+2
+2
+Connector_Molex
+Molex_Micro-Latch_53254-0370_1x03_P2.00mm_Horizontal
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53254-0370{space}(compatible{space}alternatives:{space}53254-0350),{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}top{space}entry
+0
+3
+3
+Connector_Molex
+Molex_Micro-Latch_53254-0470_1x04_P2.00mm_Horizontal
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53254-0470{space}(compatible{space}alternatives:{space}53254-0450),{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}top{space}entry
+0
+4
+4
+Connector_Molex
+Molex_Micro-Latch_53254-0570_1x05_P2.00mm_Horizontal
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53254-0570{space}(compatible{space}alternatives:{space}53254-0550),{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}top{space}entry
+0
+5
+5
+Connector_Molex
+Molex_Micro-Latch_53254-0670_1x06_P2.00mm_Horizontal
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53254-0670{space}(compatible{space}alternatives:{space}53254-0650),{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}top{space}entry
+0
+6
+6
+Connector_Molex
+Molex_Micro-Latch_53254-0770_1x07_P2.00mm_Horizontal
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53254-0770{space}(compatible{space}alternatives:{space}53254-0750),{space}7{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}top{space}entry
+0
+7
+7
+Connector_Molex
+Molex_Micro-Latch_53254-0870_1x08_P2.00mm_Horizontal
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53254-0870{space}(compatible{space}alternatives:{space}53254-0850),{space}8{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}top{space}entry
+0
+8
+8
+Connector_Molex
+Molex_Micro-Latch_53254-0970_1x09_P2.00mm_Horizontal
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53254-0970{space}(compatible{space}alternatives:{space}53254-0950),{space}9{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}top{space}entry
+0
+9
+9
+Connector_Molex
+Molex_Micro-Latch_53254-1070_1x10_P2.00mm_Horizontal
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53254-1070{space}(compatible{space}alternatives:{space}53254-1050),{space}10{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}top{space}entry
+0
+10
+10
+Connector_Molex
+Molex_Micro-Latch_53254-1170_1x11_P2.00mm_Horizontal
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53254-1170{space}(compatible{space}alternatives:{space}53254-1150),{space}11{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}top{space}entry
+0
+11
+11
+Connector_Molex
+Molex_Micro-Latch_53254-1270_1x12_P2.00mm_Horizontal
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53254-1270{space}(compatible{space}alternatives:{space}53254-1250),{space}12{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}top{space}entry
+0
+12
+12
+Connector_Molex
+Molex_Micro-Latch_53254-1370_1x13_P2.00mm_Horizontal
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53254-1370{space}(compatible{space}alternatives:{space}53254-1350),{space}13{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}top{space}entry
+0
+13
+13
+Connector_Molex
+Molex_Micro-Latch_53254-1470_1x14_P2.00mm_Horizontal
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53254-1470{space}(compatible{space}alternatives:{space}53254-1450),{space}14{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}top{space}entry
+0
+14
+14
+Connector_Molex
+Molex_Micro-Latch_53254-1570_1x15_P2.00mm_Horizontal
+Molex{space}Micro-Latch{space}Wire-to-Board{space}Connector{space}System,{space}53254-1570{space}(compatible{space}alternatives:{space}53254-1550),{space}15{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/532530770_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Micro-Latch{space}top{space}entry
+0
+15
+15
+Connector_Molex
+Molex_MicroClasp_55932-0210_1x02_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-0210,{space}with{space}PCB{space}locator,{space}2{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+2
+2
+Connector_Molex
+Molex_MicroClasp_55932-0230_1x02_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-0230,{space}2{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320530_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+2
+2
+Connector_Molex
+Molex_MicroClasp_55932-0310_1x03_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-0310,{space}with{space}PCB{space}locator,{space}3{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+3
+3
+Connector_Molex
+Molex_MicroClasp_55932-0330_1x03_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-0330,{space}3{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320530_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+3
+3
+Connector_Molex
+Molex_MicroClasp_55932-0410_1x04_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-0410,{space}with{space}PCB{space}locator,{space}4{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+4
+4
+Connector_Molex
+Molex_MicroClasp_55932-0430_1x04_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-0430,{space}4{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320530_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+4
+4
+Connector_Molex
+Molex_MicroClasp_55932-0510_1x05_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-0510,{space}with{space}PCB{space}locator,{space}5{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+5
+5
+Connector_Molex
+Molex_MicroClasp_55932-0530_1x05_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-0530,{space}5{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320530_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+5
+5
+Connector_Molex
+Molex_MicroClasp_55932-0610_1x06_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-0610,{space}with{space}PCB{space}locator,{space}6{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+6
+6
+Connector_Molex
+Molex_MicroClasp_55932-0630_1x06_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-0630,{space}6{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320530_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+6
+6
+Connector_Molex
+Molex_MicroClasp_55932-0710_1x07_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-0710,{space}with{space}PCB{space}locator,{space}7{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+7
+7
+Connector_Molex
+Molex_MicroClasp_55932-0730_1x07_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-0730,{space}7{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320530_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+7
+7
+Connector_Molex
+Molex_MicroClasp_55932-0810_1x08_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-0810,{space}with{space}PCB{space}locator,{space}8{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+8
+8
+Connector_Molex
+Molex_MicroClasp_55932-0830_1x08_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-0830,{space}8{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320530_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+8
+8
+Connector_Molex
+Molex_MicroClasp_55932-0910_1x09_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-0910,{space}with{space}PCB{space}locator,{space}9{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+9
+9
+Connector_Molex
+Molex_MicroClasp_55932-0930_1x09_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-0930,{space}9{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320530_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+9
+9
+Connector_Molex
+Molex_MicroClasp_55932-1010_1x10_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-1010,{space}with{space}PCB{space}locator,{space}10{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+10
+10
+Connector_Molex
+Molex_MicroClasp_55932-1030_1x10_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-1030,{space}10{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320530_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+10
+10
+Connector_Molex
+Molex_MicroClasp_55932-1110_1x11_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-1110,{space}with{space}PCB{space}locator,{space}11{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+11
+11
+Connector_Molex
+Molex_MicroClasp_55932-1130_1x11_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-1130,{space}11{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320530_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+11
+11
+Connector_Molex
+Molex_MicroClasp_55932-1210_1x12_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-1210,{space}with{space}PCB{space}locator,{space}12{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+12
+12
+Connector_Molex
+Molex_MicroClasp_55932-1230_1x12_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-1230,{space}12{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320530_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+12
+12
+Connector_Molex
+Molex_MicroClasp_55932-1310_1x13_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-1310,{space}with{space}PCB{space}locator,{space}13{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+13
+13
+Connector_Molex
+Molex_MicroClasp_55932-1330_1x13_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-1330,{space}13{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320530_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+13
+13
+Connector_Molex
+Molex_MicroClasp_55932-1410_1x14_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-1410,{space}with{space}PCB{space}locator,{space}14{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+14
+14
+Connector_Molex
+Molex_MicroClasp_55932-1430_1x14_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-1430,{space}14{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320530_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+14
+14
+Connector_Molex
+Molex_MicroClasp_55932-1510_1x15_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-1510,{space}with{space}PCB{space}locator,{space}15{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+15
+15
+Connector_Molex
+Molex_MicroClasp_55932-1530_1x15_P2.00mm_Vertical
+Molex{space}MicroClasp{space}Wire-to-Board{space}System,{space}55932-1530,{space}15{space}Pins{space}(http://www.molex.com/pdm_docs/sd/559320530_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}MicroClasp{space}side{space}entry
+0
+15
+15
+Connector_Molex
+Molex_Mini-Fit_Jr_5566-02A2_2x01_P4.20mm_Vertical
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5566-02A2,{space}example{space}for{space}new{space}mpn:{space}39-28-902x,{space}1{space}Pins{space}per{space}row,{space}Mounting:{space}Snap-in{space}Plastic{space}Peg{space}PCB{space}Lock{space}(http://www.molex.com/pdm_docs/sd/039289068_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}side{space}entryplastic_peg
+0
+2
+2
+Connector_Molex
+Molex_Mini-Fit_Jr_5566-02A_2x01_P4.20mm_Vertical
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5566-02A,{space}example{space}for{space}new{space}mpn:{space}39-28-x02x,{space}1{space}Pins{space}per{space}row,{space}Mounting:{space}{space}(http://www.molex.com/pdm_docs/sd/039281043_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}side{space}entry
+0
+2
+2
+Connector_Molex
+Molex_Mini-Fit_Jr_5566-04A2_2x02_P4.20mm_Vertical
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5566-04A2,{space}example{space}for{space}new{space}mpn:{space}39-28-904x,{space}2{space}Pins{space}per{space}row,{space}Mounting:{space}Snap-in{space}Plastic{space}Peg{space}PCB{space}Lock{space}(http://www.molex.com/pdm_docs/sd/039289068_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}side{space}entryplastic_peg
+0
+4
+4
+Connector_Molex
+Molex_Mini-Fit_Jr_5566-04A_2x02_P4.20mm_Vertical
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5566-04A,{space}example{space}for{space}new{space}mpn:{space}39-28-x04x,{space}2{space}Pins{space}per{space}row,{space}Mounting:{space}{space}(http://www.molex.com/pdm_docs/sd/039281043_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}side{space}entry
+0
+4
+4
+Connector_Molex
+Molex_Mini-Fit_Jr_5566-06A2_2x03_P4.20mm_Vertical
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5566-06A2,{space}example{space}for{space}new{space}mpn:{space}39-28-906x,{space}3{space}Pins{space}per{space}row,{space}Mounting:{space}Snap-in{space}Plastic{space}Peg{space}PCB{space}Lock{space}(http://www.molex.com/pdm_docs/sd/039289068_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}side{space}entryplastic_peg
+0
+6
+6
+Connector_Molex
+Molex_Mini-Fit_Jr_5566-06A_2x03_P4.20mm_Vertical
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5566-06A,{space}example{space}for{space}new{space}mpn:{space}39-28-x06x,{space}3{space}Pins{space}per{space}row,{space}Mounting:{space}{space}(http://www.molex.com/pdm_docs/sd/039281043_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}side{space}entry
+0
+6
+6
+Connector_Molex
+Molex_Mini-Fit_Jr_5566-08A2_2x04_P4.20mm_Vertical
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5566-08A2,{space}example{space}for{space}new{space}mpn:{space}39-28-908x,{space}4{space}Pins{space}per{space}row,{space}Mounting:{space}Snap-in{space}Plastic{space}Peg{space}PCB{space}Lock{space}(http://www.molex.com/pdm_docs/sd/039289068_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}side{space}entryplastic_peg
+0
+8
+8
+Connector_Molex
+Molex_Mini-Fit_Jr_5566-08A_2x04_P4.20mm_Vertical
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5566-08A,{space}example{space}for{space}new{space}mpn:{space}39-28-x08x,{space}4{space}Pins{space}per{space}row,{space}Mounting:{space}{space}(http://www.molex.com/pdm_docs/sd/039281043_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}side{space}entry
+0
+8
+8
+Connector_Molex
+Molex_Mini-Fit_Jr_5566-10A2_2x05_P4.20mm_Vertical
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5566-10A2,{space}example{space}for{space}new{space}mpn:{space}39-28-910x,{space}5{space}Pins{space}per{space}row,{space}Mounting:{space}Snap-in{space}Plastic{space}Peg{space}PCB{space}Lock{space}(http://www.molex.com/pdm_docs/sd/039289068_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}side{space}entryplastic_peg
+0
+10
+10
+Connector_Molex
+Molex_Mini-Fit_Jr_5566-10A_2x05_P4.20mm_Vertical
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5566-10A,{space}example{space}for{space}new{space}mpn:{space}39-28-x10x,{space}5{space}Pins{space}per{space}row,{space}Mounting:{space}{space}(http://www.molex.com/pdm_docs/sd/039281043_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}side{space}entry
+0
+10
+10
+Connector_Molex
+Molex_Mini-Fit_Jr_5566-12A2_2x06_P4.20mm_Vertical
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5566-12A2,{space}example{space}for{space}new{space}mpn:{space}39-28-912x,{space}6{space}Pins{space}per{space}row,{space}Mounting:{space}Snap-in{space}Plastic{space}Peg{space}PCB{space}Lock{space}(http://www.molex.com/pdm_docs/sd/039289068_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}side{space}entryplastic_peg
+0
+12
+12
+Connector_Molex
+Molex_Mini-Fit_Jr_5566-12A_2x06_P4.20mm_Vertical
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5566-12A,{space}example{space}for{space}new{space}mpn:{space}39-28-x12x,{space}6{space}Pins{space}per{space}row,{space}Mounting:{space}{space}(http://www.molex.com/pdm_docs/sd/039281043_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}side{space}entry
+0
+12
+12
+Connector_Molex
+Molex_Mini-Fit_Jr_5566-14A2_2x07_P4.20mm_Vertical
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5566-14A2,{space}example{space}for{space}new{space}mpn:{space}39-28-914x,{space}7{space}Pins{space}per{space}row,{space}Mounting:{space}Snap-in{space}Plastic{space}Peg{space}PCB{space}Lock{space}(http://www.molex.com/pdm_docs/sd/039289068_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}side{space}entryplastic_peg
+0
+14
+14
+Connector_Molex
+Molex_Mini-Fit_Jr_5566-14A_2x07_P4.20mm_Vertical
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5566-14A,{space}example{space}for{space}new{space}mpn:{space}39-28-x14x,{space}7{space}Pins{space}per{space}row,{space}Mounting:{space}{space}(http://www.molex.com/pdm_docs/sd/039281043_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}side{space}entry
+0
+14
+14
+Connector_Molex
+Molex_Mini-Fit_Jr_5566-16A2_2x08_P4.20mm_Vertical
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5566-16A2,{space}example{space}for{space}new{space}mpn:{space}39-28-916x,{space}8{space}Pins{space}per{space}row,{space}Mounting:{space}Snap-in{space}Plastic{space}Peg{space}PCB{space}Lock{space}(http://www.molex.com/pdm_docs/sd/039289068_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}side{space}entryplastic_peg
+0
+16
+16
+Connector_Molex
+Molex_Mini-Fit_Jr_5566-16A_2x08_P4.20mm_Vertical
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5566-16A,{space}example{space}for{space}new{space}mpn:{space}39-28-x16x,{space}8{space}Pins{space}per{space}row,{space}Mounting:{space}{space}(http://www.molex.com/pdm_docs/sd/039281043_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}side{space}entry
+0
+16
+16
+Connector_Molex
+Molex_Mini-Fit_Jr_5566-18A2_2x09_P4.20mm_Vertical
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5566-18A2,{space}example{space}for{space}new{space}mpn:{space}39-28-918x,{space}9{space}Pins{space}per{space}row,{space}Mounting:{space}Snap-in{space}Plastic{space}Peg{space}PCB{space}Lock{space}(http://www.molex.com/pdm_docs/sd/039289068_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}side{space}entryplastic_peg
+0
+18
+18
+Connector_Molex
+Molex_Mini-Fit_Jr_5566-18A_2x09_P4.20mm_Vertical
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5566-18A,{space}example{space}for{space}new{space}mpn:{space}39-28-x18x,{space}9{space}Pins{space}per{space}row,{space}Mounting:{space}{space}(http://www.molex.com/pdm_docs/sd/039281043_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}side{space}entry
+0
+18
+18
+Connector_Molex
+Molex_Mini-Fit_Jr_5566-20A2_2x10_P4.20mm_Vertical
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5566-20A2,{space}example{space}for{space}new{space}mpn:{space}39-28-920x,{space}10{space}Pins{space}per{space}row,{space}Mounting:{space}Snap-in{space}Plastic{space}Peg{space}PCB{space}Lock{space}(http://www.molex.com/pdm_docs/sd/039289068_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}side{space}entryplastic_peg
+0
+20
+20
+Connector_Molex
+Molex_Mini-Fit_Jr_5566-20A_2x10_P4.20mm_Vertical
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5566-20A,{space}example{space}for{space}new{space}mpn:{space}39-28-x20x,{space}10{space}Pins{space}per{space}row,{space}Mounting:{space}{space}(http://www.molex.com/pdm_docs/sd/039281043_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}side{space}entry
+0
+20
+20
+Connector_Molex
+Molex_Mini-Fit_Jr_5566-22A2_2x11_P4.20mm_Vertical
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5566-22A2,{space}example{space}for{space}new{space}mpn:{space}39-28-922x,{space}11{space}Pins{space}per{space}row,{space}Mounting:{space}Snap-in{space}Plastic{space}Peg{space}PCB{space}Lock{space}(http://www.molex.com/pdm_docs/sd/039289068_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}side{space}entryplastic_peg
+0
+22
+22
+Connector_Molex
+Molex_Mini-Fit_Jr_5566-22A_2x11_P4.20mm_Vertical
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5566-22A,{space}example{space}for{space}new{space}mpn:{space}39-28-x22x,{space}11{space}Pins{space}per{space}row,{space}Mounting:{space}{space}(http://www.molex.com/pdm_docs/sd/039281043_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}side{space}entry
+0
+22
+22
+Connector_Molex
+Molex_Mini-Fit_Jr_5566-24A2_2x12_P4.20mm_Vertical
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5566-24A2,{space}example{space}for{space}new{space}mpn:{space}39-28-924x,{space}12{space}Pins{space}per{space}row,{space}Mounting:{space}Snap-in{space}Plastic{space}Peg{space}PCB{space}Lock{space}(http://www.molex.com/pdm_docs/sd/039289068_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}side{space}entryplastic_peg
+0
+24
+24
+Connector_Molex
+Molex_Mini-Fit_Jr_5566-24A_2x12_P4.20mm_Vertical
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5566-24A,{space}example{space}for{space}new{space}mpn:{space}39-28-x24x,{space}12{space}Pins{space}per{space}row,{space}Mounting:{space}{space}(http://www.molex.com/pdm_docs/sd/039281043_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}side{space}entry
+0
+24
+24
+Connector_Molex
+Molex_Mini-Fit_Jr_5569-02A1_2x01_P4.20mm_Horizontal
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5569-02A1,{space}example{space}for{space}new{space}mpn:{space}39-29-4029,{space}1{space}Pins{space}per{space}row,{space}Mounting:{space}PCB{space}Mounting{space}Flange{space}(http://www.molex.com/pdm_docs/sd/039291047_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}top{space}entryscrew_flange
+0
+2
+2
+Connector_Molex
+Molex_Mini-Fit_Jr_5569-02A2_2x01_P4.20mm_Horizontal
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5569-02A2,{space}example{space}for{space}new{space}mpn:{space}39-30-0020,{space}1{space}Pins{space}per{space}row,{space}Mounting:{space}Snap-in{space}Plastic{space}Peg{space}PCB{space}Lock{space}(http://www.molex.com/pdm_docs/sd/039300020_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}top{space}entryplastic_peg
+0
+2
+2
+Connector_Molex
+Molex_Mini-Fit_Jr_5569-04A1_2x02_P4.20mm_Horizontal
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5569-04A1,{space}example{space}for{space}new{space}mpn:{space}39-29-4049,{space}2{space}Pins{space}per{space}row,{space}Mounting:{space}PCB{space}Mounting{space}Flange{space}(http://www.molex.com/pdm_docs/sd/039291047_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}top{space}entryscrew_flange
+0
+4
+4
+Connector_Molex
+Molex_Mini-Fit_Jr_5569-04A2_2x02_P4.20mm_Horizontal
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5569-04A2,{space}example{space}for{space}new{space}mpn:{space}39-30-0040,{space}2{space}Pins{space}per{space}row,{space}Mounting:{space}Snap-in{space}Plastic{space}Peg{space}PCB{space}Lock{space}(http://www.molex.com/pdm_docs/sd/039300020_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}top{space}entryplastic_peg
+0
+4
+4
+Connector_Molex
+Molex_Mini-Fit_Jr_5569-06A1_2x03_P4.20mm_Horizontal
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5569-06A1,{space}example{space}for{space}new{space}mpn:{space}39-29-4069,{space}3{space}Pins{space}per{space}row,{space}Mounting:{space}PCB{space}Mounting{space}Flange{space}(http://www.molex.com/pdm_docs/sd/039291047_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}top{space}entryscrew_flange
+0
+6
+6
+Connector_Molex
+Molex_Mini-Fit_Jr_5569-06A2_2x03_P4.20mm_Horizontal
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5569-06A2,{space}example{space}for{space}new{space}mpn:{space}39-30-0060,{space}3{space}Pins{space}per{space}row,{space}Mounting:{space}Snap-in{space}Plastic{space}Peg{space}PCB{space}Lock{space}(http://www.molex.com/pdm_docs/sd/039300020_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}top{space}entryplastic_peg
+0
+6
+6
+Connector_Molex
+Molex_Mini-Fit_Jr_5569-08A1_2x04_P4.20mm_Horizontal
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5569-08A1,{space}example{space}for{space}new{space}mpn:{space}39-29-4089,{space}4{space}Pins{space}per{space}row,{space}Mounting:{space}PCB{space}Mounting{space}Flange{space}(http://www.molex.com/pdm_docs/sd/039291047_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}top{space}entryscrew_flange
+0
+8
+8
+Connector_Molex
+Molex_Mini-Fit_Jr_5569-08A2_2x04_P4.20mm_Horizontal
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5569-08A2,{space}example{space}for{space}new{space}mpn:{space}39-30-0080,{space}4{space}Pins{space}per{space}row,{space}Mounting:{space}Snap-in{space}Plastic{space}Peg{space}PCB{space}Lock{space}(http://www.molex.com/pdm_docs/sd/039300020_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}top{space}entryplastic_peg
+0
+8
+8
+Connector_Molex
+Molex_Mini-Fit_Jr_5569-10A1_2x05_P4.20mm_Horizontal
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5569-10A1,{space}example{space}for{space}new{space}mpn:{space}39-29-4109,{space}5{space}Pins{space}per{space}row,{space}Mounting:{space}PCB{space}Mounting{space}Flange{space}(http://www.molex.com/pdm_docs/sd/039291047_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}top{space}entryscrew_flange
+0
+10
+10
+Connector_Molex
+Molex_Mini-Fit_Jr_5569-10A2_2x05_P4.20mm_Horizontal
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5569-10A2,{space}example{space}for{space}new{space}mpn:{space}39-30-0100,{space}5{space}Pins{space}per{space}row,{space}Mounting:{space}Snap-in{space}Plastic{space}Peg{space}PCB{space}Lock{space}(http://www.molex.com/pdm_docs/sd/039300020_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}top{space}entryplastic_peg
+0
+10
+10
+Connector_Molex
+Molex_Mini-Fit_Jr_5569-12A1_2x06_P4.20mm_Horizontal
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5569-12A1,{space}example{space}for{space}new{space}mpn:{space}39-29-4129,{space}6{space}Pins{space}per{space}row,{space}Mounting:{space}PCB{space}Mounting{space}Flange{space}(http://www.molex.com/pdm_docs/sd/039291047_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}top{space}entryscrew_flange
+0
+12
+12
+Connector_Molex
+Molex_Mini-Fit_Jr_5569-12A2_2x06_P4.20mm_Horizontal
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5569-12A2,{space}example{space}for{space}new{space}mpn:{space}39-30-0120,{space}6{space}Pins{space}per{space}row,{space}Mounting:{space}Snap-in{space}Plastic{space}Peg{space}PCB{space}Lock{space}(http://www.molex.com/pdm_docs/sd/039300020_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}top{space}entryplastic_peg
+0
+12
+12
+Connector_Molex
+Molex_Mini-Fit_Jr_5569-14A1_2x07_P4.20mm_Horizontal
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5569-14A1,{space}example{space}for{space}new{space}mpn:{space}39-29-4149,{space}7{space}Pins{space}per{space}row,{space}Mounting:{space}PCB{space}Mounting{space}Flange{space}(http://www.molex.com/pdm_docs/sd/039291047_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}top{space}entryscrew_flange
+0
+14
+14
+Connector_Molex
+Molex_Mini-Fit_Jr_5569-14A2_2x07_P4.20mm_Horizontal
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5569-14A2,{space}example{space}for{space}new{space}mpn:{space}39-30-0140,{space}7{space}Pins{space}per{space}row,{space}Mounting:{space}Snap-in{space}Plastic{space}Peg{space}PCB{space}Lock{space}(http://www.molex.com/pdm_docs/sd/039300020_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}top{space}entryplastic_peg
+0
+14
+14
+Connector_Molex
+Molex_Mini-Fit_Jr_5569-16A1_2x08_P4.20mm_Horizontal
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5569-16A1,{space}example{space}for{space}new{space}mpn:{space}39-29-4169,{space}8{space}Pins{space}per{space}row,{space}Mounting:{space}PCB{space}Mounting{space}Flange{space}(http://www.molex.com/pdm_docs/sd/039291047_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}top{space}entryscrew_flange
+0
+16
+16
+Connector_Molex
+Molex_Mini-Fit_Jr_5569-16A2_2x08_P4.20mm_Horizontal
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5569-16A2,{space}example{space}for{space}new{space}mpn:{space}39-30-0160,{space}8{space}Pins{space}per{space}row,{space}Mounting:{space}Snap-in{space}Plastic{space}Peg{space}PCB{space}Lock{space}(http://www.molex.com/pdm_docs/sd/039300020_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}top{space}entryplastic_peg
+0
+16
+16
+Connector_Molex
+Molex_Mini-Fit_Jr_5569-18A1_2x09_P4.20mm_Horizontal
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5569-18A1,{space}example{space}for{space}new{space}mpn:{space}39-29-4189,{space}9{space}Pins{space}per{space}row,{space}Mounting:{space}PCB{space}Mounting{space}Flange{space}(http://www.molex.com/pdm_docs/sd/039291047_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}top{space}entryscrew_flange
+0
+18
+18
+Connector_Molex
+Molex_Mini-Fit_Jr_5569-18A2_2x09_P4.20mm_Horizontal
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5569-18A2,{space}example{space}for{space}new{space}mpn:{space}39-30-0180,{space}9{space}Pins{space}per{space}row,{space}Mounting:{space}Snap-in{space}Plastic{space}Peg{space}PCB{space}Lock{space}(http://www.molex.com/pdm_docs/sd/039300020_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}top{space}entryplastic_peg
+0
+18
+18
+Connector_Molex
+Molex_Mini-Fit_Jr_5569-20A1_2x10_P4.20mm_Horizontal
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5569-20A1,{space}example{space}for{space}new{space}mpn:{space}39-29-4209,{space}10{space}Pins{space}per{space}row,{space}Mounting:{space}PCB{space}Mounting{space}Flange{space}(http://www.molex.com/pdm_docs/sd/039291047_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}top{space}entryscrew_flange
+0
+20
+20
+Connector_Molex
+Molex_Mini-Fit_Jr_5569-20A2_2x10_P4.20mm_Horizontal
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5569-20A2,{space}example{space}for{space}new{space}mpn:{space}39-30-0200,{space}10{space}Pins{space}per{space}row,{space}Mounting:{space}Snap-in{space}Plastic{space}Peg{space}PCB{space}Lock{space}(http://www.molex.com/pdm_docs/sd/039300020_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}top{space}entryplastic_peg
+0
+20
+20
+Connector_Molex
+Molex_Mini-Fit_Jr_5569-22A1_2x11_P4.20mm_Horizontal
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5569-22A1,{space}example{space}for{space}new{space}mpn:{space}39-29-4229,{space}11{space}Pins{space}per{space}row,{space}Mounting:{space}PCB{space}Mounting{space}Flange{space}(http://www.molex.com/pdm_docs/sd/039291047_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}top{space}entryscrew_flange
+0
+22
+22
+Connector_Molex
+Molex_Mini-Fit_Jr_5569-22A2_2x11_P4.20mm_Horizontal
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5569-22A2,{space}example{space}for{space}new{space}mpn:{space}39-30-0220,{space}11{space}Pins{space}per{space}row,{space}Mounting:{space}Snap-in{space}Plastic{space}Peg{space}PCB{space}Lock{space}(http://www.molex.com/pdm_docs/sd/039300020_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}top{space}entryplastic_peg
+0
+22
+22
+Connector_Molex
+Molex_Mini-Fit_Jr_5569-24A1_2x12_P4.20mm_Horizontal
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5569-24A1,{space}example{space}for{space}new{space}mpn:{space}39-29-4249,{space}12{space}Pins{space}per{space}row,{space}Mounting:{space}PCB{space}Mounting{space}Flange{space}(http://www.molex.com/pdm_docs/sd/039291047_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}top{space}entryscrew_flange
+0
+24
+24
+Connector_Molex
+Molex_Mini-Fit_Jr_5569-24A2_2x12_P4.20mm_Horizontal
+Molex{space}Mini-Fit{space}Jr.{space}Power{space}Connectors,{space}old{space}mpn/engineering{space}number:{space}5569-24A2,{space}example{space}for{space}new{space}mpn:{space}39-30-0240,{space}12{space}Pins{space}per{space}row,{space}Mounting:{space}Snap-in{space}Plastic{space}Peg{space}PCB{space}Lock{space}(http://www.molex.com/pdm_docs/sd/039300020_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Jr{space}top{space}entryplastic_peg
+0
+24
+24
+Connector_Molex
+Molex_Mini-Fit_Sr_42819-22XX_1x02_P10.00mm_Vertical
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}42819-22XX,{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/428192214_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}side{space}entry
+0
+6
+2
+Connector_Molex
+Molex_Mini-Fit_Sr_42819-22XX_1x02_P10.00mm_Vertical_ThermalVias
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}42819-22XX,{space}With{space}thermal{space}vias{space}in{space}pads,{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/428192214_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}side{space}entry
+0
+32
+2
+Connector_Molex
+Molex_Mini-Fit_Sr_42819-32XX_1x03_P10.00mm_Vertical
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}42819-32XX,{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/428192214_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}side{space}entry
+0
+8
+3
+Connector_Molex
+Molex_Mini-Fit_Sr_42819-32XX_1x03_P10.00mm_Vertical_ThermalVias
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}42819-32XX,{space}With{space}thermal{space}vias{space}in{space}pads,{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/428192214_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}side{space}entry
+0
+47
+3
+Connector_Molex
+Molex_Mini-Fit_Sr_42819-42XX_1x04_P10.00mm_Vertical
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}42819-42XX,{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/428192214_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}side{space}entry
+0
+10
+4
+Connector_Molex
+Molex_Mini-Fit_Sr_42819-42XX_1x04_P10.00mm_Vertical_ThermalVias
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}42819-42XX,{space}With{space}thermal{space}vias{space}in{space}pads,{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/428192214_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}side{space}entry
+0
+62
+4
+Connector_Molex
+Molex_Mini-Fit_Sr_42819-52XX_1x05_P10.00mm_Vertical
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}42819-52XX,{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/428192214_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}side{space}entry
+0
+12
+5
+Connector_Molex
+Molex_Mini-Fit_Sr_42819-52XX_1x05_P10.00mm_Vertical_ThermalVias
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}42819-52XX,{space}With{space}thermal{space}vias{space}in{space}pads,{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/428192214_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}side{space}entry
+0
+77
+5
+Connector_Molex
+Molex_Mini-Fit_Sr_42819-62XX_1x06_P10.00mm_Vertical
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}42819-62XX,{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/428192214_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}side{space}entry
+0
+14
+6
+Connector_Molex
+Molex_Mini-Fit_Sr_42819-62XX_1x06_P10.00mm_Vertical_ThermalVias
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}42819-62XX,{space}With{space}thermal{space}vias{space}in{space}pads,{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/428192214_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}side{space}entry
+0
+92
+6
+Connector_Molex
+Molex_Mini-Fit_Sr_42820-22XX_1x02_P10.00mm_Horizontal
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}42820-22XX,{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/428202214_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}top{space}entry
+0
+6
+2
+Connector_Molex
+Molex_Mini-Fit_Sr_42820-22XX_1x02_P10.00mm_Horizontal_ThermalVias
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}42820-22XX,{space}With{space}thermal{space}vias{space}in{space}pads,{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/428202214_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}top{space}entry
+0
+32
+2
+Connector_Molex
+Molex_Mini-Fit_Sr_42820-32XX_1x03_P10.00mm_Horizontal
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}42820-32XX,{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/428202214_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}top{space}entry
+0
+8
+3
+Connector_Molex
+Molex_Mini-Fit_Sr_42820-32XX_1x03_P10.00mm_Horizontal_ThermalVias
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}42820-32XX,{space}With{space}thermal{space}vias{space}in{space}pads,{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/428202214_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}top{space}entry
+0
+47
+3
+Connector_Molex
+Molex_Mini-Fit_Sr_42820-42XX_1x04_P10.00mm_Horizontal
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}42820-42XX,{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/428202214_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}top{space}entry
+0
+10
+4
+Connector_Molex
+Molex_Mini-Fit_Sr_42820-42XX_1x04_P10.00mm_Horizontal_ThermalVias
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}42820-42XX,{space}With{space}thermal{space}vias{space}in{space}pads,{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/428202214_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}top{space}entry
+0
+62
+4
+Connector_Molex
+Molex_Mini-Fit_Sr_42820-52XX_1x05_P10.00mm_Horizontal
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}42820-52XX,{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/428202214_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}top{space}entry
+0
+12
+5
+Connector_Molex
+Molex_Mini-Fit_Sr_42820-52XX_1x05_P10.00mm_Horizontal_ThermalVias
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}42820-52XX,{space}With{space}thermal{space}vias{space}in{space}pads,{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/428202214_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}top{space}entry
+0
+77
+5
+Connector_Molex
+Molex_Mini-Fit_Sr_42820-62XX_1x06_P10.00mm_Horizontal
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}42820-62XX,{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/428202214_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}top{space}entry
+0
+14
+6
+Connector_Molex
+Molex_Mini-Fit_Sr_42820-62XX_1x06_P10.00mm_Horizontal_ThermalVias
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}42820-62XX,{space}With{space}thermal{space}vias{space}in{space}pads,{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/428202214_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}top{space}entry
+0
+92
+6
+Connector_Molex
+Molex_Mini-Fit_Sr_43915-xx06_2x03_P10.00mm_Vertical
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}43915-xx06,{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/439151404_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}side{space}entry
+0
+14
+6
+Connector_Molex
+Molex_Mini-Fit_Sr_43915-xx06_2x03_P10.00mm_Vertical_ThermalVias
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}43915-xx06,{space}With{space}thermal{space}vias{space}in{space}pads,{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/439151404_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}side{space}entry
+0
+92
+6
+Connector_Molex
+Molex_Mini-Fit_Sr_43915-xx08_2x04_P10.00mm_Vertical
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}43915-xx08,{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/439151404_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}side{space}entry
+0
+18
+8
+Connector_Molex
+Molex_Mini-Fit_Sr_43915-xx08_2x04_P10.00mm_Vertical_ThermalVias
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}43915-xx08,{space}With{space}thermal{space}vias{space}in{space}pads,{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/439151404_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}side{space}entry
+0
+122
+8
+Connector_Molex
+Molex_Mini-Fit_Sr_43915-xx10_2x05_P10.00mm_Vertical
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}43915-xx10,{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/439151404_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}side{space}entry
+0
+22
+10
+Connector_Molex
+Molex_Mini-Fit_Sr_43915-xx10_2x05_P10.00mm_Vertical_ThermalVias
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}43915-xx10,{space}With{space}thermal{space}vias{space}in{space}pads,{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/439151404_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}side{space}entry
+0
+152
+10
+Connector_Molex
+Molex_Mini-Fit_Sr_43915-xx12_2x06_P10.00mm_Vertical
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}43915-xx12,{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/439151404_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}side{space}entry
+0
+26
+12
+Connector_Molex
+Molex_Mini-Fit_Sr_43915-xx12_2x06_P10.00mm_Vertical_ThermalVias
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}43915-xx12,{space}With{space}thermal{space}vias{space}in{space}pads,{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/439151404_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}side{space}entry
+0
+182
+12
+Connector_Molex
+Molex_Mini-Fit_Sr_43915-xx14_2x07_P10.00mm_Vertical
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}43915-xx14,{space}7{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/439151404_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}side{space}entry
+0
+30
+14
+Connector_Molex
+Molex_Mini-Fit_Sr_43915-xx14_2x07_P10.00mm_Vertical_ThermalVias
+Molex{space}Mini-Fit{space}Sr.{space}Power{space}Connectors,{space}43915-xx14,{space}With{space}thermal{space}vias{space}in{space}pads,{space}7{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/439151404_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Mini-Fit_Sr{space}side{space}entry
+0
+212
+14
+Connector_Molex
+Molex_Nano-Fit_105309-xx02_1x02_P2.50mm_Vertical
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105309-xx02,{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053091203_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}side{space}entry
+0
+2
+2
+Connector_Molex
+Molex_Nano-Fit_105309-xx03_1x03_P2.50mm_Vertical
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105309-xx03,{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053091203_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}side{space}entry
+0
+3
+3
+Connector_Molex
+Molex_Nano-Fit_105309-xx04_1x04_P2.50mm_Vertical
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105309-xx04,{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053091203_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}side{space}entry
+0
+4
+4
+Connector_Molex
+Molex_Nano-Fit_105309-xx05_1x05_P2.50mm_Vertical
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105309-xx05,{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053091203_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}side{space}entry
+0
+5
+5
+Connector_Molex
+Molex_Nano-Fit_105309-xx06_1x06_P2.50mm_Vertical
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105309-xx06,{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053091203_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}side{space}entry
+0
+6
+6
+Connector_Molex
+Molex_Nano-Fit_105309-xx07_1x07_P2.50mm_Vertical
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105309-xx07,{space}7{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053091203_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}side{space}entry
+0
+7
+7
+Connector_Molex
+Molex_Nano-Fit_105309-xx08_1x08_P2.50mm_Vertical
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105309-xx08,{space}8{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053091203_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}side{space}entry
+0
+8
+8
+Connector_Molex
+Molex_Nano-Fit_105310-xx04_2x02_P2.50mm_Vertical
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105310-xx04,{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053101208_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}side{space}entry
+0
+4
+4
+Connector_Molex
+Molex_Nano-Fit_105310-xx06_2x03_P2.50mm_Vertical
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105310-xx06,{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053101208_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}side{space}entry
+0
+6
+6
+Connector_Molex
+Molex_Nano-Fit_105310-xx08_2x04_P2.50mm_Vertical
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105310-xx08,{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053101208_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}side{space}entry
+0
+8
+8
+Connector_Molex
+Molex_Nano-Fit_105310-xx10_2x05_P2.50mm_Vertical
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105310-xx10,{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053101208_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}side{space}entry
+0
+10
+10
+Connector_Molex
+Molex_Nano-Fit_105310-xx12_2x06_P2.50mm_Vertical
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105310-xx12,{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053101208_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}side{space}entry
+0
+12
+12
+Connector_Molex
+Molex_Nano-Fit_105310-xx14_2x07_P2.50mm_Vertical
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105310-xx14,{space}7{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053101208_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}side{space}entry
+0
+14
+14
+Connector_Molex
+Molex_Nano-Fit_105310-xx16_2x08_P2.50mm_Vertical
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105310-xx16,{space}8{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053101208_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}side{space}entry
+0
+16
+16
+Connector_Molex
+Molex_Nano-Fit_105313-xx02_1x02_P2.50mm_Horizontal
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105313-xx02,{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053131208_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}top{space}entry
+0
+2
+2
+Connector_Molex
+Molex_Nano-Fit_105313-xx03_1x03_P2.50mm_Horizontal
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105313-xx03,{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053131208_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}top{space}entry
+0
+3
+3
+Connector_Molex
+Molex_Nano-Fit_105313-xx04_1x04_P2.50mm_Horizontal
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105313-xx04,{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053131208_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}top{space}entry
+0
+4
+4
+Connector_Molex
+Molex_Nano-Fit_105313-xx05_1x05_P2.50mm_Horizontal
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105313-xx05,{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053131208_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}top{space}entry
+0
+5
+5
+Connector_Molex
+Molex_Nano-Fit_105313-xx06_1x06_P2.50mm_Horizontal
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105313-xx06,{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053131208_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}top{space}entry
+0
+6
+6
+Connector_Molex
+Molex_Nano-Fit_105313-xx07_1x07_P2.50mm_Horizontal
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105313-xx07,{space}7{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053131208_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}top{space}entry
+0
+7
+7
+Connector_Molex
+Molex_Nano-Fit_105313-xx08_1x08_P2.50mm_Horizontal
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105313-xx08,{space}8{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053131208_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}top{space}entry
+0
+8
+8
+Connector_Molex
+Molex_Nano-Fit_105314-xx04_2x02_P2.50mm_Horizontal
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105314-xx04,{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053141208_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}top{space}entry
+0
+4
+4
+Connector_Molex
+Molex_Nano-Fit_105314-xx06_2x03_P2.50mm_Horizontal
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105314-xx06,{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053141208_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}top{space}entry
+0
+6
+6
+Connector_Molex
+Molex_Nano-Fit_105314-xx08_2x04_P2.50mm_Horizontal
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105314-xx08,{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053141208_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}top{space}entry
+0
+8
+8
+Connector_Molex
+Molex_Nano-Fit_105314-xx10_2x05_P2.50mm_Horizontal
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105314-xx10,{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053141208_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}top{space}entry
+0
+10
+10
+Connector_Molex
+Molex_Nano-Fit_105314-xx12_2x06_P2.50mm_Horizontal
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105314-xx12,{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053141208_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}top{space}entry
+0
+12
+12
+Connector_Molex
+Molex_Nano-Fit_105314-xx14_2x07_P2.50mm_Horizontal
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105314-xx14,{space}7{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053141208_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}top{space}entry
+0
+14
+14
+Connector_Molex
+Molex_Nano-Fit_105314-xx16_2x08_P2.50mm_Horizontal
+Molex{space}Nano-Fit{space}Power{space}Connectors,{space}105314-xx16,{space}8{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/1053141208_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Nano-Fit{space}top{space}entry
+0
+16
+16
+Connector_Molex
+Molex_Panelmate_53780-0270_1x02-1MP_P1.25mm_Horizontal
+Molex{space}Panelmate{space}series{space}connector,{space}53780-0270{space}(),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Panelmate{space}top{space}entry
+0
+4
+3
+Connector_Molex
+Molex_Panelmate_53780-0370_1x03-1MP_P1.25mm_Horizontal
+Molex{space}Panelmate{space}series{space}connector,{space}53780-0370{space}(),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Panelmate{space}top{space}entry
+0
+5
+4
+Connector_Molex
+Molex_Panelmate_53780-0470_1x04-1MP_P1.25mm_Horizontal
+Molex{space}Panelmate{space}series{space}connector,{space}53780-0470{space}(),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Panelmate{space}top{space}entry
+0
+6
+5
+Connector_Molex
+Molex_Panelmate_53780-0570_1x05-1MP_P1.25mm_Horizontal
+Molex{space}Panelmate{space}series{space}connector,{space}53780-0570{space}(),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Panelmate{space}top{space}entry
+0
+7
+6
+Connector_Molex
+Molex_Panelmate_53780-0670_1x06-1MP_P1.25mm_Horizontal
+Molex{space}Panelmate{space}series{space}connector,{space}53780-0670{space}(),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Panelmate{space}top{space}entry
+0
+8
+7
+Connector_Molex
+Molex_Panelmate_53780-0770_1x07-1MP_P1.25mm_Horizontal
+Molex{space}Panelmate{space}series{space}connector,{space}53780-0770{space}(),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Panelmate{space}top{space}entry
+0
+9
+8
+Connector_Molex
+Molex_Panelmate_53780-0870_1x08-1MP_P1.25mm_Horizontal
+Molex{space}Panelmate{space}series{space}connector,{space}53780-0870{space}(),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Panelmate{space}top{space}entry
+0
+10
+9
+Connector_Molex
+Molex_Panelmate_53780-0970_1x09-1MP_P1.25mm_Horizontal
+Molex{space}Panelmate{space}series{space}connector,{space}53780-0970{space}(),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Panelmate{space}top{space}entry
+0
+11
+10
+Connector_Molex
+Molex_Panelmate_53780-1070_1x10-1MP_P1.25mm_Horizontal
+Molex{space}Panelmate{space}series{space}connector,{space}53780-1070{space}(),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Panelmate{space}top{space}entry
+0
+12
+11
+Connector_Molex
+Molex_Panelmate_53780-1270_1x12-1MP_P1.25mm_Horizontal
+Molex{space}Panelmate{space}series{space}connector,{space}53780-1270{space}(),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Panelmate{space}top{space}entry
+0
+14
+13
+Connector_Molex
+Molex_Panelmate_53780-1470_1x14-1MP_P1.25mm_Horizontal
+Molex{space}Panelmate{space}series{space}connector,{space}53780-1470{space}(),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Panelmate{space}top{space}entry
+0
+16
+15
+Connector_Molex
+Molex_Panelmate_53780-1570_1x15-1MP_P1.25mm_Horizontal
+Molex{space}Panelmate{space}series{space}connector,{space}53780-1570{space}(),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Panelmate{space}top{space}entry
+0
+17
+16
+Connector_Molex
+Molex_Panelmate_53780-1870_1x18-1MP_P1.25mm_Horizontal
+Molex{space}Panelmate{space}series{space}connector,{space}53780-1870{space}(),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Panelmate{space}top{space}entry
+0
+20
+19
+Connector_Molex
+Molex_Panelmate_53780-3070_1x30-1MP_P1.25mm_Horizontal
+Molex{space}Panelmate{space}series{space}connector,{space}53780-3070{space}(),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Panelmate{space}top{space}entry
+0
+32
+31
+Connector_Molex
+Molex_Pico-Clasp_202396-0207_1x02-1MP_P1.00mm_Horizontal
+Molex{space}Pico-Clasp{space}series{space}connector,{space}202396-0207{space}(http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}top{space}entry
+0
+4
+3
+Connector_Molex
+Molex_Pico-Clasp_202396-0307_1x03-1MP_P1.00mm_Horizontal
+Molex{space}Pico-Clasp{space}series{space}connector,{space}202396-0307{space}(http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}top{space}entry
+0
+5
+4
+Connector_Molex
+Molex_Pico-Clasp_202396-0407_1x04-1MP_P1.00mm_Horizontal
+Molex{space}Pico-Clasp{space}series{space}connector,{space}202396-0407{space}(http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}top{space}entry
+0
+6
+5
+Connector_Molex
+Molex_Pico-Clasp_202396-0507_1x05-1MP_P1.00mm_Horizontal
+Molex{space}Pico-Clasp{space}series{space}connector,{space}202396-0507{space}(http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}top{space}entry
+0
+7
+6
+Connector_Molex
+Molex_Pico-Clasp_202396-0607_1x06-1MP_P1.00mm_Horizontal
+Molex{space}Pico-Clasp{space}series{space}connector,{space}202396-0607{space}(http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}top{space}entry
+0
+8
+7
+Connector_Molex
+Molex_Pico-Clasp_202396-0707_1x07-1MP_P1.00mm_Horizontal
+Molex{space}Pico-Clasp{space}series{space}connector,{space}202396-0707{space}(http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}top{space}entry
+0
+9
+8
+Connector_Molex
+Molex_Pico-Clasp_202396-0807_1x08-1MP_P1.00mm_Horizontal
+Molex{space}Pico-Clasp{space}series{space}connector,{space}202396-0807{space}(http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}top{space}entry
+0
+10
+9
+Connector_Molex
+Molex_Pico-Clasp_202396-0907_1x09-1MP_P1.00mm_Horizontal
+Molex{space}Pico-Clasp{space}series{space}connector,{space}202396-0907{space}(http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}top{space}entry
+0
+11
+10
+Connector_Molex
+Molex_Pico-Clasp_202396-1007_1x10-1MP_P1.00mm_Horizontal
+Molex{space}Pico-Clasp{space}series{space}connector,{space}202396-1007{space}(http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}top{space}entry
+0
+12
+11
+Connector_Molex
+Molex_Pico-Clasp_202396-1107_1x11-1MP_P1.00mm_Horizontal
+Molex{space}Pico-Clasp{space}series{space}connector,{space}202396-1107{space}(http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}top{space}entry
+0
+13
+12
+Connector_Molex
+Molex_Pico-Clasp_202396-1207_1x12-1MP_P1.00mm_Horizontal
+Molex{space}Pico-Clasp{space}series{space}connector,{space}202396-1207{space}(http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}top{space}entry
+0
+14
+13
+Connector_Molex
+Molex_Pico-Clasp_202396-1307_1x13-1MP_P1.00mm_Horizontal
+Molex{space}Pico-Clasp{space}series{space}connector,{space}202396-1307{space}(http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}top{space}entry
+0
+15
+14
+Connector_Molex
+Molex_Pico-Clasp_202396-1407_1x14-1MP_P1.00mm_Horizontal
+Molex{space}Pico-Clasp{space}series{space}connector,{space}202396-1407{space}(http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}top{space}entry
+0
+16
+15
+Connector_Molex
+Molex_Pico-Clasp_202396-1507_1x15-1MP_P1.00mm_Horizontal
+Molex{space}Pico-Clasp{space}series{space}connector,{space}202396-1507{space}(http://www.molex.com/pdm_docs/sd/2023960207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}top{space}entry
+0
+17
+16
+Connector_Molex
+Molex_Pico-Clasp_501331-0207_1x02-1MP_P1.00mm_Vertical
+Molex{space}Pico-Clasp{space}series{space}connector,{space}501331-0207{space}(http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}side{space}entry
+0
+4
+3
+Connector_Molex
+Molex_Pico-Clasp_501331-0307_1x03-1MP_P1.00mm_Vertical
+Molex{space}Pico-Clasp{space}series{space}connector,{space}501331-0307{space}(http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}side{space}entry
+0
+5
+4
+Connector_Molex
+Molex_Pico-Clasp_501331-0407_1x04-1MP_P1.00mm_Vertical
+Molex{space}Pico-Clasp{space}series{space}connector,{space}501331-0407{space}(http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}side{space}entry
+0
+6
+5
+Connector_Molex
+Molex_Pico-Clasp_501331-0507_1x05-1MP_P1.00mm_Vertical
+Molex{space}Pico-Clasp{space}series{space}connector,{space}501331-0507{space}(http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}side{space}entry
+0
+7
+6
+Connector_Molex
+Molex_Pico-Clasp_501331-0607_1x06-1MP_P1.00mm_Vertical
+Molex{space}Pico-Clasp{space}series{space}connector,{space}501331-0607{space}(http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}side{space}entry
+0
+8
+7
+Connector_Molex
+Molex_Pico-Clasp_501331-0707_1x07-1MP_P1.00mm_Vertical
+Molex{space}Pico-Clasp{space}series{space}connector,{space}501331-0707{space}(http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}side{space}entry
+0
+9
+8
+Connector_Molex
+Molex_Pico-Clasp_501331-0807_1x08-1MP_P1.00mm_Vertical
+Molex{space}Pico-Clasp{space}series{space}connector,{space}501331-0807{space}(http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}side{space}entry
+0
+10
+9
+Connector_Molex
+Molex_Pico-Clasp_501331-0907_1x09-1MP_P1.00mm_Vertical
+Molex{space}Pico-Clasp{space}series{space}connector,{space}501331-0907{space}(http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}side{space}entry
+0
+11
+10
+Connector_Molex
+Molex_Pico-Clasp_501331-1007_1x10-1MP_P1.00mm_Vertical
+Molex{space}Pico-Clasp{space}series{space}connector,{space}501331-1007{space}(http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}side{space}entry
+0
+12
+11
+Connector_Molex
+Molex_Pico-Clasp_501331-1107_1x11-1MP_P1.00mm_Vertical
+Molex{space}Pico-Clasp{space}series{space}connector,{space}501331-1107{space}(http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}side{space}entry
+0
+13
+12
+Connector_Molex
+Molex_Pico-Clasp_501331-1207_1x12-1MP_P1.00mm_Vertical
+Molex{space}Pico-Clasp{space}series{space}connector,{space}501331-1207{space}(http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}side{space}entry
+0
+14
+13
+Connector_Molex
+Molex_Pico-Clasp_501331-1307_1x13-1MP_P1.00mm_Vertical
+Molex{space}Pico-Clasp{space}series{space}connector,{space}501331-1307{space}(http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}side{space}entry
+0
+15
+14
+Connector_Molex
+Molex_Pico-Clasp_501331-1407_1x14-1MP_P1.00mm_Vertical
+Molex{space}Pico-Clasp{space}series{space}connector,{space}501331-1407{space}(http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}side{space}entry
+0
+16
+15
+Connector_Molex
+Molex_Pico-Clasp_501331-1507_1x15-1MP_P1.00mm_Vertical
+Molex{space}Pico-Clasp{space}series{space}connector,{space}501331-1507{space}(http://www.molex.com/pdm_docs/sd/5013310207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Clasp{space}side{space}entry
+0
+17
+16
+Connector_Molex
+Molex_Pico-EZmate_78171-0002_1x02-1MP_P1.20mm_Vertical
+Molex{space}Pico-EZmate{space}series{space}connector,{space}78171-0002{space}(http://www.molex.com/pdm_docs/sd/781710002_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-EZmate{space}side{space}entry
+0
+4
+3
+Connector_Molex
+Molex_Pico-EZmate_78171-0003_1x03-1MP_P1.20mm_Vertical
+Molex{space}Pico-EZmate{space}series{space}connector,{space}78171-0003{space}(http://www.molex.com/pdm_docs/sd/781710002_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-EZmate{space}side{space}entry
+0
+5
+4
+Connector_Molex
+Molex_Pico-EZmate_78171-0004_1x04-1MP_P1.20mm_Vertical
+Molex{space}Pico-EZmate{space}series{space}connector,{space}78171-0004{space}(http://www.molex.com/pdm_docs/sd/781710002_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-EZmate{space}side{space}entry
+0
+6
+5
+Connector_Molex
+Molex_Pico-EZmate_78171-0005_1x05-1MP_P1.20mm_Vertical
+Molex{space}Pico-EZmate{space}series{space}connector,{space}78171-0005{space}(http://www.molex.com/pdm_docs/sd/781710002_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-EZmate{space}side{space}entry
+0
+7
+6
+Connector_Molex
+Molex_Pico-EZmate_Slim_202656-0021_1x02-1MP_P1.20mm_Vertical
+Molex{space}Pico-EZmate_Slim{space}series{space}connector,{space}202656-0021{space}(http://www.molex.com/pdm_docs/sd/2026560021_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-EZmate_Slim{space}side{space}entry
+0
+4
+3
+Connector_Molex
+Molex_Pico-Lock_504050-0491_1x04-1MP_P1.50mm_Horizontal
+Molex{space}Pico-Lock{space}series{space}connector,{space}504050-0491{space}(http://www.molex.com/pdm_docs/sd/5040500891_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Lock{space}top{space}entry
+0
+6
+5
+Connector_Molex
+Molex_Pico-Lock_504050-0591_1x05-1MP_P1.50mm_Horizontal
+Molex{space}Pico-Lock{space}series{space}connector,{space}504050-0591{space}(http://www.molex.com/pdm_docs/sd/5040500891_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Lock{space}top{space}entry
+0
+7
+6
+Connector_Molex
+Molex_Pico-Lock_504050-0691_1x06-1MP_P1.50mm_Horizontal
+Molex{space}Pico-Lock{space}series{space}connector,{space}504050-0691{space}(http://www.molex.com/pdm_docs/sd/5040500891_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Lock{space}top{space}entry
+0
+8
+7
+Connector_Molex
+Molex_Pico-Lock_504050-0791_1x07-1MP_P1.50mm_Horizontal
+Molex{space}Pico-Lock{space}series{space}connector,{space}504050-0791{space}(http://www.molex.com/pdm_docs/sd/5040500891_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Lock{space}top{space}entry
+0
+9
+8
+Connector_Molex
+Molex_Pico-Lock_504050-0891_1x08-1MP_P1.50mm_Horizontal
+Molex{space}Pico-Lock{space}series{space}connector,{space}504050-0891{space}(http://www.molex.com/pdm_docs/sd/5040500891_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Lock{space}top{space}entry
+0
+10
+9
+Connector_Molex
+Molex_Pico-Lock_504050-1091_1x10-1MP_P1.50mm_Horizontal
+Molex{space}Pico-Lock{space}series{space}connector,{space}504050-1091{space}(http://www.molex.com/pdm_docs/sd/5040500891_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Lock{space}top{space}entry
+0
+12
+11
+Connector_Molex
+Molex_Pico-Lock_504050-1291_1x12-1MP_P1.50mm_Horizontal
+Molex{space}Pico-Lock{space}series{space}connector,{space}504050-1291{space}(http://www.molex.com/pdm_docs/sd/5040500891_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Pico-Lock{space}top{space}entry
+0
+14
+13
+Connector_Molex
+Molex_PicoBlade_53047-0210_1x02_P1.25mm_Vertical
+Molex{space}PicoBlade{space}Connector{space}System,{space}53047-0210,{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530470610_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+2
+2
+Connector_Molex
+Molex_PicoBlade_53047-0310_1x03_P1.25mm_Vertical
+Molex{space}PicoBlade{space}Connector{space}System,{space}53047-0310,{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530470610_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+3
+3
+Connector_Molex
+Molex_PicoBlade_53047-0410_1x04_P1.25mm_Vertical
+Molex{space}PicoBlade{space}Connector{space}System,{space}53047-0410,{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530470610_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+4
+4
+Connector_Molex
+Molex_PicoBlade_53047-0510_1x05_P1.25mm_Vertical
+Molex{space}PicoBlade{space}Connector{space}System,{space}53047-0510,{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530470610_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+5
+5
+Connector_Molex
+Molex_PicoBlade_53047-0610_1x06_P1.25mm_Vertical
+Molex{space}PicoBlade{space}Connector{space}System,{space}53047-0610,{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530470610_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+6
+6
+Connector_Molex
+Molex_PicoBlade_53047-0710_1x07_P1.25mm_Vertical
+Molex{space}PicoBlade{space}Connector{space}System,{space}53047-0710,{space}7{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530470610_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+7
+7
+Connector_Molex
+Molex_PicoBlade_53047-0810_1x08_P1.25mm_Vertical
+Molex{space}PicoBlade{space}Connector{space}System,{space}53047-0810,{space}8{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530470610_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+8
+8
+Connector_Molex
+Molex_PicoBlade_53047-0910_1x09_P1.25mm_Vertical
+Molex{space}PicoBlade{space}Connector{space}System,{space}53047-0910,{space}9{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530470610_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+9
+9
+Connector_Molex
+Molex_PicoBlade_53047-1010_1x10_P1.25mm_Vertical
+Molex{space}PicoBlade{space}Connector{space}System,{space}53047-1010,{space}10{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530470610_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+10
+10
+Connector_Molex
+Molex_PicoBlade_53047-1110_1x11_P1.25mm_Vertical
+Molex{space}PicoBlade{space}Connector{space}System,{space}53047-1110,{space}11{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530470610_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+11
+11
+Connector_Molex
+Molex_PicoBlade_53047-1210_1x12_P1.25mm_Vertical
+Molex{space}PicoBlade{space}Connector{space}System,{space}53047-1210,{space}12{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530470610_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+12
+12
+Connector_Molex
+Molex_PicoBlade_53047-1310_1x13_P1.25mm_Vertical
+Molex{space}PicoBlade{space}Connector{space}System,{space}53047-1310,{space}13{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530470610_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+13
+13
+Connector_Molex
+Molex_PicoBlade_53047-1410_1x14_P1.25mm_Vertical
+Molex{space}PicoBlade{space}Connector{space}System,{space}53047-1410,{space}14{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530470610_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+14
+14
+Connector_Molex
+Molex_PicoBlade_53047-1510_1x15_P1.25mm_Vertical
+Molex{space}PicoBlade{space}Connector{space}System,{space}53047-1510,{space}15{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530470610_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+15
+15
+Connector_Molex
+Molex_PicoBlade_53048-0210_1x02_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}Connector{space}System,{space}53048-0210,{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530480210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+2
+2
+Connector_Molex
+Molex_PicoBlade_53048-0310_1x03_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}Connector{space}System,{space}53048-0310,{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530480210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+3
+3
+Connector_Molex
+Molex_PicoBlade_53048-0410_1x04_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}Connector{space}System,{space}53048-0410,{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530480210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+4
+4
+Connector_Molex
+Molex_PicoBlade_53048-0510_1x05_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}Connector{space}System,{space}53048-0510,{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530480210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+5
+5
+Connector_Molex
+Molex_PicoBlade_53048-0610_1x06_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}Connector{space}System,{space}53048-0610,{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530480210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+6
+6
+Connector_Molex
+Molex_PicoBlade_53048-0710_1x07_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}Connector{space}System,{space}53048-0710,{space}7{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530480210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+7
+7
+Connector_Molex
+Molex_PicoBlade_53048-0810_1x08_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}Connector{space}System,{space}53048-0810,{space}8{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530480210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+8
+8
+Connector_Molex
+Molex_PicoBlade_53048-0910_1x09_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}Connector{space}System,{space}53048-0910,{space}9{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530480210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+9
+9
+Connector_Molex
+Molex_PicoBlade_53048-1010_1x10_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}Connector{space}System,{space}53048-1010,{space}10{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530480210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+10
+10
+Connector_Molex
+Molex_PicoBlade_53048-1110_1x11_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}Connector{space}System,{space}53048-1110,{space}11{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530480210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+11
+11
+Connector_Molex
+Molex_PicoBlade_53048-1210_1x12_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}Connector{space}System,{space}53048-1210,{space}12{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530480210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+12
+12
+Connector_Molex
+Molex_PicoBlade_53048-1310_1x13_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}Connector{space}System,{space}53048-1310,{space}13{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530480210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+13
+13
+Connector_Molex
+Molex_PicoBlade_53048-1410_1x14_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}Connector{space}System,{space}53048-1410,{space}14{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530480210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+14
+14
+Connector_Molex
+Molex_PicoBlade_53048-1510_1x15_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}Connector{space}System,{space}53048-1510,{space}15{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/530480210_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+15
+15
+Connector_Molex
+Molex_PicoBlade_53261-0271_1x02-1MP_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}series{space}connector,{space}53261-0271{space}(http://www.molex.com/pdm_docs/sd/532610271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+4
+3
+Connector_Molex
+Molex_PicoBlade_53261-0371_1x03-1MP_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}series{space}connector,{space}53261-0371{space}(http://www.molex.com/pdm_docs/sd/532610271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+5
+4
+Connector_Molex
+Molex_PicoBlade_53261-0471_1x04-1MP_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}series{space}connector,{space}53261-0471{space}(http://www.molex.com/pdm_docs/sd/532610271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+6
+5
+Connector_Molex
+Molex_PicoBlade_53261-0571_1x05-1MP_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}series{space}connector,{space}53261-0571{space}(http://www.molex.com/pdm_docs/sd/532610271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+7
+6
+Connector_Molex
+Molex_PicoBlade_53261-0671_1x06-1MP_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}series{space}connector,{space}53261-0671{space}(http://www.molex.com/pdm_docs/sd/532610271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+8
+7
+Connector_Molex
+Molex_PicoBlade_53261-0771_1x07-1MP_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}series{space}connector,{space}53261-0771{space}(http://www.molex.com/pdm_docs/sd/532610271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+9
+8
+Connector_Molex
+Molex_PicoBlade_53261-0871_1x08-1MP_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}series{space}connector,{space}53261-0871{space}(http://www.molex.com/pdm_docs/sd/532610271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+10
+9
+Connector_Molex
+Molex_PicoBlade_53261-0971_1x09-1MP_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}series{space}connector,{space}53261-0971{space}(http://www.molex.com/pdm_docs/sd/532610271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+11
+10
+Connector_Molex
+Molex_PicoBlade_53261-1071_1x10-1MP_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}series{space}connector,{space}53261-1071{space}(http://www.molex.com/pdm_docs/sd/532610271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+12
+11
+Connector_Molex
+Molex_PicoBlade_53261-1171_1x11-1MP_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}series{space}connector,{space}53261-1171{space}(http://www.molex.com/pdm_docs/sd/532610271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+13
+12
+Connector_Molex
+Molex_PicoBlade_53261-1271_1x12-1MP_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}series{space}connector,{space}53261-1271{space}(http://www.molex.com/pdm_docs/sd/532610271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+14
+13
+Connector_Molex
+Molex_PicoBlade_53261-1371_1x13-1MP_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}series{space}connector,{space}53261-1371{space}(http://www.molex.com/pdm_docs/sd/532610271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+15
+14
+Connector_Molex
+Molex_PicoBlade_53261-1471_1x14-1MP_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}series{space}connector,{space}53261-1471{space}(http://www.molex.com/pdm_docs/sd/532610271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+16
+15
+Connector_Molex
+Molex_PicoBlade_53261-1571_1x15-1MP_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}series{space}connector,{space}53261-1571{space}(http://www.molex.com/pdm_docs/sd/532610271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+17
+16
+Connector_Molex
+Molex_PicoBlade_53261-1771_1x17-1MP_P1.25mm_Horizontal
+Molex{space}PicoBlade{space}series{space}connector,{space}53261-1771{space}(http://www.molex.com/pdm_docs/sd/532610271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}top{space}entry
+0
+19
+18
+Connector_Molex
+Molex_PicoBlade_53398-0271_1x02-1MP_P1.25mm_Vertical
+Molex{space}PicoBlade{space}series{space}connector,{space}53398-0271{space}(http://www.molex.com/pdm_docs/sd/533980271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+4
+3
+Connector_Molex
+Molex_PicoBlade_53398-0371_1x03-1MP_P1.25mm_Vertical
+Molex{space}PicoBlade{space}series{space}connector,{space}53398-0371{space}(http://www.molex.com/pdm_docs/sd/533980271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+5
+4
+Connector_Molex
+Molex_PicoBlade_53398-0471_1x04-1MP_P1.25mm_Vertical
+Molex{space}PicoBlade{space}series{space}connector,{space}53398-0471{space}(http://www.molex.com/pdm_docs/sd/533980271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+6
+5
+Connector_Molex
+Molex_PicoBlade_53398-0571_1x05-1MP_P1.25mm_Vertical
+Molex{space}PicoBlade{space}series{space}connector,{space}53398-0571{space}(http://www.molex.com/pdm_docs/sd/533980271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+7
+6
+Connector_Molex
+Molex_PicoBlade_53398-0671_1x06-1MP_P1.25mm_Vertical
+Molex{space}PicoBlade{space}series{space}connector,{space}53398-0671{space}(http://www.molex.com/pdm_docs/sd/533980271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+8
+7
+Connector_Molex
+Molex_PicoBlade_53398-0771_1x07-1MP_P1.25mm_Vertical
+Molex{space}PicoBlade{space}series{space}connector,{space}53398-0771{space}(http://www.molex.com/pdm_docs/sd/533980271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+9
+8
+Connector_Molex
+Molex_PicoBlade_53398-0871_1x08-1MP_P1.25mm_Vertical
+Molex{space}PicoBlade{space}series{space}connector,{space}53398-0871{space}(http://www.molex.com/pdm_docs/sd/533980271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+10
+9
+Connector_Molex
+Molex_PicoBlade_53398-0971_1x09-1MP_P1.25mm_Vertical
+Molex{space}PicoBlade{space}series{space}connector,{space}53398-0971{space}(http://www.molex.com/pdm_docs/sd/533980271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+11
+10
+Connector_Molex
+Molex_PicoBlade_53398-1071_1x10-1MP_P1.25mm_Vertical
+Molex{space}PicoBlade{space}series{space}connector,{space}53398-1071{space}(http://www.molex.com/pdm_docs/sd/533980271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+12
+11
+Connector_Molex
+Molex_PicoBlade_53398-1171_1x11-1MP_P1.25mm_Vertical
+Molex{space}PicoBlade{space}series{space}connector,{space}53398-1171{space}(http://www.molex.com/pdm_docs/sd/533980271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+13
+12
+Connector_Molex
+Molex_PicoBlade_53398-1271_1x12-1MP_P1.25mm_Vertical
+Molex{space}PicoBlade{space}series{space}connector,{space}53398-1271{space}(http://www.molex.com/pdm_docs/sd/533980271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+14
+13
+Connector_Molex
+Molex_PicoBlade_53398-1371_1x13-1MP_P1.25mm_Vertical
+Molex{space}PicoBlade{space}series{space}connector,{space}53398-1371{space}(http://www.molex.com/pdm_docs/sd/533980271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+15
+14
+Connector_Molex
+Molex_PicoBlade_53398-1471_1x14-1MP_P1.25mm_Vertical
+Molex{space}PicoBlade{space}series{space}connector,{space}53398-1471{space}(http://www.molex.com/pdm_docs/sd/533980271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+16
+15
+Connector_Molex
+Molex_PicoBlade_53398-1571_1x15-1MP_P1.25mm_Vertical
+Molex{space}PicoBlade{space}series{space}connector,{space}53398-1571{space}(http://www.molex.com/pdm_docs/sd/533980271_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}PicoBlade{space}side{space}entry
+0
+17
+16
+Connector_Molex
+Molex_Picoflex_90325-0004_2x02_P1.27mm_Vertical
+Molex{space}Picoflex{space}Ribbon-Cable{space}Connectors,{space}90325-0004,{space}4{space}Pins{space}(http://www.molex.com/pdm_docs/sd/903250004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Picoflex{space}side{space}entry
+0
+4
+4
+Connector_Molex
+Molex_Picoflex_90325-0006_2x03_P1.27mm_Vertical
+Molex{space}Picoflex{space}Ribbon-Cable{space}Connectors,{space}90325-0006,{space}6{space}Pins{space}(http://www.molex.com/pdm_docs/sd/903250004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Picoflex{space}side{space}entry
+0
+6
+6
+Connector_Molex
+Molex_Picoflex_90325-0008_2x04_P1.27mm_Vertical
+Molex{space}Picoflex{space}Ribbon-Cable{space}Connectors,{space}90325-0008,{space}8{space}Pins{space}(http://www.molex.com/pdm_docs/sd/903250004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Picoflex{space}side{space}entry
+0
+8
+8
+Connector_Molex
+Molex_Picoflex_90325-0010_2x05_P1.27mm_Vertical
+Molex{space}Picoflex{space}Ribbon-Cable{space}Connectors,{space}90325-0010,{space}10{space}Pins{space}(http://www.molex.com/pdm_docs/sd/903250004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Picoflex{space}side{space}entry
+0
+10
+10
+Connector_Molex
+Molex_Picoflex_90325-0012_2x06_P1.27mm_Vertical
+Molex{space}Picoflex{space}Ribbon-Cable{space}Connectors,{space}90325-0012,{space}12{space}Pins{space}(http://www.molex.com/pdm_docs/sd/903250004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Picoflex{space}side{space}entry
+0
+12
+12
+Connector_Molex
+Molex_Picoflex_90325-0014_2x07_P1.27mm_Vertical
+Molex{space}Picoflex{space}Ribbon-Cable{space}Connectors,{space}90325-0014,{space}14{space}Pins{space}(http://www.molex.com/pdm_docs/sd/903250004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Picoflex{space}side{space}entry
+0
+14
+14
+Connector_Molex
+Molex_Picoflex_90325-0016_2x08_P1.27mm_Vertical
+Molex{space}Picoflex{space}Ribbon-Cable{space}Connectors,{space}90325-0016,{space}16{space}Pins{space}(http://www.molex.com/pdm_docs/sd/903250004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Picoflex{space}side{space}entry
+0
+16
+16
+Connector_Molex
+Molex_Picoflex_90325-0018_2x09_P1.27mm_Vertical
+Molex{space}Picoflex{space}Ribbon-Cable{space}Connectors,{space}90325-0018,{space}18{space}Pins{space}(http://www.molex.com/pdm_docs/sd/903250004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Picoflex{space}side{space}entry
+0
+18
+18
+Connector_Molex
+Molex_Picoflex_90325-0020_2x10_P1.27mm_Vertical
+Molex{space}Picoflex{space}Ribbon-Cable{space}Connectors,{space}90325-0020,{space}20{space}Pins{space}(http://www.molex.com/pdm_docs/sd/903250004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Picoflex{space}side{space}entry
+0
+20
+20
+Connector_Molex
+Molex_Picoflex_90325-0022_2x11_P1.27mm_Vertical
+Molex{space}Picoflex{space}Ribbon-Cable{space}Connectors,{space}90325-0022,{space}22{space}Pins{space}(http://www.molex.com/pdm_docs/sd/903250004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Picoflex{space}side{space}entry
+0
+22
+22
+Connector_Molex
+Molex_Picoflex_90325-0024_2x12_P1.27mm_Vertical
+Molex{space}Picoflex{space}Ribbon-Cable{space}Connectors,{space}90325-0024,{space}24{space}Pins{space}(http://www.molex.com/pdm_docs/sd/903250004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Picoflex{space}side{space}entry
+0
+24
+24
+Connector_Molex
+Molex_Picoflex_90325-0026_2x13_P1.27mm_Vertical
+Molex{space}Picoflex{space}Ribbon-Cable{space}Connectors,{space}90325-0026,{space}26{space}Pins{space}(http://www.molex.com/pdm_docs/sd/903250004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Picoflex{space}side{space}entry
+0
+26
+26
+Connector_Molex
+Molex_Picoflex_90814-0004_2x02_P1.27mm_Vertical
+Molex{space}Picoflex{space}Ribbon-Cable{space}Connectors,{space}90814-0004,{space}4{space}Pins{space}(http://www.molex.com/pdm_docs/sd/908140004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Picoflex{space}side{space}entry
+0
+4
+4
+Connector_Molex
+Molex_Picoflex_90814-0006_2x03_P1.27mm_Vertical
+Molex{space}Picoflex{space}Ribbon-Cable{space}Connectors,{space}90814-0006,{space}6{space}Pins{space}(http://www.molex.com/pdm_docs/sd/908140004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Picoflex{space}side{space}entry
+0
+6
+6
+Connector_Molex
+Molex_Picoflex_90814-0008_2x04_P1.27mm_Vertical
+Molex{space}Picoflex{space}Ribbon-Cable{space}Connectors,{space}90814-0008,{space}8{space}Pins{space}(http://www.molex.com/pdm_docs/sd/908140004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Picoflex{space}side{space}entry
+0
+8
+8
+Connector_Molex
+Molex_Picoflex_90814-0010_2x05_P1.27mm_Vertical
+Molex{space}Picoflex{space}Ribbon-Cable{space}Connectors,{space}90814-0010,{space}10{space}Pins{space}(http://www.molex.com/pdm_docs/sd/908140004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Picoflex{space}side{space}entry
+0
+10
+10
+Connector_Molex
+Molex_Picoflex_90814-0012_2x06_P1.27mm_Vertical
+Molex{space}Picoflex{space}Ribbon-Cable{space}Connectors,{space}90814-0012,{space}12{space}Pins{space}(http://www.molex.com/pdm_docs/sd/908140004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Picoflex{space}side{space}entry
+0
+12
+12
+Connector_Molex
+Molex_Picoflex_90814-0014_2x07_P1.27mm_Vertical
+Molex{space}Picoflex{space}Ribbon-Cable{space}Connectors,{space}90814-0014,{space}14{space}Pins{space}(http://www.molex.com/pdm_docs/sd/908140004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Picoflex{space}side{space}entry
+0
+14
+14
+Connector_Molex
+Molex_Picoflex_90814-0016_2x08_P1.27mm_Vertical
+Molex{space}Picoflex{space}Ribbon-Cable{space}Connectors,{space}90814-0016,{space}16{space}Pins{space}(http://www.molex.com/pdm_docs/sd/908140004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Picoflex{space}side{space}entry
+0
+16
+16
+Connector_Molex
+Molex_Picoflex_90814-0018_2x09_P1.27mm_Vertical
+Molex{space}Picoflex{space}Ribbon-Cable{space}Connectors,{space}90814-0018,{space}18{space}Pins{space}(http://www.molex.com/pdm_docs/sd/908140004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Picoflex{space}side{space}entry
+0
+18
+18
+Connector_Molex
+Molex_Picoflex_90814-0020_2x10_P1.27mm_Vertical
+Molex{space}Picoflex{space}Ribbon-Cable{space}Connectors,{space}90814-0020,{space}20{space}Pins{space}(http://www.molex.com/pdm_docs/sd/908140004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Picoflex{space}side{space}entry
+0
+20
+20
+Connector_Molex
+Molex_Picoflex_90814-0022_2x11_P1.27mm_Vertical
+Molex{space}Picoflex{space}Ribbon-Cable{space}Connectors,{space}90814-0022,{space}22{space}Pins{space}(http://www.molex.com/pdm_docs/sd/908140004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Picoflex{space}side{space}entry
+0
+22
+22
+Connector_Molex
+Molex_Picoflex_90814-0024_2x12_P1.27mm_Vertical
+Molex{space}Picoflex{space}Ribbon-Cable{space}Connectors,{space}90814-0024,{space}24{space}Pins{space}(http://www.molex.com/pdm_docs/sd/908140004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Picoflex{space}side{space}entry
+0
+24
+24
+Connector_Molex
+Molex_Picoflex_90814-0026_2x13_P1.27mm_Vertical
+Molex{space}Picoflex{space}Ribbon-Cable{space}Connectors,{space}90814-0026,{space}26{space}Pins{space}(http://www.molex.com/pdm_docs/sd/908140004_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Picoflex{space}side{space}entry
+0
+26
+26
+Connector_Molex
+Molex_SPOX_5267-02A_1x02_P2.50mm_Vertical
+Molex{space}SPOX{space}Connector{space}System,{space}5267-02A,{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/022035035_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SPOX{space}side{space}entry
+0
+2
+2
+Connector_Molex
+Molex_SPOX_5267-03A_1x03_P2.50mm_Vertical
+Molex{space}SPOX{space}Connector{space}System,{space}5267-03A,{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/022035035_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SPOX{space}side{space}entry
+0
+3
+3
+Connector_Molex
+Molex_SPOX_5267-04A_1x04_P2.50mm_Vertical
+Molex{space}SPOX{space}Connector{space}System,{space}5267-04A,{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/022035035_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SPOX{space}side{space}entry
+0
+4
+4
+Connector_Molex
+Molex_SPOX_5267-05A_1x05_P2.50mm_Vertical
+Molex{space}SPOX{space}Connector{space}System,{space}5267-05A,{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/022035035_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SPOX{space}side{space}entry
+0
+5
+5
+Connector_Molex
+Molex_SPOX_5267-06A_1x06_P2.50mm_Vertical
+Molex{space}SPOX{space}Connector{space}System,{space}5267-06A,{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/022035035_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SPOX{space}side{space}entry
+0
+6
+6
+Connector_Molex
+Molex_SPOX_5267-07A_1x07_P2.50mm_Vertical
+Molex{space}SPOX{space}Connector{space}System,{space}5267-07A,{space}7{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/022035035_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SPOX{space}side{space}entry
+0
+7
+7
+Connector_Molex
+Molex_SPOX_5267-08A_1x08_P2.50mm_Vertical
+Molex{space}SPOX{space}Connector{space}System,{space}5267-08A,{space}8{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/022035035_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SPOX{space}side{space}entry
+0
+8
+8
+Connector_Molex
+Molex_SPOX_5267-09A_1x09_P2.50mm_Vertical
+Molex{space}SPOX{space}Connector{space}System,{space}5267-09A,{space}9{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/022035035_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SPOX{space}side{space}entry
+0
+9
+9
+Connector_Molex
+Molex_SPOX_5267-10A_1x10_P2.50mm_Vertical
+Molex{space}SPOX{space}Connector{space}System,{space}5267-10A,{space}10{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/022035035_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SPOX{space}side{space}entry
+0
+10
+10
+Connector_Molex
+Molex_SPOX_5267-11A_1x11_P2.50mm_Vertical
+Molex{space}SPOX{space}Connector{space}System,{space}5267-11A,{space}11{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/022035035_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SPOX{space}side{space}entry
+0
+11
+11
+Connector_Molex
+Molex_SPOX_5267-12A_1x12_P2.50mm_Vertical
+Molex{space}SPOX{space}Connector{space}System,{space}5267-12A,{space}12{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/022035035_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SPOX{space}side{space}entry
+0
+12
+12
+Connector_Molex
+Molex_SPOX_5267-13A_1x13_P2.50mm_Vertical
+Molex{space}SPOX{space}Connector{space}System,{space}5267-13A,{space}13{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/022035035_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SPOX{space}side{space}entry
+0
+13
+13
+Connector_Molex
+Molex_SPOX_5267-14A_1x14_P2.50mm_Vertical
+Molex{space}SPOX{space}Connector{space}System,{space}5267-14A,{space}14{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/022035035_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SPOX{space}side{space}entry
+0
+14
+14
+Connector_Molex
+Molex_SPOX_5267-15A_1x15_P2.50mm_Vertical
+Molex{space}SPOX{space}Connector{space}System,{space}5267-15A,{space}15{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/022035035_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SPOX{space}side{space}entry
+0
+15
+15
+Connector_Molex
+Molex_Sabre_43160-0102_1x02_P7.49mm_Vertical
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-0102,{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431600105_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}side{space}entry
+0
+4
+2
+Connector_Molex
+Molex_Sabre_43160-0102_1x02_P7.49mm_Vertical_ThermalVias
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-0102,{space}With{space}thermal{space}vias{space}in{space}pads,{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431600105_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}side{space}entry
+0
+30
+2
+Connector_Molex
+Molex_Sabre_43160-0103_1x03_P7.49mm_Vertical
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-0103,{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431600105_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}side{space}entry
+0
+6
+3
+Connector_Molex
+Molex_Sabre_43160-0103_1x03_P7.49mm_Vertical_ThermalVias
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-0103,{space}With{space}thermal{space}vias{space}in{space}pads,{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431600105_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}side{space}entry
+0
+45
+3
+Connector_Molex
+Molex_Sabre_43160-0104_1x04_P7.49mm_Vertical
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-0104,{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431600105_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}side{space}entry
+0
+8
+4
+Connector_Molex
+Molex_Sabre_43160-0104_1x04_P7.49mm_Vertical_ThermalVias
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-0104,{space}With{space}thermal{space}vias{space}in{space}pads,{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431600105_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}side{space}entry
+0
+60
+4
+Connector_Molex
+Molex_Sabre_43160-0105_1x05_P7.49mm_Vertical
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-0105,{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431600105_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}side{space}entry
+0
+10
+5
+Connector_Molex
+Molex_Sabre_43160-0105_1x05_P7.49mm_Vertical_ThermalVias
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-0105,{space}With{space}thermal{space}vias{space}in{space}pads,{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431600105_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}side{space}entry
+0
+75
+5
+Connector_Molex
+Molex_Sabre_43160-0106_1x06_P7.49mm_Vertical
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-0106,{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431600105_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}side{space}entry
+0
+12
+6
+Connector_Molex
+Molex_Sabre_43160-0106_1x06_P7.49mm_Vertical_ThermalVias
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-0106,{space}With{space}thermal{space}vias{space}in{space}pads,{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431600105_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}side{space}entry
+0
+90
+6
+Connector_Molex
+Molex_Sabre_43160-1102_1x02_P7.49mm_Horizontal
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-1102,{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431605304_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}top{space}entry
+0
+6
+2
+Connector_Molex
+Molex_Sabre_43160-1102_1x02_P7.49mm_Horizontal_ThermalVias
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-1102,{space}With{space}thermal{space}vias{space}in{space}pads,{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431605304_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}top{space}entry
+0
+32
+2
+Connector_Molex
+Molex_Sabre_43160-1103_1x03_P7.49mm_Horizontal
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-1103,{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431605304_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}top{space}entry
+0
+8
+3
+Connector_Molex
+Molex_Sabre_43160-1103_1x03_P7.49mm_Horizontal_ThermalVias
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-1103,{space}With{space}thermal{space}vias{space}in{space}pads,{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431605304_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}top{space}entry
+0
+47
+3
+Connector_Molex
+Molex_Sabre_43160-1104_1x04_P7.49mm_Horizontal
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-1104,{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431605304_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}top{space}entry
+0
+10
+4
+Connector_Molex
+Molex_Sabre_43160-1104_1x04_P7.49mm_Horizontal_ThermalVias
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-1104,{space}With{space}thermal{space}vias{space}in{space}pads,{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431605304_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}top{space}entry
+0
+62
+4
+Connector_Molex
+Molex_Sabre_43160-1105_1x05_P7.49mm_Horizontal
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-1105,{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431605304_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}top{space}entry
+0
+12
+5
+Connector_Molex
+Molex_Sabre_43160-1105_1x05_P7.49mm_Horizontal_ThermalVias
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-1105,{space}With{space}thermal{space}vias{space}in{space}pads,{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431605304_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}top{space}entry
+0
+77
+5
+Connector_Molex
+Molex_Sabre_43160-1106_1x06_P7.49mm_Horizontal
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-1106,{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431605304_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}top{space}entry
+0
+14
+6
+Connector_Molex
+Molex_Sabre_43160-1106_1x06_P7.49mm_Horizontal_ThermalVias
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-1106,{space}With{space}thermal{space}vias{space}in{space}pads,{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431605304_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}top{space}entry
+0
+92
+6
+Connector_Molex
+Molex_Sabre_43160-2102_1x02_P7.49mm_Vertical
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-2102,{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431602102_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}side{space}entry
+0
+6
+2
+Connector_Molex
+Molex_Sabre_43160-2102_1x02_P7.49mm_Vertical_ThermalVias
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-2102,{space}With{space}thermal{space}vias{space}in{space}pads,{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431602102_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}side{space}entry
+0
+32
+2
+Connector_Molex
+Molex_Sabre_43160-2103_1x03_P7.49mm_Vertical
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-2103,{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431602102_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}side{space}entry
+0
+8
+3
+Connector_Molex
+Molex_Sabre_43160-2103_1x03_P7.49mm_Vertical_ThermalVias
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-2103,{space}With{space}thermal{space}vias{space}in{space}pads,{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431602102_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}side{space}entry
+0
+47
+3
+Connector_Molex
+Molex_Sabre_43160-2104_1x04_P7.49mm_Vertical
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-2104,{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431602102_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}side{space}entry
+0
+10
+4
+Connector_Molex
+Molex_Sabre_43160-2104_1x04_P7.49mm_Vertical_ThermalVias
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-2104,{space}With{space}thermal{space}vias{space}in{space}pads,{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431602102_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}side{space}entry
+0
+62
+4
+Connector_Molex
+Molex_Sabre_43160-2105_1x05_P7.49mm_Vertical
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-2105,{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431602102_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}side{space}entry
+0
+12
+5
+Connector_Molex
+Molex_Sabre_43160-2105_1x05_P7.49mm_Vertical_ThermalVias
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-2105,{space}With{space}thermal{space}vias{space}in{space}pads,{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431602102_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}side{space}entry
+0
+77
+5
+Connector_Molex
+Molex_Sabre_43160-2106_1x06_P7.49mm_Vertical
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-2106,{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431602102_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}side{space}entry
+0
+14
+6
+Connector_Molex
+Molex_Sabre_43160-2106_1x06_P7.49mm_Vertical_ThermalVias
+Molex{space}Sabre{space}Power{space}Connector,{space}43160-2106,{space}With{space}thermal{space}vias{space}in{space}pads,{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/431602102_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}side{space}entry
+0
+92
+6
+Connector_Molex
+Molex_Sabre_46007-1102_1x02_P7.49mm_Horizontal
+Molex{space}Sabre{space}Power{space}Connector,{space}46007-1102,{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/460071105_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}top{space}entry
+0
+4
+2
+Connector_Molex
+Molex_Sabre_46007-1102_1x02_P7.49mm_Horizontal_ThermalVias
+Molex{space}Sabre{space}Power{space}Connector,{space}46007-1102,{space}With{space}thermal{space}vias{space}in{space}pads,{space}2{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/460071105_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}top{space}entry
+0
+30
+2
+Connector_Molex
+Molex_Sabre_46007-1103_1x03_P7.49mm_Horizontal
+Molex{space}Sabre{space}Power{space}Connector,{space}46007-1103,{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/460071105_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}top{space}entry
+0
+6
+3
+Connector_Molex
+Molex_Sabre_46007-1103_1x03_P7.49mm_Horizontal_ThermalVias
+Molex{space}Sabre{space}Power{space}Connector,{space}46007-1103,{space}With{space}thermal{space}vias{space}in{space}pads,{space}3{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/460071105_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}top{space}entry
+0
+45
+3
+Connector_Molex
+Molex_Sabre_46007-1104_1x04_P7.49mm_Horizontal
+Molex{space}Sabre{space}Power{space}Connector,{space}46007-1104,{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/460071105_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}top{space}entry
+0
+8
+4
+Connector_Molex
+Molex_Sabre_46007-1104_1x04_P7.49mm_Horizontal_ThermalVias
+Molex{space}Sabre{space}Power{space}Connector,{space}46007-1104,{space}With{space}thermal{space}vias{space}in{space}pads,{space}4{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/460071105_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}top{space}entry
+0
+60
+4
+Connector_Molex
+Molex_Sabre_46007-1105_1x05_P7.49mm_Horizontal
+Molex{space}Sabre{space}Power{space}Connector,{space}46007-1105,{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/460071105_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}top{space}entry
+0
+10
+5
+Connector_Molex
+Molex_Sabre_46007-1105_1x05_P7.49mm_Horizontal_ThermalVias
+Molex{space}Sabre{space}Power{space}Connector,{space}46007-1105,{space}With{space}thermal{space}vias{space}in{space}pads,{space}5{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/460071105_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}top{space}entry
+0
+75
+5
+Connector_Molex
+Molex_Sabre_46007-1106_1x06_P7.49mm_Horizontal
+Molex{space}Sabre{space}Power{space}Connector,{space}46007-1106,{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/460071105_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}top{space}entry
+0
+12
+6
+Connector_Molex
+Molex_Sabre_46007-1106_1x06_P7.49mm_Horizontal_ThermalVias
+Molex{space}Sabre{space}Power{space}Connector,{space}46007-1106,{space}With{space}thermal{space}vias{space}in{space}pads,{space}6{space}Pins{space}per{space}row{space}(http://www.molex.com/pdm_docs/sd/460071105_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}Sabre{space}top{space}entry
+0
+90
+6
+Connector_Molex
+Molex_SlimStack_52991-0200_2x10_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}52991-0200,{space}20{space}Pins{space}(http://www.molex.com/pdm_docs/sd/529910308_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+20
+20
+Connector_Molex
+Molex_SlimStack_52991-0300_2x15_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}52991-0300,{space}30{space}Pins{space}(http://www.molex.com/pdm_docs/sd/529910308_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+30
+30
+Connector_Molex
+Molex_SlimStack_52991-0400_2x20_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}52991-0400,{space}40{space}Pins{space}(http://www.molex.com/pdm_docs/sd/529910308_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+40
+40
+Connector_Molex
+Molex_SlimStack_52991-0500_2x25_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}52991-0500,{space}50{space}Pins{space}(http://www.molex.com/pdm_docs/sd/529910308_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+50
+50
+Connector_Molex
+Molex_SlimStack_52991-0600_2x30_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}52991-0600,{space}60{space}Pins{space}(http://www.molex.com/pdm_docs/sd/529910308_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+60
+60
+Connector_Molex
+Molex_SlimStack_52991-0700_2x35_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}52991-0700,{space}70{space}Pins{space}(http://www.molex.com/pdm_docs/sd/529910308_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+70
+70
+Connector_Molex
+Molex_SlimStack_52991-0800_2x40_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}52991-0800,{space}80{space}Pins{space}(http://www.molex.com/pdm_docs/sd/529910308_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+80
+80
+Connector_Molex
+Molex_SlimStack_54722-0164_2x08_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}54722-0164,{space}16{space}Pins{space}(http://www.molex.com/pdm_docs/sd/547220804_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+16
+16
+Connector_Molex
+Molex_SlimStack_54722-0204_2x10_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}54722-0204,{space}20{space}Pins{space}(http://www.molex.com/pdm_docs/sd/547220804_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+20
+20
+Connector_Molex
+Molex_SlimStack_54722-0224_2x11_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}54722-0224,{space}22{space}Pins{space}(http://www.molex.com/pdm_docs/sd/547220804_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+22
+22
+Connector_Molex
+Molex_SlimStack_54722-0244_2x12_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}54722-0244,{space}24{space}Pins{space}(http://www.molex.com/pdm_docs/sd/547220804_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+24
+24
+Connector_Molex
+Molex_SlimStack_54722-0304_2x15_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}54722-0304,{space}30{space}Pins{space}(http://www.molex.com/pdm_docs/sd/547220804_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+30
+30
+Connector_Molex
+Molex_SlimStack_54722-0344_2x17_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}54722-0344,{space}34{space}Pins{space}(http://www.molex.com/pdm_docs/sd/547220804_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+34
+34
+Connector_Molex
+Molex_SlimStack_54722-0404_2x20_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}54722-0404,{space}40{space}Pins{space}(http://www.molex.com/pdm_docs/sd/547220804_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+40
+40
+Connector_Molex
+Molex_SlimStack_54722-0504_2x25_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}54722-0504,{space}50{space}Pins{space}(http://www.molex.com/pdm_docs/sd/547220804_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+50
+50
+Connector_Molex
+Molex_SlimStack_54722-0604_2x30_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}54722-0604,{space}60{space}Pins{space}(http://www.molex.com/pdm_docs/sd/547220804_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+60
+60
+Connector_Molex
+Molex_SlimStack_54722-0804_2x40_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}54722-0804,{space}80{space}Pins{space}(http://www.molex.com/pdm_docs/sd/547220804_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+80
+80
+Connector_Molex
+Molex_SlimStack_55560-0161_2x08_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}55560-0161,{space}16{space}Pins{space}(http://www.molex.com/pdm_docs/sd/555600207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+16
+16
+Connector_Molex
+Molex_SlimStack_55560-0201_2x10_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}55560-0201,{space}20{space}Pins{space}(http://www.molex.com/pdm_docs/sd/555600207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+20
+20
+Connector_Molex
+Molex_SlimStack_55560-0221_2x11_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}55560-0221,{space}22{space}Pins{space}(http://www.molex.com/pdm_docs/sd/555600207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+22
+22
+Connector_Molex
+Molex_SlimStack_55560-0241_2x12_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}55560-0241,{space}24{space}Pins{space}(http://www.molex.com/pdm_docs/sd/555600207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+24
+24
+Connector_Molex
+Molex_SlimStack_55560-0301_2x15_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}55560-0301,{space}30{space}Pins{space}(http://www.molex.com/pdm_docs/sd/555600207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+30
+30
+Connector_Molex
+Molex_SlimStack_55560-0341_2x17_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}55560-0341,{space}34{space}Pins{space}(http://www.molex.com/pdm_docs/sd/555600207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+34
+34
+Connector_Molex
+Molex_SlimStack_55560-0401_2x20_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}55560-0401,{space}40{space}Pins{space}(http://www.molex.com/pdm_docs/sd/555600207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+40
+40
+Connector_Molex
+Molex_SlimStack_55560-0501_2x25_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}55560-0501,{space}50{space}Pins{space}(http://www.molex.com/pdm_docs/sd/555600207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+50
+50
+Connector_Molex
+Molex_SlimStack_55560-0601_2x30_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}55560-0601,{space}60{space}Pins{space}(http://www.molex.com/pdm_docs/sd/555600207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+60
+60
+Connector_Molex
+Molex_SlimStack_55560-0801_2x40_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}55560-0801,{space}80{space}Pins{space}(http://www.molex.com/pdm_docs/sd/555600207_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+80
+80
+Connector_Molex
+Molex_SlimStack_501920-3001_2x15_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}501920-3001,{space}30{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5019204001_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+30
+30
+Connector_Molex
+Molex_SlimStack_501920-4001_2x20_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}501920-4001,{space}40{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5019204001_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+40
+40
+Connector_Molex
+Molex_SlimStack_501920-5001_2x25_P0.50mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}501920-5001,{space}50{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5019204001_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+50
+50
+Connector_Molex
+Molex_SlimStack_502426-0810_2x04_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502426-0810,{space}8{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+8
+8
+Connector_Molex
+Molex_SlimStack_502426-1410_2x07_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502426-1410,{space}14{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+14
+14
+Connector_Molex
+Molex_SlimStack_502426-2010_2x10_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502426-2010,{space}20{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+20
+20
+Connector_Molex
+Molex_SlimStack_502426-2210_2x11_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502426-2210,{space}22{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+22
+22
+Connector_Molex
+Molex_SlimStack_502426-2410_2x12_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502426-2410,{space}24{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+24
+24
+Connector_Molex
+Molex_SlimStack_502426-2610_2x13_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502426-2610,{space}26{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+26
+26
+Connector_Molex
+Molex_SlimStack_502426-3010_2x15_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502426-3010,{space}30{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+30
+30
+Connector_Molex
+Molex_SlimStack_502426-3210_2x16_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502426-3210,{space}32{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+32
+32
+Connector_Molex
+Molex_SlimStack_502426-3410_2x17_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502426-3410,{space}34{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+34
+34
+Connector_Molex
+Molex_SlimStack_502426-4010_2x20_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502426-4010,{space}40{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+40
+40
+Connector_Molex
+Molex_SlimStack_502426-4410_2x22_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502426-4410,{space}44{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+44
+44
+Connector_Molex
+Molex_SlimStack_502426-5010_2x25_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502426-5010,{space}50{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+50
+50
+Connector_Molex
+Molex_SlimStack_502426-6010_2x30_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502426-6010,{space}60{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+60
+60
+Connector_Molex
+Molex_SlimStack_502426-6410_2x32_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502426-6410,{space}64{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+64
+64
+Connector_Molex
+Molex_SlimStack_502426-8010_2x40_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502426-8010,{space}80{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024260810_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+80
+80
+Connector_Molex
+Molex_SlimStack_502430-0820_2x04_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502430-0820,{space}8{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024300820_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+8
+8
+Connector_Molex
+Molex_SlimStack_502430-1410_2x07_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502430-1410,{space}14{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+14
+14
+Connector_Molex
+Molex_SlimStack_502430-2010_2x10_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502430-2010,{space}20{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+20
+20
+Connector_Molex
+Molex_SlimStack_502430-2210_2x11_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502430-2210,{space}22{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+22
+22
+Connector_Molex
+Molex_SlimStack_502430-2410_2x12_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502430-2410,{space}24{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+24
+24
+Connector_Molex
+Molex_SlimStack_502430-2610_2x13_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502430-2610,{space}26{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+26
+26
+Connector_Molex
+Molex_SlimStack_502430-3010_2x15_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502430-3010,{space}30{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+30
+30
+Connector_Molex
+Molex_SlimStack_502430-3210_2x16_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502430-3210,{space}32{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+32
+32
+Connector_Molex
+Molex_SlimStack_502430-3410_2x17_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502430-3410,{space}34{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+34
+34
+Connector_Molex
+Molex_SlimStack_502430-4010_2x20_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502430-4010,{space}40{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+40
+40
+Connector_Molex
+Molex_SlimStack_502430-4410_2x22_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502430-4410,{space}44{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+44
+44
+Connector_Molex
+Molex_SlimStack_502430-5010_2x25_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502430-5010,{space}50{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+50
+50
+Connector_Molex
+Molex_SlimStack_502430-6010_2x30_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502430-6010,{space}60{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+60
+60
+Connector_Molex
+Molex_SlimStack_502430-6410_2x32_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502430-6410,{space}64{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+64
+64
+Connector_Molex
+Molex_SlimStack_502430-8010_2x40_P0.40mm_Vertical
+Molex{space}SlimStack{space}Fine-Pitch{space}SMT{space}Board-to-Board{space}Connectors,{space}502430-8010,{space}80{space}Pins{space}(http://www.molex.com/pdm_docs/sd/5024301410_sd.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Molex{space}SlimStack{space}side{space}entry
+0
+80
+80
+Connector_Multicomp
+Multicomp_MC9A12-1034_2x05_P2.54mm_Vertical
+http://www.farnell.com/datasheets/1520732.pdf
+connector{space}multicomp{space}MC9A{space}MC9A12
+0
+10
+10
+Connector_Multicomp
+Multicomp_MC9A12-1434_2x07_P2.54mm_Vertical
+http://www.farnell.com/datasheets/1520732.pdf
+connector{space}multicomp{space}MC9A{space}MC9A12
+0
+14
+14
+Connector_Multicomp
+Multicomp_MC9A12-1634_2x08_P2.54mm_Vertical
+http://www.farnell.com/datasheets/1520732.pdf
+connector{space}multicomp{space}MC9A{space}MC9A12
+0
+16
+16
+Connector_Multicomp
+Multicomp_MC9A12-2034_2x10_P2.54mm_Vertical
+http://www.farnell.com/datasheets/1520732.pdf
+connector{space}multicomp{space}MC9A{space}MC9A12
+0
+20
+20
+Connector_Multicomp
+Multicomp_MC9A12-2634_2x13_P2.54mm_Vertical
+http://www.farnell.com/datasheets/1520732.pdf
+connector{space}multicomp{space}MC9A{space}MC9A12
+0
+26
+26
+Connector_Multicomp
+Multicomp_MC9A12-3434_2x17_P2.54mm_Vertical
+http://www.farnell.com/datasheets/1520732.pdf
+connector{space}multicomp{space}MC9A{space}MC9A12
+0
+34
+34
+Connector_Multicomp
+Multicomp_MC9A12-4034_2x20_P2.54mm_Vertical
+http://www.farnell.com/datasheets/1520732.pdf
+connector{space}multicomp{space}MC9A{space}MC9A12
+0
+40
+40
+Connector_Multicomp
+Multicomp_MC9A12-5034_2x25_P2.54mm_Vertical
+http://www.farnell.com/datasheets/1520732.pdf
+connector{space}multicomp{space}MC9A{space}MC9A12
+0
+50
+50
+Connector_Multicomp
+Multicomp_MC9A12-6034_2x30_P2.54mm_Vertical
+http://www.farnell.com/datasheets/1520732.pdf
+connector{space}multicomp{space}MC9A{space}MC9A12
+0
+60
+60
+Connector_Multicomp
+Multicomp_MC9A12-6434_2x32_P2.54mm_Vertical
+http://www.farnell.com/datasheets/1520732.pdf
+connector{space}multicomp{space}MC9A{space}MC9A12
+0
+64
+64
+Connector_Multicomp
+Multicomp_MC9A22-1034_2x05_P2.54mm_Horizontal
+http://www.farnell.com/cad/360651.pdf
+connector{space}multicomp{space}MC9A{space}MC9A22
+0
+10
+10
+Connector_Multicomp
+Multicomp_MC9A22-1434_2x07_P2.54mm_Horizontal
+http://www.farnell.com/cad/360651.pdf
+connector{space}multicomp{space}MC9A{space}MC9A22
+0
+14
+14
+Connector_Multicomp
+Multicomp_MC9A22-1634_2x08_P2.54mm_Horizontal
+http://www.farnell.com/cad/360651.pdf
+connector{space}multicomp{space}MC9A{space}MC9A22
+0
+16
+16
+Connector_Multicomp
+Multicomp_MC9A22-2034_2x10_P2.54mm_Horizontal
+http://www.farnell.com/cad/360651.pdf
+connector{space}multicomp{space}MC9A{space}MC9A22
+0
+20
+20
+Connector_Multicomp
+Multicomp_MC9A22-2634_2x13_P2.54mm_Horizontal
+http://www.farnell.com/cad/360651.pdf
+connector{space}multicomp{space}MC9A{space}MC9A22
+0
+26
+26
+Connector_Multicomp
+Multicomp_MC9A22-3434_2x17_P2.54mm_Horizontal
+http://www.farnell.com/cad/360651.pdf
+connector{space}multicomp{space}MC9A{space}MC9A22
+0
+34
+34
+Connector_Multicomp
+Multicomp_MC9A22-4034_2x20_P2.54mm_Horizontal
+http://www.farnell.com/cad/360651.pdf
+connector{space}multicomp{space}MC9A{space}MC9A22
+0
+40
+40
+Connector_Multicomp
+Multicomp_MC9A22-5034_2x25_P2.54mm_Horizontal
+http://www.farnell.com/cad/360651.pdf
+connector{space}multicomp{space}MC9A{space}MC9A22
+0
+50
+50
+Connector_Multicomp
+Multicomp_MC9A22-6034_2x30_P2.54mm_Horizontal
+http://www.farnell.com/cad/360651.pdf
+connector{space}multicomp{space}MC9A{space}MC9A22
+0
+60
+60
+Connector_PCBEdge
+4UCON_10156_2x40_P1.27mm_Socket_Horizontal
+4UCON{space}10156{space}Card{space}edge{space}socket{space}with{space}80{space}contacts{space}(40{space}each{space}side),{space}through-hole,{space}http://www.4uconnector.com/online/object/4udrawing/10156.pdf
+4UCON{space}10156{space}Card{space}edge{space}socket{space}with{space}80{space}contacts
+0
+80
+80
+Connector_PCBEdge
+BUS_AT
+AT{space}ISA{space}16{space}bits{space}Bus{space}Edge{space}Connector
+BUS{space}ISA{space}AT{space}Edge{space}connector
+0
+98
+98
+Connector_PCBEdge
+BUS_PCI
+PCI{space}bus{space}Edge{space}Connector
+PCI{space}bus{space}Edge{space}Connector
+0
+240
+120
+Connector_PCBEdge
+BUS_PCIexpress
+PCIexpress{space}Bus{space}Edge{space}Connector
+PCIexpress{space}Bus{space}Edge{space}Connector
+0
+70
+36
+Connector_PCBEdge
+Samtec_MECF-05-0_-L-DV_2x05_P1.27mm_Polarized_Edge
+Highspeed{space}card{space}edge{space}connector{space}for{space}PCB's{space}with{space}05{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+8
+8
+Connector_PCBEdge
+Samtec_MECF-05-0_-NP-L-DV_2x05_P1.27mm_Edge
+Highspeed{space}card{space}edge{space}connector{space}for{space}PCB's{space}with{space}05{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+10
+10
+Connector_PCBEdge
+Samtec_MECF-05-01-L-DV-WT_2x05_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}05{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+10
+8
+Connector_PCBEdge
+Samtec_MECF-05-01-L-DV_2x05_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}05{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+8
+8
+Connector_PCBEdge
+Samtec_MECF-05-01-NP-L-DV-WT_2x05_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}05{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+12
+10
+Connector_PCBEdge
+Samtec_MECF-05-01-NP-L-DV_2x05_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}05{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+10
+10
+Connector_PCBEdge
+Samtec_MECF-05-02-L-DV-WT_2x05_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}05{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+10
+8
+Connector_PCBEdge
+Samtec_MECF-05-02-L-DV_2x05_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}05{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+8
+8
+Connector_PCBEdge
+Samtec_MECF-05-02-NP-L-DV-WT_2x05_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}05{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+12
+10
+Connector_PCBEdge
+Samtec_MECF-05-02-NP-L-DV_2x05_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}05{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+10
+10
+Connector_PCBEdge
+Samtec_MECF-08-0_-L-DV_2x08_P1.27mm_Polarized_Edge
+Highspeed{space}card{space}edge{space}connector{space}for{space}PCB's{space}with{space}08{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+14
+14
+Connector_PCBEdge
+Samtec_MECF-08-0_-NP-L-DV_2x08_P1.27mm_Edge
+Highspeed{space}card{space}edge{space}connector{space}for{space}PCB's{space}with{space}08{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+16
+16
+Connector_PCBEdge
+Samtec_MECF-08-01-L-DV-WT_2x08_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}08{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+16
+14
+Connector_PCBEdge
+Samtec_MECF-08-01-L-DV_2x08_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}08{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+14
+14
+Connector_PCBEdge
+Samtec_MECF-08-01-NP-L-DV-WT_2x08_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}08{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+18
+16
+Connector_PCBEdge
+Samtec_MECF-08-01-NP-L-DV_2x08_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}08{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+16
+16
+Connector_PCBEdge
+Samtec_MECF-08-02-L-DV-WT_2x08_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}08{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+16
+14
+Connector_PCBEdge
+Samtec_MECF-08-02-L-DV_2x08_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}08{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+14
+14
+Connector_PCBEdge
+Samtec_MECF-08-02-NP-L-DV-WT_2x08_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}08{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+18
+16
+Connector_PCBEdge
+Samtec_MECF-08-02-NP-L-DV_2x08_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}08{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+16
+16
+Connector_PCBEdge
+Samtec_MECF-20-0_-L-DV_2x20_P1.27mm_Polarized_Edge
+Highspeed{space}card{space}edge{space}connector{space}for{space}PCB's{space}with{space}20{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+38
+38
+Connector_PCBEdge
+Samtec_MECF-20-0_-NP-L-DV_2x20_P1.27mm_Edge
+Highspeed{space}card{space}edge{space}connector{space}for{space}PCB's{space}with{space}20{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+40
+40
+Connector_PCBEdge
+Samtec_MECF-20-01-L-DV-WT_2x20_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}20{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+40
+38
+Connector_PCBEdge
+Samtec_MECF-20-01-L-DV_2x20_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}20{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+38
+38
+Connector_PCBEdge
+Samtec_MECF-20-01-NP-L-DV-WT_2x20_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}20{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+42
+40
+Connector_PCBEdge
+Samtec_MECF-20-01-NP-L-DV_2x20_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}20{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+40
+40
+Connector_PCBEdge
+Samtec_MECF-20-02-L-DV-WT_2x20_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}20{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+40
+38
+Connector_PCBEdge
+Samtec_MECF-20-02-L-DV_2x20_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}20{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+38
+38
+Connector_PCBEdge
+Samtec_MECF-20-02-NP-L-DV-WT_2x20_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}20{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+42
+40
+Connector_PCBEdge
+Samtec_MECF-20-02-NP-L-DV_2x20_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}20{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+40
+40
+Connector_PCBEdge
+Samtec_MECF-30-0_-L-DV_2x30_P1.27mm_Polarized_Edge
+Highspeed{space}card{space}edge{space}connector{space}for{space}PCB's{space}with{space}30{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+58
+58
+Connector_PCBEdge
+Samtec_MECF-30-0_-NP-L-DV_2x30_P1.27mm_Edge
+Highspeed{space}card{space}edge{space}connector{space}for{space}PCB's{space}with{space}30{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+60
+60
+Connector_PCBEdge
+Samtec_MECF-30-01-L-DV-WT_2x30_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}30{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+60
+58
+Connector_PCBEdge
+Samtec_MECF-30-01-L-DV_2x30_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}30{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+58
+58
+Connector_PCBEdge
+Samtec_MECF-30-01-NP-L-DV-WT_2x30_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}30{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+62
+60
+Connector_PCBEdge
+Samtec_MECF-30-01-NP-L-DV_2x30_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}30{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+60
+60
+Connector_PCBEdge
+Samtec_MECF-30-02-L-DV-WT_2x30_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}30{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+60
+58
+Connector_PCBEdge
+Samtec_MECF-30-02-L-DV_2x30_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}30{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+58
+58
+Connector_PCBEdge
+Samtec_MECF-30-02-NP-L-DV-WT_2x30_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}30{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+62
+60
+Connector_PCBEdge
+Samtec_MECF-30-02-NP-L-DV_2x30_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}30{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+60
+60
+Connector_PCBEdge
+Samtec_MECF-40-0_-L-DV_2x40_P1.27mm_Polarized_Edge
+Highspeed{space}card{space}edge{space}connector{space}for{space}PCB's{space}with{space}40{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+78
+78
+Connector_PCBEdge
+Samtec_MECF-40-0_-NP-L-DV_2x40_P1.27mm_Edge
+Highspeed{space}card{space}edge{space}connector{space}for{space}PCB's{space}with{space}40{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+80
+80
+Connector_PCBEdge
+Samtec_MECF-40-01-L-DV-WT_2x40_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}40{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+80
+78
+Connector_PCBEdge
+Samtec_MECF-40-01-L-DV_2x40_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}40{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+78
+78
+Connector_PCBEdge
+Samtec_MECF-40-01-NP-L-DV-WT_2x40_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}40{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+82
+80
+Connector_PCBEdge
+Samtec_MECF-40-01-NP-L-DV_2x40_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}40{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+80
+80
+Connector_PCBEdge
+Samtec_MECF-40-02-L-DV-WT_2x40_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}40{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+80
+78
+Connector_PCBEdge
+Samtec_MECF-40-02-L-DV_2x40_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}40{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+78
+78
+Connector_PCBEdge
+Samtec_MECF-40-02-NP-L-DV-WT_2x40_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}40{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+82
+80
+Connector_PCBEdge
+Samtec_MECF-40-02-NP-L-DV_2x40_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}40{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+80
+80
+Connector_PCBEdge
+Samtec_MECF-50-0_-L-DV_2x50_P1.27mm_Polarized_Edge
+Highspeed{space}card{space}edge{space}connector{space}for{space}PCB's{space}with{space}50{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+98
+98
+Connector_PCBEdge
+Samtec_MECF-50-0_-NP-L-DV_2x50_P1.27mm_Edge
+Highspeed{space}card{space}edge{space}connector{space}for{space}PCB's{space}with{space}50{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+100
+100
+Connector_PCBEdge
+Samtec_MECF-50-01-L-DV-WT_2x50_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}50{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+100
+98
+Connector_PCBEdge
+Samtec_MECF-50-01-L-DV_2x50_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}50{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+98
+98
+Connector_PCBEdge
+Samtec_MECF-50-01-NP-L-DV-WT_2x50_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}50{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+102
+100
+Connector_PCBEdge
+Samtec_MECF-50-01-NP-L-DV_2x50_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}50{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+100
+100
+Connector_PCBEdge
+Samtec_MECF-50-02-L-DV-WT_2x50_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}50{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+100
+98
+Connector_PCBEdge
+Samtec_MECF-50-02-L-DV_2x50_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}50{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+98
+98
+Connector_PCBEdge
+Samtec_MECF-50-02-NP-L-DV-WT_2x50_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}50{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+102
+100
+Connector_PCBEdge
+Samtec_MECF-50-02-NP-L-DV_2x50_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}50{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+100
+100
+Connector_PCBEdge
+Samtec_MECF-60-0_-L-DV_2x60_P1.27mm_Polarized_Edge
+Highspeed{space}card{space}edge{space}connector{space}for{space}PCB's{space}with{space}60{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+116
+116
+Connector_PCBEdge
+Samtec_MECF-60-0_-NP-L-DV_2x60_P1.27mm_Edge
+Highspeed{space}card{space}edge{space}connector{space}for{space}PCB's{space}with{space}60{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+120
+120
+Connector_PCBEdge
+Samtec_MECF-60-01-L-DV-WT_2x60_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}60{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+118
+116
+Connector_PCBEdge
+Samtec_MECF-60-01-L-DV_2x60_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}60{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+116
+116
+Connector_PCBEdge
+Samtec_MECF-60-01-NP-L-DV-WT_2x60_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}60{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+122
+120
+Connector_PCBEdge
+Samtec_MECF-60-01-NP-L-DV_2x60_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}60{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+120
+120
+Connector_PCBEdge
+Samtec_MECF-60-02-L-DV-WT_2x60_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}60{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+118
+116
+Connector_PCBEdge
+Samtec_MECF-60-02-L-DV_2x60_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}60{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+116
+116
+Connector_PCBEdge
+Samtec_MECF-60-02-NP-L-DV-WT_2x60_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}60{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+122
+120
+Connector_PCBEdge
+Samtec_MECF-60-02-NP-L-DV_2x60_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}60{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+120
+120
+Connector_PCBEdge
+Samtec_MECF-70-0_-L-DV_2x70_P1.27mm_Polarized_Edge
+Highspeed{space}card{space}edge{space}connector{space}for{space}PCB's{space}with{space}70{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+136
+136
+Connector_PCBEdge
+Samtec_MECF-70-0_-NP-L-DV_2x70_P1.27mm_Edge
+Highspeed{space}card{space}edge{space}connector{space}for{space}PCB's{space}with{space}70{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+140
+140
+Connector_PCBEdge
+Samtec_MECF-70-01-L-DV-WT_2x70_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}70{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+138
+136
+Connector_PCBEdge
+Samtec_MECF-70-01-L-DV_2x70_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}70{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+136
+136
+Connector_PCBEdge
+Samtec_MECF-70-01-NP-L-DV-WT_2x70_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}70{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+142
+140
+Connector_PCBEdge
+Samtec_MECF-70-01-NP-L-DV_2x70_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}1.6mm{space}PCB's{space}with{space}70{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+140
+140
+Connector_PCBEdge
+Samtec_MECF-70-02-L-DV-WT_2x70_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}70{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+138
+136
+Connector_PCBEdge
+Samtec_MECF-70-02-L-DV_2x70_P1.27mm_Polarized_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}70{space}contacts{space}(polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+136
+136
+Connector_PCBEdge
+Samtec_MECF-70-02-NP-L-DV-WT_2x70_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}70{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+142
+140
+Connector_PCBEdge
+Samtec_MECF-70-02-NP-L-DV_2x70_P1.27mm_Socket_Horizontal
+Highspeed{space}card{space}edge{space}connector{space}for{space}2.4mm{space}PCB's{space}with{space}70{space}contacts{space}(not{space}polarized)
+conn{space}samtec{space}card-edge{space}high-speed
+0
+140
+140
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBA_2,5_2-G-7,62_1x02_P7.62mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBA_2,5/2-G-7,62;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}7.62mm;{space}Angled{space}||{space}order{space}number:{space}1766233{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBA_01x02_G_7.62mm
+0
+2
+2
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBA_2,5_2-G_1x02_P7.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBA_2,5/2-G;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}7.50mm;{space}Angled{space}||{space}order{space}number:{space}1766343{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBA_01x02_G_7.50mm
+0
+2
+2
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBA_2,5_3-G-7,62_1x03_P7.62mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBA_2,5/3-G-7,62;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}7.62mm;{space}Angled{space}||{space}order{space}number:{space}1766246{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBA_01x03_G_7.62mm
+0
+3
+3
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBA_2,5_3-G_1x03_P7.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBA_2,5/3-G;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}7.50mm;{space}Angled{space}||{space}order{space}number:{space}1766356{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBA_01x03_G_7.50mm
+0
+3
+3
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBA_2,5_4-G-7,62_1x04_P7.62mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBA_2,5/4-G-7,62;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}7.62mm;{space}Angled{space}||{space}order{space}number:{space}1766259{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBA_01x04_G_7.62mm
+0
+4
+4
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBA_2,5_4-G_1x04_P7.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBA_2,5/4-G;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}7.50mm;{space}Angled{space}||{space}order{space}number:{space}1766369{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBA_01x04_G_7.50mm
+0
+4
+4
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBA_2,5_5-G-7,62_1x05_P7.62mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBA_2,5/5-G-7,62;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}7.62mm;{space}Angled{space}||{space}order{space}number:{space}1766262{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBA_01x05_G_7.62mm
+0
+5
+5
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBA_2,5_5-G_1x05_P7.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBA_2,5/5-G;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}7.50mm;{space}Angled{space}||{space}order{space}number:{space}1766372{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBA_01x05_G_7.50mm
+0
+5
+5
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBA_2,5_6-G-7,62_1x06_P7.62mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBA_2,5/6-G-7,62;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}7.62mm;{space}Angled{space}||{space}order{space}number:{space}1766275{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBA_01x06_G_7.62mm
+0
+6
+6
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBA_2,5_6-G_1x06_P7.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBA_2,5/6-G;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}7.50mm;{space}Angled{space}||{space}order{space}number:{space}1766385{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBA_01x06_G_7.50mm
+0
+6
+6
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBA_2,5_7-G-7,62_1x07_P7.62mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBA_2,5/7-G-7,62;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}7.62mm;{space}Angled{space}||{space}order{space}number:{space}1766288{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBA_01x07_G_7.62mm
+0
+7
+7
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBA_2,5_7-G_1x07_P7.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBA_2,5/7-G;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}7.50mm;{space}Angled{space}||{space}order{space}number:{space}1766398{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBA_01x07_G_7.50mm
+0
+7
+7
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBA_2,5_8-G-7,62_1x08_P7.62mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBA_2,5/8-G-7,62;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}7.62mm;{space}Angled{space}||{space}order{space}number:{space}1766291{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBA_01x08_G_7.62mm
+0
+8
+8
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBA_2,5_8-G_1x08_P7.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBA_2,5/8-G;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}7.50mm;{space}Angled{space}||{space}order{space}number:{space}1766408{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBA_01x08_G_7.50mm
+0
+8
+8
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBA_2,5_9-G-7,62_1x09_P7.62mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBA_2,5/9-G-7,62;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}7.62mm;{space}Angled{space}||{space}order{space}number:{space}1766301{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBA_01x09_G_7.62mm
+0
+9
+9
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBA_2,5_9-G_1x09_P7.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBA_2,5/9-G;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}7.50mm;{space}Angled{space}||{space}order{space}number:{space}1766411{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBA_01x09_G_7.50mm
+0
+9
+9
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBA_2,5_10-G-7,62_1x10_P7.62mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBA_2,5/10-G-7,62;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}7.62mm;{space}Angled{space}||{space}order{space}number:{space}1766314{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBA_01x10_G_7.62mm
+0
+10
+10
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBA_2,5_10-G_1x10_P7.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBA_2,5/10-G;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}7.50mm;{space}Angled{space}||{space}order{space}number:{space}1766424{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBA_01x10_G_7.50mm
+0
+10
+10
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBA_2,5_11-G-7,62_1x11_P7.62mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBA_2,5/11-G-7,62;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}7.62mm;{space}Angled{space}||{space}order{space}number:{space}1766327{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBA_01x11_G_7.62mm
+0
+11
+11
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBA_2,5_11-G_1x11_P7.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBA_2,5/11-G;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}7.50mm;{space}Angled{space}||{space}order{space}number:{space}1766437{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBA_01x11_G_7.50mm
+0
+11
+11
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBA_2,5_12-G-7,62_1x12_P7.62mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBA_2,5/12-G-7,62;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}7.62mm;{space}Angled{space}||{space}order{space}number:{space}1766330{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBA_01x12_G_7.62mm
+0
+12
+12
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBA_2,5_12-G_1x12_P7.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBA_2,5/12-G;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}7.50mm;{space}Angled{space}||{space}order{space}number:{space}1766440{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBA_01x12_G_7.50mm
+0
+12
+12
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBVA_2,5_2-G-7,62_1x02_P7.62mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBVA_2,5/2-G-7,62;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}7.62mm;{space}Vertical{space}||{space}order{space}number:{space}1766770{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBVA_01x02_G_7.62mm
+0
+2
+2
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBVA_2,5_2-G_1x02_P7.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBVA_2,5/2-G;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}7.50mm;{space}Vertical{space}||{space}order{space}number:{space}1766660{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBVA_01x02_G_7.50mm
+0
+2
+2
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBVA_2,5_3-G-7,62_1x03_P7.62mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBVA_2,5/3-G-7,62;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}7.62mm;{space}Vertical{space}||{space}order{space}number:{space}1766783{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBVA_01x03_G_7.62mm
+0
+3
+3
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBVA_2,5_3-G_1x03_P7.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBVA_2,5/3-G;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}7.50mm;{space}Vertical{space}||{space}order{space}number:{space}1766673{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBVA_01x03_G_7.50mm
+0
+3
+3
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBVA_2,5_4-G-7,62_1x04_P7.62mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBVA_2,5/4-G-7,62;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}7.62mm;{space}Vertical{space}||{space}order{space}number:{space}1766796{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBVA_01x04_G_7.62mm
+0
+4
+4
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBVA_2,5_4-G_1x04_P7.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBVA_2,5/4-G;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}7.50mm;{space}Vertical{space}||{space}order{space}number:{space}1766686{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBVA_01x04_G_7.50mm
+0
+4
+4
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBVA_2,5_5-G-7,62_1x05_P7.62mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBVA_2,5/5-G-7,62;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}7.62mm;{space}Vertical{space}||{space}order{space}number:{space}1766806{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBVA_01x05_G_7.62mm
+0
+5
+5
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBVA_2,5_5-G_1x05_P7.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBVA_2,5/5-G;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}7.50mm;{space}Vertical{space}||{space}order{space}number:{space}1766699{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBVA_01x05_G_7.50mm
+0
+5
+5
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBVA_2,5_6-G-7,62_1x06_P7.62mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBVA_2,5/6-G-7,62;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}7.62mm;{space}Vertical{space}||{space}order{space}number:{space}1766819{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBVA_01x06_G_7.62mm
+0
+6
+6
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBVA_2,5_6-G_1x06_P7.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBVA_2,5/6-G;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}7.50mm;{space}Vertical{space}||{space}order{space}number:{space}1766709{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBVA_01x06_G_7.50mm
+0
+6
+6
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBVA_2,5_7-G-7,62_1x07_P7.62mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBVA_2,5/7-G-7,62;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}7.62mm;{space}Vertical{space}||{space}order{space}number:{space}1766822{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBVA_01x07_G_7.62mm
+0
+7
+7
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBVA_2,5_7-G_1x07_P7.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBVA_2,5/7-G;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}7.50mm;{space}Vertical{space}||{space}order{space}number:{space}1766712{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBVA_01x07_G_7.50mm
+0
+7
+7
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBVA_2,5_8-G-7,62_1x08_P7.62mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBVA_2,5/8-G-7,62;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}7.62mm;{space}Vertical{space}||{space}order{space}number:{space}1766835{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBVA_01x08_G_7.62mm
+0
+8
+8
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBVA_2,5_8-G_1x08_P7.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBVA_2,5/8-G;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}7.50mm;{space}Vertical{space}||{space}order{space}number:{space}1766725{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBVA_01x08_G_7.50mm
+0
+8
+8
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBVA_2,5_9-G-7,62_1x09_P7.62mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBVA_2,5/9-G-7,62;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}7.62mm;{space}Vertical{space}||{space}order{space}number:{space}1766848{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBVA_01x09_G_7.62mm
+0
+9
+9
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBVA_2,5_9-G_1x09_P7.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBVA_2,5/9-G;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}7.50mm;{space}Vertical{space}||{space}order{space}number:{space}1766738{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBVA_01x09_G_7.50mm
+0
+9
+9
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBVA_2,5_10-G-7,62_1x10_P7.62mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBVA_2,5/10-G-7,62;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}7.62mm;{space}Vertical{space}||{space}order{space}number:{space}1766851{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBVA_01x10_G_7.62mm
+0
+10
+10
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBVA_2,5_10-G_1x10_P7.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBVA_2,5/10-G;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}7.50mm;{space}Vertical{space}||{space}order{space}number:{space}1766741{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBVA_01x10_G_7.50mm
+0
+10
+10
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBVA_2,5_11-G-7,62_1x11_P7.62mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBVA_2,5/11-G-7,62;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}7.62mm;{space}Vertical{space}||{space}order{space}number:{space}1766864{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBVA_01x11_G_7.62mm
+0
+11
+11
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBVA_2,5_11-G_1x11_P7.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBVA_2,5/11-G;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}7.50mm;{space}Vertical{space}||{space}order{space}number:{space}1766754{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBVA_01x11_G_7.50mm
+0
+11
+11
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBVA_2,5_12-G-7,62_1x12_P7.62mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBVA_2,5/12-G-7,62;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}7.62mm;{space}Vertical{space}||{space}order{space}number:{space}1766877{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBVA_01x12_G_7.62mm
+0
+12
+12
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBVA_2,5_12-G_1x12_P7.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBVA_2,5/12-G;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}7.50mm;{space}Vertical{space}||{space}order{space}number:{space}1766767{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBVA_01x12_G_7.50mm
+0
+12
+12
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBV_2,5_2-GF-7,62_1x02_P7.62mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBV_2,5/2-GF-7,62;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}7.62mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1829154{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBV_01x02_GF_7.62mm
+0
+2
+2
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBV_2,5_2-GF-7,62_1x02_P7.62mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBV_2,5/2-GF-7,62;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}7.62mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1829154{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBV_01x02_GF_7.62mm_MH
+0
+2
+2
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBV_2,5_3-GF-7,62_1x03_P7.62mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBV_2,5/3-GF-7,62;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}7.62mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1829167{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBV_01x03_GF_7.62mm
+0
+3
+3
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBV_2,5_3-GF-7,62_1x03_P7.62mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBV_2,5/3-GF-7,62;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}7.62mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1829167{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBV_01x03_GF_7.62mm_MH
+0
+3
+3
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBV_2,5_4-GF-7,62_1x04_P7.62mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBV_2,5/4-GF-7,62;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}7.62mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1829170{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBV_01x04_GF_7.62mm
+0
+4
+4
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBV_2,5_4-GF-7,62_1x04_P7.62mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBV_2,5/4-GF-7,62;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}7.62mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1829170{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBV_01x04_GF_7.62mm_MH
+0
+4
+4
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBV_2,5_5-GF-7,62_1x05_P7.62mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBV_2,5/5-GF-7,62;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}7.62mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1829183{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBV_01x05_GF_7.62mm
+0
+5
+5
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBV_2,5_5-GF-7,62_1x05_P7.62mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBV_2,5/5-GF-7,62;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}7.62mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1829183{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBV_01x05_GF_7.62mm_MH
+0
+5
+5
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBV_2,5_6-GF-7,62_1x06_P7.62mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBV_2,5/6-GF-7,62;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}7.62mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1829196{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBV_01x06_GF_7.62mm
+0
+6
+6
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBV_2,5_6-GF-7,62_1x06_P7.62mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBV_2,5/6-GF-7,62;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}7.62mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1829196{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBV_01x06_GF_7.62mm_MH
+0
+6
+6
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBV_2,5_7-GF-7,62_1x07_P7.62mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBV_2,5/7-GF-7,62;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}7.62mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1829206{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBV_01x07_GF_7.62mm
+0
+7
+7
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBV_2,5_7-GF-7,62_1x07_P7.62mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBV_2,5/7-GF-7,62;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}7.62mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1829206{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBV_01x07_GF_7.62mm_MH
+0
+7
+7
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBV_2,5_8-GF-7,62_1x08_P7.62mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBV_2,5/8-GF-7,62;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}7.62mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1829219{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBV_01x08_GF_7.62mm
+0
+8
+8
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBV_2,5_8-GF-7,62_1x08_P7.62mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBV_2,5/8-GF-7,62;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}7.62mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1829219{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBV_01x08_GF_7.62mm_MH
+0
+8
+8
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBV_2,5_9-GF-7,62_1x09_P7.62mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBV_2,5/9-GF-7,62;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}7.62mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1829222{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBV_01x09_GF_7.62mm
+0
+9
+9
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBV_2,5_9-GF-7,62_1x09_P7.62mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBV_2,5/9-GF-7,62;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}7.62mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1829222{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBV_01x09_GF_7.62mm_MH
+0
+9
+9
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBV_2,5_10-GF-7,62_1x10_P7.62mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBV_2,5/10-GF-7,62;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}7.62mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1829235{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBV_01x10_GF_7.62mm
+0
+10
+10
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBV_2,5_10-GF-7,62_1x10_P7.62mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBV_2,5/10-GF-7,62;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}7.62mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1829235{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBV_01x10_GF_7.62mm_MH
+0
+10
+10
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBV_2,5_11-GF-7,62_1x11_P7.62mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBV_2,5/11-GF-7,62;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}7.62mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1829248{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBV_01x11_GF_7.62mm
+0
+11
+11
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBV_2,5_11-GF-7,62_1x11_P7.62mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBV_2,5/11-GF-7,62;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}7.62mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1829248{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBV_01x11_GF_7.62mm_MH
+0
+11
+11
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBV_2,5_12-GF-7,62_1x12_P7.62mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBV_2,5/12-GF-7,62;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}7.62mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1829251{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBV_01x12_GF_7.62mm
+0
+12
+12
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTBV_2,5_12-GF-7,62_1x12_P7.62mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTBV_2,5/12-GF-7,62;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}7.62mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1829251{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTBV_01x12_GF_7.62mm_MH
+0
+12
+12
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTB_2,5_2-GF-7,62_1x02_P7.62mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTB_2,5/2-GF-7,62;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}7.62mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1806229{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTB_01x02_GF_7.62mm
+0
+2
+2
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTB_2,5_2-GF-7,62_1x02_P7.62mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTB_2,5/2-GF-7,62;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}7.62mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1806229{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTB_01x02_GF_7.62mm_MH
+0
+2
+2
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTB_2,5_3-GF-7,62_1x03_P7.62mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTB_2,5/3-GF-7,62;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}7.62mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1806232{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTB_01x03_GF_7.62mm
+0
+3
+3
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTB_2,5_3-GF-7,62_1x03_P7.62mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTB_2,5/3-GF-7,62;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}7.62mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1806232{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTB_01x03_GF_7.62mm_MH
+0
+3
+3
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTB_2,5_4-GF-7,62_1x04_P7.62mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTB_2,5/4-GF-7,62;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}7.62mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1806245{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTB_01x04_GF_7.62mm
+0
+4
+4
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTB_2,5_4-GF-7,62_1x04_P7.62mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTB_2,5/4-GF-7,62;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}7.62mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1806245{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTB_01x04_GF_7.62mm_MH
+0
+4
+4
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTB_2,5_5-GF-7,62_1x05_P7.62mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTB_2,5/5-GF-7,62;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}7.62mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1806258{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTB_01x05_GF_7.62mm
+0
+5
+5
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTB_2,5_5-GF-7,62_1x05_P7.62mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTB_2,5/5-GF-7,62;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}7.62mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1806258{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTB_01x05_GF_7.62mm_MH
+0
+5
+5
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTB_2,5_6-GF-7,62_1x06_P7.62mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTB_2,5/6-GF-7,62;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}7.62mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1806261{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTB_01x06_GF_7.62mm
+0
+6
+6
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTB_2,5_6-GF-7,62_1x06_P7.62mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTB_2,5/6-GF-7,62;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}7.62mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1806261{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTB_01x06_GF_7.62mm_MH
+0
+6
+6
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTB_2,5_7-GF-7,62_1x07_P7.62mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTB_2,5/7-GF-7,62;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}7.62mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1806274{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTB_01x07_GF_7.62mm
+0
+7
+7
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTB_2,5_7-GF-7,62_1x07_P7.62mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTB_2,5/7-GF-7,62;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}7.62mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1806274{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTB_01x07_GF_7.62mm_MH
+0
+7
+7
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTB_2,5_8-GF-7,62_1x08_P7.62mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTB_2,5/8-GF-7,62;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}7.62mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1806287{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTB_01x08_GF_7.62mm
+0
+8
+8
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTB_2,5_8-GF-7,62_1x08_P7.62mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTB_2,5/8-GF-7,62;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}7.62mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1806287{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTB_01x08_GF_7.62mm_MH
+0
+8
+8
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTB_2,5_9-GF-7,62_1x09_P7.62mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTB_2,5/9-GF-7,62;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}7.62mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1806290{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTB_01x09_GF_7.62mm
+0
+9
+9
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTB_2,5_9-GF-7,62_1x09_P7.62mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTB_2,5/9-GF-7,62;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}7.62mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1806290{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTB_01x09_GF_7.62mm_MH
+0
+9
+9
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTB_2,5_10-GF-7,62_1x10_P7.62mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTB_2,5/10-GF-7,62;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}7.62mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1806300{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTB_01x10_GF_7.62mm
+0
+10
+10
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTB_2,5_10-GF-7,62_1x10_P7.62mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTB_2,5/10-GF-7,62;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}7.62mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1806300{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTB_01x10_GF_7.62mm_MH
+0
+10
+10
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTB_2,5_11-GF-7,62_1x11_P7.62mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTB_2,5/11-GF-7,62;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}7.62mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1806313{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTB_01x11_GF_7.62mm
+0
+11
+11
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTB_2,5_11-GF-7,62_1x11_P7.62mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTB_2,5/11-GF-7,62;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}7.62mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1806313{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTB_01x11_GF_7.62mm_MH
+0
+11
+11
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTB_2,5_12-GF-7,62_1x12_P7.62mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTB_2,5/12-GF-7,62;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}7.62mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1806326{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTB_01x12_GF_7.62mm
+0
+12
+12
+Connector_Phoenix_GMSTB
+PhoenixContact_GMSTB_2,5_12-GF-7,62_1x12_P7.62mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}GMSTB_2,5/12-GF-7,62;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}7.62mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1806326{space}12A{space}630V
+phoenix_contact{space}connector{space}GMSTB_01x12_GF_7.62mm_MH
+0
+12
+12
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_2-G-3.5_1x02_P3.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/2-G-3.5;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}3.50mm;{space}Vertical{space}||{space}order{space}number:{space}1843606{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x02_G_3.5mm
+0
+2
+2
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_2-G-3.81_1x02_P3.81mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/2-G-3.81;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}3.81mm;{space}Vertical{space}||{space}order{space}number:{space}1803426{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x02_G_3.81mm
+0
+2
+2
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_2-GF-3.5_1x02_P3.50mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/2-GF-3.5;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843224{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x02_GF_3.5mm
+0
+2
+2
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_2-GF-3.5_1x02_P3.50mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/2-GF-3.5;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843224{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x02_GF_3.5mm_MH
+0
+2
+2
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_2-GF-3.81_1x02_P3.81mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/2-GF-3.81;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1830596{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x02_GF_3.81mm
+0
+2
+2
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_2-GF-3.81_1x02_P3.81mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/2-GF-3.81;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1830596{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x02_GF_3.81mm_MH
+0
+2
+2
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_3-G-3.5_1x03_P3.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/3-G-3.5;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}3.50mm;{space}Vertical{space}||{space}order{space}number:{space}1843619{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x03_G_3.5mm
+0
+3
+3
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_3-G-3.81_1x03_P3.81mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/3-G-3.81;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}3.81mm;{space}Vertical{space}||{space}order{space}number:{space}1803439{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x03_G_3.81mm
+0
+3
+3
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_3-GF-3.5_1x03_P3.50mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/3-GF-3.5;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843237{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x03_GF_3.5mm
+0
+3
+3
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_3-GF-3.5_1x03_P3.50mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/3-GF-3.5;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843237{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x03_GF_3.5mm_MH
+0
+3
+3
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_3-GF-3.81_1x03_P3.81mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/3-GF-3.81;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1830606{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x03_GF_3.81mm
+0
+3
+3
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_3-GF-3.81_1x03_P3.81mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/3-GF-3.81;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1830606{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x03_GF_3.81mm_MH
+0
+3
+3
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_4-G-3.5_1x04_P3.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/4-G-3.5;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}3.50mm;{space}Vertical{space}||{space}order{space}number:{space}1843622{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x04_G_3.5mm
+0
+4
+4
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_4-G-3.81_1x04_P3.81mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/4-G-3.81;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}3.81mm;{space}Vertical{space}||{space}order{space}number:{space}1803442{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x04_G_3.81mm
+0
+4
+4
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_4-GF-3.5_1x04_P3.50mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/4-GF-3.5;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843240{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x04_GF_3.5mm
+0
+4
+4
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_4-GF-3.5_1x04_P3.50mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/4-GF-3.5;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843240{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x04_GF_3.5mm_MH
+0
+4
+4
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_4-GF-3.81_1x04_P3.81mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/4-GF-3.81;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1830619{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x04_GF_3.81mm
+0
+4
+4
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_4-GF-3.81_1x04_P3.81mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/4-GF-3.81;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1830619{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x04_GF_3.81mm_MH
+0
+4
+4
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_5-G-3.5_1x05_P3.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/5-G-3.5;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}3.50mm;{space}Vertical{space}||{space}order{space}number:{space}1843635{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x05_G_3.5mm
+0
+5
+5
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_5-G-3.81_1x05_P3.81mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/5-G-3.81;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}3.81mm;{space}Vertical{space}||{space}order{space}number:{space}1803455{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x05_G_3.81mm
+0
+5
+5
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_5-GF-3.5_1x05_P3.50mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/5-GF-3.5;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843253{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x05_GF_3.5mm
+0
+5
+5
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_5-GF-3.5_1x05_P3.50mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/5-GF-3.5;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843253{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x05_GF_3.5mm_MH
+0
+5
+5
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_5-GF-3.81_1x05_P3.81mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/5-GF-3.81;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1830622{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x05_GF_3.81mm
+0
+5
+5
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_5-GF-3.81_1x05_P3.81mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/5-GF-3.81;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1830622{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x05_GF_3.81mm_MH
+0
+5
+5
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_6-G-3.5_1x06_P3.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/6-G-3.5;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}3.50mm;{space}Vertical{space}||{space}order{space}number:{space}1843648{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x06_G_3.5mm
+0
+6
+6
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_6-G-3.81_1x06_P3.81mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/6-G-3.81;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}3.81mm;{space}Vertical{space}||{space}order{space}number:{space}1803468{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x06_G_3.81mm
+0
+6
+6
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_6-GF-3.5_1x06_P3.50mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/6-GF-3.5;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843266{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x06_GF_3.5mm
+0
+6
+6
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_6-GF-3.5_1x06_P3.50mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/6-GF-3.5;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843266{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x06_GF_3.5mm_MH
+0
+6
+6
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_6-GF-3.81_1x06_P3.81mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/6-GF-3.81;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1830635{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x06_GF_3.81mm
+0
+6
+6
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_6-GF-3.81_1x06_P3.81mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/6-GF-3.81;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1830635{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x06_GF_3.81mm_MH
+0
+6
+6
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_7-G-3.5_1x07_P3.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/7-G-3.5;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}3.50mm;{space}Vertical{space}||{space}order{space}number:{space}1843651{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x07_G_3.5mm
+0
+7
+7
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_7-G-3.81_1x07_P3.81mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/7-G-3.81;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}3.81mm;{space}Vertical{space}||{space}order{space}number:{space}1803471{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x07_G_3.81mm
+0
+7
+7
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_7-GF-3.5_1x07_P3.50mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/7-GF-3.5;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843279{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x07_GF_3.5mm
+0
+7
+7
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_7-GF-3.5_1x07_P3.50mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/7-GF-3.5;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843279{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x07_GF_3.5mm_MH
+0
+7
+7
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_7-GF-3.81_1x07_P3.81mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/7-GF-3.81;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1830648{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x07_GF_3.81mm
+0
+7
+7
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_7-GF-3.81_1x07_P3.81mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/7-GF-3.81;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1830648{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x07_GF_3.81mm_MH
+0
+7
+7
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_8-G-3.5_1x08_P3.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/8-G-3.5;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}3.50mm;{space}Vertical{space}||{space}order{space}number:{space}1843664{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x08_G_3.5mm
+0
+8
+8
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_8-G-3.81_1x08_P3.81mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/8-G-3.81;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}3.81mm;{space}Vertical{space}||{space}order{space}number:{space}1803484{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x08_G_3.81mm
+0
+8
+8
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_8-GF-3.5_1x08_P3.50mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/8-GF-3.5;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843282{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x08_GF_3.5mm
+0
+8
+8
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_8-GF-3.5_1x08_P3.50mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/8-GF-3.5;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843282{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x08_GF_3.5mm_MH
+0
+8
+8
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_8-GF-3.81_1x08_P3.81mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/8-GF-3.81;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1830651{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x08_GF_3.81mm
+0
+8
+8
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_8-GF-3.81_1x08_P3.81mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/8-GF-3.81;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1830651{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x08_GF_3.81mm_MH
+0
+8
+8
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_9-G-3.5_1x09_P3.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/9-G-3.5;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}3.50mm;{space}Vertical{space}||{space}order{space}number:{space}1843677{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x09_G_3.5mm
+0
+9
+9
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_9-G-3.81_1x09_P3.81mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/9-G-3.81;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}3.81mm;{space}Vertical{space}||{space}order{space}number:{space}1803497{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x09_G_3.81mm
+0
+9
+9
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_9-GF-3.5_1x09_P3.50mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/9-GF-3.5;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843295{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x09_GF_3.5mm
+0
+9
+9
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_9-GF-3.5_1x09_P3.50mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/9-GF-3.5;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843295{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x09_GF_3.5mm_MH
+0
+9
+9
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_9-GF-3.81_1x09_P3.81mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/9-GF-3.81;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1830664{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x09_GF_3.81mm
+0
+9
+9
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_9-GF-3.81_1x09_P3.81mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/9-GF-3.81;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1830664{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x09_GF_3.81mm_MH
+0
+9
+9
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_10-G-3.5_1x10_P3.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/10-G-3.5;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}3.50mm;{space}Vertical{space}||{space}order{space}number:{space}1843680{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x10_G_3.5mm
+0
+10
+10
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_10-G-3.81_1x10_P3.81mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/10-G-3.81;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}3.81mm;{space}Vertical{space}||{space}order{space}number:{space}1803507{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x10_G_3.81mm
+0
+10
+10
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_10-GF-3.5_1x10_P3.50mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/10-GF-3.5;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843305{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x10_GF_3.5mm
+0
+10
+10
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_10-GF-3.5_1x10_P3.50mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/10-GF-3.5;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843305{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x10_GF_3.5mm_MH
+0
+10
+10
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_10-GF-3.81_1x10_P3.81mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/10-GF-3.81;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1830677{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x10_GF_3.81mm
+0
+10
+10
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_10-GF-3.81_1x10_P3.81mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/10-GF-3.81;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1830677{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x10_GF_3.81mm_MH
+0
+10
+10
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_11-G-3.5_1x11_P3.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/11-G-3.5;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}3.50mm;{space}Vertical{space}||{space}order{space}number:{space}1843693{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x11_G_3.5mm
+0
+11
+11
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_11-G-3.81_1x11_P3.81mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/11-G-3.81;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}3.81mm;{space}Vertical{space}||{space}order{space}number:{space}1803510{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x11_G_3.81mm
+0
+11
+11
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_11-GF-3.5_1x11_P3.50mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/11-GF-3.5;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843318{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x11_GF_3.5mm
+0
+11
+11
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_11-GF-3.5_1x11_P3.50mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/11-GF-3.5;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843318{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x11_GF_3.5mm_MH
+0
+11
+11
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_11-GF-3.81_1x11_P3.81mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/11-GF-3.81;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1830680{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x11_GF_3.81mm
+0
+11
+11
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_11-GF-3.81_1x11_P3.81mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/11-GF-3.81;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1830680{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x11_GF_3.81mm_MH
+0
+11
+11
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_12-G-3.5_1x12_P3.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/12-G-3.5;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}3.50mm;{space}Vertical{space}||{space}order{space}number:{space}1843703{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x12_G_3.5mm
+0
+12
+12
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_12-G-3.81_1x12_P3.81mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/12-G-3.81;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}3.81mm;{space}Vertical{space}||{space}order{space}number:{space}1803523{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x12_G_3.81mm
+0
+12
+12
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_12-GF-3.5_1x12_P3.50mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/12-GF-3.5;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843321{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x12_GF_3.5mm
+0
+12
+12
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_12-GF-3.5_1x12_P3.50mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/12-GF-3.5;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843321{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x12_GF_3.5mm_MH
+0
+12
+12
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_12-GF-3.81_1x12_P3.81mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/12-GF-3.81;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1830693{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x12_GF_3.81mm
+0
+12
+12
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_12-GF-3.81_1x12_P3.81mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/12-GF-3.81;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1830693{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x12_GF_3.81mm_MH
+0
+12
+12
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_13-G-3.5_1x13_P3.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/13-G-3.5;{space}number{space}of{space}pins:{space}13;{space}pin{space}pitch:{space}3.50mm;{space}Vertical{space}||{space}order{space}number:{space}1843716{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x13_G_3.5mm
+0
+13
+13
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_13-G-3.81_1x13_P3.81mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/13-G-3.81;{space}number{space}of{space}pins:{space}13;{space}pin{space}pitch:{space}3.81mm;{space}Vertical{space}||{space}order{space}number:{space}1803536{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x13_G_3.81mm
+0
+13
+13
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_13-GF-3.5_1x13_P3.50mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/13-GF-3.5;{space}number{space}of{space}pins:{space}13;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843334{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x13_GF_3.5mm
+0
+13
+13
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_13-GF-3.5_1x13_P3.50mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/13-GF-3.5;{space}number{space}of{space}pins:{space}13;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843334{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x13_GF_3.5mm_MH
+0
+13
+13
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_13-GF-3.81_1x13_P3.81mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/13-GF-3.81;{space}number{space}of{space}pins:{space}13;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1830703{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x13_GF_3.81mm
+0
+13
+13
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_13-GF-3.81_1x13_P3.81mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/13-GF-3.81;{space}number{space}of{space}pins:{space}13;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1830703{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x13_GF_3.81mm_MH
+0
+13
+13
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_14-G-3.5_1x14_P3.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/14-G-3.5;{space}number{space}of{space}pins:{space}14;{space}pin{space}pitch:{space}3.50mm;{space}Vertical{space}||{space}order{space}number:{space}1843729{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x14_G_3.5mm
+0
+14
+14
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_14-G-3.81_1x14_P3.81mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/14-G-3.81;{space}number{space}of{space}pins:{space}14;{space}pin{space}pitch:{space}3.81mm;{space}Vertical{space}||{space}order{space}number:{space}1803549{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x14_G_3.81mm
+0
+14
+14
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_14-GF-3.5_1x14_P3.50mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/14-GF-3.5;{space}number{space}of{space}pins:{space}14;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843347{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x14_GF_3.5mm
+0
+14
+14
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_14-GF-3.5_1x14_P3.50mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/14-GF-3.5;{space}number{space}of{space}pins:{space}14;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843347{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x14_GF_3.5mm_MH
+0
+14
+14
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_14-GF-3.81_1x14_P3.81mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/14-GF-3.81;{space}number{space}of{space}pins:{space}14;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1830716{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x14_GF_3.81mm
+0
+14
+14
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_14-GF-3.81_1x14_P3.81mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/14-GF-3.81;{space}number{space}of{space}pins:{space}14;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1830716{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x14_GF_3.81mm_MH
+0
+14
+14
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_15-G-3.5_1x15_P3.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/15-G-3.5;{space}number{space}of{space}pins:{space}15;{space}pin{space}pitch:{space}3.50mm;{space}Vertical{space}||{space}order{space}number:{space}1843732{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x15_G_3.5mm
+0
+15
+15
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_15-G-3.81_1x15_P3.81mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/15-G-3.81;{space}number{space}of{space}pins:{space}15;{space}pin{space}pitch:{space}3.81mm;{space}Vertical{space}||{space}order{space}number:{space}1803552{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x15_G_3.81mm
+0
+15
+15
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_15-GF-3.5_1x15_P3.50mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/15-GF-3.5;{space}number{space}of{space}pins:{space}15;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843350{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x15_GF_3.5mm
+0
+15
+15
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_15-GF-3.5_1x15_P3.50mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/15-GF-3.5;{space}number{space}of{space}pins:{space}15;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843350{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x15_GF_3.5mm_MH
+0
+15
+15
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_15-GF-3.81_1x15_P3.81mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/15-GF-3.81;{space}number{space}of{space}pins:{space}15;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1830729{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x15_GF_3.81mm
+0
+15
+15
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_15-GF-3.81_1x15_P3.81mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/15-GF-3.81;{space}number{space}of{space}pins:{space}15;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1830729{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x15_GF_3.81mm_MH
+0
+15
+15
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_16-G-3.5_1x16_P3.50mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/16-G-3.5;{space}number{space}of{space}pins:{space}16;{space}pin{space}pitch:{space}3.50mm;{space}Vertical{space}||{space}order{space}number:{space}1843745{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x16_G_3.5mm
+0
+16
+16
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_16-G-3.81_1x16_P3.81mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/16-G-3.81;{space}number{space}of{space}pins:{space}16;{space}pin{space}pitch:{space}3.81mm;{space}Vertical{space}||{space}order{space}number:{space}1803565{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x16_G_3.81mm
+0
+16
+16
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_16-GF-3.5_1x16_P3.50mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/16-GF-3.5;{space}number{space}of{space}pins:{space}16;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843363{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x16_GF_3.5mm
+0
+16
+16
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_16-GF-3.5_1x16_P3.50mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/16-GF-3.5;{space}number{space}of{space}pins:{space}16;{space}pin{space}pitch:{space}3.50mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843363{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x16_GF_3.5mm_MH
+0
+16
+16
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_16-GF-3.81_1x16_P3.81mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/16-GF-3.81;{space}number{space}of{space}pins:{space}16;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1830732{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x16_GF_3.81mm
+0
+16
+16
+Connector_Phoenix_MC
+PhoenixContact_MCV_1,5_16-GF-3.81_1x16_P3.81mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/16-GF-3.81;{space}number{space}of{space}pins:{space}16;{space}pin{space}pitch:{space}3.81mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1830732{space}8A{space}160V
+phoenix_contact{space}connector{space}MCV_01x16_GF_3.81mm_MH
+0
+16
+16
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_2-G-3.5_1x02_P3.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/2-G-3.5;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}3.50mm;{space}Angled{space}||{space}order{space}number:{space}1844210{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x02_G_3.5mm
+0
+2
+2
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_2-G-3.81_1x02_P3.81mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/2-G-3.81;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}3.81mm;{space}Angled{space}||{space}order{space}number:{space}1803277{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x02_G_3.81mm
+0
+2
+2
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_2-GF-3.5_1x02_P3.50mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/2-GF-3.5;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843790{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x02_GF_3.5mm
+0
+2
+2
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_2-GF-3.5_1x02_P3.50mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/2-GF-3.5;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843790{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x02_GF_3.5mm_MH
+0
+2
+2
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_2-GF-3.81_1x02_P3.81mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/2-GF-3.81;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1827868{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x02_GF_3.81mm
+0
+2
+2
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_2-GF-3.81_1x02_P3.81mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/2-GF-3.81;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1827868{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x02_GF_3.81mm_MH
+0
+2
+2
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_3-G-3.5_1x03_P3.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/3-G-3.5;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}3.50mm;{space}Angled{space}||{space}order{space}number:{space}1844223{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x03_G_3.5mm
+0
+3
+3
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_3-G-3.81_1x03_P3.81mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/3-G-3.81;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}3.81mm;{space}Angled{space}||{space}order{space}number:{space}1803280{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x03_G_3.81mm
+0
+3
+3
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_3-GF-3.5_1x03_P3.50mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/3-GF-3.5;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843800{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x03_GF_3.5mm
+0
+3
+3
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_3-GF-3.5_1x03_P3.50mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/3-GF-3.5;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843800{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x03_GF_3.5mm_MH
+0
+3
+3
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_3-GF-3.81_1x03_P3.81mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/3-GF-3.81;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1827871{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x03_GF_3.81mm
+0
+3
+3
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_3-GF-3.81_1x03_P3.81mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/3-GF-3.81;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1827871{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x03_GF_3.81mm_MH
+0
+3
+3
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_4-G-3.5_1x04_P3.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/4-G-3.5;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}3.50mm;{space}Angled{space}||{space}order{space}number:{space}1844236{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x04_G_3.5mm
+0
+4
+4
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_4-G-3.81_1x04_P3.81mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/4-G-3.81;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}3.81mm;{space}Angled{space}||{space}order{space}number:{space}1803293{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x04_G_3.81mm
+0
+4
+4
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_4-GF-3.5_1x04_P3.50mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/4-GF-3.5;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843813{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x04_GF_3.5mm
+0
+4
+4
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_4-GF-3.5_1x04_P3.50mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/4-GF-3.5;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843813{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x04_GF_3.5mm_MH
+0
+4
+4
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_4-GF-3.81_1x04_P3.81mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/4-GF-3.81;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1827884{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x04_GF_3.81mm
+0
+4
+4
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_4-GF-3.81_1x04_P3.81mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/4-GF-3.81;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1827884{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x04_GF_3.81mm_MH
+0
+4
+4
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_5-G-3.5_1x05_P3.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/5-G-3.5;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}3.50mm;{space}Angled{space}||{space}order{space}number:{space}1844249{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x05_G_3.5mm
+0
+5
+5
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_5-G-3.81_1x05_P3.81mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/5-G-3.81;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}3.81mm;{space}Angled{space}||{space}order{space}number:{space}1803303{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x05_G_3.81mm
+0
+5
+5
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_5-GF-3.5_1x05_P3.50mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/5-GF-3.5;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843826{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x05_GF_3.5mm
+0
+5
+5
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_5-GF-3.5_1x05_P3.50mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/5-GF-3.5;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843826{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x05_GF_3.5mm_MH
+0
+5
+5
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_5-GF-3.81_1x05_P3.81mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/5-GF-3.81;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1827897{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x05_GF_3.81mm
+0
+5
+5
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_5-GF-3.81_1x05_P3.81mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/5-GF-3.81;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1827897{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x05_GF_3.81mm_MH
+0
+5
+5
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_6-G-3.5_1x06_P3.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/6-G-3.5;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}3.50mm;{space}Angled{space}||{space}order{space}number:{space}1844252{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x06_G_3.5mm
+0
+6
+6
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_6-G-3.81_1x06_P3.81mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/6-G-3.81;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}3.81mm;{space}Angled{space}||{space}order{space}number:{space}1803316{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x06_G_3.81mm
+0
+6
+6
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_6-GF-3.5_1x06_P3.50mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/6-GF-3.5;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843839{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x06_GF_3.5mm
+0
+6
+6
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_6-GF-3.5_1x06_P3.50mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/6-GF-3.5;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843839{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x06_GF_3.5mm_MH
+0
+6
+6
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_6-GF-3.81_1x06_P3.81mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/6-GF-3.81;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1827907{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x06_GF_3.81mm
+0
+6
+6
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_6-GF-3.81_1x06_P3.81mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/6-GF-3.81;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1827907{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x06_GF_3.81mm_MH
+0
+6
+6
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_7-G-3.5_1x07_P3.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/7-G-3.5;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}3.50mm;{space}Angled{space}||{space}order{space}number:{space}1844265{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x07_G_3.5mm
+0
+7
+7
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_7-G-3.81_1x07_P3.81mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/7-G-3.81;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}3.81mm;{space}Angled{space}||{space}order{space}number:{space}1803329{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x07_G_3.81mm
+0
+7
+7
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_7-GF-3.5_1x07_P3.50mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/7-GF-3.5;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843842{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x07_GF_3.5mm
+0
+7
+7
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_7-GF-3.5_1x07_P3.50mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/7-GF-3.5;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843842{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x07_GF_3.5mm_MH
+0
+7
+7
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_7-GF-3.81_1x07_P3.81mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/7-GF-3.81;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1827910{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x07_GF_3.81mm
+0
+7
+7
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_7-GF-3.81_1x07_P3.81mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/7-GF-3.81;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1827910{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x07_GF_3.81mm_MH
+0
+7
+7
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_8-G-3.5_1x08_P3.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/8-G-3.5;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}3.50mm;{space}Angled{space}||{space}order{space}number:{space}1844278{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x08_G_3.5mm
+0
+8
+8
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_8-G-3.81_1x08_P3.81mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/8-G-3.81;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}3.81mm;{space}Angled{space}||{space}order{space}number:{space}1803332{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x08_G_3.81mm
+0
+8
+8
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_8-GF-3.5_1x08_P3.50mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/8-GF-3.5;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843855{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x08_GF_3.5mm
+0
+8
+8
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_8-GF-3.5_1x08_P3.50mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/8-GF-3.5;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843855{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x08_GF_3.5mm_MH
+0
+8
+8
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_8-GF-3.81_1x08_P3.81mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/8-GF-3.81;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1827923{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x08_GF_3.81mm
+0
+8
+8
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_8-GF-3.81_1x08_P3.81mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/8-GF-3.81;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1827923{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x08_GF_3.81mm_MH
+0
+8
+8
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_9-G-3.5_1x09_P3.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/9-G-3.5;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}3.50mm;{space}Angled{space}||{space}order{space}number:{space}1844281{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x09_G_3.5mm
+0
+9
+9
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_9-G-3.81_1x09_P3.81mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/9-G-3.81;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}3.81mm;{space}Angled{space}||{space}order{space}number:{space}1803345{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x09_G_3.81mm
+0
+9
+9
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_9-GF-3.5_1x09_P3.50mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/9-GF-3.5;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843868{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x09_GF_3.5mm
+0
+9
+9
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_9-GF-3.5_1x09_P3.50mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/9-GF-3.5;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843868{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x09_GF_3.5mm_MH
+0
+9
+9
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_9-GF-3.81_1x09_P3.81mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/9-GF-3.81;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1827936{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x09_GF_3.81mm
+0
+9
+9
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_9-GF-3.81_1x09_P3.81mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/9-GF-3.81;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1827936{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x09_GF_3.81mm_MH
+0
+9
+9
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_10-G-3.5_1x10_P3.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/10-G-3.5;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}3.50mm;{space}Angled{space}||{space}order{space}number:{space}1844294{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x10_G_3.5mm
+0
+10
+10
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_10-G-3.81_1x10_P3.81mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/10-G-3.81;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}3.81mm;{space}Angled{space}||{space}order{space}number:{space}1803358{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x10_G_3.81mm
+0
+10
+10
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_10-GF-3.5_1x10_P3.50mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/10-GF-3.5;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843871{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x10_GF_3.5mm
+0
+10
+10
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_10-GF-3.5_1x10_P3.50mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/10-GF-3.5;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843871{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x10_GF_3.5mm_MH
+0
+10
+10
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_10-GF-3.81_1x10_P3.81mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/10-GF-3.81;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1827949{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x10_GF_3.81mm
+0
+10
+10
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_10-GF-3.81_1x10_P3.81mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/10-GF-3.81;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1827949{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x10_GF_3.81mm_MH
+0
+10
+10
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_11-G-3.5_1x11_P3.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/11-G-3.5;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}3.50mm;{space}Angled{space}||{space}order{space}number:{space}1844304{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x11_G_3.5mm
+0
+11
+11
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_11-G-3.81_1x11_P3.81mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/11-G-3.81;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}3.81mm;{space}Angled{space}||{space}order{space}number:{space}1803361{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x11_G_3.81mm
+0
+11
+11
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_11-GF-3.5_1x11_P3.50mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/11-GF-3.5;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843884{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x11_GF_3.5mm
+0
+11
+11
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_11-GF-3.5_1x11_P3.50mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/11-GF-3.5;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843884{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x11_GF_3.5mm_MH
+0
+11
+11
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_11-GF-3.81_1x11_P3.81mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/11-GF-3.81;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1827952{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x11_GF_3.81mm
+0
+11
+11
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_11-GF-3.81_1x11_P3.81mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/11-GF-3.81;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1827952{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x11_GF_3.81mm_MH
+0
+11
+11
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_12-G-3.5_1x12_P3.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/12-G-3.5;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}3.50mm;{space}Angled{space}||{space}order{space}number:{space}1844317{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x12_G_3.5mm
+0
+12
+12
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_12-G-3.81_1x12_P3.81mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/12-G-3.81;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}3.81mm;{space}Angled{space}||{space}order{space}number:{space}1803374{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x12_G_3.81mm
+0
+12
+12
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_12-GF-3.5_1x12_P3.50mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/12-GF-3.5;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843897{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x12_GF_3.5mm
+0
+12
+12
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_12-GF-3.5_1x12_P3.50mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/12-GF-3.5;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843897{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x12_GF_3.5mm_MH
+0
+12
+12
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_12-GF-3.81_1x12_P3.81mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/12-GF-3.81;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1827965{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x12_GF_3.81mm
+0
+12
+12
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_12-GF-3.81_1x12_P3.81mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/12-GF-3.81;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1827965{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x12_GF_3.81mm_MH
+0
+12
+12
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_13-G-3.5_1x13_P3.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/13-G-3.5;{space}number{space}of{space}pins:{space}13;{space}pin{space}pitch:{space}3.50mm;{space}Angled{space}||{space}order{space}number:{space}1844320{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x13_G_3.5mm
+0
+13
+13
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_13-G-3.81_1x13_P3.81mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/13-G-3.81;{space}number{space}of{space}pins:{space}13;{space}pin{space}pitch:{space}3.81mm;{space}Angled{space}||{space}order{space}number:{space}1803387{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x13_G_3.81mm
+0
+13
+13
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_13-GF-3.5_1x13_P3.50mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/13-GF-3.5;{space}number{space}of{space}pins:{space}13;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843907{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x13_GF_3.5mm
+0
+13
+13
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_13-GF-3.5_1x13_P3.50mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/13-GF-3.5;{space}number{space}of{space}pins:{space}13;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843907{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x13_GF_3.5mm_MH
+0
+13
+13
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_13-GF-3.81_1x13_P3.81mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/13-GF-3.81;{space}number{space}of{space}pins:{space}13;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1827978{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x13_GF_3.81mm
+0
+13
+13
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_13-GF-3.81_1x13_P3.81mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/13-GF-3.81;{space}number{space}of{space}pins:{space}13;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1827978{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x13_GF_3.81mm_MH
+0
+13
+13
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_14-G-3.5_1x14_P3.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/14-G-3.5;{space}number{space}of{space}pins:{space}14;{space}pin{space}pitch:{space}3.50mm;{space}Angled{space}||{space}order{space}number:{space}1844333{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x14_G_3.5mm
+0
+14
+14
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_14-G-3.81_1x14_P3.81mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/14-G-3.81;{space}number{space}of{space}pins:{space}14;{space}pin{space}pitch:{space}3.81mm;{space}Angled{space}||{space}order{space}number:{space}1803390{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x14_G_3.81mm
+0
+14
+14
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_14-GF-3.5_1x14_P3.50mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/14-GF-3.5;{space}number{space}of{space}pins:{space}14;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843910{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x14_GF_3.5mm
+0
+14
+14
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_14-GF-3.5_1x14_P3.50mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/14-GF-3.5;{space}number{space}of{space}pins:{space}14;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843910{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x14_GF_3.5mm_MH
+0
+14
+14
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_14-GF-3.81_1x14_P3.81mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/14-GF-3.81;{space}number{space}of{space}pins:{space}14;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1827981{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x14_GF_3.81mm
+0
+14
+14
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_14-GF-3.81_1x14_P3.81mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/14-GF-3.81;{space}number{space}of{space}pins:{space}14;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1827981{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x14_GF_3.81mm_MH
+0
+14
+14
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_15-G-3.5_1x15_P3.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/15-G-3.5;{space}number{space}of{space}pins:{space}15;{space}pin{space}pitch:{space}3.50mm;{space}Angled{space}||{space}order{space}number:{space}1844346{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x15_G_3.5mm
+0
+15
+15
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_15-G-3.81_1x15_P3.81mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/15-G-3.81;{space}number{space}of{space}pins:{space}15;{space}pin{space}pitch:{space}3.81mm;{space}Angled{space}||{space}order{space}number:{space}1803400{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x15_G_3.81mm
+0
+15
+15
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_15-GF-3.5_1x15_P3.50mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/15-GF-3.5;{space}number{space}of{space}pins:{space}15;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843923{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x15_GF_3.5mm
+0
+15
+15
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_15-GF-3.5_1x15_P3.50mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/15-GF-3.5;{space}number{space}of{space}pins:{space}15;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843923{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x15_GF_3.5mm_MH
+0
+15
+15
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_15-GF-3.81_1x15_P3.81mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/15-GF-3.81;{space}number{space}of{space}pins:{space}15;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1827994{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x15_GF_3.81mm
+0
+15
+15
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_15-GF-3.81_1x15_P3.81mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/15-GF-3.81;{space}number{space}of{space}pins:{space}15;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1827994{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x15_GF_3.81mm_MH
+0
+15
+15
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_16-G-3.5_1x16_P3.50mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/16-G-3.5;{space}number{space}of{space}pins:{space}16;{space}pin{space}pitch:{space}3.50mm;{space}Angled{space}||{space}order{space}number:{space}1844359{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x16_G_3.5mm
+0
+16
+16
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_16-G-3.81_1x16_P3.81mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/16-G-3.81;{space}number{space}of{space}pins:{space}16;{space}pin{space}pitch:{space}3.81mm;{space}Angled{space}||{space}order{space}number:{space}1803413{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x16_G_3.81mm
+0
+16
+16
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_16-GF-3.5_1x16_P3.50mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/16-GF-3.5;{space}number{space}of{space}pins:{space}16;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1843936{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x16_GF_3.5mm
+0
+16
+16
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_16-GF-3.5_1x16_P3.50mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/16-GF-3.5;{space}number{space}of{space}pins:{space}16;{space}pin{space}pitch:{space}3.50mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1843936{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x16_GF_3.5mm_MH
+0
+16
+16
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_16-GF-3.81_1x16_P3.81mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/16-GF-3.81;{space}number{space}of{space}pins:{space}16;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1828003{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x16_GF_3.81mm
+0
+16
+16
+Connector_Phoenix_MC
+PhoenixContact_MC_1,5_16-GF-3.81_1x16_P3.81mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/16-GF-3.81;{space}number{space}of{space}pins:{space}16;{space}pin{space}pitch:{space}3.81mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1828003{space}8A{space}160V
+phoenix_contact{space}connector{space}MC_01x16_GF_3.81mm_MH
+0
+16
+16
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_2-G-5.08_1x02_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/2-G-5.08;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1836299{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x02_G_5.08mm
+0
+2
+2
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_2-GF-5.08_1x02_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/2-GF-5.08;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1847615{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x02_GF_5.08mm
+0
+2
+2
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_2-GF-5.08_1x02_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/2-GF-5.08;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1847615{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x02_GF_5.08mm_MH
+0
+2
+2
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_3-G-5.08_1x03_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/3-G-5.08;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1836309{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x03_G_5.08mm
+0
+3
+3
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_3-GF-5.08_1x03_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/3-GF-5.08;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1847628{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x03_GF_5.08mm
+0
+3
+3
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_3-GF-5.08_1x03_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/3-GF-5.08;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1847628{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x03_GF_5.08mm_MH
+0
+3
+3
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_4-G-5.08_1x04_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/4-G-5.08;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1836312{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x04_G_5.08mm
+0
+4
+4
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_4-GF-5.08_1x04_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/4-GF-5.08;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1847631{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x04_GF_5.08mm
+0
+4
+4
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_4-GF-5.08_1x04_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/4-GF-5.08;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1847631{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x04_GF_5.08mm_MH
+0
+4
+4
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_5-G-5.08_1x05_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/5-G-5.08;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1836325{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x05_G_5.08mm
+0
+5
+5
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_5-GF-5.08_1x05_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/5-GF-5.08;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1847644{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x05_GF_5.08mm
+0
+5
+5
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_5-GF-5.08_1x05_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/5-GF-5.08;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1847644{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x05_GF_5.08mm_MH
+0
+5
+5
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_6-G-5.08_1x06_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/6-G-5.08;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1836338{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x06_G_5.08mm
+0
+6
+6
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_6-GF-5.08_1x06_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/6-GF-5.08;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1847657{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x06_GF_5.08mm
+0
+6
+6
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_6-GF-5.08_1x06_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/6-GF-5.08;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1847657{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x06_GF_5.08mm_MH
+0
+6
+6
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_7-G-5.08_1x07_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/7-G-5.08;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1836341{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x07_G_5.08mm
+0
+7
+7
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_7-GF-5.08_1x07_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/7-GF-5.08;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1847660{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x07_GF_5.08mm
+0
+7
+7
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_7-GF-5.08_1x07_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/7-GF-5.08;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1847660{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x07_GF_5.08mm_MH
+0
+7
+7
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_8-G-5.08_1x08_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/8-G-5.08;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1836354{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x08_G_5.08mm
+0
+8
+8
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_8-GF-5.08_1x08_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/8-GF-5.08;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1847673{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x08_GF_5.08mm
+0
+8
+8
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_8-GF-5.08_1x08_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/8-GF-5.08;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1847673{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x08_GF_5.08mm_MH
+0
+8
+8
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_9-G-5.08_1x09_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/9-G-5.08;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1836367{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x09_G_5.08mm
+0
+9
+9
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_9-GF-5.08_1x09_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/9-GF-5.08;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1847686{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x09_GF_5.08mm
+0
+9
+9
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_9-GF-5.08_1x09_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/9-GF-5.08;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1847686{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x09_GF_5.08mm_MH
+0
+9
+9
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_10-G-5.08_1x10_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/10-G-5.08;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1836370{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x10_G_5.08mm
+0
+10
+10
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_10-GF-5.08_1x10_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/10-GF-5.08;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1847699{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x10_GF_5.08mm
+0
+10
+10
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_10-GF-5.08_1x10_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/10-GF-5.08;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1847699{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x10_GF_5.08mm_MH
+0
+10
+10
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_11-G-5.08_1x11_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/11-G-5.08;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1836383{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x11_G_5.08mm
+0
+11
+11
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_11-GF-5.08_1x11_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/11-GF-5.08;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1847709{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x11_GF_5.08mm
+0
+11
+11
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_11-GF-5.08_1x11_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/11-GF-5.08;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1847709{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x11_GF_5.08mm_MH
+0
+11
+11
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_12-G-5.08_1x12_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/12-G-5.08;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1836396{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x12_G_5.08mm
+0
+12
+12
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_12-GF-5.08_1x12_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/12-GF-5.08;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1847712{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x12_GF_5.08mm
+0
+12
+12
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MCV_1,5_12-GF-5.08_1x12_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MCV_1,5/12-GF-5.08;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1847712{space}8A{space}320V
+phoenix_contact{space}connector{space}MCV_01x12_GF_5.08mm_MH
+0
+12
+12
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_2-G-5.08_1x02_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/2-G-5.08;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1836189{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x02_G_5.08mm
+0
+2
+2
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_2-GF-5.08_1x02_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/2-GF-5.08;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1847466{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x02_GF_5.08mm
+0
+2
+2
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_2-GF-5.08_1x02_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/2-GF-5.08;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1847466{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x02_GF_5.08mm_MH
+0
+2
+2
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_3-G-5.08_1x03_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/3-G-5.08;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1836192{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x03_G_5.08mm
+0
+3
+3
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_3-GF-5.08_1x03_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/3-GF-5.08;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1847479{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x03_GF_5.08mm
+0
+3
+3
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_3-GF-5.08_1x03_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/3-GF-5.08;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1847479{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x03_GF_5.08mm_MH
+0
+3
+3
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_4-G-5.08_1x04_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/4-G-5.08;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1836202{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x04_G_5.08mm
+0
+4
+4
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_4-GF-5.08_1x04_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/4-GF-5.08;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1847482{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x04_GF_5.08mm
+0
+4
+4
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_4-GF-5.08_1x04_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/4-GF-5.08;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1847482{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x04_GF_5.08mm_MH
+0
+4
+4
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_5-G-5.08_1x05_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/5-G-5.08;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1836215{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x05_G_5.08mm
+0
+5
+5
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_5-GF-5.08_1x05_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/5-GF-5.08;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1847495{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x05_GF_5.08mm
+0
+5
+5
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_5-GF-5.08_1x05_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/5-GF-5.08;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1847495{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x05_GF_5.08mm_MH
+0
+5
+5
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_6-G-5.08_1x06_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/6-G-5.08;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1836228{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x06_G_5.08mm
+0
+6
+6
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_6-GF-5.08_1x06_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/6-GF-5.08;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1847505{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x06_GF_5.08mm
+0
+6
+6
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_6-GF-5.08_1x06_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/6-GF-5.08;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1847505{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x06_GF_5.08mm_MH
+0
+6
+6
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_7-G-5.08_1x07_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/7-G-5.08;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1836231{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x07_G_5.08mm
+0
+7
+7
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_7-GF-5.08_1x07_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/7-GF-5.08;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1847518{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x07_GF_5.08mm
+0
+7
+7
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_7-GF-5.08_1x07_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/7-GF-5.08;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1847518{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x07_GF_5.08mm_MH
+0
+7
+7
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_8-G-5.08_1x08_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/8-G-5.08;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1836244{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x08_G_5.08mm
+0
+8
+8
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_8-GF-5.08_1x08_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/8-GF-5.08;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1847521{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x08_GF_5.08mm
+0
+8
+8
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_8-GF-5.08_1x08_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/8-GF-5.08;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1847521{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x08_GF_5.08mm_MH
+0
+8
+8
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_9-G-5.08_1x09_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/9-G-5.08;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1836257{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x09_G_5.08mm
+0
+9
+9
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_9-GF-5.08_1x09_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/9-GF-5.08;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1847534{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x09_GF_5.08mm
+0
+9
+9
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_9-GF-5.08_1x09_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/9-GF-5.08;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1847534{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x09_GF_5.08mm_MH
+0
+9
+9
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_10-G-5.08_1x10_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/10-G-5.08;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1836260{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x10_G_5.08mm
+0
+10
+10
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_10-GF-5.08_1x10_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/10-GF-5.08;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1847547{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x10_GF_5.08mm
+0
+10
+10
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_10-GF-5.08_1x10_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/10-GF-5.08;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1847547{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x10_GF_5.08mm_MH
+0
+10
+10
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_11-G-5.08_1x11_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/11-G-5.08;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1836273{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x11_G_5.08mm
+0
+11
+11
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_11-GF-5.08_1x11_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/11-GF-5.08;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1847550{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x11_GF_5.08mm
+0
+11
+11
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_11-GF-5.08_1x11_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/11-GF-5.08;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1847550{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x11_GF_5.08mm_MH
+0
+11
+11
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_12-G-5.08_1x12_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/12-G-5.08;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1836286{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x12_G_5.08mm
+0
+12
+12
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_12-GF-5.08_1x12_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/12-GF-5.08;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1847563{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x12_GF_5.08mm
+0
+12
+12
+Connector_Phoenix_MC_HighVoltage
+PhoenixContact_MC_1,5_12-GF-5.08_1x12_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MC_1,5/12-GF-5.08;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x4.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x4.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1847563{space}8A{space}320V
+phoenix_contact{space}connector{space}MC_01x12_GF_5.08mm_MH
+0
+12
+12
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_2-G-5,08_1x02_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/2-G-5,08;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1757242{space}12A{space}||{space}order{space}number:{space}1923869{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBA_01x02_G_5.08mm
+0
+2
+2
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_2-G_1x02_P5.00mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/2-G;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}5.00mm;{space}Angled{space}||{space}order{space}number:{space}1757475{space}12A{space}||{space}order{space}number:{space}1923759{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBA_01x02_G_5.00mm
+0
+2
+2
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_3-G-5,08_1x03_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/3-G-5,08;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1757255{space}12A{space}||{space}order{space}number:{space}1923872{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBA_01x03_G_5.08mm
+0
+3
+3
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_3-G_1x03_P5.00mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/3-G;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}5.00mm;{space}Angled{space}||{space}order{space}number:{space}1757488{space}12A{space}||{space}order{space}number:{space}1923762{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBA_01x03_G_5.00mm
+0
+3
+3
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_4-G-5,08_1x04_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/4-G-5,08;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1757268{space}12A{space}||{space}order{space}number:{space}1923885{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBA_01x04_G_5.08mm
+0
+4
+4
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_4-G_1x04_P5.00mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/4-G;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}5.00mm;{space}Angled{space}||{space}order{space}number:{space}1757491{space}12A{space}||{space}order{space}number:{space}1923775{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBA_01x04_G_5.00mm
+0
+4
+4
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_5-G-5,08_1x05_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/5-G-5,08;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1757271{space}12A{space}||{space}order{space}number:{space}1923898{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBA_01x05_G_5.08mm
+0
+5
+5
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_5-G_1x05_P5.00mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/5-G;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}5.00mm;{space}Angled{space}||{space}order{space}number:{space}1757501{space}12A{space}||{space}order{space}number:{space}1923788{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBA_01x05_G_5.00mm
+0
+5
+5
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_6-G-5,08_1x06_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/6-G-5,08;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1757284{space}12A{space}||{space}order{space}number:{space}1923908{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBA_01x06_G_5.08mm
+0
+6
+6
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_6-G_1x06_P5.00mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/6-G;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}5.00mm;{space}Angled{space}||{space}order{space}number:{space}1757514{space}12A{space}||{space}order{space}number:{space}1923791{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBA_01x06_G_5.00mm
+0
+6
+6
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_7-G-5,08_1x07_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/7-G-5,08;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1757297{space}12A{space}||{space}order{space}number:{space}1923911{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBA_01x07_G_5.08mm
+0
+7
+7
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_7-G_1x07_P5.00mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/7-G;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}5.00mm;{space}Angled{space}||{space}order{space}number:{space}1757493{space}12A{space}||{space}order{space}number:{space}1923801{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBA_01x07_G_5.00mm
+0
+7
+7
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_8-G-5,08_1x08_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/8-G-5,08;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1757307{space}12A{space}||{space}order{space}number:{space}1923924{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBA_01x08_G_5.08mm
+0
+8
+8
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_8-G_1x08_P5.00mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/8-G;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}5.00mm;{space}Angled{space}||{space}order{space}number:{space}1757527{space}12A{space}||{space}order{space}number:{space}1923814{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBA_01x08_G_5.00mm
+0
+8
+8
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_9-G-5,08_1x09_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/9-G-5,08;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1757310{space}12A{space}||{space}order{space}number:{space}1923937{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBA_01x09_G_5.08mm
+0
+9
+9
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_9-G_1x09_P5.00mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/9-G;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}5.00mm;{space}Angled{space}||{space}order{space}number:{space}1757530{space}12A{space}||{space}order{space}number:{space}1923827{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBA_01x09_G_5.00mm
+0
+9
+9
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_10-G-5,08_1x10_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/10-G-5,08;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1757323{space}12A{space}||{space}order{space}number:{space}1923940{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBA_01x10_G_5.08mm
+0
+10
+10
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_10-G_1x10_P5.00mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/10-G;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}5.00mm;{space}Angled{space}||{space}order{space}number:{space}1757543{space}12A{space}||{space}order{space}number:{space}1923830{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBA_01x10_G_5.00mm
+0
+10
+10
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_11-G-5,08_1x11_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/11-G-5,08;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1757336{space}12A{space}||{space}order{space}number:{space}1923953{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBA_01x11_G_5.08mm
+0
+11
+11
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_11-G_1x11_P5.00mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/11-G;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}5.00mm;{space}Angled{space}||{space}order{space}number:{space}1757556{space}12A{space}||{space}order{space}number:{space}1923843{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBA_01x11_G_5.00mm
+0
+11
+11
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_12-G-5,08_1x12_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/12-G-5,08;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1757349{space}12A{space}||{space}order{space}number:{space}1923966{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBA_01x12_G_5.08mm
+0
+12
+12
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_12-G_1x12_P5.00mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/12-G;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}5.00mm;{space}Angled{space}||{space}order{space}number:{space}1757569{space}12A{space}||{space}order{space}number:{space}1923856{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBA_01x12_G_5.00mm
+0
+12
+12
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_13-G-5,08_1x13_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/13-G-5,08;{space}number{space}of{space}pins:{space}13;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1757352{space}12A
+phoenix_contact{space}connector{space}MSTBA_01x13_G_5.08mm
+0
+13
+13
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_13-G_1x13_P5.00mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/13-G;{space}number{space}of{space}pins:{space}13;{space}pin{space}pitch:{space}5.00mm;{space}Angled{space}||{space}order{space}number:{space}1757572{space}12A
+phoenix_contact{space}connector{space}MSTBA_01x13_G_5.00mm
+0
+13
+13
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_14-G-5,08_1x14_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/14-G-5,08;{space}number{space}of{space}pins:{space}14;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1757365{space}12A
+phoenix_contact{space}connector{space}MSTBA_01x14_G_5.08mm
+0
+14
+14
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_14-G_1x14_P5.00mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/14-G;{space}number{space}of{space}pins:{space}14;{space}pin{space}pitch:{space}5.00mm;{space}Angled{space}||{space}order{space}number:{space}1757585{space}12A
+phoenix_contact{space}connector{space}MSTBA_01x14_G_5.00mm
+0
+14
+14
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_15-G-5,08_1x15_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/15-G-5,08;{space}number{space}of{space}pins:{space}15;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1757378{space}12A
+phoenix_contact{space}connector{space}MSTBA_01x15_G_5.08mm
+0
+15
+15
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_15-G_1x15_P5.00mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/15-G;{space}number{space}of{space}pins:{space}15;{space}pin{space}pitch:{space}5.00mm;{space}Angled{space}||{space}order{space}number:{space}1757598{space}12A
+phoenix_contact{space}connector{space}MSTBA_01x15_G_5.00mm
+0
+15
+15
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_16-G-5,08_1x16_P5.08mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/16-G-5,08;{space}number{space}of{space}pins:{space}16;{space}pin{space}pitch:{space}5.08mm;{space}Angled{space}||{space}order{space}number:{space}1757381{space}12A
+phoenix_contact{space}connector{space}MSTBA_01x16_G_5.08mm
+0
+16
+16
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBA_2,5_16-G_1x16_P5.00mm_Horizontal
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBA_2,5/16-G;{space}number{space}of{space}pins:{space}16;{space}pin{space}pitch:{space}5.00mm;{space}Angled{space}||{space}order{space}number:{space}1757608{space}12A
+phoenix_contact{space}connector{space}MSTBA_01x16_G_5.00mm
+0
+16
+16
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_2-G-5,08_1x02_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/2-G-5,08;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1755736{space}12A{space}||{space}order{space}number:{space}1924305{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBVA_01x02_G_5.08mm
+0
+2
+2
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_2-G_1x02_P5.00mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/2-G;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}5.00mm;{space}Vertical{space}||{space}order{space}number:{space}1755516{space}12A{space}||{space}order{space}number:{space}1924198{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBVA_01x02_G_5.00mm
+0
+2
+2
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_3-G-5,08_1x03_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/3-G-5,08;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1755749{space}12A{space}||{space}order{space}number:{space}1924318{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBVA_01x03_G_5.08mm
+0
+3
+3
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_3-G_1x03_P5.00mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/3-G;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}5.00mm;{space}Vertical{space}||{space}order{space}number:{space}1755529{space}12A{space}||{space}order{space}number:{space}1924208{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBVA_01x03_G_5.00mm
+0
+3
+3
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_4-G-5,08_1x04_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/4-G-5,08;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1755752{space}12A{space}||{space}order{space}number:{space}1924321{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBVA_01x04_G_5.08mm
+0
+4
+4
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_4-G_1x04_P5.00mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/4-G;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}5.00mm;{space}Vertical{space}||{space}order{space}number:{space}1755532{space}12A{space}||{space}order{space}number:{space}1924211{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBVA_01x04_G_5.00mm
+0
+4
+4
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_5-G-5,08_1x05_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/5-G-5,08;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1755765{space}12A{space}||{space}order{space}number:{space}1924334{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBVA_01x05_G_5.08mm
+0
+5
+5
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_5-G_1x05_P5.00mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/5-G;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}5.00mm;{space}Vertical{space}||{space}order{space}number:{space}1755545{space}12A{space}||{space}order{space}number:{space}1924224{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBVA_01x05_G_5.00mm
+0
+5
+5
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_6-G-5,08_1x06_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/6-G-5,08;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1755778{space}12A{space}||{space}order{space}number:{space}1924347{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBVA_01x06_G_5.08mm
+0
+6
+6
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_6-G_1x06_P5.00mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/6-G;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}5.00mm;{space}Vertical{space}||{space}order{space}number:{space}1755558{space}12A{space}||{space}order{space}number:{space}1924237{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBVA_01x06_G_5.00mm
+0
+6
+6
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_7-G-5,08_1x07_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/7-G-5,08;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1755781{space}12A{space}||{space}order{space}number:{space}1924350{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBVA_01x07_G_5.08mm
+0
+7
+7
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_7-G_1x07_P5.00mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/7-G;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}5.00mm;{space}Vertical{space}||{space}order{space}number:{space}1755561{space}12A{space}||{space}order{space}number:{space}1924240{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBVA_01x07_G_5.00mm
+0
+7
+7
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_8-G-5,08_1x08_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/8-G-5,08;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1755794{space}12A{space}||{space}order{space}number:{space}1924363{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBVA_01x08_G_5.08mm
+0
+8
+8
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_8-G_1x08_P5.00mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/8-G;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}5.00mm;{space}Vertical{space}||{space}order{space}number:{space}1755574{space}12A{space}||{space}order{space}number:{space}1924253{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBVA_01x08_G_5.00mm
+0
+8
+8
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_9-G-5,08_1x09_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/9-G-5,08;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1755804{space}12A{space}||{space}order{space}number:{space}1924376{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBVA_01x09_G_5.08mm
+0
+9
+9
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_9-G_1x09_P5.00mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/9-G;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}5.00mm;{space}Vertical{space}||{space}order{space}number:{space}1755587{space}12A{space}||{space}order{space}number:{space}1924266{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBVA_01x09_G_5.00mm
+0
+9
+9
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_10-G-5,08_1x10_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/10-G-5,08;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1755817{space}12A{space}||{space}order{space}number:{space}1924389{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBVA_01x10_G_5.08mm
+0
+10
+10
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_10-G_1x10_P5.00mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/10-G;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}5.00mm;{space}Vertical{space}||{space}order{space}number:{space}1755503{space}12A{space}||{space}order{space}number:{space}1924279{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBVA_01x10_G_5.00mm
+0
+10
+10
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_11-G-5,08_1x11_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/11-G-5,08;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1755820{space}12A{space}||{space}order{space}number:{space}1924392{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBVA_01x11_G_5.08mm
+0
+11
+11
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_11-G_1x11_P5.00mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/11-G;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}5.00mm;{space}Vertical{space}||{space}order{space}number:{space}1755590{space}12A{space}||{space}order{space}number:{space}1924282{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBVA_01x11_G_5.00mm
+0
+11
+11
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_12-G-5,08_1x12_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/12-G-5,08;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1755833{space}12A{space}||{space}order{space}number:{space}1924402{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBVA_01x12_G_5.08mm
+0
+12
+12
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_12-G_1x12_P5.00mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/12-G;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}5.00mm;{space}Vertical{space}||{space}order{space}number:{space}1755600{space}12A{space}||{space}order{space}number:{space}1924295{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBVA_01x12_G_5.00mm
+0
+12
+12
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_13-G-5,08_1x13_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/13-G-5,08;{space}number{space}of{space}pins:{space}13;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1755846{space}12A
+phoenix_contact{space}connector{space}MSTBVA_01x13_G_5.08mm
+0
+13
+13
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_13-G_1x13_P5.00mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/13-G;{space}number{space}of{space}pins:{space}13;{space}pin{space}pitch:{space}5.00mm;{space}Vertical{space}||{space}order{space}number:{space}1755613{space}12A
+phoenix_contact{space}connector{space}MSTBVA_01x13_G_5.00mm
+0
+13
+13
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_14-G-5,08_1x14_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/14-G-5,08;{space}number{space}of{space}pins:{space}14;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1755859{space}12A
+phoenix_contact{space}connector{space}MSTBVA_01x14_G_5.08mm
+0
+14
+14
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_14-G_1x14_P5.00mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/14-G;{space}number{space}of{space}pins:{space}14;{space}pin{space}pitch:{space}5.00mm;{space}Vertical{space}||{space}order{space}number:{space}1755626{space}12A
+phoenix_contact{space}connector{space}MSTBVA_01x14_G_5.00mm
+0
+14
+14
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_15-G-5,08_1x15_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/15-G-5,08;{space}number{space}of{space}pins:{space}15;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1755862{space}12A
+phoenix_contact{space}connector{space}MSTBVA_01x15_G_5.08mm
+0
+15
+15
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_15-G_1x15_P5.00mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/15-G;{space}number{space}of{space}pins:{space}15;{space}pin{space}pitch:{space}5.00mm;{space}Vertical{space}||{space}order{space}number:{space}1755639{space}12A
+phoenix_contact{space}connector{space}MSTBVA_01x15_G_5.00mm
+0
+15
+15
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_16-G-5,08_1x16_P5.08mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/16-G-5,08;{space}number{space}of{space}pins:{space}16;{space}pin{space}pitch:{space}5.08mm;{space}Vertical{space}||{space}order{space}number:{space}1755875{space}12A
+phoenix_contact{space}connector{space}MSTBVA_01x16_G_5.08mm
+0
+16
+16
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBVA_2,5_16-G_1x16_P5.00mm_Vertical
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBVA_2,5/16-G;{space}number{space}of{space}pins:{space}16;{space}pin{space}pitch:{space}5.00mm;{space}Vertical{space}||{space}order{space}number:{space}1755642{space}12A
+phoenix_contact{space}connector{space}MSTBVA_01x16_G_5.00mm
+0
+16
+16
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_2-GF-5,08_1x02_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/2-GF-5,08;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1777073{space}12A{space}||{space}order{space}number:{space}1924525{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x02_GF_5.08mm
+0
+2
+2
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_2-GF-5,08_1x02_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/2-GF-5,08;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1777073{space}12A{space}||{space}order{space}number:{space}1924525{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x02_GF_5.08mm_MH
+0
+2
+2
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_2-GF_1x02_P5.00mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/2-GF;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776883{space}12A{space}||{space}order{space}number:{space}1924415{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x02_GF_5.00mm
+0
+2
+2
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_2-GF_1x02_P5.00mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/2-GF;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776883{space}12A{space}||{space}order{space}number:{space}1924415{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x02_GF_5.00mm_MH
+0
+2
+2
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_3-GF-5,08_1x03_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/3-GF-5,08;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1777086{space}12A{space}||{space}order{space}number:{space}1924538{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x03_GF_5.08mm
+0
+3
+3
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_3-GF-5,08_1x03_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/3-GF-5,08;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1777086{space}12A{space}||{space}order{space}number:{space}1924538{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x03_GF_5.08mm_MH
+0
+3
+3
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_3-GF_1x03_P5.00mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/3-GF;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776896{space}12A{space}||{space}order{space}number:{space}1924428{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x03_GF_5.00mm
+0
+3
+3
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_3-GF_1x03_P5.00mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/3-GF;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776896{space}12A{space}||{space}order{space}number:{space}1924428{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x03_GF_5.00mm_MH
+0
+3
+3
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_4-GF-5,08_1x04_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/4-GF-5,08;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1777099{space}12A{space}||{space}order{space}number:{space}1924541{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x04_GF_5.08mm
+0
+4
+4
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_4-GF-5,08_1x04_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/4-GF-5,08;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1777099{space}12A{space}||{space}order{space}number:{space}1924541{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x04_GF_5.08mm_MH
+0
+4
+4
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_4-GF_1x04_P5.00mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/4-GF;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776906{space}12A{space}||{space}order{space}number:{space}1924431{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x04_GF_5.00mm
+0
+4
+4
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_4-GF_1x04_P5.00mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/4-GF;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776906{space}12A{space}||{space}order{space}number:{space}1924431{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x04_GF_5.00mm_MH
+0
+4
+4
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_5-GF-5,08_1x05_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/5-GF-5,08;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1777109{space}12A{space}||{space}order{space}number:{space}1924554{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x05_GF_5.08mm
+0
+5
+5
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_5-GF-5,08_1x05_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/5-GF-5,08;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1777109{space}12A{space}||{space}order{space}number:{space}1924554{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x05_GF_5.08mm_MH
+0
+5
+5
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_5-GF_1x05_P5.00mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/5-GF;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776919{space}12A{space}||{space}order{space}number:{space}1924444{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x05_GF_5.00mm
+0
+5
+5
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_5-GF_1x05_P5.00mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/5-GF;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776919{space}12A{space}||{space}order{space}number:{space}1924444{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x05_GF_5.00mm_MH
+0
+5
+5
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_6-GF-5,08_1x06_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/6-GF-5,08;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1777112{space}12A{space}||{space}order{space}number:{space}1924567{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x06_GF_5.08mm
+0
+6
+6
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_6-GF-5,08_1x06_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/6-GF-5,08;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1777112{space}12A{space}||{space}order{space}number:{space}1924567{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x06_GF_5.08mm_MH
+0
+6
+6
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_6-GF_1x06_P5.00mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/6-GF;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776922{space}12A{space}||{space}order{space}number:{space}1924457{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x06_GF_5.00mm
+0
+6
+6
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_6-GF_1x06_P5.00mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/6-GF;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776922{space}12A{space}||{space}order{space}number:{space}1924457{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x06_GF_5.00mm_MH
+0
+6
+6
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_7-GF-5,08_1x07_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/7-GF-5,08;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1777125{space}12A{space}||{space}order{space}number:{space}1924570{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x07_GF_5.08mm
+0
+7
+7
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_7-GF-5,08_1x07_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/7-GF-5,08;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1777125{space}12A{space}||{space}order{space}number:{space}1924570{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x07_GF_5.08mm_MH
+0
+7
+7
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_7-GF_1x07_P5.00mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/7-GF;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776935{space}12A{space}||{space}order{space}number:{space}1924460{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x07_GF_5.00mm
+0
+7
+7
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_7-GF_1x07_P5.00mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/7-GF;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776935{space}12A{space}||{space}order{space}number:{space}1924460{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x07_GF_5.00mm_MH
+0
+7
+7
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_8-GF-5,08_1x08_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/8-GF-5,08;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1777138{space}12A{space}||{space}order{space}number:{space}1924583{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x08_GF_5.08mm
+0
+8
+8
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_8-GF-5,08_1x08_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/8-GF-5,08;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1777138{space}12A{space}||{space}order{space}number:{space}1924583{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x08_GF_5.08mm_MH
+0
+8
+8
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_8-GF_1x08_P5.00mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/8-GF;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776948{space}12A{space}||{space}order{space}number:{space}1924473{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x08_GF_5.00mm
+0
+8
+8
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_8-GF_1x08_P5.00mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/8-GF;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776948{space}12A{space}||{space}order{space}number:{space}1924473{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x08_GF_5.00mm_MH
+0
+8
+8
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_9-GF-5,08_1x09_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/9-GF-5,08;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1777141{space}12A{space}||{space}order{space}number:{space}1924596{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x09_GF_5.08mm
+0
+9
+9
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_9-GF-5,08_1x09_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/9-GF-5,08;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1777141{space}12A{space}||{space}order{space}number:{space}1924596{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x09_GF_5.08mm_MH
+0
+9
+9
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_9-GF_1x09_P5.00mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/9-GF;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776951{space}12A{space}||{space}order{space}number:{space}1924486{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x09_GF_5.00mm
+0
+9
+9
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_9-GF_1x09_P5.00mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/9-GF;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776951{space}12A{space}||{space}order{space}number:{space}1924486{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x09_GF_5.00mm_MH
+0
+9
+9
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_10-GF-5,08_1x10_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/10-GF-5,08;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1777154{space}12A{space}||{space}order{space}number:{space}1924606{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x10_GF_5.08mm
+0
+10
+10
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_10-GF-5,08_1x10_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/10-GF-5,08;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1777154{space}12A{space}||{space}order{space}number:{space}1924606{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x10_GF_5.08mm_MH
+0
+10
+10
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_10-GF_1x10_P5.00mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/10-GF;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776964{space}12A{space}||{space}order{space}number:{space}1924499{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x10_GF_5.00mm
+0
+10
+10
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_10-GF_1x10_P5.00mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/10-GF;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776964{space}12A{space}||{space}order{space}number:{space}1924499{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x10_GF_5.00mm_MH
+0
+10
+10
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_11-GF-5,08_1x11_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/11-GF-5,08;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1777167{space}12A{space}||{space}order{space}number:{space}1924619{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x11_GF_5.08mm
+0
+11
+11
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_11-GF-5,08_1x11_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/11-GF-5,08;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1777167{space}12A{space}||{space}order{space}number:{space}1924619{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x11_GF_5.08mm_MH
+0
+11
+11
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_11-GF_1x11_P5.00mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/11-GF;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776977{space}12A{space}||{space}order{space}number:{space}1924509{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x11_GF_5.00mm
+0
+11
+11
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_11-GF_1x11_P5.00mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/11-GF;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776977{space}12A{space}||{space}order{space}number:{space}1924509{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x11_GF_5.00mm_MH
+0
+11
+11
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_12-GF-5,08_1x12_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/12-GF-5,08;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1777170{space}12A{space}||{space}order{space}number:{space}1924622{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x12_GF_5.08mm
+0
+12
+12
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_12-GF-5,08_1x12_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/12-GF-5,08;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1777170{space}12A{space}||{space}order{space}number:{space}1924622{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x12_GF_5.08mm_MH
+0
+12
+12
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_12-GF_1x12_P5.00mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/12-GF;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776980{space}12A{space}||{space}order{space}number:{space}1924512{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x12_GF_5.00mm
+0
+12
+12
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_12-GF_1x12_P5.00mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/12-GF;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776980{space}12A{space}||{space}order{space}number:{space}1924512{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTBV_01x12_GF_5.00mm_MH
+0
+12
+12
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_13-GF-5,08_1x13_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/13-GF-5,08;{space}number{space}of{space}pins:{space}13;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1777183{space}12A
+phoenix_contact{space}connector{space}MSTBV_01x13_GF_5.08mm
+0
+13
+13
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_13-GF-5,08_1x13_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/13-GF-5,08;{space}number{space}of{space}pins:{space}13;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1777183{space}12A
+phoenix_contact{space}connector{space}MSTBV_01x13_GF_5.08mm_MH
+0
+13
+13
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_13-GF_1x13_P5.00mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/13-GF;{space}number{space}of{space}pins:{space}13;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776993{space}12A
+phoenix_contact{space}connector{space}MSTBV_01x13_GF_5.00mm
+0
+13
+13
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_13-GF_1x13_P5.00mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/13-GF;{space}number{space}of{space}pins:{space}13;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776993{space}12A
+phoenix_contact{space}connector{space}MSTBV_01x13_GF_5.00mm_MH
+0
+13
+13
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_14-GF-5,08_1x14_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/14-GF-5,08;{space}number{space}of{space}pins:{space}14;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1777196{space}12A
+phoenix_contact{space}connector{space}MSTBV_01x14_GF_5.08mm
+0
+14
+14
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_14-GF-5,08_1x14_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/14-GF-5,08;{space}number{space}of{space}pins:{space}14;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1777196{space}12A
+phoenix_contact{space}connector{space}MSTBV_01x14_GF_5.08mm_MH
+0
+14
+14
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_14-GF_1x14_P5.00mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/14-GF;{space}number{space}of{space}pins:{space}14;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776002{space}12A
+phoenix_contact{space}connector{space}MSTBV_01x14_GF_5.00mm
+0
+14
+14
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_14-GF_1x14_P5.00mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/14-GF;{space}number{space}of{space}pins:{space}14;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776002{space}12A
+phoenix_contact{space}connector{space}MSTBV_01x14_GF_5.00mm_MH
+0
+14
+14
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_15-GF-5,08_1x15_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/15-GF-5,08;{space}number{space}of{space}pins:{space}15;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1777206{space}12A
+phoenix_contact{space}connector{space}MSTBV_01x15_GF_5.08mm
+0
+15
+15
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_15-GF-5,08_1x15_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/15-GF-5,08;{space}number{space}of{space}pins:{space}15;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1777206{space}12A
+phoenix_contact{space}connector{space}MSTBV_01x15_GF_5.08mm_MH
+0
+15
+15
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_15-GF_1x15_P5.00mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/15-GF;{space}number{space}of{space}pins:{space}15;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776015{space}12A
+phoenix_contact{space}connector{space}MSTBV_01x15_GF_5.00mm
+0
+15
+15
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_15-GF_1x15_P5.00mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/15-GF;{space}number{space}of{space}pins:{space}15;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776015{space}12A
+phoenix_contact{space}connector{space}MSTBV_01x15_GF_5.00mm_MH
+0
+15
+15
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_16-GF-5,08_1x16_P5.08mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/16-GF-5,08;{space}number{space}of{space}pins:{space}16;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1777219{space}12A
+phoenix_contact{space}connector{space}MSTBV_01x16_GF_5.08mm
+0
+16
+16
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_16-GF-5,08_1x16_P5.08mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/16-GF-5,08;{space}number{space}of{space}pins:{space}16;{space}pin{space}pitch:{space}5.08mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1777219{space}12A
+phoenix_contact{space}connector{space}MSTBV_01x16_GF_5.08mm_MH
+0
+16
+16
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_16-GF_1x16_P5.00mm_Vertical_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/16-GF;{space}number{space}of{space}pins:{space}16;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776028{space}12A
+phoenix_contact{space}connector{space}MSTBV_01x16_GF_5.00mm
+0
+16
+16
+Connector_Phoenix_MSTB
+PhoenixContact_MSTBV_2,5_16-GF_1x16_P5.00mm_Vertical_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTBV_2,5/16-GF;{space}number{space}of{space}pins:{space}16;{space}pin{space}pitch:{space}5.00mm;{space}Vertical;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776028{space}12A
+phoenix_contact{space}connector{space}MSTBV_01x16_GF_5.00mm_MH
+0
+16
+16
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_2-GF-5,08_1x02_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/2-GF-5,08;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776508{space}12A{space}||{space}order{space}number:{space}1924088{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x02_GF_5.08mm
+0
+2
+2
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_2-GF-5,08_1x02_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/2-GF-5,08;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776508{space}12A{space}||{space}order{space}number:{space}1924088{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x02_GF_5.08mm_MH
+0
+2
+2
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_2-GF_1x02_P5.00mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/2-GF;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776692{space}12A{space}||{space}order{space}number:{space}1923979{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x02_GF_5.00mm
+0
+2
+2
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_2-GF_1x02_P5.00mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/2-GF;{space}number{space}of{space}pins:{space}02;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776692{space}12A{space}||{space}order{space}number:{space}1923979{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x02_GF_5.00mm_MH
+0
+2
+2
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_3-GF-5,08_1x03_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/3-GF-5,08;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776511{space}12A{space}||{space}order{space}number:{space}1924091{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x03_GF_5.08mm
+0
+3
+3
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_3-GF-5,08_1x03_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/3-GF-5,08;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776511{space}12A{space}||{space}order{space}number:{space}1924091{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x03_GF_5.08mm_MH
+0
+3
+3
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_3-GF_1x03_P5.00mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/3-GF;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776702{space}12A{space}||{space}order{space}number:{space}1923982{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x03_GF_5.00mm
+0
+3
+3
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_3-GF_1x03_P5.00mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/3-GF;{space}number{space}of{space}pins:{space}03;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776702{space}12A{space}||{space}order{space}number:{space}1923982{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x03_GF_5.00mm_MH
+0
+3
+3
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_4-GF-5,08_1x04_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/4-GF-5,08;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776524{space}12A{space}||{space}order{space}number:{space}1924101{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x04_GF_5.08mm
+0
+4
+4
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_4-GF-5,08_1x04_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/4-GF-5,08;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776524{space}12A{space}||{space}order{space}number:{space}1924101{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x04_GF_5.08mm_MH
+0
+4
+4
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_4-GF_1x04_P5.00mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/4-GF;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776715{space}12A{space}||{space}order{space}number:{space}1923995{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x04_GF_5.00mm
+0
+4
+4
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_4-GF_1x04_P5.00mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/4-GF;{space}number{space}of{space}pins:{space}04;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776715{space}12A{space}||{space}order{space}number:{space}1923995{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x04_GF_5.00mm_MH
+0
+4
+4
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_5-GF-5,08_1x05_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/5-GF-5,08;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776537{space}12A{space}||{space}order{space}number:{space}1924114{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x05_GF_5.08mm
+0
+5
+5
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_5-GF-5,08_1x05_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/5-GF-5,08;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776537{space}12A{space}||{space}order{space}number:{space}1924114{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x05_GF_5.08mm_MH
+0
+5
+5
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_5-GF_1x05_P5.00mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/5-GF;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776728{space}12A{space}||{space}order{space}number:{space}1924004{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x05_GF_5.00mm
+0
+5
+5
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_5-GF_1x05_P5.00mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/5-GF;{space}number{space}of{space}pins:{space}05;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776728{space}12A{space}||{space}order{space}number:{space}1924004{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x05_GF_5.00mm_MH
+0
+5
+5
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_6-GF-5,08_1x06_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/6-GF-5,08;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776540{space}12A{space}||{space}order{space}number:{space}1924127{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x06_GF_5.08mm
+0
+6
+6
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_6-GF-5,08_1x06_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/6-GF-5,08;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776540{space}12A{space}||{space}order{space}number:{space}1924127{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x06_GF_5.08mm_MH
+0
+6
+6
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_6-GF_1x06_P5.00mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/6-GF;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776731{space}12A{space}||{space}order{space}number:{space}1924017{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x06_GF_5.00mm
+0
+6
+6
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_6-GF_1x06_P5.00mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/6-GF;{space}number{space}of{space}pins:{space}06;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776731{space}12A{space}||{space}order{space}number:{space}1924017{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x06_GF_5.00mm_MH
+0
+6
+6
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_7-GF-5,08_1x07_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/7-GF-5,08;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776553{space}12A{space}||{space}order{space}number:{space}1924130{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x07_GF_5.08mm
+0
+7
+7
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_7-GF-5,08_1x07_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/7-GF-5,08;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776553{space}12A{space}||{space}order{space}number:{space}1924130{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x07_GF_5.08mm_MH
+0
+7
+7
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_7-GF_1x07_P5.00mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/7-GF;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776744{space}12A{space}||{space}order{space}number:{space}1924020{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x07_GF_5.00mm
+0
+7
+7
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_7-GF_1x07_P5.00mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/7-GF;{space}number{space}of{space}pins:{space}07;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776744{space}12A{space}||{space}order{space}number:{space}1924020{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x07_GF_5.00mm_MH
+0
+7
+7
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_8-GF-5,08_1x08_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/8-GF-5,08;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776566{space}12A{space}||{space}order{space}number:{space}1924143{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x08_GF_5.08mm
+0
+8
+8
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_8-GF-5,08_1x08_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/8-GF-5,08;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776566{space}12A{space}||{space}order{space}number:{space}1924143{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x08_GF_5.08mm_MH
+0
+8
+8
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_8-GF_1x08_P5.00mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/8-GF;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776757{space}12A{space}||{space}order{space}number:{space}1924033{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x08_GF_5.00mm
+0
+8
+8
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_8-GF_1x08_P5.00mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/8-GF;{space}number{space}of{space}pins:{space}08;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776757{space}12A{space}||{space}order{space}number:{space}1924033{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x08_GF_5.00mm_MH
+0
+8
+8
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_9-GF-5,08_1x09_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/9-GF-5,08;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776579{space}12A{space}||{space}order{space}number:{space}1924156{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x09_GF_5.08mm
+0
+9
+9
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_9-GF-5,08_1x09_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/9-GF-5,08;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776579{space}12A{space}||{space}order{space}number:{space}1924156{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x09_GF_5.08mm_MH
+0
+9
+9
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_9-GF_1x09_P5.00mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/9-GF;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776760{space}12A{space}||{space}order{space}number:{space}1924046{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x09_GF_5.00mm
+0
+9
+9
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_9-GF_1x09_P5.00mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/9-GF;{space}number{space}of{space}pins:{space}09;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776760{space}12A{space}||{space}order{space}number:{space}1924046{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x09_GF_5.00mm_MH
+0
+9
+9
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_10-GF-5,08_1x10_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/10-GF-5,08;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776582{space}12A{space}||{space}order{space}number:{space}1924169{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x10_GF_5.08mm
+0
+10
+10
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_10-GF-5,08_1x10_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/10-GF-5,08;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776582{space}12A{space}||{space}order{space}number:{space}1924169{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x10_GF_5.08mm_MH
+0
+10
+10
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_10-GF_1x10_P5.00mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/10-GF;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776773{space}12A{space}||{space}order{space}number:{space}1924059{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x10_GF_5.00mm
+0
+10
+10
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_10-GF_1x10_P5.00mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/10-GF;{space}number{space}of{space}pins:{space}10;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776773{space}12A{space}||{space}order{space}number:{space}1924059{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x10_GF_5.00mm_MH
+0
+10
+10
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_11-GF-5,08_1x11_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/11-GF-5,08;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776595{space}12A{space}||{space}order{space}number:{space}1924172{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x11_GF_5.08mm
+0
+11
+11
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_11-GF-5,08_1x11_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/11-GF-5,08;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776595{space}12A{space}||{space}order{space}number:{space}1924172{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x11_GF_5.08mm_MH
+0
+11
+11
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_11-GF_1x11_P5.00mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/11-GF;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776786{space}12A{space}||{space}order{space}number:{space}1924062{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x11_GF_5.00mm
+0
+11
+11
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_11-GF_1x11_P5.00mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/11-GF;{space}number{space}of{space}pins:{space}11;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776786{space}12A{space}||{space}order{space}number:{space}1924062{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x11_GF_5.00mm_MH
+0
+11
+11
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_12-GF-5,08_1x12_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/12-GF-5,08;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776605{space}12A{space}||{space}order{space}number:{space}1924185{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x12_GF_5.08mm
+0
+12
+12
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_12-GF-5,08_1x12_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/12-GF-5,08;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776605{space}12A{space}||{space}order{space}number:{space}1924185{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x12_GF_5.08mm_MH
+0
+12
+12
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_12-GF_1x12_P5.00mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/12-GF;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776799{space}12A{space}||{space}order{space}number:{space}1924075{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x12_GF_5.00mm
+0
+12
+12
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_12-GF_1x12_P5.00mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/12-GF;{space}number{space}of{space}pins:{space}12;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776799{space}12A{space}||{space}order{space}number:{space}1924075{space}16A{space}(HC)
+phoenix_contact{space}connector{space}MSTB_01x12_GF_5.00mm_MH
+0
+12
+12
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_13-GF-5,08_1x13_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/13-GF-5,08;{space}number{space}of{space}pins:{space}13;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776618{space}12A
+phoenix_contact{space}connector{space}MSTB_01x13_GF_5.08mm
+0
+13
+13
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_13-GF-5,08_1x13_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/13-GF-5,08;{space}number{space}of{space}pins:{space}13;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776618{space}12A
+phoenix_contact{space}connector{space}MSTB_01x13_GF_5.08mm_MH
+0
+13
+13
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_13-GF_1x13_P5.00mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/13-GF;{space}number{space}of{space}pins:{space}13;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776809{space}12A
+phoenix_contact{space}connector{space}MSTB_01x13_GF_5.00mm
+0
+13
+13
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_13-GF_1x13_P5.00mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/13-GF;{space}number{space}of{space}pins:{space}13;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776809{space}12A
+phoenix_contact{space}connector{space}MSTB_01x13_GF_5.00mm_MH
+0
+13
+13
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_14-GF-5,08_1x14_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/14-GF-5,08;{space}number{space}of{space}pins:{space}14;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776621{space}12A
+phoenix_contact{space}connector{space}MSTB_01x14_GF_5.08mm
+0
+14
+14
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_14-GF-5,08_1x14_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/14-GF-5,08;{space}number{space}of{space}pins:{space}14;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776621{space}12A
+phoenix_contact{space}connector{space}MSTB_01x14_GF_5.08mm_MH
+0
+14
+14
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_14-GF_1x14_P5.00mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/14-GF;{space}number{space}of{space}pins:{space}14;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776812{space}12A
+phoenix_contact{space}connector{space}MSTB_01x14_GF_5.00mm
+0
+14
+14
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_14-GF_1x14_P5.00mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/14-GF;{space}number{space}of{space}pins:{space}14;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776812{space}12A
+phoenix_contact{space}connector{space}MSTB_01x14_GF_5.00mm_MH
+0
+14
+14
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_15-GF-5,08_1x15_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/15-GF-5,08;{space}number{space}of{space}pins:{space}15;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776634{space}12A
+phoenix_contact{space}connector{space}MSTB_01x15_GF_5.08mm
+0
+15
+15
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_15-GF-5,08_1x15_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/15-GF-5,08;{space}number{space}of{space}pins:{space}15;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776634{space}12A
+phoenix_contact{space}connector{space}MSTB_01x15_GF_5.08mm_MH
+0
+15
+15
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_15-GF_1x15_P5.00mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/15-GF;{space}number{space}of{space}pins:{space}15;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776825{space}12A
+phoenix_contact{space}connector{space}MSTB_01x15_GF_5.00mm
+0
+15
+15
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_15-GF_1x15_P5.00mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/15-GF;{space}number{space}of{space}pins:{space}15;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776825{space}12A
+phoenix_contact{space}connector{space}MSTB_01x15_GF_5.00mm_MH
+0
+15
+15
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_16-GF-5,08_1x16_P5.08mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/16-GF-5,08;{space}number{space}of{space}pins:{space}16;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776647{space}12A
+phoenix_contact{space}connector{space}MSTB_01x16_GF_5.08mm
+0
+16
+16
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_16-GF-5,08_1x16_P5.08mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/16-GF-5,08;{space}number{space}of{space}pins:{space}16;{space}pin{space}pitch:{space}5.08mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776647{space}12A
+phoenix_contact{space}connector{space}MSTB_01x16_GF_5.08mm_MH
+0
+16
+16
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_16-GF_1x16_P5.00mm_Horizontal_ThreadedFlange
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/16-GF;{space}number{space}of{space}pins:{space}16;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange{space}||{space}order{space}number:{space}1776838{space}12A
+phoenix_contact{space}connector{space}MSTB_01x16_GF_5.00mm
+0
+16
+16
+Connector_Phoenix_MSTB
+PhoenixContact_MSTB_2,5_16-GF_1x16_P5.00mm_Horizontal_ThreadedFlange_MountHole
+Generic{space}Phoenix{space}Contact{space}connector{space}footprint{space}for:{space}MSTB_2,5/16-GF;{space}number{space}of{space}pins:{space}16;{space}pin{space}pitch:{space}5.00mm;{space}Angled;{space}threaded{space}flange;{space}footprint{space}includes{space}mount{space}hole{space}for{space}mounting{space}screw:{space}ISO{space}1481-ST{space}2.2x6.5{space}C{space}or{space}ISO{space}7049-ST{space}2.2x6.5{space}C{space}(http://www.fasteners.eu/standards/ISO/7049/){space}||{space}order{space}number:{space}1776838{space}12A
+phoenix_contact{space}connector{space}MSTB_01x16_GF_5.00mm_MH
+0
+16
+16
+Connector_Pin
+Pin_D0.7mm_L6.5mm_W1.8mm_FlatFork
+solder{space}Pin_{space}with{space}flat{space}fork,{space}hole{space}diameter{space}0.7mm,{space}length{space}6.5mm,{space}width{space}1.8mm
+solder{space}Pin_{space}with{space}flat{space}fork
+0
+1
+1
+Connector_Pin
+Pin_D0.9mm_L10.0mm_W2.4mm_FlatFork
+solder{space}Pin_{space}with{space}flat{space}fork,{space}hole{space}diameter{space}0.9mm,{space}length{space}10.0mm,{space}width{space}2.4mm
+solder{space}Pin_{space}with{space}flat{space}fork
+0
+1
+1
+Connector_Pin
+Pin_D1.0mm_L10.0mm
+solder{space}Pin_{space}diameter{space}1.0mm,{space}hole{space}diameter{space}1.0mm{space}(press{space}fit),{space}length{space}10.0mm
+solder{space}Pin_{space}press{space}fit
+0
+1
+1
+Connector_Pin
+Pin_D1.0mm_L10.0mm_LooseFit
+solder{space}Pin_{space}diameter{space}1.0mm,{space}hole{space}diameter{space}1.2mm{space}(loose{space}fit),{space}length{space}10.0mm
+solder{space}Pin_{space}loose{space}fit
+0
+1
+1
+Connector_Pin
+Pin_D1.1mm_L8.5mm_W2.5mm_FlatFork
+solder{space}Pin_{space}with{space}flat{space}fork,{space}hole{space}diameter{space}1.1mm,{space}length{space}8.5mm,{space}width{space}2.5mm
+solder{space}Pin_{space}with{space}flat{space}fork
+0
+1
+1
+Connector_Pin
+Pin_D1.1mm_L10.2mm_W3.5mm_Flat
+solder{space}Pin_{space}with{space}flat{space}with{space}hole,{space}hole{space}diameter{space}1.1mm,{space}length{space}10.2mm,{space}width{space}3.5mm
+solder{space}Pin_{space}with{space}flat{space}fork
+0
+1
+1
+Connector_Pin
+Pin_D1.2mm_L10.2mm_W2.9mm_FlatFork
+solder{space}Pin_{space}with{space}flat{space}with{space}fork,{space}hole{space}diameter{space}1.2mm,{space}length{space}11.3mm,{space}width{space}3.0mm
+solder{space}Pin_{space}with{space}flat{space}fork
+0
+1
+1
+Connector_Pin
+Pin_D1.2mm_L11.3mm_W3.0mm_Flat
+solder{space}Pin_{space}with{space}flat{space}with{space}hole,{space}hole{space}diameter{space}1.2mm,{space}length{space}11.3mm,{space}width{space}3.0mm
+solder{space}Pin_{space}with{space}flat{space}fork
+0
+1
+1
+Connector_Pin
+Pin_D1.3mm_L10.0mm_W3.5mm_Flat
+solder{space}Pin_{space}with{space}flat{space}with{space}hole,{space}hole{space}diameter{space}1.3mm,{space}length{space}10.0mm,{space}width{space}3.5mm,{space}e.g.{space}Ettinger{space}13.13.865,{space}https://katalog.ettinger.de/#p=434
+solder{space}Pin_{space}with{space}flat{space}fork
+0
+1
+1
+Connector_Pin
+Pin_D1.3mm_L11.0mm
+solder{space}Pin_{space}diameter{space}1.3mm,{space}hole{space}diameter{space}1.3mm,{space}length{space}11.0mm
+solder{space}Pin_{space}pressfit
+0
+1
+1
+Connector_Pin
+Pin_D1.3mm_L11.0mm_LooseFit
+solder{space}Pin_{space}diameter{space}1.3mm,{space}hole{space}diameter{space}1.5mm{space}(loose{space}fit),{space}length{space}11.0mm
+solder{space}Pin_{space}loose{space}fit
+0
+1
+1
+Connector_Pin
+Pin_D1.3mm_L11.3mm_W2.8mm_Flat
+solder{space}Pin_{space}with{space}flat{space}with{space}hole,{space}hole{space}diameter{space}1.3mm,{space}length{space}11.3mm,{space}width{space}2.8mm
+solder{space}Pin_{space}with{space}flat{space}fork
+0
+1
+1
+Connector_Pin
+Pin_D1.4mm_L8.5mm_W2.8mm_FlatFork
+solder{space}Pin_{space}with{space}flat{space}with{space}fork,{space}hole{space}diameter{space}1.4mm,{space}length{space}8.5mm,{space}width{space}2.8mm,{space}e.g.{space}Ettinger{space}13.13.890,{space}https://katalog.ettinger.de/#p=434
+solder{space}Pin_{space}with{space}flat{space}fork
+0
+1
+1
+Connector_PinHeader_1.00mm
+PinHeader_1x01_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x01,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x01{space}1.00mm{space}single{space}row
+0
+1
+1
+Connector_PinHeader_1.00mm
+PinHeader_1x01_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x01,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x01{space}1.00mm{space}single{space}row
+0
+1
+1
+Connector_PinHeader_1.00mm
+PinHeader_1x02_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x02,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x02{space}1.00mm{space}single{space}row
+0
+2
+2
+Connector_PinHeader_1.00mm
+PinHeader_1x02_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x02,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x02{space}1.00mm{space}single{space}row
+0
+2
+2
+Connector_PinHeader_1.00mm
+PinHeader_1x02_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x02,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x02{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+2
+2
+Connector_PinHeader_1.00mm
+PinHeader_1x02_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x02,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x02{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+2
+2
+Connector_PinHeader_1.00mm
+PinHeader_1x03_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x03,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x03{space}1.00mm{space}single{space}row
+0
+3
+3
+Connector_PinHeader_1.00mm
+PinHeader_1x03_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x03,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x03{space}1.00mm{space}single{space}row
+0
+3
+3
+Connector_PinHeader_1.00mm
+PinHeader_1x03_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x03,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x03{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+3
+3
+Connector_PinHeader_1.00mm
+PinHeader_1x03_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x03,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x03{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+3
+3
+Connector_PinHeader_1.00mm
+PinHeader_1x04_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x04,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x04{space}1.00mm{space}single{space}row
+0
+4
+4
+Connector_PinHeader_1.00mm
+PinHeader_1x04_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x04,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x04{space}1.00mm{space}single{space}row
+0
+4
+4
+Connector_PinHeader_1.00mm
+PinHeader_1x04_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x04,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x04{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+4
+4
+Connector_PinHeader_1.00mm
+PinHeader_1x04_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x04,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x04{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+4
+4
+Connector_PinHeader_1.00mm
+PinHeader_1x05_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x05,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x05{space}1.00mm{space}single{space}row
+0
+5
+5
+Connector_PinHeader_1.00mm
+PinHeader_1x05_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x05,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x05{space}1.00mm{space}single{space}row
+0
+5
+5
+Connector_PinHeader_1.00mm
+PinHeader_1x05_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x05,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x05{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+5
+5
+Connector_PinHeader_1.00mm
+PinHeader_1x05_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x05,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x05{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+5
+5
+Connector_PinHeader_1.00mm
+PinHeader_1x06_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x06,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x06{space}1.00mm{space}single{space}row
+0
+6
+6
+Connector_PinHeader_1.00mm
+PinHeader_1x06_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x06,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x06{space}1.00mm{space}single{space}row
+0
+6
+6
+Connector_PinHeader_1.00mm
+PinHeader_1x06_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x06,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x06{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+6
+6
+Connector_PinHeader_1.00mm
+PinHeader_1x06_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x06,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x06{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+6
+6
+Connector_PinHeader_1.00mm
+PinHeader_1x07_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x07,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x07{space}1.00mm{space}single{space}row
+0
+7
+7
+Connector_PinHeader_1.00mm
+PinHeader_1x07_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x07,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x07{space}1.00mm{space}single{space}row
+0
+7
+7
+Connector_PinHeader_1.00mm
+PinHeader_1x07_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x07,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x07{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+7
+7
+Connector_PinHeader_1.00mm
+PinHeader_1x07_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x07,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x07{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+7
+7
+Connector_PinHeader_1.00mm
+PinHeader_1x08_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x08,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x08{space}1.00mm{space}single{space}row
+0
+8
+8
+Connector_PinHeader_1.00mm
+PinHeader_1x08_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x08,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x08{space}1.00mm{space}single{space}row
+0
+8
+8
+Connector_PinHeader_1.00mm
+PinHeader_1x08_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x08,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x08{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+8
+8
+Connector_PinHeader_1.00mm
+PinHeader_1x08_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x08,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x08{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+8
+8
+Connector_PinHeader_1.00mm
+PinHeader_1x09_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x09,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x09{space}1.00mm{space}single{space}row
+0
+9
+9
+Connector_PinHeader_1.00mm
+PinHeader_1x09_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x09,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x09{space}1.00mm{space}single{space}row
+0
+9
+9
+Connector_PinHeader_1.00mm
+PinHeader_1x09_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x09,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x09{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+9
+9
+Connector_PinHeader_1.00mm
+PinHeader_1x09_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x09,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x09{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+9
+9
+Connector_PinHeader_1.00mm
+PinHeader_1x10_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x10,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x10{space}1.00mm{space}single{space}row
+0
+10
+10
+Connector_PinHeader_1.00mm
+PinHeader_1x10_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x10,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x10{space}1.00mm{space}single{space}row
+0
+10
+10
+Connector_PinHeader_1.00mm
+PinHeader_1x10_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x10,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x10{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+10
+10
+Connector_PinHeader_1.00mm
+PinHeader_1x10_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x10,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x10{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+10
+10
+Connector_PinHeader_1.00mm
+PinHeader_1x11_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x11,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x11{space}1.00mm{space}single{space}row
+0
+11
+11
+Connector_PinHeader_1.00mm
+PinHeader_1x11_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x11,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x11{space}1.00mm{space}single{space}row
+0
+11
+11
+Connector_PinHeader_1.00mm
+PinHeader_1x11_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x11,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x11{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+11
+11
+Connector_PinHeader_1.00mm
+PinHeader_1x11_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x11,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x11{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+11
+11
+Connector_PinHeader_1.00mm
+PinHeader_1x12_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x12,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x12{space}1.00mm{space}single{space}row
+0
+12
+12
+Connector_PinHeader_1.00mm
+PinHeader_1x12_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x12,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x12{space}1.00mm{space}single{space}row
+0
+12
+12
+Connector_PinHeader_1.00mm
+PinHeader_1x12_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x12,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x12{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+12
+12
+Connector_PinHeader_1.00mm
+PinHeader_1x12_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x12,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x12{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+12
+12
+Connector_PinHeader_1.00mm
+PinHeader_1x13_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x13,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x13{space}1.00mm{space}single{space}row
+0
+13
+13
+Connector_PinHeader_1.00mm
+PinHeader_1x13_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x13,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x13{space}1.00mm{space}single{space}row
+0
+13
+13
+Connector_PinHeader_1.00mm
+PinHeader_1x13_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x13,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x13{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+13
+13
+Connector_PinHeader_1.00mm
+PinHeader_1x13_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x13,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x13{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+13
+13
+Connector_PinHeader_1.00mm
+PinHeader_1x14_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x14,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x14{space}1.00mm{space}single{space}row
+0
+14
+14
+Connector_PinHeader_1.00mm
+PinHeader_1x14_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x14,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x14{space}1.00mm{space}single{space}row
+0
+14
+14
+Connector_PinHeader_1.00mm
+PinHeader_1x14_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x14,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x14{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+14
+14
+Connector_PinHeader_1.00mm
+PinHeader_1x14_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x14,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x14{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+14
+14
+Connector_PinHeader_1.00mm
+PinHeader_1x15_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x15,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x15{space}1.00mm{space}single{space}row
+0
+15
+15
+Connector_PinHeader_1.00mm
+PinHeader_1x15_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x15,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x15{space}1.00mm{space}single{space}row
+0
+15
+15
+Connector_PinHeader_1.00mm
+PinHeader_1x15_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x15,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x15{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+15
+15
+Connector_PinHeader_1.00mm
+PinHeader_1x15_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x15,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x15{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+15
+15
+Connector_PinHeader_1.00mm
+PinHeader_1x16_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x16,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x16{space}1.00mm{space}single{space}row
+0
+16
+16
+Connector_PinHeader_1.00mm
+PinHeader_1x16_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x16,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x16{space}1.00mm{space}single{space}row
+0
+16
+16
+Connector_PinHeader_1.00mm
+PinHeader_1x16_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x16,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x16{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+16
+16
+Connector_PinHeader_1.00mm
+PinHeader_1x16_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x16,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x16{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+16
+16
+Connector_PinHeader_1.00mm
+PinHeader_1x17_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x17,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x17{space}1.00mm{space}single{space}row
+0
+17
+17
+Connector_PinHeader_1.00mm
+PinHeader_1x17_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x17,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x17{space}1.00mm{space}single{space}row
+0
+17
+17
+Connector_PinHeader_1.00mm
+PinHeader_1x17_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x17,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x17{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+17
+17
+Connector_PinHeader_1.00mm
+PinHeader_1x17_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x17,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x17{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+17
+17
+Connector_PinHeader_1.00mm
+PinHeader_1x18_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x18,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x18{space}1.00mm{space}single{space}row
+0
+18
+18
+Connector_PinHeader_1.00mm
+PinHeader_1x18_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x18,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x18{space}1.00mm{space}single{space}row
+0
+18
+18
+Connector_PinHeader_1.00mm
+PinHeader_1x18_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x18,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x18{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+18
+18
+Connector_PinHeader_1.00mm
+PinHeader_1x18_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x18,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x18{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+18
+18
+Connector_PinHeader_1.00mm
+PinHeader_1x19_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x19,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x19{space}1.00mm{space}single{space}row
+0
+19
+19
+Connector_PinHeader_1.00mm
+PinHeader_1x19_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x19,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x19{space}1.00mm{space}single{space}row
+0
+19
+19
+Connector_PinHeader_1.00mm
+PinHeader_1x19_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x19,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x19{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+19
+19
+Connector_PinHeader_1.00mm
+PinHeader_1x19_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x19,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x19{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+19
+19
+Connector_PinHeader_1.00mm
+PinHeader_1x20_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x20,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x20{space}1.00mm{space}single{space}row
+0
+20
+20
+Connector_PinHeader_1.00mm
+PinHeader_1x20_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x20,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x20{space}1.00mm{space}single{space}row
+0
+20
+20
+Connector_PinHeader_1.00mm
+PinHeader_1x20_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x20,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x20{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+20
+20
+Connector_PinHeader_1.00mm
+PinHeader_1x20_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x20,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x20{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+20
+20
+Connector_PinHeader_1.00mm
+PinHeader_1x21_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x21,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x21{space}1.00mm{space}single{space}row
+0
+21
+21
+Connector_PinHeader_1.00mm
+PinHeader_1x21_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x21,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x21{space}1.00mm{space}single{space}row
+0
+21
+21
+Connector_PinHeader_1.00mm
+PinHeader_1x21_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x21,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x21{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+21
+21
+Connector_PinHeader_1.00mm
+PinHeader_1x21_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x21,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x21{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+21
+21
+Connector_PinHeader_1.00mm
+PinHeader_1x22_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x22,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x22{space}1.00mm{space}single{space}row
+0
+22
+22
+Connector_PinHeader_1.00mm
+PinHeader_1x22_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x22,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x22{space}1.00mm{space}single{space}row
+0
+22
+22
+Connector_PinHeader_1.00mm
+PinHeader_1x22_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x22,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x22{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+22
+22
+Connector_PinHeader_1.00mm
+PinHeader_1x22_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x22,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x22{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+22
+22
+Connector_PinHeader_1.00mm
+PinHeader_1x23_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x23,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x23{space}1.00mm{space}single{space}row
+0
+23
+23
+Connector_PinHeader_1.00mm
+PinHeader_1x23_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x23,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x23{space}1.00mm{space}single{space}row
+0
+23
+23
+Connector_PinHeader_1.00mm
+PinHeader_1x23_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x23,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x23{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+23
+23
+Connector_PinHeader_1.00mm
+PinHeader_1x23_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x23,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x23{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+23
+23
+Connector_PinHeader_1.00mm
+PinHeader_1x24_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x24,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x24{space}1.00mm{space}single{space}row
+0
+24
+24
+Connector_PinHeader_1.00mm
+PinHeader_1x24_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x24,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x24{space}1.00mm{space}single{space}row
+0
+24
+24
+Connector_PinHeader_1.00mm
+PinHeader_1x24_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x24,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x24{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+24
+24
+Connector_PinHeader_1.00mm
+PinHeader_1x24_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x24,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x24{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+24
+24
+Connector_PinHeader_1.00mm
+PinHeader_1x25_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x25,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x25{space}1.00mm{space}single{space}row
+0
+25
+25
+Connector_PinHeader_1.00mm
+PinHeader_1x25_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x25,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x25{space}1.00mm{space}single{space}row
+0
+25
+25
+Connector_PinHeader_1.00mm
+PinHeader_1x25_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x25,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x25{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+25
+25
+Connector_PinHeader_1.00mm
+PinHeader_1x25_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x25,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x25{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+25
+25
+Connector_PinHeader_1.00mm
+PinHeader_1x26_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x26,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x26{space}1.00mm{space}single{space}row
+0
+26
+26
+Connector_PinHeader_1.00mm
+PinHeader_1x26_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x26,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x26{space}1.00mm{space}single{space}row
+0
+26
+26
+Connector_PinHeader_1.00mm
+PinHeader_1x26_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x26,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x26{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+26
+26
+Connector_PinHeader_1.00mm
+PinHeader_1x26_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x26,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x26{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+26
+26
+Connector_PinHeader_1.00mm
+PinHeader_1x27_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x27,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x27{space}1.00mm{space}single{space}row
+0
+27
+27
+Connector_PinHeader_1.00mm
+PinHeader_1x27_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x27,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x27{space}1.00mm{space}single{space}row
+0
+27
+27
+Connector_PinHeader_1.00mm
+PinHeader_1x27_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x27,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x27{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+27
+27
+Connector_PinHeader_1.00mm
+PinHeader_1x27_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x27,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x27{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+27
+27
+Connector_PinHeader_1.00mm
+PinHeader_1x28_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x28,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x28{space}1.00mm{space}single{space}row
+0
+28
+28
+Connector_PinHeader_1.00mm
+PinHeader_1x28_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x28,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x28{space}1.00mm{space}single{space}row
+0
+28
+28
+Connector_PinHeader_1.00mm
+PinHeader_1x28_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x28,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x28{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+28
+28
+Connector_PinHeader_1.00mm
+PinHeader_1x28_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x28,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x28{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+28
+28
+Connector_PinHeader_1.00mm
+PinHeader_1x29_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x29,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x29{space}1.00mm{space}single{space}row
+0
+29
+29
+Connector_PinHeader_1.00mm
+PinHeader_1x29_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x29,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x29{space}1.00mm{space}single{space}row
+0
+29
+29
+Connector_PinHeader_1.00mm
+PinHeader_1x29_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x29,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x29{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+29
+29
+Connector_PinHeader_1.00mm
+PinHeader_1x29_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x29,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x29{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+29
+29
+Connector_PinHeader_1.00mm
+PinHeader_1x30_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x30,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x30{space}1.00mm{space}single{space}row
+0
+30
+30
+Connector_PinHeader_1.00mm
+PinHeader_1x30_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x30,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x30{space}1.00mm{space}single{space}row
+0
+30
+30
+Connector_PinHeader_1.00mm
+PinHeader_1x30_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x30,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x30{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+30
+30
+Connector_PinHeader_1.00mm
+PinHeader_1x30_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x30,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x30{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+30
+30
+Connector_PinHeader_1.00mm
+PinHeader_1x31_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x31,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x31{space}1.00mm{space}single{space}row
+0
+31
+31
+Connector_PinHeader_1.00mm
+PinHeader_1x31_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x31,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x31{space}1.00mm{space}single{space}row
+0
+31
+31
+Connector_PinHeader_1.00mm
+PinHeader_1x31_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x31,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x31{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+31
+31
+Connector_PinHeader_1.00mm
+PinHeader_1x31_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x31,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x31{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+31
+31
+Connector_PinHeader_1.00mm
+PinHeader_1x32_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x32,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x32{space}1.00mm{space}single{space}row
+0
+32
+32
+Connector_PinHeader_1.00mm
+PinHeader_1x32_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x32,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x32{space}1.00mm{space}single{space}row
+0
+32
+32
+Connector_PinHeader_1.00mm
+PinHeader_1x32_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x32,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x32{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+32
+32
+Connector_PinHeader_1.00mm
+PinHeader_1x32_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x32,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x32{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+32
+32
+Connector_PinHeader_1.00mm
+PinHeader_1x33_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x33,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x33{space}1.00mm{space}single{space}row
+0
+33
+33
+Connector_PinHeader_1.00mm
+PinHeader_1x33_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x33,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x33{space}1.00mm{space}single{space}row
+0
+33
+33
+Connector_PinHeader_1.00mm
+PinHeader_1x33_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x33,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x33{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+33
+33
+Connector_PinHeader_1.00mm
+PinHeader_1x33_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x33,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x33{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+33
+33
+Connector_PinHeader_1.00mm
+PinHeader_1x34_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x34,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x34{space}1.00mm{space}single{space}row
+0
+34
+34
+Connector_PinHeader_1.00mm
+PinHeader_1x34_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x34,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x34{space}1.00mm{space}single{space}row
+0
+34
+34
+Connector_PinHeader_1.00mm
+PinHeader_1x34_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x34,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x34{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+34
+34
+Connector_PinHeader_1.00mm
+PinHeader_1x34_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x34,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x34{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+34
+34
+Connector_PinHeader_1.00mm
+PinHeader_1x35_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x35,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x35{space}1.00mm{space}single{space}row
+0
+35
+35
+Connector_PinHeader_1.00mm
+PinHeader_1x35_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x35,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x35{space}1.00mm{space}single{space}row
+0
+35
+35
+Connector_PinHeader_1.00mm
+PinHeader_1x35_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x35,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x35{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+35
+35
+Connector_PinHeader_1.00mm
+PinHeader_1x35_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x35,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x35{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+35
+35
+Connector_PinHeader_1.00mm
+PinHeader_1x36_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x36,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x36{space}1.00mm{space}single{space}row
+0
+36
+36
+Connector_PinHeader_1.00mm
+PinHeader_1x36_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x36,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x36{space}1.00mm{space}single{space}row
+0
+36
+36
+Connector_PinHeader_1.00mm
+PinHeader_1x36_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x36,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x36{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+36
+36
+Connector_PinHeader_1.00mm
+PinHeader_1x36_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x36,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x36{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+36
+36
+Connector_PinHeader_1.00mm
+PinHeader_1x37_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x37,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x37{space}1.00mm{space}single{space}row
+0
+37
+37
+Connector_PinHeader_1.00mm
+PinHeader_1x37_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x37,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x37{space}1.00mm{space}single{space}row
+0
+37
+37
+Connector_PinHeader_1.00mm
+PinHeader_1x37_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x37,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x37{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+37
+37
+Connector_PinHeader_1.00mm
+PinHeader_1x37_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x37,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x37{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+37
+37
+Connector_PinHeader_1.00mm
+PinHeader_1x38_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x38,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x38{space}1.00mm{space}single{space}row
+0
+38
+38
+Connector_PinHeader_1.00mm
+PinHeader_1x38_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x38,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x38{space}1.00mm{space}single{space}row
+0
+38
+38
+Connector_PinHeader_1.00mm
+PinHeader_1x38_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x38,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x38{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+38
+38
+Connector_PinHeader_1.00mm
+PinHeader_1x38_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x38,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x38{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+38
+38
+Connector_PinHeader_1.00mm
+PinHeader_1x39_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x39,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x39{space}1.00mm{space}single{space}row
+0
+39
+39
+Connector_PinHeader_1.00mm
+PinHeader_1x39_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x39,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x39{space}1.00mm{space}single{space}row
+0
+39
+39
+Connector_PinHeader_1.00mm
+PinHeader_1x39_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x39,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x39{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+39
+39
+Connector_PinHeader_1.00mm
+PinHeader_1x39_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x39,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x39{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+39
+39
+Connector_PinHeader_1.00mm
+PinHeader_1x40_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x40,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x40{space}1.00mm{space}single{space}row
+0
+40
+40
+Connector_PinHeader_1.00mm
+PinHeader_1x40_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x40,{space}1.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x40{space}1.00mm{space}single{space}row
+0
+40
+40
+Connector_PinHeader_1.00mm
+PinHeader_1x40_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x40,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x40{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+40
+40
+Connector_PinHeader_1.00mm
+PinHeader_1x40_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x40,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x40{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+40
+40
+Connector_PinHeader_1.00mm
+PinHeader_2x01_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x01,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x01{space}1.00mm{space}double{space}row
+0
+2
+2
+Connector_PinHeader_1.00mm
+PinHeader_2x01_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x01,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x01{space}1.00mm{space}double{space}row
+0
+2
+2
+Connector_PinHeader_1.00mm
+PinHeader_2x01_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x01,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x01{space}1.00mm{space}double{space}row
+0
+2
+2
+Connector_PinHeader_1.00mm
+PinHeader_2x02_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x02,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x02{space}1.00mm{space}double{space}row
+0
+4
+4
+Connector_PinHeader_1.00mm
+PinHeader_2x02_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x02,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x02{space}1.00mm{space}double{space}row
+0
+4
+4
+Connector_PinHeader_1.00mm
+PinHeader_2x02_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x02,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x02{space}1.00mm{space}double{space}row
+0
+4
+4
+Connector_PinHeader_1.00mm
+PinHeader_2x03_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x03,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x03{space}1.00mm{space}double{space}row
+0
+6
+6
+Connector_PinHeader_1.00mm
+PinHeader_2x03_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x03,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x03{space}1.00mm{space}double{space}row
+0
+6
+6
+Connector_PinHeader_1.00mm
+PinHeader_2x03_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x03,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x03{space}1.00mm{space}double{space}row
+0
+6
+6
+Connector_PinHeader_1.00mm
+PinHeader_2x04_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x04,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x04{space}1.00mm{space}double{space}row
+0
+8
+8
+Connector_PinHeader_1.00mm
+PinHeader_2x04_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x04,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x04{space}1.00mm{space}double{space}row
+0
+8
+8
+Connector_PinHeader_1.00mm
+PinHeader_2x04_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x04,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x04{space}1.00mm{space}double{space}row
+0
+8
+8
+Connector_PinHeader_1.00mm
+PinHeader_2x05_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x05,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x05{space}1.00mm{space}double{space}row
+0
+10
+10
+Connector_PinHeader_1.00mm
+PinHeader_2x05_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x05,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x05{space}1.00mm{space}double{space}row
+0
+10
+10
+Connector_PinHeader_1.00mm
+PinHeader_2x05_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x05,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x05{space}1.00mm{space}double{space}row
+0
+10
+10
+Connector_PinHeader_1.00mm
+PinHeader_2x06_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x06,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x06{space}1.00mm{space}double{space}row
+0
+12
+12
+Connector_PinHeader_1.00mm
+PinHeader_2x06_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x06,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x06{space}1.00mm{space}double{space}row
+0
+12
+12
+Connector_PinHeader_1.00mm
+PinHeader_2x06_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x06,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x06{space}1.00mm{space}double{space}row
+0
+12
+12
+Connector_PinHeader_1.00mm
+PinHeader_2x07_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x07,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x07{space}1.00mm{space}double{space}row
+0
+14
+14
+Connector_PinHeader_1.00mm
+PinHeader_2x07_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x07,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x07{space}1.00mm{space}double{space}row
+0
+14
+14
+Connector_PinHeader_1.00mm
+PinHeader_2x07_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x07,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x07{space}1.00mm{space}double{space}row
+0
+14
+14
+Connector_PinHeader_1.00mm
+PinHeader_2x08_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x08,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x08{space}1.00mm{space}double{space}row
+0
+16
+16
+Connector_PinHeader_1.00mm
+PinHeader_2x08_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x08,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x08{space}1.00mm{space}double{space}row
+0
+16
+16
+Connector_PinHeader_1.00mm
+PinHeader_2x08_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x08,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x08{space}1.00mm{space}double{space}row
+0
+16
+16
+Connector_PinHeader_1.00mm
+PinHeader_2x09_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x09,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x09{space}1.00mm{space}double{space}row
+0
+18
+18
+Connector_PinHeader_1.00mm
+PinHeader_2x09_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x09,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x09{space}1.00mm{space}double{space}row
+0
+18
+18
+Connector_PinHeader_1.00mm
+PinHeader_2x09_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x09,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x09{space}1.00mm{space}double{space}row
+0
+18
+18
+Connector_PinHeader_1.00mm
+PinHeader_2x10_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x10,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x10{space}1.00mm{space}double{space}row
+0
+20
+20
+Connector_PinHeader_1.00mm
+PinHeader_2x10_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x10,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x10{space}1.00mm{space}double{space}row
+0
+20
+20
+Connector_PinHeader_1.00mm
+PinHeader_2x10_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x10,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x10{space}1.00mm{space}double{space}row
+0
+20
+20
+Connector_PinHeader_1.00mm
+PinHeader_2x11_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x11,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x11{space}1.00mm{space}double{space}row
+0
+22
+22
+Connector_PinHeader_1.00mm
+PinHeader_2x11_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x11,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x11{space}1.00mm{space}double{space}row
+0
+22
+22
+Connector_PinHeader_1.00mm
+PinHeader_2x11_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x11,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x11{space}1.00mm{space}double{space}row
+0
+22
+22
+Connector_PinHeader_1.00mm
+PinHeader_2x12_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x12,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x12{space}1.00mm{space}double{space}row
+0
+24
+24
+Connector_PinHeader_1.00mm
+PinHeader_2x12_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x12,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x12{space}1.00mm{space}double{space}row
+0
+24
+24
+Connector_PinHeader_1.00mm
+PinHeader_2x12_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x12,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x12{space}1.00mm{space}double{space}row
+0
+24
+24
+Connector_PinHeader_1.00mm
+PinHeader_2x13_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x13,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x13{space}1.00mm{space}double{space}row
+0
+26
+26
+Connector_PinHeader_1.00mm
+PinHeader_2x13_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x13,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x13{space}1.00mm{space}double{space}row
+0
+26
+26
+Connector_PinHeader_1.00mm
+PinHeader_2x13_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x13,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x13{space}1.00mm{space}double{space}row
+0
+26
+26
+Connector_PinHeader_1.00mm
+PinHeader_2x14_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x14,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x14{space}1.00mm{space}double{space}row
+0
+28
+28
+Connector_PinHeader_1.00mm
+PinHeader_2x14_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x14,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x14{space}1.00mm{space}double{space}row
+0
+28
+28
+Connector_PinHeader_1.00mm
+PinHeader_2x14_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x14,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x14{space}1.00mm{space}double{space}row
+0
+28
+28
+Connector_PinHeader_1.00mm
+PinHeader_2x15_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x15,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x15{space}1.00mm{space}double{space}row
+0
+30
+30
+Connector_PinHeader_1.00mm
+PinHeader_2x15_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x15,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x15{space}1.00mm{space}double{space}row
+0
+30
+30
+Connector_PinHeader_1.00mm
+PinHeader_2x15_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x15,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x15{space}1.00mm{space}double{space}row
+0
+30
+30
+Connector_PinHeader_1.00mm
+PinHeader_2x16_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x16,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x16{space}1.00mm{space}double{space}row
+0
+32
+32
+Connector_PinHeader_1.00mm
+PinHeader_2x16_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x16,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x16{space}1.00mm{space}double{space}row
+0
+32
+32
+Connector_PinHeader_1.00mm
+PinHeader_2x16_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x16,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x16{space}1.00mm{space}double{space}row
+0
+32
+32
+Connector_PinHeader_1.00mm
+PinHeader_2x17_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x17,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x17{space}1.00mm{space}double{space}row
+0
+34
+34
+Connector_PinHeader_1.00mm
+PinHeader_2x17_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x17,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x17{space}1.00mm{space}double{space}row
+0
+34
+34
+Connector_PinHeader_1.00mm
+PinHeader_2x17_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x17,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x17{space}1.00mm{space}double{space}row
+0
+34
+34
+Connector_PinHeader_1.00mm
+PinHeader_2x18_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x18,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x18{space}1.00mm{space}double{space}row
+0
+36
+36
+Connector_PinHeader_1.00mm
+PinHeader_2x18_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x18,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x18{space}1.00mm{space}double{space}row
+0
+36
+36
+Connector_PinHeader_1.00mm
+PinHeader_2x18_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x18,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x18{space}1.00mm{space}double{space}row
+0
+36
+36
+Connector_PinHeader_1.00mm
+PinHeader_2x19_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x19,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x19{space}1.00mm{space}double{space}row
+0
+38
+38
+Connector_PinHeader_1.00mm
+PinHeader_2x19_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x19,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x19{space}1.00mm{space}double{space}row
+0
+38
+38
+Connector_PinHeader_1.00mm
+PinHeader_2x19_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x19,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x19{space}1.00mm{space}double{space}row
+0
+38
+38
+Connector_PinHeader_1.00mm
+PinHeader_2x20_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x20,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x20{space}1.00mm{space}double{space}row
+0
+40
+40
+Connector_PinHeader_1.00mm
+PinHeader_2x20_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x20,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x20{space}1.00mm{space}double{space}row
+0
+40
+40
+Connector_PinHeader_1.00mm
+PinHeader_2x20_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x20,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x20{space}1.00mm{space}double{space}row
+0
+40
+40
+Connector_PinHeader_1.00mm
+PinHeader_2x21_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x21,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x21{space}1.00mm{space}double{space}row
+0
+42
+42
+Connector_PinHeader_1.00mm
+PinHeader_2x21_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x21,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x21{space}1.00mm{space}double{space}row
+0
+42
+42
+Connector_PinHeader_1.00mm
+PinHeader_2x21_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x21,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x21{space}1.00mm{space}double{space}row
+0
+42
+42
+Connector_PinHeader_1.00mm
+PinHeader_2x22_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x22,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x22{space}1.00mm{space}double{space}row
+0
+44
+44
+Connector_PinHeader_1.00mm
+PinHeader_2x22_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x22,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x22{space}1.00mm{space}double{space}row
+0
+44
+44
+Connector_PinHeader_1.00mm
+PinHeader_2x22_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x22,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x22{space}1.00mm{space}double{space}row
+0
+44
+44
+Connector_PinHeader_1.00mm
+PinHeader_2x23_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x23,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x23{space}1.00mm{space}double{space}row
+0
+46
+46
+Connector_PinHeader_1.00mm
+PinHeader_2x23_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x23,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x23{space}1.00mm{space}double{space}row
+0
+46
+46
+Connector_PinHeader_1.00mm
+PinHeader_2x23_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x23,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x23{space}1.00mm{space}double{space}row
+0
+46
+46
+Connector_PinHeader_1.00mm
+PinHeader_2x24_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x24,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x24{space}1.00mm{space}double{space}row
+0
+48
+48
+Connector_PinHeader_1.00mm
+PinHeader_2x24_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x24,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x24{space}1.00mm{space}double{space}row
+0
+48
+48
+Connector_PinHeader_1.00mm
+PinHeader_2x24_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x24,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x24{space}1.00mm{space}double{space}row
+0
+48
+48
+Connector_PinHeader_1.00mm
+PinHeader_2x25_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x25,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x25{space}1.00mm{space}double{space}row
+0
+50
+50
+Connector_PinHeader_1.00mm
+PinHeader_2x25_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x25,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x25{space}1.00mm{space}double{space}row
+0
+50
+50
+Connector_PinHeader_1.00mm
+PinHeader_2x25_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x25,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x25{space}1.00mm{space}double{space}row
+0
+50
+50
+Connector_PinHeader_1.00mm
+PinHeader_2x26_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x26,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x26{space}1.00mm{space}double{space}row
+0
+52
+52
+Connector_PinHeader_1.00mm
+PinHeader_2x26_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x26,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x26{space}1.00mm{space}double{space}row
+0
+52
+52
+Connector_PinHeader_1.00mm
+PinHeader_2x26_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x26,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x26{space}1.00mm{space}double{space}row
+0
+52
+52
+Connector_PinHeader_1.00mm
+PinHeader_2x27_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x27,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x27{space}1.00mm{space}double{space}row
+0
+54
+54
+Connector_PinHeader_1.00mm
+PinHeader_2x27_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x27,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x27{space}1.00mm{space}double{space}row
+0
+54
+54
+Connector_PinHeader_1.00mm
+PinHeader_2x27_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x27,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x27{space}1.00mm{space}double{space}row
+0
+54
+54
+Connector_PinHeader_1.00mm
+PinHeader_2x28_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x28,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x28{space}1.00mm{space}double{space}row
+0
+56
+56
+Connector_PinHeader_1.00mm
+PinHeader_2x28_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x28,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x28{space}1.00mm{space}double{space}row
+0
+56
+56
+Connector_PinHeader_1.00mm
+PinHeader_2x28_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x28,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x28{space}1.00mm{space}double{space}row
+0
+56
+56
+Connector_PinHeader_1.00mm
+PinHeader_2x29_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x29,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x29{space}1.00mm{space}double{space}row
+0
+58
+58
+Connector_PinHeader_1.00mm
+PinHeader_2x29_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x29,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x29{space}1.00mm{space}double{space}row
+0
+58
+58
+Connector_PinHeader_1.00mm
+PinHeader_2x29_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x29,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x29{space}1.00mm{space}double{space}row
+0
+58
+58
+Connector_PinHeader_1.00mm
+PinHeader_2x30_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x30,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x30{space}1.00mm{space}double{space}row
+0
+60
+60
+Connector_PinHeader_1.00mm
+PinHeader_2x30_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x30,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x30{space}1.00mm{space}double{space}row
+0
+60
+60
+Connector_PinHeader_1.00mm
+PinHeader_2x30_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x30,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x30{space}1.00mm{space}double{space}row
+0
+60
+60
+Connector_PinHeader_1.00mm
+PinHeader_2x31_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x31,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x31{space}1.00mm{space}double{space}row
+0
+62
+62
+Connector_PinHeader_1.00mm
+PinHeader_2x31_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x31,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x31{space}1.00mm{space}double{space}row
+0
+62
+62
+Connector_PinHeader_1.00mm
+PinHeader_2x31_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x31,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x31{space}1.00mm{space}double{space}row
+0
+62
+62
+Connector_PinHeader_1.00mm
+PinHeader_2x32_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x32,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x32{space}1.00mm{space}double{space}row
+0
+64
+64
+Connector_PinHeader_1.00mm
+PinHeader_2x32_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x32,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x32{space}1.00mm{space}double{space}row
+0
+64
+64
+Connector_PinHeader_1.00mm
+PinHeader_2x32_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x32,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x32{space}1.00mm{space}double{space}row
+0
+64
+64
+Connector_PinHeader_1.00mm
+PinHeader_2x33_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x33,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x33{space}1.00mm{space}double{space}row
+0
+66
+66
+Connector_PinHeader_1.00mm
+PinHeader_2x33_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x33,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x33{space}1.00mm{space}double{space}row
+0
+66
+66
+Connector_PinHeader_1.00mm
+PinHeader_2x33_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x33,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x33{space}1.00mm{space}double{space}row
+0
+66
+66
+Connector_PinHeader_1.00mm
+PinHeader_2x34_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x34,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x34{space}1.00mm{space}double{space}row
+0
+68
+68
+Connector_PinHeader_1.00mm
+PinHeader_2x34_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x34,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x34{space}1.00mm{space}double{space}row
+0
+68
+68
+Connector_PinHeader_1.00mm
+PinHeader_2x34_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x34,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x34{space}1.00mm{space}double{space}row
+0
+68
+68
+Connector_PinHeader_1.00mm
+PinHeader_2x35_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x35,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x35{space}1.00mm{space}double{space}row
+0
+70
+70
+Connector_PinHeader_1.00mm
+PinHeader_2x35_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x35,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x35{space}1.00mm{space}double{space}row
+0
+70
+70
+Connector_PinHeader_1.00mm
+PinHeader_2x35_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x35,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x35{space}1.00mm{space}double{space}row
+0
+70
+70
+Connector_PinHeader_1.00mm
+PinHeader_2x36_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x36,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x36{space}1.00mm{space}double{space}row
+0
+72
+72
+Connector_PinHeader_1.00mm
+PinHeader_2x36_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x36,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x36{space}1.00mm{space}double{space}row
+0
+72
+72
+Connector_PinHeader_1.00mm
+PinHeader_2x36_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x36,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x36{space}1.00mm{space}double{space}row
+0
+72
+72
+Connector_PinHeader_1.00mm
+PinHeader_2x37_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x37,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x37{space}1.00mm{space}double{space}row
+0
+74
+74
+Connector_PinHeader_1.00mm
+PinHeader_2x37_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x37,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x37{space}1.00mm{space}double{space}row
+0
+74
+74
+Connector_PinHeader_1.00mm
+PinHeader_2x37_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x37,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x37{space}1.00mm{space}double{space}row
+0
+74
+74
+Connector_PinHeader_1.00mm
+PinHeader_2x38_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x38,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x38{space}1.00mm{space}double{space}row
+0
+76
+76
+Connector_PinHeader_1.00mm
+PinHeader_2x38_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x38,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x38{space}1.00mm{space}double{space}row
+0
+76
+76
+Connector_PinHeader_1.00mm
+PinHeader_2x38_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x38,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x38{space}1.00mm{space}double{space}row
+0
+76
+76
+Connector_PinHeader_1.00mm
+PinHeader_2x39_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x39,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x39{space}1.00mm{space}double{space}row
+0
+78
+78
+Connector_PinHeader_1.00mm
+PinHeader_2x39_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x39,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x39{space}1.00mm{space}double{space}row
+0
+78
+78
+Connector_PinHeader_1.00mm
+PinHeader_2x39_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x39,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x39{space}1.00mm{space}double{space}row
+0
+78
+78
+Connector_PinHeader_1.00mm
+PinHeader_2x40_P1.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x40,{space}1.00mm{space}pitch,{space}2.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x40{space}1.00mm{space}double{space}row
+0
+80
+80
+Connector_PinHeader_1.00mm
+PinHeader_2x40_P1.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x40,{space}1.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x40{space}1.00mm{space}double{space}row
+0
+80
+80
+Connector_PinHeader_1.00mm
+PinHeader_2x40_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x40,{space}1.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x40{space}1.00mm{space}double{space}row
+0
+80
+80
+Connector_PinHeader_1.27mm
+PinHeader_1x01_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x01,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x01{space}1.27mm{space}single{space}row
+0
+1
+1
+Connector_PinHeader_1.27mm
+PinHeader_1x01_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x01,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x01{space}1.27mm{space}single{space}row
+0
+1
+1
+Connector_PinHeader_1.27mm
+PinHeader_1x02_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x02,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x02{space}1.27mm{space}single{space}row
+0
+2
+2
+Connector_PinHeader_1.27mm
+PinHeader_1x02_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x02,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x02{space}1.27mm{space}single{space}row
+0
+2
+2
+Connector_PinHeader_1.27mm
+PinHeader_1x02_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x02,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x02{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+2
+2
+Connector_PinHeader_1.27mm
+PinHeader_1x02_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x02,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x02{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+2
+2
+Connector_PinHeader_1.27mm
+PinHeader_1x03_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x03,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x03{space}1.27mm{space}single{space}row
+0
+3
+3
+Connector_PinHeader_1.27mm
+PinHeader_1x03_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x03,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x03{space}1.27mm{space}single{space}row
+0
+3
+3
+Connector_PinHeader_1.27mm
+PinHeader_1x03_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x03,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x03{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+3
+3
+Connector_PinHeader_1.27mm
+PinHeader_1x03_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x03,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x03{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+3
+3
+Connector_PinHeader_1.27mm
+PinHeader_1x04_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x04,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x04{space}1.27mm{space}single{space}row
+0
+4
+4
+Connector_PinHeader_1.27mm
+PinHeader_1x04_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x04,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x04{space}1.27mm{space}single{space}row
+0
+4
+4
+Connector_PinHeader_1.27mm
+PinHeader_1x04_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x04,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x04{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+4
+4
+Connector_PinHeader_1.27mm
+PinHeader_1x04_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x04,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x04{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+4
+4
+Connector_PinHeader_1.27mm
+PinHeader_1x05_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x05,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x05{space}1.27mm{space}single{space}row
+0
+5
+5
+Connector_PinHeader_1.27mm
+PinHeader_1x05_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x05,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x05{space}1.27mm{space}single{space}row
+0
+5
+5
+Connector_PinHeader_1.27mm
+PinHeader_1x05_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x05,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x05{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+5
+5
+Connector_PinHeader_1.27mm
+PinHeader_1x05_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x05,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x05{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+5
+5
+Connector_PinHeader_1.27mm
+PinHeader_1x06_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x06,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x06{space}1.27mm{space}single{space}row
+0
+6
+6
+Connector_PinHeader_1.27mm
+PinHeader_1x06_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x06,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x06{space}1.27mm{space}single{space}row
+0
+6
+6
+Connector_PinHeader_1.27mm
+PinHeader_1x06_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x06,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x06{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+6
+6
+Connector_PinHeader_1.27mm
+PinHeader_1x06_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x06,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x06{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+6
+6
+Connector_PinHeader_1.27mm
+PinHeader_1x07_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x07,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x07{space}1.27mm{space}single{space}row
+0
+7
+7
+Connector_PinHeader_1.27mm
+PinHeader_1x07_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x07,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x07{space}1.27mm{space}single{space}row
+0
+7
+7
+Connector_PinHeader_1.27mm
+PinHeader_1x07_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x07,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x07{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+7
+7
+Connector_PinHeader_1.27mm
+PinHeader_1x07_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x07,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x07{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+7
+7
+Connector_PinHeader_1.27mm
+PinHeader_1x08_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x08,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x08{space}1.27mm{space}single{space}row
+0
+8
+8
+Connector_PinHeader_1.27mm
+PinHeader_1x08_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x08,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x08{space}1.27mm{space}single{space}row
+0
+8
+8
+Connector_PinHeader_1.27mm
+PinHeader_1x08_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x08,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x08{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+8
+8
+Connector_PinHeader_1.27mm
+PinHeader_1x08_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x08,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x08{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+8
+8
+Connector_PinHeader_1.27mm
+PinHeader_1x09_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x09,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x09{space}1.27mm{space}single{space}row
+0
+9
+9
+Connector_PinHeader_1.27mm
+PinHeader_1x09_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x09,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x09{space}1.27mm{space}single{space}row
+0
+9
+9
+Connector_PinHeader_1.27mm
+PinHeader_1x09_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x09,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x09{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+9
+9
+Connector_PinHeader_1.27mm
+PinHeader_1x09_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x09,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x09{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+9
+9
+Connector_PinHeader_1.27mm
+PinHeader_1x10_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x10,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x10{space}1.27mm{space}single{space}row
+0
+10
+10
+Connector_PinHeader_1.27mm
+PinHeader_1x10_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x10,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x10{space}1.27mm{space}single{space}row
+0
+10
+10
+Connector_PinHeader_1.27mm
+PinHeader_1x10_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x10,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x10{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+10
+10
+Connector_PinHeader_1.27mm
+PinHeader_1x10_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x10,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x10{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+10
+10
+Connector_PinHeader_1.27mm
+PinHeader_1x11_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x11,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x11{space}1.27mm{space}single{space}row
+0
+11
+11
+Connector_PinHeader_1.27mm
+PinHeader_1x11_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x11,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x11{space}1.27mm{space}single{space}row
+0
+11
+11
+Connector_PinHeader_1.27mm
+PinHeader_1x11_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x11,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x11{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+11
+11
+Connector_PinHeader_1.27mm
+PinHeader_1x11_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x11,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x11{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+11
+11
+Connector_PinHeader_1.27mm
+PinHeader_1x12_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x12,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x12{space}1.27mm{space}single{space}row
+0
+12
+12
+Connector_PinHeader_1.27mm
+PinHeader_1x12_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x12,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x12{space}1.27mm{space}single{space}row
+0
+12
+12
+Connector_PinHeader_1.27mm
+PinHeader_1x12_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x12,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x12{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+12
+12
+Connector_PinHeader_1.27mm
+PinHeader_1x12_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x12,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x12{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+12
+12
+Connector_PinHeader_1.27mm
+PinHeader_1x13_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x13,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x13{space}1.27mm{space}single{space}row
+0
+13
+13
+Connector_PinHeader_1.27mm
+PinHeader_1x13_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x13,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x13{space}1.27mm{space}single{space}row
+0
+13
+13
+Connector_PinHeader_1.27mm
+PinHeader_1x13_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x13,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x13{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+13
+13
+Connector_PinHeader_1.27mm
+PinHeader_1x13_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x13,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x13{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+13
+13
+Connector_PinHeader_1.27mm
+PinHeader_1x14_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x14,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x14{space}1.27mm{space}single{space}row
+0
+14
+14
+Connector_PinHeader_1.27mm
+PinHeader_1x14_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x14,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x14{space}1.27mm{space}single{space}row
+0
+14
+14
+Connector_PinHeader_1.27mm
+PinHeader_1x14_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x14,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x14{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+14
+14
+Connector_PinHeader_1.27mm
+PinHeader_1x14_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x14,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x14{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+14
+14
+Connector_PinHeader_1.27mm
+PinHeader_1x15_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x15,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x15{space}1.27mm{space}single{space}row
+0
+15
+15
+Connector_PinHeader_1.27mm
+PinHeader_1x15_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x15,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x15{space}1.27mm{space}single{space}row
+0
+15
+15
+Connector_PinHeader_1.27mm
+PinHeader_1x15_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x15,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x15{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+15
+15
+Connector_PinHeader_1.27mm
+PinHeader_1x15_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x15,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x15{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+15
+15
+Connector_PinHeader_1.27mm
+PinHeader_1x16_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x16,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x16{space}1.27mm{space}single{space}row
+0
+16
+16
+Connector_PinHeader_1.27mm
+PinHeader_1x16_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x16,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x16{space}1.27mm{space}single{space}row
+0
+16
+16
+Connector_PinHeader_1.27mm
+PinHeader_1x16_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x16,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x16{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+16
+16
+Connector_PinHeader_1.27mm
+PinHeader_1x16_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x16,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x16{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+16
+16
+Connector_PinHeader_1.27mm
+PinHeader_1x17_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x17,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x17{space}1.27mm{space}single{space}row
+0
+17
+17
+Connector_PinHeader_1.27mm
+PinHeader_1x17_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x17,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x17{space}1.27mm{space}single{space}row
+0
+17
+17
+Connector_PinHeader_1.27mm
+PinHeader_1x17_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x17,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x17{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+17
+17
+Connector_PinHeader_1.27mm
+PinHeader_1x17_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x17,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x17{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+17
+17
+Connector_PinHeader_1.27mm
+PinHeader_1x18_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x18,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x18{space}1.27mm{space}single{space}row
+0
+18
+18
+Connector_PinHeader_1.27mm
+PinHeader_1x18_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x18,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x18{space}1.27mm{space}single{space}row
+0
+18
+18
+Connector_PinHeader_1.27mm
+PinHeader_1x18_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x18,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x18{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+18
+18
+Connector_PinHeader_1.27mm
+PinHeader_1x18_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x18,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x18{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+18
+18
+Connector_PinHeader_1.27mm
+PinHeader_1x19_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x19,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x19{space}1.27mm{space}single{space}row
+0
+19
+19
+Connector_PinHeader_1.27mm
+PinHeader_1x19_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x19,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x19{space}1.27mm{space}single{space}row
+0
+19
+19
+Connector_PinHeader_1.27mm
+PinHeader_1x19_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x19,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x19{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+19
+19
+Connector_PinHeader_1.27mm
+PinHeader_1x19_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x19,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x19{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+19
+19
+Connector_PinHeader_1.27mm
+PinHeader_1x20_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x20,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x20{space}1.27mm{space}single{space}row
+0
+20
+20
+Connector_PinHeader_1.27mm
+PinHeader_1x20_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x20,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x20{space}1.27mm{space}single{space}row
+0
+20
+20
+Connector_PinHeader_1.27mm
+PinHeader_1x20_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x20,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x20{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+20
+20
+Connector_PinHeader_1.27mm
+PinHeader_1x20_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x20,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x20{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+20
+20
+Connector_PinHeader_1.27mm
+PinHeader_1x21_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x21,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x21{space}1.27mm{space}single{space}row
+0
+21
+21
+Connector_PinHeader_1.27mm
+PinHeader_1x21_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x21,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x21{space}1.27mm{space}single{space}row
+0
+21
+21
+Connector_PinHeader_1.27mm
+PinHeader_1x21_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x21,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x21{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+21
+21
+Connector_PinHeader_1.27mm
+PinHeader_1x21_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x21,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x21{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+21
+21
+Connector_PinHeader_1.27mm
+PinHeader_1x22_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x22,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x22{space}1.27mm{space}single{space}row
+0
+22
+22
+Connector_PinHeader_1.27mm
+PinHeader_1x22_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x22,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x22{space}1.27mm{space}single{space}row
+0
+22
+22
+Connector_PinHeader_1.27mm
+PinHeader_1x22_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x22,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x22{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+22
+22
+Connector_PinHeader_1.27mm
+PinHeader_1x22_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x22,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x22{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+22
+22
+Connector_PinHeader_1.27mm
+PinHeader_1x23_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x23,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x23{space}1.27mm{space}single{space}row
+0
+23
+23
+Connector_PinHeader_1.27mm
+PinHeader_1x23_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x23,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x23{space}1.27mm{space}single{space}row
+0
+23
+23
+Connector_PinHeader_1.27mm
+PinHeader_1x23_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x23,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x23{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+23
+23
+Connector_PinHeader_1.27mm
+PinHeader_1x23_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x23,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x23{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+23
+23
+Connector_PinHeader_1.27mm
+PinHeader_1x24_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x24,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x24{space}1.27mm{space}single{space}row
+0
+24
+24
+Connector_PinHeader_1.27mm
+PinHeader_1x24_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x24,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x24{space}1.27mm{space}single{space}row
+0
+24
+24
+Connector_PinHeader_1.27mm
+PinHeader_1x24_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x24,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x24{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+24
+24
+Connector_PinHeader_1.27mm
+PinHeader_1x24_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x24,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x24{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+24
+24
+Connector_PinHeader_1.27mm
+PinHeader_1x25_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x25,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x25{space}1.27mm{space}single{space}row
+0
+25
+25
+Connector_PinHeader_1.27mm
+PinHeader_1x25_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x25,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x25{space}1.27mm{space}single{space}row
+0
+25
+25
+Connector_PinHeader_1.27mm
+PinHeader_1x25_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x25,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x25{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+25
+25
+Connector_PinHeader_1.27mm
+PinHeader_1x25_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x25,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x25{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+25
+25
+Connector_PinHeader_1.27mm
+PinHeader_1x26_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x26,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x26{space}1.27mm{space}single{space}row
+0
+26
+26
+Connector_PinHeader_1.27mm
+PinHeader_1x26_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x26,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x26{space}1.27mm{space}single{space}row
+0
+26
+26
+Connector_PinHeader_1.27mm
+PinHeader_1x26_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x26,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x26{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+26
+26
+Connector_PinHeader_1.27mm
+PinHeader_1x26_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x26,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x26{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+26
+26
+Connector_PinHeader_1.27mm
+PinHeader_1x27_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x27,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x27{space}1.27mm{space}single{space}row
+0
+27
+27
+Connector_PinHeader_1.27mm
+PinHeader_1x27_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x27,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x27{space}1.27mm{space}single{space}row
+0
+27
+27
+Connector_PinHeader_1.27mm
+PinHeader_1x27_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x27,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x27{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+27
+27
+Connector_PinHeader_1.27mm
+PinHeader_1x27_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x27,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x27{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+27
+27
+Connector_PinHeader_1.27mm
+PinHeader_1x28_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x28,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x28{space}1.27mm{space}single{space}row
+0
+28
+28
+Connector_PinHeader_1.27mm
+PinHeader_1x28_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x28,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x28{space}1.27mm{space}single{space}row
+0
+28
+28
+Connector_PinHeader_1.27mm
+PinHeader_1x28_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x28,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x28{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+28
+28
+Connector_PinHeader_1.27mm
+PinHeader_1x28_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x28,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x28{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+28
+28
+Connector_PinHeader_1.27mm
+PinHeader_1x29_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x29,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x29{space}1.27mm{space}single{space}row
+0
+29
+29
+Connector_PinHeader_1.27mm
+PinHeader_1x29_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x29,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x29{space}1.27mm{space}single{space}row
+0
+29
+29
+Connector_PinHeader_1.27mm
+PinHeader_1x29_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x29,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x29{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+29
+29
+Connector_PinHeader_1.27mm
+PinHeader_1x29_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x29,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x29{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+29
+29
+Connector_PinHeader_1.27mm
+PinHeader_1x30_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x30,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x30{space}1.27mm{space}single{space}row
+0
+30
+30
+Connector_PinHeader_1.27mm
+PinHeader_1x30_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x30,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x30{space}1.27mm{space}single{space}row
+0
+30
+30
+Connector_PinHeader_1.27mm
+PinHeader_1x30_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x30,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x30{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+30
+30
+Connector_PinHeader_1.27mm
+PinHeader_1x30_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x30,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x30{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+30
+30
+Connector_PinHeader_1.27mm
+PinHeader_1x31_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x31,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x31{space}1.27mm{space}single{space}row
+0
+31
+31
+Connector_PinHeader_1.27mm
+PinHeader_1x31_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x31,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x31{space}1.27mm{space}single{space}row
+0
+31
+31
+Connector_PinHeader_1.27mm
+PinHeader_1x31_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x31,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x31{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+31
+31
+Connector_PinHeader_1.27mm
+PinHeader_1x31_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x31,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x31{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+31
+31
+Connector_PinHeader_1.27mm
+PinHeader_1x32_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x32,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x32{space}1.27mm{space}single{space}row
+0
+32
+32
+Connector_PinHeader_1.27mm
+PinHeader_1x32_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x32,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x32{space}1.27mm{space}single{space}row
+0
+32
+32
+Connector_PinHeader_1.27mm
+PinHeader_1x32_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x32,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x32{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+32
+32
+Connector_PinHeader_1.27mm
+PinHeader_1x32_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x32,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x32{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+32
+32
+Connector_PinHeader_1.27mm
+PinHeader_1x33_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x33,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x33{space}1.27mm{space}single{space}row
+0
+33
+33
+Connector_PinHeader_1.27mm
+PinHeader_1x33_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x33,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x33{space}1.27mm{space}single{space}row
+0
+33
+33
+Connector_PinHeader_1.27mm
+PinHeader_1x33_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x33,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x33{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+33
+33
+Connector_PinHeader_1.27mm
+PinHeader_1x33_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x33,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x33{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+33
+33
+Connector_PinHeader_1.27mm
+PinHeader_1x34_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x34,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x34{space}1.27mm{space}single{space}row
+0
+34
+34
+Connector_PinHeader_1.27mm
+PinHeader_1x34_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x34,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x34{space}1.27mm{space}single{space}row
+0
+34
+34
+Connector_PinHeader_1.27mm
+PinHeader_1x34_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x34,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x34{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+34
+34
+Connector_PinHeader_1.27mm
+PinHeader_1x34_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x34,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x34{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+34
+34
+Connector_PinHeader_1.27mm
+PinHeader_1x35_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x35,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x35{space}1.27mm{space}single{space}row
+0
+35
+35
+Connector_PinHeader_1.27mm
+PinHeader_1x35_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x35,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x35{space}1.27mm{space}single{space}row
+0
+35
+35
+Connector_PinHeader_1.27mm
+PinHeader_1x35_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x35,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x35{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+35
+35
+Connector_PinHeader_1.27mm
+PinHeader_1x35_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x35,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x35{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+35
+35
+Connector_PinHeader_1.27mm
+PinHeader_1x36_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x36,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x36{space}1.27mm{space}single{space}row
+0
+36
+36
+Connector_PinHeader_1.27mm
+PinHeader_1x36_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x36,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x36{space}1.27mm{space}single{space}row
+0
+36
+36
+Connector_PinHeader_1.27mm
+PinHeader_1x36_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x36,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x36{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+36
+36
+Connector_PinHeader_1.27mm
+PinHeader_1x36_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x36,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x36{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+36
+36
+Connector_PinHeader_1.27mm
+PinHeader_1x37_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x37,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x37{space}1.27mm{space}single{space}row
+0
+37
+37
+Connector_PinHeader_1.27mm
+PinHeader_1x37_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x37,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x37{space}1.27mm{space}single{space}row
+0
+37
+37
+Connector_PinHeader_1.27mm
+PinHeader_1x37_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x37,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x37{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+37
+37
+Connector_PinHeader_1.27mm
+PinHeader_1x37_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x37,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x37{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+37
+37
+Connector_PinHeader_1.27mm
+PinHeader_1x38_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x38,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x38{space}1.27mm{space}single{space}row
+0
+38
+38
+Connector_PinHeader_1.27mm
+PinHeader_1x38_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x38,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x38{space}1.27mm{space}single{space}row
+0
+38
+38
+Connector_PinHeader_1.27mm
+PinHeader_1x38_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x38,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x38{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+38
+38
+Connector_PinHeader_1.27mm
+PinHeader_1x38_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x38,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x38{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+38
+38
+Connector_PinHeader_1.27mm
+PinHeader_1x39_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x39,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x39{space}1.27mm{space}single{space}row
+0
+39
+39
+Connector_PinHeader_1.27mm
+PinHeader_1x39_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x39,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x39{space}1.27mm{space}single{space}row
+0
+39
+39
+Connector_PinHeader_1.27mm
+PinHeader_1x39_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x39,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x39{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+39
+39
+Connector_PinHeader_1.27mm
+PinHeader_1x39_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x39,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x39{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+39
+39
+Connector_PinHeader_1.27mm
+PinHeader_1x40_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x40,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x40{space}1.27mm{space}single{space}row
+0
+40
+40
+Connector_PinHeader_1.27mm
+PinHeader_1x40_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x40,{space}1.27mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x40{space}1.27mm{space}single{space}row
+0
+40
+40
+Connector_PinHeader_1.27mm
+PinHeader_1x40_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x40,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x40{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+40
+40
+Connector_PinHeader_1.27mm
+PinHeader_1x40_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x40,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x40{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+40
+40
+Connector_PinHeader_1.27mm
+PinHeader_2x01_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x01,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x01{space}1.27mm{space}double{space}row
+0
+2
+2
+Connector_PinHeader_1.27mm
+PinHeader_2x01_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x01,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x01{space}1.27mm{space}double{space}row
+0
+2
+2
+Connector_PinHeader_1.27mm
+PinHeader_2x01_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x01,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x01{space}1.27mm{space}double{space}row
+0
+2
+2
+Connector_PinHeader_1.27mm
+PinHeader_2x02_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x02,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x02{space}1.27mm{space}double{space}row
+0
+4
+4
+Connector_PinHeader_1.27mm
+PinHeader_2x02_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x02,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x02{space}1.27mm{space}double{space}row
+0
+4
+4
+Connector_PinHeader_1.27mm
+PinHeader_2x02_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x02,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x02{space}1.27mm{space}double{space}row
+0
+4
+4
+Connector_PinHeader_1.27mm
+PinHeader_2x03_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x03,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x03{space}1.27mm{space}double{space}row
+0
+6
+6
+Connector_PinHeader_1.27mm
+PinHeader_2x03_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x03,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x03{space}1.27mm{space}double{space}row
+0
+6
+6
+Connector_PinHeader_1.27mm
+PinHeader_2x03_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x03,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x03{space}1.27mm{space}double{space}row
+0
+6
+6
+Connector_PinHeader_1.27mm
+PinHeader_2x04_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x04,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x04{space}1.27mm{space}double{space}row
+0
+8
+8
+Connector_PinHeader_1.27mm
+PinHeader_2x04_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x04,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x04{space}1.27mm{space}double{space}row
+0
+8
+8
+Connector_PinHeader_1.27mm
+PinHeader_2x04_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x04,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x04{space}1.27mm{space}double{space}row
+0
+8
+8
+Connector_PinHeader_1.27mm
+PinHeader_2x05_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x05,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x05{space}1.27mm{space}double{space}row
+0
+10
+10
+Connector_PinHeader_1.27mm
+PinHeader_2x05_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x05,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x05{space}1.27mm{space}double{space}row
+0
+10
+10
+Connector_PinHeader_1.27mm
+PinHeader_2x05_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x05,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x05{space}1.27mm{space}double{space}row
+0
+10
+10
+Connector_PinHeader_1.27mm
+PinHeader_2x06_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x06,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x06{space}1.27mm{space}double{space}row
+0
+12
+12
+Connector_PinHeader_1.27mm
+PinHeader_2x06_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x06,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x06{space}1.27mm{space}double{space}row
+0
+12
+12
+Connector_PinHeader_1.27mm
+PinHeader_2x06_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x06,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x06{space}1.27mm{space}double{space}row
+0
+12
+12
+Connector_PinHeader_1.27mm
+PinHeader_2x07_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x07,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x07{space}1.27mm{space}double{space}row
+0
+14
+14
+Connector_PinHeader_1.27mm
+PinHeader_2x07_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x07,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x07{space}1.27mm{space}double{space}row
+0
+14
+14
+Connector_PinHeader_1.27mm
+PinHeader_2x07_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x07,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x07{space}1.27mm{space}double{space}row
+0
+14
+14
+Connector_PinHeader_1.27mm
+PinHeader_2x08_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x08,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x08{space}1.27mm{space}double{space}row
+0
+16
+16
+Connector_PinHeader_1.27mm
+PinHeader_2x08_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x08,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x08{space}1.27mm{space}double{space}row
+0
+16
+16
+Connector_PinHeader_1.27mm
+PinHeader_2x08_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x08,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x08{space}1.27mm{space}double{space}row
+0
+16
+16
+Connector_PinHeader_1.27mm
+PinHeader_2x09_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x09,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x09{space}1.27mm{space}double{space}row
+0
+18
+18
+Connector_PinHeader_1.27mm
+PinHeader_2x09_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x09,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x09{space}1.27mm{space}double{space}row
+0
+18
+18
+Connector_PinHeader_1.27mm
+PinHeader_2x09_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x09,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x09{space}1.27mm{space}double{space}row
+0
+18
+18
+Connector_PinHeader_1.27mm
+PinHeader_2x10_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x10,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x10{space}1.27mm{space}double{space}row
+0
+20
+20
+Connector_PinHeader_1.27mm
+PinHeader_2x10_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x10,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x10{space}1.27mm{space}double{space}row
+0
+20
+20
+Connector_PinHeader_1.27mm
+PinHeader_2x10_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x10,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x10{space}1.27mm{space}double{space}row
+0
+20
+20
+Connector_PinHeader_1.27mm
+PinHeader_2x11_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x11,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x11{space}1.27mm{space}double{space}row
+0
+22
+22
+Connector_PinHeader_1.27mm
+PinHeader_2x11_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x11,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x11{space}1.27mm{space}double{space}row
+0
+22
+22
+Connector_PinHeader_1.27mm
+PinHeader_2x11_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x11,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x11{space}1.27mm{space}double{space}row
+0
+22
+22
+Connector_PinHeader_1.27mm
+PinHeader_2x12_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x12,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x12{space}1.27mm{space}double{space}row
+0
+24
+24
+Connector_PinHeader_1.27mm
+PinHeader_2x12_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x12,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x12{space}1.27mm{space}double{space}row
+0
+24
+24
+Connector_PinHeader_1.27mm
+PinHeader_2x12_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x12,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x12{space}1.27mm{space}double{space}row
+0
+24
+24
+Connector_PinHeader_1.27mm
+PinHeader_2x13_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x13,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x13{space}1.27mm{space}double{space}row
+0
+26
+26
+Connector_PinHeader_1.27mm
+PinHeader_2x13_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x13,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x13{space}1.27mm{space}double{space}row
+0
+26
+26
+Connector_PinHeader_1.27mm
+PinHeader_2x13_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x13,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x13{space}1.27mm{space}double{space}row
+0
+26
+26
+Connector_PinHeader_1.27mm
+PinHeader_2x14_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x14,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x14{space}1.27mm{space}double{space}row
+0
+28
+28
+Connector_PinHeader_1.27mm
+PinHeader_2x14_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x14,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x14{space}1.27mm{space}double{space}row
+0
+28
+28
+Connector_PinHeader_1.27mm
+PinHeader_2x14_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x14,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x14{space}1.27mm{space}double{space}row
+0
+28
+28
+Connector_PinHeader_1.27mm
+PinHeader_2x15_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x15,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x15{space}1.27mm{space}double{space}row
+0
+30
+30
+Connector_PinHeader_1.27mm
+PinHeader_2x15_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x15,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x15{space}1.27mm{space}double{space}row
+0
+30
+30
+Connector_PinHeader_1.27mm
+PinHeader_2x15_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x15,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x15{space}1.27mm{space}double{space}row
+0
+30
+30
+Connector_PinHeader_1.27mm
+PinHeader_2x16_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x16,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x16{space}1.27mm{space}double{space}row
+0
+32
+32
+Connector_PinHeader_1.27mm
+PinHeader_2x16_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x16,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x16{space}1.27mm{space}double{space}row
+0
+32
+32
+Connector_PinHeader_1.27mm
+PinHeader_2x16_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x16,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x16{space}1.27mm{space}double{space}row
+0
+32
+32
+Connector_PinHeader_1.27mm
+PinHeader_2x17_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x17,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x17{space}1.27mm{space}double{space}row
+0
+34
+34
+Connector_PinHeader_1.27mm
+PinHeader_2x17_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x17,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x17{space}1.27mm{space}double{space}row
+0
+34
+34
+Connector_PinHeader_1.27mm
+PinHeader_2x17_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x17,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x17{space}1.27mm{space}double{space}row
+0
+34
+34
+Connector_PinHeader_1.27mm
+PinHeader_2x18_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x18,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x18{space}1.27mm{space}double{space}row
+0
+36
+36
+Connector_PinHeader_1.27mm
+PinHeader_2x18_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x18,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x18{space}1.27mm{space}double{space}row
+0
+36
+36
+Connector_PinHeader_1.27mm
+PinHeader_2x18_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x18,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x18{space}1.27mm{space}double{space}row
+0
+36
+36
+Connector_PinHeader_1.27mm
+PinHeader_2x19_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x19,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x19{space}1.27mm{space}double{space}row
+0
+38
+38
+Connector_PinHeader_1.27mm
+PinHeader_2x19_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x19,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x19{space}1.27mm{space}double{space}row
+0
+38
+38
+Connector_PinHeader_1.27mm
+PinHeader_2x19_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x19,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x19{space}1.27mm{space}double{space}row
+0
+38
+38
+Connector_PinHeader_1.27mm
+PinHeader_2x20_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x20,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x20{space}1.27mm{space}double{space}row
+0
+40
+40
+Connector_PinHeader_1.27mm
+PinHeader_2x20_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x20,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x20{space}1.27mm{space}double{space}row
+0
+40
+40
+Connector_PinHeader_1.27mm
+PinHeader_2x20_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x20,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x20{space}1.27mm{space}double{space}row
+0
+40
+40
+Connector_PinHeader_1.27mm
+PinHeader_2x21_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x21,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x21{space}1.27mm{space}double{space}row
+0
+42
+42
+Connector_PinHeader_1.27mm
+PinHeader_2x21_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x21,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x21{space}1.27mm{space}double{space}row
+0
+42
+42
+Connector_PinHeader_1.27mm
+PinHeader_2x21_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x21,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x21{space}1.27mm{space}double{space}row
+0
+42
+42
+Connector_PinHeader_1.27mm
+PinHeader_2x22_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x22,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x22{space}1.27mm{space}double{space}row
+0
+44
+44
+Connector_PinHeader_1.27mm
+PinHeader_2x22_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x22,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x22{space}1.27mm{space}double{space}row
+0
+44
+44
+Connector_PinHeader_1.27mm
+PinHeader_2x22_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x22,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x22{space}1.27mm{space}double{space}row
+0
+44
+44
+Connector_PinHeader_1.27mm
+PinHeader_2x23_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x23,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x23{space}1.27mm{space}double{space}row
+0
+46
+46
+Connector_PinHeader_1.27mm
+PinHeader_2x23_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x23,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x23{space}1.27mm{space}double{space}row
+0
+46
+46
+Connector_PinHeader_1.27mm
+PinHeader_2x23_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x23,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x23{space}1.27mm{space}double{space}row
+0
+46
+46
+Connector_PinHeader_1.27mm
+PinHeader_2x24_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x24,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x24{space}1.27mm{space}double{space}row
+0
+48
+48
+Connector_PinHeader_1.27mm
+PinHeader_2x24_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x24,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x24{space}1.27mm{space}double{space}row
+0
+48
+48
+Connector_PinHeader_1.27mm
+PinHeader_2x24_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x24,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x24{space}1.27mm{space}double{space}row
+0
+48
+48
+Connector_PinHeader_1.27mm
+PinHeader_2x25_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x25,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x25{space}1.27mm{space}double{space}row
+0
+50
+50
+Connector_PinHeader_1.27mm
+PinHeader_2x25_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x25,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x25{space}1.27mm{space}double{space}row
+0
+50
+50
+Connector_PinHeader_1.27mm
+PinHeader_2x25_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x25,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x25{space}1.27mm{space}double{space}row
+0
+50
+50
+Connector_PinHeader_1.27mm
+PinHeader_2x26_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x26,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x26{space}1.27mm{space}double{space}row
+0
+52
+52
+Connector_PinHeader_1.27mm
+PinHeader_2x26_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x26,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x26{space}1.27mm{space}double{space}row
+0
+52
+52
+Connector_PinHeader_1.27mm
+PinHeader_2x26_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x26,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x26{space}1.27mm{space}double{space}row
+0
+52
+52
+Connector_PinHeader_1.27mm
+PinHeader_2x27_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x27,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x27{space}1.27mm{space}double{space}row
+0
+54
+54
+Connector_PinHeader_1.27mm
+PinHeader_2x27_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x27,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x27{space}1.27mm{space}double{space}row
+0
+54
+54
+Connector_PinHeader_1.27mm
+PinHeader_2x27_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x27,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x27{space}1.27mm{space}double{space}row
+0
+54
+54
+Connector_PinHeader_1.27mm
+PinHeader_2x28_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x28,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x28{space}1.27mm{space}double{space}row
+0
+56
+56
+Connector_PinHeader_1.27mm
+PinHeader_2x28_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x28,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x28{space}1.27mm{space}double{space}row
+0
+56
+56
+Connector_PinHeader_1.27mm
+PinHeader_2x28_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x28,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x28{space}1.27mm{space}double{space}row
+0
+56
+56
+Connector_PinHeader_1.27mm
+PinHeader_2x29_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x29,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x29{space}1.27mm{space}double{space}row
+0
+58
+58
+Connector_PinHeader_1.27mm
+PinHeader_2x29_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x29,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x29{space}1.27mm{space}double{space}row
+0
+58
+58
+Connector_PinHeader_1.27mm
+PinHeader_2x29_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x29,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x29{space}1.27mm{space}double{space}row
+0
+58
+58
+Connector_PinHeader_1.27mm
+PinHeader_2x30_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x30,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x30{space}1.27mm{space}double{space}row
+0
+60
+60
+Connector_PinHeader_1.27mm
+PinHeader_2x30_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x30,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x30{space}1.27mm{space}double{space}row
+0
+60
+60
+Connector_PinHeader_1.27mm
+PinHeader_2x30_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x30,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x30{space}1.27mm{space}double{space}row
+0
+60
+60
+Connector_PinHeader_1.27mm
+PinHeader_2x31_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x31,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x31{space}1.27mm{space}double{space}row
+0
+62
+62
+Connector_PinHeader_1.27mm
+PinHeader_2x31_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x31,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x31{space}1.27mm{space}double{space}row
+0
+62
+62
+Connector_PinHeader_1.27mm
+PinHeader_2x31_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x31,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x31{space}1.27mm{space}double{space}row
+0
+62
+62
+Connector_PinHeader_1.27mm
+PinHeader_2x32_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x32,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x32{space}1.27mm{space}double{space}row
+0
+64
+64
+Connector_PinHeader_1.27mm
+PinHeader_2x32_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x32,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x32{space}1.27mm{space}double{space}row
+0
+64
+64
+Connector_PinHeader_1.27mm
+PinHeader_2x32_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x32,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x32{space}1.27mm{space}double{space}row
+0
+64
+64
+Connector_PinHeader_1.27mm
+PinHeader_2x33_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x33,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x33{space}1.27mm{space}double{space}row
+0
+66
+66
+Connector_PinHeader_1.27mm
+PinHeader_2x33_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x33,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x33{space}1.27mm{space}double{space}row
+0
+66
+66
+Connector_PinHeader_1.27mm
+PinHeader_2x33_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x33,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x33{space}1.27mm{space}double{space}row
+0
+66
+66
+Connector_PinHeader_1.27mm
+PinHeader_2x34_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x34,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x34{space}1.27mm{space}double{space}row
+0
+68
+68
+Connector_PinHeader_1.27mm
+PinHeader_2x34_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x34,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x34{space}1.27mm{space}double{space}row
+0
+68
+68
+Connector_PinHeader_1.27mm
+PinHeader_2x34_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x34,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x34{space}1.27mm{space}double{space}row
+0
+68
+68
+Connector_PinHeader_1.27mm
+PinHeader_2x35_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x35,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x35{space}1.27mm{space}double{space}row
+0
+70
+70
+Connector_PinHeader_1.27mm
+PinHeader_2x35_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x35,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x35{space}1.27mm{space}double{space}row
+0
+70
+70
+Connector_PinHeader_1.27mm
+PinHeader_2x35_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x35,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x35{space}1.27mm{space}double{space}row
+0
+70
+70
+Connector_PinHeader_1.27mm
+PinHeader_2x36_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x36,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x36{space}1.27mm{space}double{space}row
+0
+72
+72
+Connector_PinHeader_1.27mm
+PinHeader_2x36_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x36,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x36{space}1.27mm{space}double{space}row
+0
+72
+72
+Connector_PinHeader_1.27mm
+PinHeader_2x36_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x36,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x36{space}1.27mm{space}double{space}row
+0
+72
+72
+Connector_PinHeader_1.27mm
+PinHeader_2x37_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x37,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x37{space}1.27mm{space}double{space}row
+0
+74
+74
+Connector_PinHeader_1.27mm
+PinHeader_2x37_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x37,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x37{space}1.27mm{space}double{space}row
+0
+74
+74
+Connector_PinHeader_1.27mm
+PinHeader_2x37_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x37,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x37{space}1.27mm{space}double{space}row
+0
+74
+74
+Connector_PinHeader_1.27mm
+PinHeader_2x38_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x38,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x38{space}1.27mm{space}double{space}row
+0
+76
+76
+Connector_PinHeader_1.27mm
+PinHeader_2x38_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x38,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x38{space}1.27mm{space}double{space}row
+0
+76
+76
+Connector_PinHeader_1.27mm
+PinHeader_2x38_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x38,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x38{space}1.27mm{space}double{space}row
+0
+76
+76
+Connector_PinHeader_1.27mm
+PinHeader_2x39_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x39,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x39{space}1.27mm{space}double{space}row
+0
+78
+78
+Connector_PinHeader_1.27mm
+PinHeader_2x39_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x39,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x39{space}1.27mm{space}double{space}row
+0
+78
+78
+Connector_PinHeader_1.27mm
+PinHeader_2x39_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x39,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x39{space}1.27mm{space}double{space}row
+0
+78
+78
+Connector_PinHeader_1.27mm
+PinHeader_2x40_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x40,{space}1.27mm{space}pitch,{space}4.0mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x40{space}1.27mm{space}double{space}row
+0
+80
+80
+Connector_PinHeader_1.27mm
+PinHeader_2x40_P1.27mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x40,{space}1.27mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x40{space}1.27mm{space}double{space}row
+0
+80
+80
+Connector_PinHeader_1.27mm
+PinHeader_2x40_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x40,{space}1.27mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x40{space}1.27mm{space}double{space}row
+0
+80
+80
+Connector_PinHeader_2.00mm
+PinHeader_1x01_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x01,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x01{space}2.00mm{space}single{space}row
+0
+1
+1
+Connector_PinHeader_2.00mm
+PinHeader_1x01_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x01,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x01{space}2.00mm{space}single{space}row
+0
+1
+1
+Connector_PinHeader_2.00mm
+PinHeader_1x02_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x02,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x02{space}2.00mm{space}single{space}row
+0
+2
+2
+Connector_PinHeader_2.00mm
+PinHeader_1x02_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x02,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x02{space}2.00mm{space}single{space}row
+0
+2
+2
+Connector_PinHeader_2.00mm
+PinHeader_1x02_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x02,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x02{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+2
+2
+Connector_PinHeader_2.00mm
+PinHeader_1x02_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x02,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x02{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+2
+2
+Connector_PinHeader_2.00mm
+PinHeader_1x03_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x03,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x03{space}2.00mm{space}single{space}row
+0
+3
+3
+Connector_PinHeader_2.00mm
+PinHeader_1x03_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x03,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x03{space}2.00mm{space}single{space}row
+0
+3
+3
+Connector_PinHeader_2.00mm
+PinHeader_1x03_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x03,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x03{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+3
+3
+Connector_PinHeader_2.00mm
+PinHeader_1x03_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x03,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x03{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+3
+3
+Connector_PinHeader_2.00mm
+PinHeader_1x04_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x04,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x04{space}2.00mm{space}single{space}row
+0
+4
+4
+Connector_PinHeader_2.00mm
+PinHeader_1x04_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x04,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x04{space}2.00mm{space}single{space}row
+0
+4
+4
+Connector_PinHeader_2.00mm
+PinHeader_1x04_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x04,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x04{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+4
+4
+Connector_PinHeader_2.00mm
+PinHeader_1x04_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x04,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x04{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+4
+4
+Connector_PinHeader_2.00mm
+PinHeader_1x05_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x05,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x05{space}2.00mm{space}single{space}row
+0
+5
+5
+Connector_PinHeader_2.00mm
+PinHeader_1x05_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x05,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x05{space}2.00mm{space}single{space}row
+0
+5
+5
+Connector_PinHeader_2.00mm
+PinHeader_1x05_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x05,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x05{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+5
+5
+Connector_PinHeader_2.00mm
+PinHeader_1x05_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x05,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x05{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+5
+5
+Connector_PinHeader_2.00mm
+PinHeader_1x06_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x06,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x06{space}2.00mm{space}single{space}row
+0
+6
+6
+Connector_PinHeader_2.00mm
+PinHeader_1x06_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x06,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x06{space}2.00mm{space}single{space}row
+0
+6
+6
+Connector_PinHeader_2.00mm
+PinHeader_1x06_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x06,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x06{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+6
+6
+Connector_PinHeader_2.00mm
+PinHeader_1x06_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x06,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x06{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+6
+6
+Connector_PinHeader_2.00mm
+PinHeader_1x07_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x07,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x07{space}2.00mm{space}single{space}row
+0
+7
+7
+Connector_PinHeader_2.00mm
+PinHeader_1x07_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x07,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x07{space}2.00mm{space}single{space}row
+0
+7
+7
+Connector_PinHeader_2.00mm
+PinHeader_1x07_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x07,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x07{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+7
+7
+Connector_PinHeader_2.00mm
+PinHeader_1x07_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x07,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x07{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+7
+7
+Connector_PinHeader_2.00mm
+PinHeader_1x08_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x08,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x08{space}2.00mm{space}single{space}row
+0
+8
+8
+Connector_PinHeader_2.00mm
+PinHeader_1x08_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x08,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x08{space}2.00mm{space}single{space}row
+0
+8
+8
+Connector_PinHeader_2.00mm
+PinHeader_1x08_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x08,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x08{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+8
+8
+Connector_PinHeader_2.00mm
+PinHeader_1x08_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x08,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x08{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+8
+8
+Connector_PinHeader_2.00mm
+PinHeader_1x09_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x09,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x09{space}2.00mm{space}single{space}row
+0
+9
+9
+Connector_PinHeader_2.00mm
+PinHeader_1x09_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x09,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x09{space}2.00mm{space}single{space}row
+0
+9
+9
+Connector_PinHeader_2.00mm
+PinHeader_1x09_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x09,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x09{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+9
+9
+Connector_PinHeader_2.00mm
+PinHeader_1x09_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x09,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x09{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+9
+9
+Connector_PinHeader_2.00mm
+PinHeader_1x10_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x10,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x10{space}2.00mm{space}single{space}row
+0
+10
+10
+Connector_PinHeader_2.00mm
+PinHeader_1x10_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x10,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x10{space}2.00mm{space}single{space}row
+0
+10
+10
+Connector_PinHeader_2.00mm
+PinHeader_1x10_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x10,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x10{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+10
+10
+Connector_PinHeader_2.00mm
+PinHeader_1x10_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x10,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x10{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+10
+10
+Connector_PinHeader_2.00mm
+PinHeader_1x11_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x11,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x11{space}2.00mm{space}single{space}row
+0
+11
+11
+Connector_PinHeader_2.00mm
+PinHeader_1x11_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x11,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x11{space}2.00mm{space}single{space}row
+0
+11
+11
+Connector_PinHeader_2.00mm
+PinHeader_1x11_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x11,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x11{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+11
+11
+Connector_PinHeader_2.00mm
+PinHeader_1x11_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x11,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x11{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+11
+11
+Connector_PinHeader_2.00mm
+PinHeader_1x12_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x12,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x12{space}2.00mm{space}single{space}row
+0
+12
+12
+Connector_PinHeader_2.00mm
+PinHeader_1x12_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x12,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x12{space}2.00mm{space}single{space}row
+0
+12
+12
+Connector_PinHeader_2.00mm
+PinHeader_1x12_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x12,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x12{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+12
+12
+Connector_PinHeader_2.00mm
+PinHeader_1x12_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x12,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x12{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+12
+12
+Connector_PinHeader_2.00mm
+PinHeader_1x13_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x13,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x13{space}2.00mm{space}single{space}row
+0
+13
+13
+Connector_PinHeader_2.00mm
+PinHeader_1x13_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x13,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x13{space}2.00mm{space}single{space}row
+0
+13
+13
+Connector_PinHeader_2.00mm
+PinHeader_1x13_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x13,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x13{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+13
+13
+Connector_PinHeader_2.00mm
+PinHeader_1x13_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x13,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x13{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+13
+13
+Connector_PinHeader_2.00mm
+PinHeader_1x14_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x14,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x14{space}2.00mm{space}single{space}row
+0
+14
+14
+Connector_PinHeader_2.00mm
+PinHeader_1x14_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x14,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x14{space}2.00mm{space}single{space}row
+0
+14
+14
+Connector_PinHeader_2.00mm
+PinHeader_1x14_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x14,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x14{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+14
+14
+Connector_PinHeader_2.00mm
+PinHeader_1x14_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x14,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x14{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+14
+14
+Connector_PinHeader_2.00mm
+PinHeader_1x15_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x15,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x15{space}2.00mm{space}single{space}row
+0
+15
+15
+Connector_PinHeader_2.00mm
+PinHeader_1x15_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x15,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x15{space}2.00mm{space}single{space}row
+0
+15
+15
+Connector_PinHeader_2.00mm
+PinHeader_1x15_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x15,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x15{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+15
+15
+Connector_PinHeader_2.00mm
+PinHeader_1x15_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x15,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x15{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+15
+15
+Connector_PinHeader_2.00mm
+PinHeader_1x16_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x16,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x16{space}2.00mm{space}single{space}row
+0
+16
+16
+Connector_PinHeader_2.00mm
+PinHeader_1x16_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x16,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x16{space}2.00mm{space}single{space}row
+0
+16
+16
+Connector_PinHeader_2.00mm
+PinHeader_1x16_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x16,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x16{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+16
+16
+Connector_PinHeader_2.00mm
+PinHeader_1x16_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x16,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x16{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+16
+16
+Connector_PinHeader_2.00mm
+PinHeader_1x17_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x17,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x17{space}2.00mm{space}single{space}row
+0
+17
+17
+Connector_PinHeader_2.00mm
+PinHeader_1x17_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x17,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x17{space}2.00mm{space}single{space}row
+0
+17
+17
+Connector_PinHeader_2.00mm
+PinHeader_1x17_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x17,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x17{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+17
+17
+Connector_PinHeader_2.00mm
+PinHeader_1x17_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x17,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x17{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+17
+17
+Connector_PinHeader_2.00mm
+PinHeader_1x18_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x18,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x18{space}2.00mm{space}single{space}row
+0
+18
+18
+Connector_PinHeader_2.00mm
+PinHeader_1x18_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x18,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x18{space}2.00mm{space}single{space}row
+0
+18
+18
+Connector_PinHeader_2.00mm
+PinHeader_1x18_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x18,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x18{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+18
+18
+Connector_PinHeader_2.00mm
+PinHeader_1x18_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x18,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x18{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+18
+18
+Connector_PinHeader_2.00mm
+PinHeader_1x19_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x19,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x19{space}2.00mm{space}single{space}row
+0
+19
+19
+Connector_PinHeader_2.00mm
+PinHeader_1x19_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x19,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x19{space}2.00mm{space}single{space}row
+0
+19
+19
+Connector_PinHeader_2.00mm
+PinHeader_1x19_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x19,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x19{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+19
+19
+Connector_PinHeader_2.00mm
+PinHeader_1x19_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x19,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x19{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+19
+19
+Connector_PinHeader_2.00mm
+PinHeader_1x20_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x20,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x20{space}2.00mm{space}single{space}row
+0
+20
+20
+Connector_PinHeader_2.00mm
+PinHeader_1x20_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x20,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x20{space}2.00mm{space}single{space}row
+0
+20
+20
+Connector_PinHeader_2.00mm
+PinHeader_1x20_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x20,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x20{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+20
+20
+Connector_PinHeader_2.00mm
+PinHeader_1x20_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x20,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x20{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+20
+20
+Connector_PinHeader_2.00mm
+PinHeader_1x21_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x21,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x21{space}2.00mm{space}single{space}row
+0
+21
+21
+Connector_PinHeader_2.00mm
+PinHeader_1x21_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x21,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x21{space}2.00mm{space}single{space}row
+0
+21
+21
+Connector_PinHeader_2.00mm
+PinHeader_1x21_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x21,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x21{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+21
+21
+Connector_PinHeader_2.00mm
+PinHeader_1x21_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x21,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x21{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+21
+21
+Connector_PinHeader_2.00mm
+PinHeader_1x22_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x22,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x22{space}2.00mm{space}single{space}row
+0
+22
+22
+Connector_PinHeader_2.00mm
+PinHeader_1x22_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x22,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x22{space}2.00mm{space}single{space}row
+0
+22
+22
+Connector_PinHeader_2.00mm
+PinHeader_1x22_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x22,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x22{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+22
+22
+Connector_PinHeader_2.00mm
+PinHeader_1x22_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x22,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x22{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+22
+22
+Connector_PinHeader_2.00mm
+PinHeader_1x23_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x23,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x23{space}2.00mm{space}single{space}row
+0
+23
+23
+Connector_PinHeader_2.00mm
+PinHeader_1x23_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x23,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x23{space}2.00mm{space}single{space}row
+0
+23
+23
+Connector_PinHeader_2.00mm
+PinHeader_1x23_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x23,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x23{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+23
+23
+Connector_PinHeader_2.00mm
+PinHeader_1x23_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x23,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x23{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+23
+23
+Connector_PinHeader_2.00mm
+PinHeader_1x24_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x24,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x24{space}2.00mm{space}single{space}row
+0
+24
+24
+Connector_PinHeader_2.00mm
+PinHeader_1x24_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x24,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x24{space}2.00mm{space}single{space}row
+0
+24
+24
+Connector_PinHeader_2.00mm
+PinHeader_1x24_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x24,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x24{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+24
+24
+Connector_PinHeader_2.00mm
+PinHeader_1x24_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x24,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x24{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+24
+24
+Connector_PinHeader_2.00mm
+PinHeader_1x25_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x25,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x25{space}2.00mm{space}single{space}row
+0
+25
+25
+Connector_PinHeader_2.00mm
+PinHeader_1x25_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x25,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x25{space}2.00mm{space}single{space}row
+0
+25
+25
+Connector_PinHeader_2.00mm
+PinHeader_1x25_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x25,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x25{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+25
+25
+Connector_PinHeader_2.00mm
+PinHeader_1x25_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x25,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x25{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+25
+25
+Connector_PinHeader_2.00mm
+PinHeader_1x26_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x26,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x26{space}2.00mm{space}single{space}row
+0
+26
+26
+Connector_PinHeader_2.00mm
+PinHeader_1x26_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x26,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x26{space}2.00mm{space}single{space}row
+0
+26
+26
+Connector_PinHeader_2.00mm
+PinHeader_1x26_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x26,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x26{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+26
+26
+Connector_PinHeader_2.00mm
+PinHeader_1x26_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x26,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x26{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+26
+26
+Connector_PinHeader_2.00mm
+PinHeader_1x27_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x27,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x27{space}2.00mm{space}single{space}row
+0
+27
+27
+Connector_PinHeader_2.00mm
+PinHeader_1x27_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x27,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x27{space}2.00mm{space}single{space}row
+0
+27
+27
+Connector_PinHeader_2.00mm
+PinHeader_1x27_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x27,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x27{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+27
+27
+Connector_PinHeader_2.00mm
+PinHeader_1x27_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x27,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x27{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+27
+27
+Connector_PinHeader_2.00mm
+PinHeader_1x28_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x28,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x28{space}2.00mm{space}single{space}row
+0
+28
+28
+Connector_PinHeader_2.00mm
+PinHeader_1x28_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x28,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x28{space}2.00mm{space}single{space}row
+0
+28
+28
+Connector_PinHeader_2.00mm
+PinHeader_1x28_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x28,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x28{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+28
+28
+Connector_PinHeader_2.00mm
+PinHeader_1x28_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x28,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x28{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+28
+28
+Connector_PinHeader_2.00mm
+PinHeader_1x29_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x29,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x29{space}2.00mm{space}single{space}row
+0
+29
+29
+Connector_PinHeader_2.00mm
+PinHeader_1x29_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x29,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x29{space}2.00mm{space}single{space}row
+0
+29
+29
+Connector_PinHeader_2.00mm
+PinHeader_1x29_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x29,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x29{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+29
+29
+Connector_PinHeader_2.00mm
+PinHeader_1x29_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x29,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x29{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+29
+29
+Connector_PinHeader_2.00mm
+PinHeader_1x30_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x30,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x30{space}2.00mm{space}single{space}row
+0
+30
+30
+Connector_PinHeader_2.00mm
+PinHeader_1x30_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x30,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x30{space}2.00mm{space}single{space}row
+0
+30
+30
+Connector_PinHeader_2.00mm
+PinHeader_1x30_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x30,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x30{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+30
+30
+Connector_PinHeader_2.00mm
+PinHeader_1x30_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x30,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x30{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+30
+30
+Connector_PinHeader_2.00mm
+PinHeader_1x31_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x31,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x31{space}2.00mm{space}single{space}row
+0
+31
+31
+Connector_PinHeader_2.00mm
+PinHeader_1x31_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x31,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x31{space}2.00mm{space}single{space}row
+0
+31
+31
+Connector_PinHeader_2.00mm
+PinHeader_1x31_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x31,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x31{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+31
+31
+Connector_PinHeader_2.00mm
+PinHeader_1x31_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x31,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x31{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+31
+31
+Connector_PinHeader_2.00mm
+PinHeader_1x32_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x32,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x32{space}2.00mm{space}single{space}row
+0
+32
+32
+Connector_PinHeader_2.00mm
+PinHeader_1x32_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x32,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x32{space}2.00mm{space}single{space}row
+0
+32
+32
+Connector_PinHeader_2.00mm
+PinHeader_1x32_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x32,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x32{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+32
+32
+Connector_PinHeader_2.00mm
+PinHeader_1x32_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x32,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x32{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+32
+32
+Connector_PinHeader_2.00mm
+PinHeader_1x33_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x33,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x33{space}2.00mm{space}single{space}row
+0
+33
+33
+Connector_PinHeader_2.00mm
+PinHeader_1x33_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x33,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x33{space}2.00mm{space}single{space}row
+0
+33
+33
+Connector_PinHeader_2.00mm
+PinHeader_1x33_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x33,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x33{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+33
+33
+Connector_PinHeader_2.00mm
+PinHeader_1x33_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x33,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x33{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+33
+33
+Connector_PinHeader_2.00mm
+PinHeader_1x34_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x34,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x34{space}2.00mm{space}single{space}row
+0
+34
+34
+Connector_PinHeader_2.00mm
+PinHeader_1x34_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x34,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x34{space}2.00mm{space}single{space}row
+0
+34
+34
+Connector_PinHeader_2.00mm
+PinHeader_1x34_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x34,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x34{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+34
+34
+Connector_PinHeader_2.00mm
+PinHeader_1x34_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x34,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x34{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+34
+34
+Connector_PinHeader_2.00mm
+PinHeader_1x35_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x35,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x35{space}2.00mm{space}single{space}row
+0
+35
+35
+Connector_PinHeader_2.00mm
+PinHeader_1x35_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x35,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x35{space}2.00mm{space}single{space}row
+0
+35
+35
+Connector_PinHeader_2.00mm
+PinHeader_1x35_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x35,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x35{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+35
+35
+Connector_PinHeader_2.00mm
+PinHeader_1x35_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x35,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x35{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+35
+35
+Connector_PinHeader_2.00mm
+PinHeader_1x36_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x36,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x36{space}2.00mm{space}single{space}row
+0
+36
+36
+Connector_PinHeader_2.00mm
+PinHeader_1x36_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x36,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x36{space}2.00mm{space}single{space}row
+0
+36
+36
+Connector_PinHeader_2.00mm
+PinHeader_1x36_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x36,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x36{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+36
+36
+Connector_PinHeader_2.00mm
+PinHeader_1x36_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x36,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x36{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+36
+36
+Connector_PinHeader_2.00mm
+PinHeader_1x37_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x37,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x37{space}2.00mm{space}single{space}row
+0
+37
+37
+Connector_PinHeader_2.00mm
+PinHeader_1x37_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x37,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x37{space}2.00mm{space}single{space}row
+0
+37
+37
+Connector_PinHeader_2.00mm
+PinHeader_1x37_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x37,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x37{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+37
+37
+Connector_PinHeader_2.00mm
+PinHeader_1x37_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x37,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x37{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+37
+37
+Connector_PinHeader_2.00mm
+PinHeader_1x38_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x38,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x38{space}2.00mm{space}single{space}row
+0
+38
+38
+Connector_PinHeader_2.00mm
+PinHeader_1x38_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x38,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x38{space}2.00mm{space}single{space}row
+0
+38
+38
+Connector_PinHeader_2.00mm
+PinHeader_1x38_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x38,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x38{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+38
+38
+Connector_PinHeader_2.00mm
+PinHeader_1x38_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x38,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x38{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+38
+38
+Connector_PinHeader_2.00mm
+PinHeader_1x39_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x39,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x39{space}2.00mm{space}single{space}row
+0
+39
+39
+Connector_PinHeader_2.00mm
+PinHeader_1x39_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x39,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x39{space}2.00mm{space}single{space}row
+0
+39
+39
+Connector_PinHeader_2.00mm
+PinHeader_1x39_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x39,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x39{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+39
+39
+Connector_PinHeader_2.00mm
+PinHeader_1x39_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x39,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x39{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+39
+39
+Connector_PinHeader_2.00mm
+PinHeader_1x40_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x40,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x40{space}2.00mm{space}single{space}row
+0
+40
+40
+Connector_PinHeader_2.00mm
+PinHeader_1x40_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x40,{space}2.00mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x40{space}2.00mm{space}single{space}row
+0
+40
+40
+Connector_PinHeader_2.00mm
+PinHeader_1x40_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x40,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x40{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+40
+40
+Connector_PinHeader_2.00mm
+PinHeader_1x40_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x40,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x40{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+40
+40
+Connector_PinHeader_2.00mm
+PinHeader_2x01_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x01,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x01{space}2.00mm{space}double{space}row
+0
+2
+2
+Connector_PinHeader_2.00mm
+PinHeader_2x01_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x01,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x01{space}2.00mm{space}double{space}row
+0
+2
+2
+Connector_PinHeader_2.00mm
+PinHeader_2x01_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x01,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x01{space}2.00mm{space}double{space}row
+0
+2
+2
+Connector_PinHeader_2.00mm
+PinHeader_2x02_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x02,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x02{space}2.00mm{space}double{space}row
+0
+4
+4
+Connector_PinHeader_2.00mm
+PinHeader_2x02_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x02,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x02{space}2.00mm{space}double{space}row
+0
+4
+4
+Connector_PinHeader_2.00mm
+PinHeader_2x02_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x02,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x02{space}2.00mm{space}double{space}row
+0
+4
+4
+Connector_PinHeader_2.00mm
+PinHeader_2x03_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x03,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x03{space}2.00mm{space}double{space}row
+0
+6
+6
+Connector_PinHeader_2.00mm
+PinHeader_2x03_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x03,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x03{space}2.00mm{space}double{space}row
+0
+6
+6
+Connector_PinHeader_2.00mm
+PinHeader_2x03_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x03,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x03{space}2.00mm{space}double{space}row
+0
+6
+6
+Connector_PinHeader_2.00mm
+PinHeader_2x04_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x04,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x04{space}2.00mm{space}double{space}row
+0
+8
+8
+Connector_PinHeader_2.00mm
+PinHeader_2x04_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x04,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x04{space}2.00mm{space}double{space}row
+0
+8
+8
+Connector_PinHeader_2.00mm
+PinHeader_2x04_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x04,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x04{space}2.00mm{space}double{space}row
+0
+8
+8
+Connector_PinHeader_2.00mm
+PinHeader_2x05_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x05,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x05{space}2.00mm{space}double{space}row
+0
+10
+10
+Connector_PinHeader_2.00mm
+PinHeader_2x05_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x05,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x05{space}2.00mm{space}double{space}row
+0
+10
+10
+Connector_PinHeader_2.00mm
+PinHeader_2x05_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x05,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x05{space}2.00mm{space}double{space}row
+0
+10
+10
+Connector_PinHeader_2.00mm
+PinHeader_2x06_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x06,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x06{space}2.00mm{space}double{space}row
+0
+12
+12
+Connector_PinHeader_2.00mm
+PinHeader_2x06_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x06,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x06{space}2.00mm{space}double{space}row
+0
+12
+12
+Connector_PinHeader_2.00mm
+PinHeader_2x06_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x06,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x06{space}2.00mm{space}double{space}row
+0
+12
+12
+Connector_PinHeader_2.00mm
+PinHeader_2x07_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x07,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x07{space}2.00mm{space}double{space}row
+0
+14
+14
+Connector_PinHeader_2.00mm
+PinHeader_2x07_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x07,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x07{space}2.00mm{space}double{space}row
+0
+14
+14
+Connector_PinHeader_2.00mm
+PinHeader_2x07_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x07,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x07{space}2.00mm{space}double{space}row
+0
+14
+14
+Connector_PinHeader_2.00mm
+PinHeader_2x08_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x08,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x08{space}2.00mm{space}double{space}row
+0
+16
+16
+Connector_PinHeader_2.00mm
+PinHeader_2x08_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x08,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x08{space}2.00mm{space}double{space}row
+0
+16
+16
+Connector_PinHeader_2.00mm
+PinHeader_2x08_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x08,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x08{space}2.00mm{space}double{space}row
+0
+16
+16
+Connector_PinHeader_2.00mm
+PinHeader_2x09_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x09,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x09{space}2.00mm{space}double{space}row
+0
+18
+18
+Connector_PinHeader_2.00mm
+PinHeader_2x09_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x09,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x09{space}2.00mm{space}double{space}row
+0
+18
+18
+Connector_PinHeader_2.00mm
+PinHeader_2x09_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x09,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x09{space}2.00mm{space}double{space}row
+0
+18
+18
+Connector_PinHeader_2.00mm
+PinHeader_2x10_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x10,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x10{space}2.00mm{space}double{space}row
+0
+20
+20
+Connector_PinHeader_2.00mm
+PinHeader_2x10_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x10,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x10{space}2.00mm{space}double{space}row
+0
+20
+20
+Connector_PinHeader_2.00mm
+PinHeader_2x10_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x10,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x10{space}2.00mm{space}double{space}row
+0
+20
+20
+Connector_PinHeader_2.00mm
+PinHeader_2x11_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x11,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x11{space}2.00mm{space}double{space}row
+0
+22
+22
+Connector_PinHeader_2.00mm
+PinHeader_2x11_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x11,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x11{space}2.00mm{space}double{space}row
+0
+22
+22
+Connector_PinHeader_2.00mm
+PinHeader_2x11_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x11,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x11{space}2.00mm{space}double{space}row
+0
+22
+22
+Connector_PinHeader_2.00mm
+PinHeader_2x12_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x12,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x12{space}2.00mm{space}double{space}row
+0
+24
+24
+Connector_PinHeader_2.00mm
+PinHeader_2x12_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x12,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x12{space}2.00mm{space}double{space}row
+0
+24
+24
+Connector_PinHeader_2.00mm
+PinHeader_2x12_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x12,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x12{space}2.00mm{space}double{space}row
+0
+24
+24
+Connector_PinHeader_2.00mm
+PinHeader_2x13_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x13,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x13{space}2.00mm{space}double{space}row
+0
+26
+26
+Connector_PinHeader_2.00mm
+PinHeader_2x13_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x13,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x13{space}2.00mm{space}double{space}row
+0
+26
+26
+Connector_PinHeader_2.00mm
+PinHeader_2x13_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x13,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x13{space}2.00mm{space}double{space}row
+0
+26
+26
+Connector_PinHeader_2.00mm
+PinHeader_2x14_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x14,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x14{space}2.00mm{space}double{space}row
+0
+28
+28
+Connector_PinHeader_2.00mm
+PinHeader_2x14_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x14,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x14{space}2.00mm{space}double{space}row
+0
+28
+28
+Connector_PinHeader_2.00mm
+PinHeader_2x14_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x14,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x14{space}2.00mm{space}double{space}row
+0
+28
+28
+Connector_PinHeader_2.00mm
+PinHeader_2x15_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x15,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x15{space}2.00mm{space}double{space}row
+0
+30
+30
+Connector_PinHeader_2.00mm
+PinHeader_2x15_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x15,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x15{space}2.00mm{space}double{space}row
+0
+30
+30
+Connector_PinHeader_2.00mm
+PinHeader_2x15_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x15,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x15{space}2.00mm{space}double{space}row
+0
+30
+30
+Connector_PinHeader_2.00mm
+PinHeader_2x16_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x16,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x16{space}2.00mm{space}double{space}row
+0
+32
+32
+Connector_PinHeader_2.00mm
+PinHeader_2x16_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x16,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x16{space}2.00mm{space}double{space}row
+0
+32
+32
+Connector_PinHeader_2.00mm
+PinHeader_2x16_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x16,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x16{space}2.00mm{space}double{space}row
+0
+32
+32
+Connector_PinHeader_2.00mm
+PinHeader_2x17_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x17,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x17{space}2.00mm{space}double{space}row
+0
+34
+34
+Connector_PinHeader_2.00mm
+PinHeader_2x17_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x17,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x17{space}2.00mm{space}double{space}row
+0
+34
+34
+Connector_PinHeader_2.00mm
+PinHeader_2x17_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x17,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x17{space}2.00mm{space}double{space}row
+0
+34
+34
+Connector_PinHeader_2.00mm
+PinHeader_2x18_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x18,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x18{space}2.00mm{space}double{space}row
+0
+36
+36
+Connector_PinHeader_2.00mm
+PinHeader_2x18_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x18,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x18{space}2.00mm{space}double{space}row
+0
+36
+36
+Connector_PinHeader_2.00mm
+PinHeader_2x18_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x18,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x18{space}2.00mm{space}double{space}row
+0
+36
+36
+Connector_PinHeader_2.00mm
+PinHeader_2x19_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x19,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x19{space}2.00mm{space}double{space}row
+0
+38
+38
+Connector_PinHeader_2.00mm
+PinHeader_2x19_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x19,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x19{space}2.00mm{space}double{space}row
+0
+38
+38
+Connector_PinHeader_2.00mm
+PinHeader_2x19_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x19,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x19{space}2.00mm{space}double{space}row
+0
+38
+38
+Connector_PinHeader_2.00mm
+PinHeader_2x20_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x20,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x20{space}2.00mm{space}double{space}row
+0
+40
+40
+Connector_PinHeader_2.00mm
+PinHeader_2x20_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x20,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x20{space}2.00mm{space}double{space}row
+0
+40
+40
+Connector_PinHeader_2.00mm
+PinHeader_2x20_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x20,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x20{space}2.00mm{space}double{space}row
+0
+40
+40
+Connector_PinHeader_2.00mm
+PinHeader_2x21_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x21,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x21{space}2.00mm{space}double{space}row
+0
+42
+42
+Connector_PinHeader_2.00mm
+PinHeader_2x21_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x21,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x21{space}2.00mm{space}double{space}row
+0
+42
+42
+Connector_PinHeader_2.00mm
+PinHeader_2x21_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x21,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x21{space}2.00mm{space}double{space}row
+0
+42
+42
+Connector_PinHeader_2.00mm
+PinHeader_2x22_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x22,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x22{space}2.00mm{space}double{space}row
+0
+44
+44
+Connector_PinHeader_2.00mm
+PinHeader_2x22_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x22,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x22{space}2.00mm{space}double{space}row
+0
+44
+44
+Connector_PinHeader_2.00mm
+PinHeader_2x22_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x22,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x22{space}2.00mm{space}double{space}row
+0
+44
+44
+Connector_PinHeader_2.00mm
+PinHeader_2x23_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x23,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x23{space}2.00mm{space}double{space}row
+0
+46
+46
+Connector_PinHeader_2.00mm
+PinHeader_2x23_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x23,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x23{space}2.00mm{space}double{space}row
+0
+46
+46
+Connector_PinHeader_2.00mm
+PinHeader_2x23_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x23,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x23{space}2.00mm{space}double{space}row
+0
+46
+46
+Connector_PinHeader_2.00mm
+PinHeader_2x24_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x24,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x24{space}2.00mm{space}double{space}row
+0
+48
+48
+Connector_PinHeader_2.00mm
+PinHeader_2x24_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x24,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x24{space}2.00mm{space}double{space}row
+0
+48
+48
+Connector_PinHeader_2.00mm
+PinHeader_2x24_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x24,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x24{space}2.00mm{space}double{space}row
+0
+48
+48
+Connector_PinHeader_2.00mm
+PinHeader_2x25_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x25,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x25{space}2.00mm{space}double{space}row
+0
+50
+50
+Connector_PinHeader_2.00mm
+PinHeader_2x25_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x25,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x25{space}2.00mm{space}double{space}row
+0
+50
+50
+Connector_PinHeader_2.00mm
+PinHeader_2x25_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x25,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x25{space}2.00mm{space}double{space}row
+0
+50
+50
+Connector_PinHeader_2.00mm
+PinHeader_2x26_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x26,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x26{space}2.00mm{space}double{space}row
+0
+52
+52
+Connector_PinHeader_2.00mm
+PinHeader_2x26_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x26,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x26{space}2.00mm{space}double{space}row
+0
+52
+52
+Connector_PinHeader_2.00mm
+PinHeader_2x26_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x26,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x26{space}2.00mm{space}double{space}row
+0
+52
+52
+Connector_PinHeader_2.00mm
+PinHeader_2x27_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x27,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x27{space}2.00mm{space}double{space}row
+0
+54
+54
+Connector_PinHeader_2.00mm
+PinHeader_2x27_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x27,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x27{space}2.00mm{space}double{space}row
+0
+54
+54
+Connector_PinHeader_2.00mm
+PinHeader_2x27_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x27,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x27{space}2.00mm{space}double{space}row
+0
+54
+54
+Connector_PinHeader_2.00mm
+PinHeader_2x28_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x28,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x28{space}2.00mm{space}double{space}row
+0
+56
+56
+Connector_PinHeader_2.00mm
+PinHeader_2x28_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x28,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x28{space}2.00mm{space}double{space}row
+0
+56
+56
+Connector_PinHeader_2.00mm
+PinHeader_2x28_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x28,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x28{space}2.00mm{space}double{space}row
+0
+56
+56
+Connector_PinHeader_2.00mm
+PinHeader_2x29_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x29,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x29{space}2.00mm{space}double{space}row
+0
+58
+58
+Connector_PinHeader_2.00mm
+PinHeader_2x29_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x29,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x29{space}2.00mm{space}double{space}row
+0
+58
+58
+Connector_PinHeader_2.00mm
+PinHeader_2x29_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x29,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x29{space}2.00mm{space}double{space}row
+0
+58
+58
+Connector_PinHeader_2.00mm
+PinHeader_2x30_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x30,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x30{space}2.00mm{space}double{space}row
+0
+60
+60
+Connector_PinHeader_2.00mm
+PinHeader_2x30_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x30,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x30{space}2.00mm{space}double{space}row
+0
+60
+60
+Connector_PinHeader_2.00mm
+PinHeader_2x30_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x30,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x30{space}2.00mm{space}double{space}row
+0
+60
+60
+Connector_PinHeader_2.00mm
+PinHeader_2x31_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x31,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x31{space}2.00mm{space}double{space}row
+0
+62
+62
+Connector_PinHeader_2.00mm
+PinHeader_2x31_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x31,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x31{space}2.00mm{space}double{space}row
+0
+62
+62
+Connector_PinHeader_2.00mm
+PinHeader_2x31_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x31,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x31{space}2.00mm{space}double{space}row
+0
+62
+62
+Connector_PinHeader_2.00mm
+PinHeader_2x32_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x32,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x32{space}2.00mm{space}double{space}row
+0
+64
+64
+Connector_PinHeader_2.00mm
+PinHeader_2x32_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x32,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x32{space}2.00mm{space}double{space}row
+0
+64
+64
+Connector_PinHeader_2.00mm
+PinHeader_2x32_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x32,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x32{space}2.00mm{space}double{space}row
+0
+64
+64
+Connector_PinHeader_2.00mm
+PinHeader_2x33_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x33,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x33{space}2.00mm{space}double{space}row
+0
+66
+66
+Connector_PinHeader_2.00mm
+PinHeader_2x33_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x33,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x33{space}2.00mm{space}double{space}row
+0
+66
+66
+Connector_PinHeader_2.00mm
+PinHeader_2x33_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x33,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x33{space}2.00mm{space}double{space}row
+0
+66
+66
+Connector_PinHeader_2.00mm
+PinHeader_2x34_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x34,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x34{space}2.00mm{space}double{space}row
+0
+68
+68
+Connector_PinHeader_2.00mm
+PinHeader_2x34_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x34,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x34{space}2.00mm{space}double{space}row
+0
+68
+68
+Connector_PinHeader_2.00mm
+PinHeader_2x34_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x34,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x34{space}2.00mm{space}double{space}row
+0
+68
+68
+Connector_PinHeader_2.00mm
+PinHeader_2x35_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x35,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x35{space}2.00mm{space}double{space}row
+0
+70
+70
+Connector_PinHeader_2.00mm
+PinHeader_2x35_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x35,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x35{space}2.00mm{space}double{space}row
+0
+70
+70
+Connector_PinHeader_2.00mm
+PinHeader_2x35_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x35,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x35{space}2.00mm{space}double{space}row
+0
+70
+70
+Connector_PinHeader_2.00mm
+PinHeader_2x36_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x36,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x36{space}2.00mm{space}double{space}row
+0
+72
+72
+Connector_PinHeader_2.00mm
+PinHeader_2x36_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x36,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x36{space}2.00mm{space}double{space}row
+0
+72
+72
+Connector_PinHeader_2.00mm
+PinHeader_2x36_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x36,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x36{space}2.00mm{space}double{space}row
+0
+72
+72
+Connector_PinHeader_2.00mm
+PinHeader_2x37_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x37,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x37{space}2.00mm{space}double{space}row
+0
+74
+74
+Connector_PinHeader_2.00mm
+PinHeader_2x37_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x37,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x37{space}2.00mm{space}double{space}row
+0
+74
+74
+Connector_PinHeader_2.00mm
+PinHeader_2x37_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x37,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x37{space}2.00mm{space}double{space}row
+0
+74
+74
+Connector_PinHeader_2.00mm
+PinHeader_2x38_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x38,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x38{space}2.00mm{space}double{space}row
+0
+76
+76
+Connector_PinHeader_2.00mm
+PinHeader_2x38_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x38,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x38{space}2.00mm{space}double{space}row
+0
+76
+76
+Connector_PinHeader_2.00mm
+PinHeader_2x38_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x38,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x38{space}2.00mm{space}double{space}row
+0
+76
+76
+Connector_PinHeader_2.00mm
+PinHeader_2x39_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x39,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x39{space}2.00mm{space}double{space}row
+0
+78
+78
+Connector_PinHeader_2.00mm
+PinHeader_2x39_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x39,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x39{space}2.00mm{space}double{space}row
+0
+78
+78
+Connector_PinHeader_2.00mm
+PinHeader_2x39_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x39,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x39{space}2.00mm{space}double{space}row
+0
+78
+78
+Connector_PinHeader_2.00mm
+PinHeader_2x40_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x40,{space}2.00mm{space}pitch,{space}4.2mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x40{space}2.00mm{space}double{space}row
+0
+80
+80
+Connector_PinHeader_2.00mm
+PinHeader_2x40_P2.00mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x40,{space}2.00mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x40{space}2.00mm{space}double{space}row
+0
+80
+80
+Connector_PinHeader_2.00mm
+PinHeader_2x40_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x40,{space}2.00mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x40{space}2.00mm{space}double{space}row
+0
+80
+80
+Connector_PinHeader_2.54mm
+PinHeader_1x01_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x01,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x01{space}2.54mm{space}single{space}row
+0
+1
+1
+Connector_PinHeader_2.54mm
+PinHeader_1x01_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x01,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x01{space}2.54mm{space}single{space}row
+0
+1
+1
+Connector_PinHeader_2.54mm
+PinHeader_1x02_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x02,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x02{space}2.54mm{space}single{space}row
+0
+2
+2
+Connector_PinHeader_2.54mm
+PinHeader_1x02_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x02,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x02{space}2.54mm{space}single{space}row
+0
+2
+2
+Connector_PinHeader_2.54mm
+PinHeader_1x02_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x02,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x02{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+2
+2
+Connector_PinHeader_2.54mm
+PinHeader_1x02_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x02,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x02{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+2
+2
+Connector_PinHeader_2.54mm
+PinHeader_1x03_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x03,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x03{space}2.54mm{space}single{space}row
+0
+3
+3
+Connector_PinHeader_2.54mm
+PinHeader_1x03_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x03,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x03{space}2.54mm{space}single{space}row
+0
+3
+3
+Connector_PinHeader_2.54mm
+PinHeader_1x03_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x03,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x03{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+3
+3
+Connector_PinHeader_2.54mm
+PinHeader_1x03_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x03,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x03{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+3
+3
+Connector_PinHeader_2.54mm
+PinHeader_1x04_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x04,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x04{space}2.54mm{space}single{space}row
+0
+4
+4
+Connector_PinHeader_2.54mm
+PinHeader_1x04_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x04,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x04{space}2.54mm{space}single{space}row
+0
+4
+4
+Connector_PinHeader_2.54mm
+PinHeader_1x04_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x04,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x04{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+4
+4
+Connector_PinHeader_2.54mm
+PinHeader_1x04_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x04,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x04{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+4
+4
+Connector_PinHeader_2.54mm
+PinHeader_1x05_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x05,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x05{space}2.54mm{space}single{space}row
+0
+5
+5
+Connector_PinHeader_2.54mm
+PinHeader_1x05_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x05,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x05{space}2.54mm{space}single{space}row
+0
+5
+5
+Connector_PinHeader_2.54mm
+PinHeader_1x05_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x05,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x05{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+5
+5
+Connector_PinHeader_2.54mm
+PinHeader_1x05_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x05,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x05{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+5
+5
+Connector_PinHeader_2.54mm
+PinHeader_1x06_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x06,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x06{space}2.54mm{space}single{space}row
+0
+6
+6
+Connector_PinHeader_2.54mm
+PinHeader_1x06_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x06,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x06{space}2.54mm{space}single{space}row
+0
+6
+6
+Connector_PinHeader_2.54mm
+PinHeader_1x06_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x06,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x06{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+6
+6
+Connector_PinHeader_2.54mm
+PinHeader_1x06_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x06,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x06{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+6
+6
+Connector_PinHeader_2.54mm
+PinHeader_1x07_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x07,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x07{space}2.54mm{space}single{space}row
+0
+7
+7
+Connector_PinHeader_2.54mm
+PinHeader_1x07_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x07,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x07{space}2.54mm{space}single{space}row
+0
+7
+7
+Connector_PinHeader_2.54mm
+PinHeader_1x07_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x07,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x07{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+7
+7
+Connector_PinHeader_2.54mm
+PinHeader_1x07_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x07,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x07{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+7
+7
+Connector_PinHeader_2.54mm
+PinHeader_1x08_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x08,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x08{space}2.54mm{space}single{space}row
+0
+8
+8
+Connector_PinHeader_2.54mm
+PinHeader_1x08_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x08,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x08{space}2.54mm{space}single{space}row
+0
+8
+8
+Connector_PinHeader_2.54mm
+PinHeader_1x08_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x08,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x08{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+8
+8
+Connector_PinHeader_2.54mm
+PinHeader_1x08_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x08,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x08{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+8
+8
+Connector_PinHeader_2.54mm
+PinHeader_1x09_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x09,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x09{space}2.54mm{space}single{space}row
+0
+9
+9
+Connector_PinHeader_2.54mm
+PinHeader_1x09_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x09,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x09{space}2.54mm{space}single{space}row
+0
+9
+9
+Connector_PinHeader_2.54mm
+PinHeader_1x09_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x09,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x09{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+9
+9
+Connector_PinHeader_2.54mm
+PinHeader_1x09_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x09,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x09{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+9
+9
+Connector_PinHeader_2.54mm
+PinHeader_1x10_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x10,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x10{space}2.54mm{space}single{space}row
+0
+10
+10
+Connector_PinHeader_2.54mm
+PinHeader_1x10_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x10,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x10{space}2.54mm{space}single{space}row
+0
+10
+10
+Connector_PinHeader_2.54mm
+PinHeader_1x10_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x10,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x10{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+10
+10
+Connector_PinHeader_2.54mm
+PinHeader_1x10_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x10,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x10{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+10
+10
+Connector_PinHeader_2.54mm
+PinHeader_1x11_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x11,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x11{space}2.54mm{space}single{space}row
+0
+11
+11
+Connector_PinHeader_2.54mm
+PinHeader_1x11_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x11,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x11{space}2.54mm{space}single{space}row
+0
+11
+11
+Connector_PinHeader_2.54mm
+PinHeader_1x11_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x11,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x11{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+11
+11
+Connector_PinHeader_2.54mm
+PinHeader_1x11_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x11,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x11{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+11
+11
+Connector_PinHeader_2.54mm
+PinHeader_1x12_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x12,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x12{space}2.54mm{space}single{space}row
+0
+12
+12
+Connector_PinHeader_2.54mm
+PinHeader_1x12_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x12,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x12{space}2.54mm{space}single{space}row
+0
+12
+12
+Connector_PinHeader_2.54mm
+PinHeader_1x12_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x12,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x12{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+12
+12
+Connector_PinHeader_2.54mm
+PinHeader_1x12_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x12,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x12{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+12
+12
+Connector_PinHeader_2.54mm
+PinHeader_1x13_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x13,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x13{space}2.54mm{space}single{space}row
+0
+13
+13
+Connector_PinHeader_2.54mm
+PinHeader_1x13_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x13,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x13{space}2.54mm{space}single{space}row
+0
+13
+13
+Connector_PinHeader_2.54mm
+PinHeader_1x13_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x13,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x13{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+13
+13
+Connector_PinHeader_2.54mm
+PinHeader_1x13_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x13,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x13{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+13
+13
+Connector_PinHeader_2.54mm
+PinHeader_1x14_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x14,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x14{space}2.54mm{space}single{space}row
+0
+14
+14
+Connector_PinHeader_2.54mm
+PinHeader_1x14_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x14,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x14{space}2.54mm{space}single{space}row
+0
+14
+14
+Connector_PinHeader_2.54mm
+PinHeader_1x14_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x14,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x14{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+14
+14
+Connector_PinHeader_2.54mm
+PinHeader_1x14_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x14,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x14{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+14
+14
+Connector_PinHeader_2.54mm
+PinHeader_1x15_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x15,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x15{space}2.54mm{space}single{space}row
+0
+15
+15
+Connector_PinHeader_2.54mm
+PinHeader_1x15_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x15,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x15{space}2.54mm{space}single{space}row
+0
+15
+15
+Connector_PinHeader_2.54mm
+PinHeader_1x15_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x15,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x15{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+15
+15
+Connector_PinHeader_2.54mm
+PinHeader_1x15_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x15,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x15{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+15
+15
+Connector_PinHeader_2.54mm
+PinHeader_1x16_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x16,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x16{space}2.54mm{space}single{space}row
+0
+16
+16
+Connector_PinHeader_2.54mm
+PinHeader_1x16_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x16,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x16{space}2.54mm{space}single{space}row
+0
+16
+16
+Connector_PinHeader_2.54mm
+PinHeader_1x16_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x16,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x16{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+16
+16
+Connector_PinHeader_2.54mm
+PinHeader_1x16_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x16,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x16{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+16
+16
+Connector_PinHeader_2.54mm
+PinHeader_1x17_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x17,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x17{space}2.54mm{space}single{space}row
+0
+17
+17
+Connector_PinHeader_2.54mm
+PinHeader_1x17_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x17,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x17{space}2.54mm{space}single{space}row
+0
+17
+17
+Connector_PinHeader_2.54mm
+PinHeader_1x17_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x17,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x17{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+17
+17
+Connector_PinHeader_2.54mm
+PinHeader_1x17_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x17,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x17{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+17
+17
+Connector_PinHeader_2.54mm
+PinHeader_1x18_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x18,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x18{space}2.54mm{space}single{space}row
+0
+18
+18
+Connector_PinHeader_2.54mm
+PinHeader_1x18_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x18,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x18{space}2.54mm{space}single{space}row
+0
+18
+18
+Connector_PinHeader_2.54mm
+PinHeader_1x18_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x18,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x18{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+18
+18
+Connector_PinHeader_2.54mm
+PinHeader_1x18_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x18,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x18{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+18
+18
+Connector_PinHeader_2.54mm
+PinHeader_1x19_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x19,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x19{space}2.54mm{space}single{space}row
+0
+19
+19
+Connector_PinHeader_2.54mm
+PinHeader_1x19_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x19,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x19{space}2.54mm{space}single{space}row
+0
+19
+19
+Connector_PinHeader_2.54mm
+PinHeader_1x19_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x19,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x19{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+19
+19
+Connector_PinHeader_2.54mm
+PinHeader_1x19_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x19,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x19{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+19
+19
+Connector_PinHeader_2.54mm
+PinHeader_1x20_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x20,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x20{space}2.54mm{space}single{space}row
+0
+20
+20
+Connector_PinHeader_2.54mm
+PinHeader_1x20_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x20,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x20{space}2.54mm{space}single{space}row
+0
+20
+20
+Connector_PinHeader_2.54mm
+PinHeader_1x20_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x20,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x20{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+20
+20
+Connector_PinHeader_2.54mm
+PinHeader_1x20_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x20,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x20{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+20
+20
+Connector_PinHeader_2.54mm
+PinHeader_1x21_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x21,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x21{space}2.54mm{space}single{space}row
+0
+21
+21
+Connector_PinHeader_2.54mm
+PinHeader_1x21_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x21,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x21{space}2.54mm{space}single{space}row
+0
+21
+21
+Connector_PinHeader_2.54mm
+PinHeader_1x21_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x21,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x21{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+21
+21
+Connector_PinHeader_2.54mm
+PinHeader_1x21_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x21,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x21{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+21
+21
+Connector_PinHeader_2.54mm
+PinHeader_1x22_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x22,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x22{space}2.54mm{space}single{space}row
+0
+22
+22
+Connector_PinHeader_2.54mm
+PinHeader_1x22_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x22,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x22{space}2.54mm{space}single{space}row
+0
+22
+22
+Connector_PinHeader_2.54mm
+PinHeader_1x22_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x22,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x22{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+22
+22
+Connector_PinHeader_2.54mm
+PinHeader_1x22_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x22,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x22{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+22
+22
+Connector_PinHeader_2.54mm
+PinHeader_1x23_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x23,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x23{space}2.54mm{space}single{space}row
+0
+23
+23
+Connector_PinHeader_2.54mm
+PinHeader_1x23_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x23,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x23{space}2.54mm{space}single{space}row
+0
+23
+23
+Connector_PinHeader_2.54mm
+PinHeader_1x23_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x23,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x23{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+23
+23
+Connector_PinHeader_2.54mm
+PinHeader_1x23_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x23,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x23{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+23
+23
+Connector_PinHeader_2.54mm
+PinHeader_1x24_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x24,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x24{space}2.54mm{space}single{space}row
+0
+24
+24
+Connector_PinHeader_2.54mm
+PinHeader_1x24_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x24,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x24{space}2.54mm{space}single{space}row
+0
+24
+24
+Connector_PinHeader_2.54mm
+PinHeader_1x24_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x24,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x24{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+24
+24
+Connector_PinHeader_2.54mm
+PinHeader_1x24_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x24,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x24{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+24
+24
+Connector_PinHeader_2.54mm
+PinHeader_1x25_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x25,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x25{space}2.54mm{space}single{space}row
+0
+25
+25
+Connector_PinHeader_2.54mm
+PinHeader_1x25_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x25,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x25{space}2.54mm{space}single{space}row
+0
+25
+25
+Connector_PinHeader_2.54mm
+PinHeader_1x25_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x25,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x25{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+25
+25
+Connector_PinHeader_2.54mm
+PinHeader_1x25_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x25,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x25{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+25
+25
+Connector_PinHeader_2.54mm
+PinHeader_1x26_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x26,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x26{space}2.54mm{space}single{space}row
+0
+26
+26
+Connector_PinHeader_2.54mm
+PinHeader_1x26_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x26,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x26{space}2.54mm{space}single{space}row
+0
+26
+26
+Connector_PinHeader_2.54mm
+PinHeader_1x26_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x26,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x26{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+26
+26
+Connector_PinHeader_2.54mm
+PinHeader_1x26_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x26,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x26{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+26
+26
+Connector_PinHeader_2.54mm
+PinHeader_1x27_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x27,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x27{space}2.54mm{space}single{space}row
+0
+27
+27
+Connector_PinHeader_2.54mm
+PinHeader_1x27_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x27,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x27{space}2.54mm{space}single{space}row
+0
+27
+27
+Connector_PinHeader_2.54mm
+PinHeader_1x27_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x27,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x27{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+27
+27
+Connector_PinHeader_2.54mm
+PinHeader_1x27_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x27,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x27{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+27
+27
+Connector_PinHeader_2.54mm
+PinHeader_1x28_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x28,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x28{space}2.54mm{space}single{space}row
+0
+28
+28
+Connector_PinHeader_2.54mm
+PinHeader_1x28_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x28,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x28{space}2.54mm{space}single{space}row
+0
+28
+28
+Connector_PinHeader_2.54mm
+PinHeader_1x28_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x28,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x28{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+28
+28
+Connector_PinHeader_2.54mm
+PinHeader_1x28_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x28,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x28{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+28
+28
+Connector_PinHeader_2.54mm
+PinHeader_1x29_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x29,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x29{space}2.54mm{space}single{space}row
+0
+29
+29
+Connector_PinHeader_2.54mm
+PinHeader_1x29_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x29,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x29{space}2.54mm{space}single{space}row
+0
+29
+29
+Connector_PinHeader_2.54mm
+PinHeader_1x29_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x29,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x29{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+29
+29
+Connector_PinHeader_2.54mm
+PinHeader_1x29_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x29,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x29{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+29
+29
+Connector_PinHeader_2.54mm
+PinHeader_1x30_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x30,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x30{space}2.54mm{space}single{space}row
+0
+30
+30
+Connector_PinHeader_2.54mm
+PinHeader_1x30_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x30,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x30{space}2.54mm{space}single{space}row
+0
+30
+30
+Connector_PinHeader_2.54mm
+PinHeader_1x30_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x30,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x30{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+30
+30
+Connector_PinHeader_2.54mm
+PinHeader_1x30_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x30,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x30{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+30
+30
+Connector_PinHeader_2.54mm
+PinHeader_1x31_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x31,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x31{space}2.54mm{space}single{space}row
+0
+31
+31
+Connector_PinHeader_2.54mm
+PinHeader_1x31_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x31,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x31{space}2.54mm{space}single{space}row
+0
+31
+31
+Connector_PinHeader_2.54mm
+PinHeader_1x31_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x31,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x31{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+31
+31
+Connector_PinHeader_2.54mm
+PinHeader_1x31_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x31,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x31{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+31
+31
+Connector_PinHeader_2.54mm
+PinHeader_1x32_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x32,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x32{space}2.54mm{space}single{space}row
+0
+32
+32
+Connector_PinHeader_2.54mm
+PinHeader_1x32_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x32,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x32{space}2.54mm{space}single{space}row
+0
+32
+32
+Connector_PinHeader_2.54mm
+PinHeader_1x32_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x32,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x32{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+32
+32
+Connector_PinHeader_2.54mm
+PinHeader_1x32_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x32,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x32{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+32
+32
+Connector_PinHeader_2.54mm
+PinHeader_1x33_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x33,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x33{space}2.54mm{space}single{space}row
+0
+33
+33
+Connector_PinHeader_2.54mm
+PinHeader_1x33_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x33,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x33{space}2.54mm{space}single{space}row
+0
+33
+33
+Connector_PinHeader_2.54mm
+PinHeader_1x33_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x33,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x33{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+33
+33
+Connector_PinHeader_2.54mm
+PinHeader_1x33_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x33,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x33{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+33
+33
+Connector_PinHeader_2.54mm
+PinHeader_1x34_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x34,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x34{space}2.54mm{space}single{space}row
+0
+34
+34
+Connector_PinHeader_2.54mm
+PinHeader_1x34_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x34,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x34{space}2.54mm{space}single{space}row
+0
+34
+34
+Connector_PinHeader_2.54mm
+PinHeader_1x34_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x34,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x34{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+34
+34
+Connector_PinHeader_2.54mm
+PinHeader_1x34_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x34,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x34{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+34
+34
+Connector_PinHeader_2.54mm
+PinHeader_1x35_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x35,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x35{space}2.54mm{space}single{space}row
+0
+35
+35
+Connector_PinHeader_2.54mm
+PinHeader_1x35_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x35,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x35{space}2.54mm{space}single{space}row
+0
+35
+35
+Connector_PinHeader_2.54mm
+PinHeader_1x35_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x35,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x35{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+35
+35
+Connector_PinHeader_2.54mm
+PinHeader_1x35_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x35,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x35{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+35
+35
+Connector_PinHeader_2.54mm
+PinHeader_1x36_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x36,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x36{space}2.54mm{space}single{space}row
+0
+36
+36
+Connector_PinHeader_2.54mm
+PinHeader_1x36_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x36,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x36{space}2.54mm{space}single{space}row
+0
+36
+36
+Connector_PinHeader_2.54mm
+PinHeader_1x36_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x36,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x36{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+36
+36
+Connector_PinHeader_2.54mm
+PinHeader_1x36_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x36,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x36{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+36
+36
+Connector_PinHeader_2.54mm
+PinHeader_1x37_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x37,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x37{space}2.54mm{space}single{space}row
+0
+37
+37
+Connector_PinHeader_2.54mm
+PinHeader_1x37_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x37,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x37{space}2.54mm{space}single{space}row
+0
+37
+37
+Connector_PinHeader_2.54mm
+PinHeader_1x37_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x37,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x37{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+37
+37
+Connector_PinHeader_2.54mm
+PinHeader_1x37_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x37,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x37{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+37
+37
+Connector_PinHeader_2.54mm
+PinHeader_1x38_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x38,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x38{space}2.54mm{space}single{space}row
+0
+38
+38
+Connector_PinHeader_2.54mm
+PinHeader_1x38_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x38,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x38{space}2.54mm{space}single{space}row
+0
+38
+38
+Connector_PinHeader_2.54mm
+PinHeader_1x38_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x38,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x38{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+38
+38
+Connector_PinHeader_2.54mm
+PinHeader_1x38_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x38,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x38{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+38
+38
+Connector_PinHeader_2.54mm
+PinHeader_1x39_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x39,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x39{space}2.54mm{space}single{space}row
+0
+39
+39
+Connector_PinHeader_2.54mm
+PinHeader_1x39_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x39,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x39{space}2.54mm{space}single{space}row
+0
+39
+39
+Connector_PinHeader_2.54mm
+PinHeader_1x39_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x39,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x39{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+39
+39
+Connector_PinHeader_2.54mm
+PinHeader_1x39_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x39,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x39{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+39
+39
+Connector_PinHeader_2.54mm
+PinHeader_1x40_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}1x40,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}single{space}row
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}1x40{space}2.54mm{space}single{space}row
+0
+40
+40
+Connector_PinHeader_2.54mm
+PinHeader_1x40_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}1x40,{space}2.54mm{space}pitch,{space}single{space}row
+Through{space}hole{space}pin{space}header{space}THT{space}1x40{space}2.54mm{space}single{space}row
+0
+40
+40
+Connector_PinHeader_2.54mm
+PinHeader_1x40_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}pin{space}header,{space}1x40,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x40{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+40
+40
+Connector_PinHeader_2.54mm
+PinHeader_1x40_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}pin{space}header,{space}1x40,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right)
+Surface{space}mounted{space}pin{space}header{space}SMD{space}1x40{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+40
+40
+Connector_PinHeader_2.54mm
+PinHeader_2x01_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x01,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x01{space}2.54mm{space}double{space}row
+0
+2
+2
+Connector_PinHeader_2.54mm
+PinHeader_2x01_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x01,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x01{space}2.54mm{space}double{space}row
+0
+2
+2
+Connector_PinHeader_2.54mm
+PinHeader_2x01_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x01,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x01{space}2.54mm{space}double{space}row
+0
+2
+2
+Connector_PinHeader_2.54mm
+PinHeader_2x02_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x02,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x02{space}2.54mm{space}double{space}row
+0
+4
+4
+Connector_PinHeader_2.54mm
+PinHeader_2x02_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x02,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x02{space}2.54mm{space}double{space}row
+0
+4
+4
+Connector_PinHeader_2.54mm
+PinHeader_2x02_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x02,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x02{space}2.54mm{space}double{space}row
+0
+4
+4
+Connector_PinHeader_2.54mm
+PinHeader_2x03_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x03,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x03{space}2.54mm{space}double{space}row
+0
+6
+6
+Connector_PinHeader_2.54mm
+PinHeader_2x03_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x03,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x03{space}2.54mm{space}double{space}row
+0
+6
+6
+Connector_PinHeader_2.54mm
+PinHeader_2x03_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x03,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x03{space}2.54mm{space}double{space}row
+0
+6
+6
+Connector_PinHeader_2.54mm
+PinHeader_2x04_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x04,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x04{space}2.54mm{space}double{space}row
+0
+8
+8
+Connector_PinHeader_2.54mm
+PinHeader_2x04_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x04,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x04{space}2.54mm{space}double{space}row
+0
+8
+8
+Connector_PinHeader_2.54mm
+PinHeader_2x04_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x04,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x04{space}2.54mm{space}double{space}row
+0
+8
+8
+Connector_PinHeader_2.54mm
+PinHeader_2x05_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x05,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x05{space}2.54mm{space}double{space}row
+0
+10
+10
+Connector_PinHeader_2.54mm
+PinHeader_2x05_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x05,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x05{space}2.54mm{space}double{space}row
+0
+10
+10
+Connector_PinHeader_2.54mm
+PinHeader_2x05_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x05,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x05{space}2.54mm{space}double{space}row
+0
+10
+10
+Connector_PinHeader_2.54mm
+PinHeader_2x06_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x06,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x06{space}2.54mm{space}double{space}row
+0
+12
+12
+Connector_PinHeader_2.54mm
+PinHeader_2x06_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x06,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x06{space}2.54mm{space}double{space}row
+0
+12
+12
+Connector_PinHeader_2.54mm
+PinHeader_2x06_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x06,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x06{space}2.54mm{space}double{space}row
+0
+12
+12
+Connector_PinHeader_2.54mm
+PinHeader_2x07_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x07,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x07{space}2.54mm{space}double{space}row
+0
+14
+14
+Connector_PinHeader_2.54mm
+PinHeader_2x07_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x07,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x07{space}2.54mm{space}double{space}row
+0
+14
+14
+Connector_PinHeader_2.54mm
+PinHeader_2x07_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x07,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x07{space}2.54mm{space}double{space}row
+0
+14
+14
+Connector_PinHeader_2.54mm
+PinHeader_2x08_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x08,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x08{space}2.54mm{space}double{space}row
+0
+16
+16
+Connector_PinHeader_2.54mm
+PinHeader_2x08_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x08,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x08{space}2.54mm{space}double{space}row
+0
+16
+16
+Connector_PinHeader_2.54mm
+PinHeader_2x08_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x08,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x08{space}2.54mm{space}double{space}row
+0
+16
+16
+Connector_PinHeader_2.54mm
+PinHeader_2x09_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x09,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x09{space}2.54mm{space}double{space}row
+0
+18
+18
+Connector_PinHeader_2.54mm
+PinHeader_2x09_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x09,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x09{space}2.54mm{space}double{space}row
+0
+18
+18
+Connector_PinHeader_2.54mm
+PinHeader_2x09_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x09,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x09{space}2.54mm{space}double{space}row
+0
+18
+18
+Connector_PinHeader_2.54mm
+PinHeader_2x10_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x10,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x10{space}2.54mm{space}double{space}row
+0
+20
+20
+Connector_PinHeader_2.54mm
+PinHeader_2x10_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x10,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x10{space}2.54mm{space}double{space}row
+0
+20
+20
+Connector_PinHeader_2.54mm
+PinHeader_2x10_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x10,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x10{space}2.54mm{space}double{space}row
+0
+20
+20
+Connector_PinHeader_2.54mm
+PinHeader_2x11_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x11,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x11{space}2.54mm{space}double{space}row
+0
+22
+22
+Connector_PinHeader_2.54mm
+PinHeader_2x11_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x11,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x11{space}2.54mm{space}double{space}row
+0
+22
+22
+Connector_PinHeader_2.54mm
+PinHeader_2x11_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x11,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x11{space}2.54mm{space}double{space}row
+0
+22
+22
+Connector_PinHeader_2.54mm
+PinHeader_2x12_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x12,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x12{space}2.54mm{space}double{space}row
+0
+24
+24
+Connector_PinHeader_2.54mm
+PinHeader_2x12_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x12,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x12{space}2.54mm{space}double{space}row
+0
+24
+24
+Connector_PinHeader_2.54mm
+PinHeader_2x12_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x12,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x12{space}2.54mm{space}double{space}row
+0
+24
+24
+Connector_PinHeader_2.54mm
+PinHeader_2x13_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x13,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x13{space}2.54mm{space}double{space}row
+0
+26
+26
+Connector_PinHeader_2.54mm
+PinHeader_2x13_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x13,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x13{space}2.54mm{space}double{space}row
+0
+26
+26
+Connector_PinHeader_2.54mm
+PinHeader_2x13_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x13,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x13{space}2.54mm{space}double{space}row
+0
+26
+26
+Connector_PinHeader_2.54mm
+PinHeader_2x14_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x14,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x14{space}2.54mm{space}double{space}row
+0
+28
+28
+Connector_PinHeader_2.54mm
+PinHeader_2x14_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x14,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x14{space}2.54mm{space}double{space}row
+0
+28
+28
+Connector_PinHeader_2.54mm
+PinHeader_2x14_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x14,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x14{space}2.54mm{space}double{space}row
+0
+28
+28
+Connector_PinHeader_2.54mm
+PinHeader_2x15_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x15,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x15{space}2.54mm{space}double{space}row
+0
+30
+30
+Connector_PinHeader_2.54mm
+PinHeader_2x15_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x15,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x15{space}2.54mm{space}double{space}row
+0
+30
+30
+Connector_PinHeader_2.54mm
+PinHeader_2x15_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x15,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x15{space}2.54mm{space}double{space}row
+0
+30
+30
+Connector_PinHeader_2.54mm
+PinHeader_2x16_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x16,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x16{space}2.54mm{space}double{space}row
+0
+32
+32
+Connector_PinHeader_2.54mm
+PinHeader_2x16_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x16,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x16{space}2.54mm{space}double{space}row
+0
+32
+32
+Connector_PinHeader_2.54mm
+PinHeader_2x16_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x16,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x16{space}2.54mm{space}double{space}row
+0
+32
+32
+Connector_PinHeader_2.54mm
+PinHeader_2x17_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x17,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x17{space}2.54mm{space}double{space}row
+0
+34
+34
+Connector_PinHeader_2.54mm
+PinHeader_2x17_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x17,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x17{space}2.54mm{space}double{space}row
+0
+34
+34
+Connector_PinHeader_2.54mm
+PinHeader_2x17_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x17,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x17{space}2.54mm{space}double{space}row
+0
+34
+34
+Connector_PinHeader_2.54mm
+PinHeader_2x18_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x18,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x18{space}2.54mm{space}double{space}row
+0
+36
+36
+Connector_PinHeader_2.54mm
+PinHeader_2x18_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x18,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x18{space}2.54mm{space}double{space}row
+0
+36
+36
+Connector_PinHeader_2.54mm
+PinHeader_2x18_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x18,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x18{space}2.54mm{space}double{space}row
+0
+36
+36
+Connector_PinHeader_2.54mm
+PinHeader_2x19_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x19,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x19{space}2.54mm{space}double{space}row
+0
+38
+38
+Connector_PinHeader_2.54mm
+PinHeader_2x19_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x19,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x19{space}2.54mm{space}double{space}row
+0
+38
+38
+Connector_PinHeader_2.54mm
+PinHeader_2x19_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x19,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x19{space}2.54mm{space}double{space}row
+0
+38
+38
+Connector_PinHeader_2.54mm
+PinHeader_2x20_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x20,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x20{space}2.54mm{space}double{space}row
+0
+40
+40
+Connector_PinHeader_2.54mm
+PinHeader_2x20_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x20,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x20{space}2.54mm{space}double{space}row
+0
+40
+40
+Connector_PinHeader_2.54mm
+PinHeader_2x20_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x20,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x20{space}2.54mm{space}double{space}row
+0
+40
+40
+Connector_PinHeader_2.54mm
+PinHeader_2x21_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x21,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x21{space}2.54mm{space}double{space}row
+0
+42
+42
+Connector_PinHeader_2.54mm
+PinHeader_2x21_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x21,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x21{space}2.54mm{space}double{space}row
+0
+42
+42
+Connector_PinHeader_2.54mm
+PinHeader_2x21_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x21,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x21{space}2.54mm{space}double{space}row
+0
+42
+42
+Connector_PinHeader_2.54mm
+PinHeader_2x22_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x22,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x22{space}2.54mm{space}double{space}row
+0
+44
+44
+Connector_PinHeader_2.54mm
+PinHeader_2x22_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x22,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x22{space}2.54mm{space}double{space}row
+0
+44
+44
+Connector_PinHeader_2.54mm
+PinHeader_2x22_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x22,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x22{space}2.54mm{space}double{space}row
+0
+44
+44
+Connector_PinHeader_2.54mm
+PinHeader_2x23_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x23,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x23{space}2.54mm{space}double{space}row
+0
+46
+46
+Connector_PinHeader_2.54mm
+PinHeader_2x23_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x23,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x23{space}2.54mm{space}double{space}row
+0
+46
+46
+Connector_PinHeader_2.54mm
+PinHeader_2x23_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x23,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x23{space}2.54mm{space}double{space}row
+0
+46
+46
+Connector_PinHeader_2.54mm
+PinHeader_2x24_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x24,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x24{space}2.54mm{space}double{space}row
+0
+48
+48
+Connector_PinHeader_2.54mm
+PinHeader_2x24_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x24,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x24{space}2.54mm{space}double{space}row
+0
+48
+48
+Connector_PinHeader_2.54mm
+PinHeader_2x24_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x24,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x24{space}2.54mm{space}double{space}row
+0
+48
+48
+Connector_PinHeader_2.54mm
+PinHeader_2x25_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x25,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x25{space}2.54mm{space}double{space}row
+0
+50
+50
+Connector_PinHeader_2.54mm
+PinHeader_2x25_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x25,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x25{space}2.54mm{space}double{space}row
+0
+50
+50
+Connector_PinHeader_2.54mm
+PinHeader_2x25_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x25,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x25{space}2.54mm{space}double{space}row
+0
+50
+50
+Connector_PinHeader_2.54mm
+PinHeader_2x26_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x26,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x26{space}2.54mm{space}double{space}row
+0
+52
+52
+Connector_PinHeader_2.54mm
+PinHeader_2x26_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x26,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x26{space}2.54mm{space}double{space}row
+0
+52
+52
+Connector_PinHeader_2.54mm
+PinHeader_2x26_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x26,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x26{space}2.54mm{space}double{space}row
+0
+52
+52
+Connector_PinHeader_2.54mm
+PinHeader_2x27_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x27,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x27{space}2.54mm{space}double{space}row
+0
+54
+54
+Connector_PinHeader_2.54mm
+PinHeader_2x27_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x27,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x27{space}2.54mm{space}double{space}row
+0
+54
+54
+Connector_PinHeader_2.54mm
+PinHeader_2x27_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x27,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x27{space}2.54mm{space}double{space}row
+0
+54
+54
+Connector_PinHeader_2.54mm
+PinHeader_2x28_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x28,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x28{space}2.54mm{space}double{space}row
+0
+56
+56
+Connector_PinHeader_2.54mm
+PinHeader_2x28_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x28,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x28{space}2.54mm{space}double{space}row
+0
+56
+56
+Connector_PinHeader_2.54mm
+PinHeader_2x28_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x28,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x28{space}2.54mm{space}double{space}row
+0
+56
+56
+Connector_PinHeader_2.54mm
+PinHeader_2x29_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x29,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x29{space}2.54mm{space}double{space}row
+0
+58
+58
+Connector_PinHeader_2.54mm
+PinHeader_2x29_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x29,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x29{space}2.54mm{space}double{space}row
+0
+58
+58
+Connector_PinHeader_2.54mm
+PinHeader_2x29_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x29,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x29{space}2.54mm{space}double{space}row
+0
+58
+58
+Connector_PinHeader_2.54mm
+PinHeader_2x30_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x30,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x30{space}2.54mm{space}double{space}row
+0
+60
+60
+Connector_PinHeader_2.54mm
+PinHeader_2x30_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x30,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x30{space}2.54mm{space}double{space}row
+0
+60
+60
+Connector_PinHeader_2.54mm
+PinHeader_2x30_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x30,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x30{space}2.54mm{space}double{space}row
+0
+60
+60
+Connector_PinHeader_2.54mm
+PinHeader_2x31_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x31,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x31{space}2.54mm{space}double{space}row
+0
+62
+62
+Connector_PinHeader_2.54mm
+PinHeader_2x31_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x31,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x31{space}2.54mm{space}double{space}row
+0
+62
+62
+Connector_PinHeader_2.54mm
+PinHeader_2x31_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x31,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x31{space}2.54mm{space}double{space}row
+0
+62
+62
+Connector_PinHeader_2.54mm
+PinHeader_2x32_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x32,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x32{space}2.54mm{space}double{space}row
+0
+64
+64
+Connector_PinHeader_2.54mm
+PinHeader_2x32_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x32,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x32{space}2.54mm{space}double{space}row
+0
+64
+64
+Connector_PinHeader_2.54mm
+PinHeader_2x32_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x32,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x32{space}2.54mm{space}double{space}row
+0
+64
+64
+Connector_PinHeader_2.54mm
+PinHeader_2x33_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x33,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x33{space}2.54mm{space}double{space}row
+0
+66
+66
+Connector_PinHeader_2.54mm
+PinHeader_2x33_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x33,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x33{space}2.54mm{space}double{space}row
+0
+66
+66
+Connector_PinHeader_2.54mm
+PinHeader_2x33_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x33,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x33{space}2.54mm{space}double{space}row
+0
+66
+66
+Connector_PinHeader_2.54mm
+PinHeader_2x34_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x34,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x34{space}2.54mm{space}double{space}row
+0
+68
+68
+Connector_PinHeader_2.54mm
+PinHeader_2x34_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x34,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x34{space}2.54mm{space}double{space}row
+0
+68
+68
+Connector_PinHeader_2.54mm
+PinHeader_2x34_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x34,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x34{space}2.54mm{space}double{space}row
+0
+68
+68
+Connector_PinHeader_2.54mm
+PinHeader_2x35_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x35,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x35{space}2.54mm{space}double{space}row
+0
+70
+70
+Connector_PinHeader_2.54mm
+PinHeader_2x35_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x35,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x35{space}2.54mm{space}double{space}row
+0
+70
+70
+Connector_PinHeader_2.54mm
+PinHeader_2x35_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x35,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x35{space}2.54mm{space}double{space}row
+0
+70
+70
+Connector_PinHeader_2.54mm
+PinHeader_2x36_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x36,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x36{space}2.54mm{space}double{space}row
+0
+72
+72
+Connector_PinHeader_2.54mm
+PinHeader_2x36_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x36,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x36{space}2.54mm{space}double{space}row
+0
+72
+72
+Connector_PinHeader_2.54mm
+PinHeader_2x36_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x36,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x36{space}2.54mm{space}double{space}row
+0
+72
+72
+Connector_PinHeader_2.54mm
+PinHeader_2x37_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x37,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x37{space}2.54mm{space}double{space}row
+0
+74
+74
+Connector_PinHeader_2.54mm
+PinHeader_2x37_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x37,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x37{space}2.54mm{space}double{space}row
+0
+74
+74
+Connector_PinHeader_2.54mm
+PinHeader_2x37_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x37,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x37{space}2.54mm{space}double{space}row
+0
+74
+74
+Connector_PinHeader_2.54mm
+PinHeader_2x38_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x38,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x38{space}2.54mm{space}double{space}row
+0
+76
+76
+Connector_PinHeader_2.54mm
+PinHeader_2x38_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x38,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x38{space}2.54mm{space}double{space}row
+0
+76
+76
+Connector_PinHeader_2.54mm
+PinHeader_2x38_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x38,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x38{space}2.54mm{space}double{space}row
+0
+76
+76
+Connector_PinHeader_2.54mm
+PinHeader_2x39_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x39,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x39{space}2.54mm{space}double{space}row
+0
+78
+78
+Connector_PinHeader_2.54mm
+PinHeader_2x39_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x39,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x39{space}2.54mm{space}double{space}row
+0
+78
+78
+Connector_PinHeader_2.54mm
+PinHeader_2x39_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x39,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x39{space}2.54mm{space}double{space}row
+0
+78
+78
+Connector_PinHeader_2.54mm
+PinHeader_2x40_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}pin{space}header,{space}2x40,{space}2.54mm{space}pitch,{space}6mm{space}pin{space}length,{space}double{space}rows
+Through{space}hole{space}angled{space}pin{space}header{space}THT{space}2x40{space}2.54mm{space}double{space}row
+0
+80
+80
+Connector_PinHeader_2.54mm
+PinHeader_2x40_P2.54mm_Vertical
+Through{space}hole{space}straight{space}pin{space}header,{space}2x40,{space}2.54mm{space}pitch,{space}double{space}rows
+Through{space}hole{space}pin{space}header{space}THT{space}2x40{space}2.54mm{space}double{space}row
+0
+80
+80
+Connector_PinHeader_2.54mm
+PinHeader_2x40_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}pin{space}header,{space}2x40,{space}2.54mm{space}pitch,{space}double{space}rows
+Surface{space}mounted{space}pin{space}header{space}SMD{space}2x40{space}2.54mm{space}double{space}row
+0
+80
+80
+Connector_PinSocket_1.00mm
+PinSocket_1x02_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x02,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x02{space}1.00mm{space}single{space}row
+0
+2
+2
+Connector_PinSocket_1.00mm
+PinSocket_1x02_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x02,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x02{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+2
+2
+Connector_PinSocket_1.00mm
+PinSocket_1x02_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x02,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x02{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+2
+2
+Connector_PinSocket_1.00mm
+PinSocket_1x03_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x03,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x03{space}1.00mm{space}single{space}row
+0
+3
+3
+Connector_PinSocket_1.00mm
+PinSocket_1x03_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x03,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x03{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+3
+3
+Connector_PinSocket_1.00mm
+PinSocket_1x03_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x03,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x03{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+3
+3
+Connector_PinSocket_1.00mm
+PinSocket_1x04_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x04,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x04{space}1.00mm{space}single{space}row
+0
+4
+4
+Connector_PinSocket_1.00mm
+PinSocket_1x04_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x04,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x04{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+4
+4
+Connector_PinSocket_1.00mm
+PinSocket_1x04_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x04,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x04{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+4
+4
+Connector_PinSocket_1.00mm
+PinSocket_1x05_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x05,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x05{space}1.00mm{space}single{space}row
+0
+5
+5
+Connector_PinSocket_1.00mm
+PinSocket_1x05_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x05,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x05{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+5
+5
+Connector_PinSocket_1.00mm
+PinSocket_1x05_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x05,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x05{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+5
+5
+Connector_PinSocket_1.00mm
+PinSocket_1x06_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x06,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x06{space}1.00mm{space}single{space}row
+0
+6
+6
+Connector_PinSocket_1.00mm
+PinSocket_1x06_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x06,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x06{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+6
+6
+Connector_PinSocket_1.00mm
+PinSocket_1x06_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x06,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x06{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+6
+6
+Connector_PinSocket_1.00mm
+PinSocket_1x07_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x07,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x07{space}1.00mm{space}single{space}row
+0
+7
+7
+Connector_PinSocket_1.00mm
+PinSocket_1x07_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x07,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x07{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+7
+7
+Connector_PinSocket_1.00mm
+PinSocket_1x07_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x07,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x07{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+7
+7
+Connector_PinSocket_1.00mm
+PinSocket_1x08_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x08,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x08{space}1.00mm{space}single{space}row
+0
+8
+8
+Connector_PinSocket_1.00mm
+PinSocket_1x08_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x08,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x08{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+8
+8
+Connector_PinSocket_1.00mm
+PinSocket_1x08_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x08,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x08{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+8
+8
+Connector_PinSocket_1.00mm
+PinSocket_1x09_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x09,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x09{space}1.00mm{space}single{space}row
+0
+9
+9
+Connector_PinSocket_1.00mm
+PinSocket_1x09_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x09,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x09{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+9
+9
+Connector_PinSocket_1.00mm
+PinSocket_1x09_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x09,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x09{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+9
+9
+Connector_PinSocket_1.00mm
+PinSocket_1x10_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x10,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x10{space}1.00mm{space}single{space}row
+0
+10
+10
+Connector_PinSocket_1.00mm
+PinSocket_1x10_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x10,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x10{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+10
+10
+Connector_PinSocket_1.00mm
+PinSocket_1x10_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x10,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x10{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+10
+10
+Connector_PinSocket_1.00mm
+PinSocket_1x11_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x11,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x11{space}1.00mm{space}single{space}row
+0
+11
+11
+Connector_PinSocket_1.00mm
+PinSocket_1x11_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x11,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x11{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+11
+11
+Connector_PinSocket_1.00mm
+PinSocket_1x11_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x11,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x11{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+11
+11
+Connector_PinSocket_1.00mm
+PinSocket_1x12_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x12,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x12{space}1.00mm{space}single{space}row
+0
+12
+12
+Connector_PinSocket_1.00mm
+PinSocket_1x12_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x12,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x12{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+12
+12
+Connector_PinSocket_1.00mm
+PinSocket_1x12_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x12,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x12{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+12
+12
+Connector_PinSocket_1.00mm
+PinSocket_1x13_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x13,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x13{space}1.00mm{space}single{space}row
+0
+13
+13
+Connector_PinSocket_1.00mm
+PinSocket_1x13_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x13,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x13{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+13
+13
+Connector_PinSocket_1.00mm
+PinSocket_1x13_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x13,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x13{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+13
+13
+Connector_PinSocket_1.00mm
+PinSocket_1x14_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x14,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x14{space}1.00mm{space}single{space}row
+0
+14
+14
+Connector_PinSocket_1.00mm
+PinSocket_1x14_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x14,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x14{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+14
+14
+Connector_PinSocket_1.00mm
+PinSocket_1x14_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x14,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x14{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+14
+14
+Connector_PinSocket_1.00mm
+PinSocket_1x15_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x15,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x15{space}1.00mm{space}single{space}row
+0
+15
+15
+Connector_PinSocket_1.00mm
+PinSocket_1x15_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x15,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x15{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+15
+15
+Connector_PinSocket_1.00mm
+PinSocket_1x15_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x15,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x15{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+15
+15
+Connector_PinSocket_1.00mm
+PinSocket_1x16_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x16,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x16{space}1.00mm{space}single{space}row
+0
+16
+16
+Connector_PinSocket_1.00mm
+PinSocket_1x16_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x16,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x16{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+16
+16
+Connector_PinSocket_1.00mm
+PinSocket_1x16_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x16,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x16{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+16
+16
+Connector_PinSocket_1.00mm
+PinSocket_1x17_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x17,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x17{space}1.00mm{space}single{space}row
+0
+17
+17
+Connector_PinSocket_1.00mm
+PinSocket_1x17_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x17,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x17{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+17
+17
+Connector_PinSocket_1.00mm
+PinSocket_1x17_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x17,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x17{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+17
+17
+Connector_PinSocket_1.00mm
+PinSocket_1x18_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x18,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x18{space}1.00mm{space}single{space}row
+0
+18
+18
+Connector_PinSocket_1.00mm
+PinSocket_1x18_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x18,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x18{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+18
+18
+Connector_PinSocket_1.00mm
+PinSocket_1x18_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x18,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x18{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+18
+18
+Connector_PinSocket_1.00mm
+PinSocket_1x19_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x19,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x19{space}1.00mm{space}single{space}row
+0
+19
+19
+Connector_PinSocket_1.00mm
+PinSocket_1x19_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x19,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x19{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+19
+19
+Connector_PinSocket_1.00mm
+PinSocket_1x19_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x19,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x19{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+19
+19
+Connector_PinSocket_1.00mm
+PinSocket_1x20_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x20,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x20{space}1.00mm{space}single{space}row
+0
+20
+20
+Connector_PinSocket_1.00mm
+PinSocket_1x20_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x20,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x20{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+20
+20
+Connector_PinSocket_1.00mm
+PinSocket_1x20_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x20,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x20{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+20
+20
+Connector_PinSocket_1.00mm
+PinSocket_1x21_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x21,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x21{space}1.00mm{space}single{space}row
+0
+21
+21
+Connector_PinSocket_1.00mm
+PinSocket_1x21_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x21,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x21{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+21
+21
+Connector_PinSocket_1.00mm
+PinSocket_1x21_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x21,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x21{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+21
+21
+Connector_PinSocket_1.00mm
+PinSocket_1x22_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x22,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x22{space}1.00mm{space}single{space}row
+0
+22
+22
+Connector_PinSocket_1.00mm
+PinSocket_1x22_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x22,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x22{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+22
+22
+Connector_PinSocket_1.00mm
+PinSocket_1x22_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x22,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x22{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+22
+22
+Connector_PinSocket_1.00mm
+PinSocket_1x23_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x23,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x23{space}1.00mm{space}single{space}row
+0
+23
+23
+Connector_PinSocket_1.00mm
+PinSocket_1x23_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x23,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x23{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+23
+23
+Connector_PinSocket_1.00mm
+PinSocket_1x23_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x23,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x23{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+23
+23
+Connector_PinSocket_1.00mm
+PinSocket_1x24_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x24,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x24{space}1.00mm{space}single{space}row
+0
+24
+24
+Connector_PinSocket_1.00mm
+PinSocket_1x24_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x24,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x24{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+24
+24
+Connector_PinSocket_1.00mm
+PinSocket_1x24_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x24,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x24{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+24
+24
+Connector_PinSocket_1.00mm
+PinSocket_1x25_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x25,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x25{space}1.00mm{space}single{space}row
+0
+25
+25
+Connector_PinSocket_1.00mm
+PinSocket_1x25_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x25,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x25{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+25
+25
+Connector_PinSocket_1.00mm
+PinSocket_1x25_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x25,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x25{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+25
+25
+Connector_PinSocket_1.00mm
+PinSocket_1x26_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x26,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x26{space}1.00mm{space}single{space}row
+0
+26
+26
+Connector_PinSocket_1.00mm
+PinSocket_1x26_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x26,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x26{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+26
+26
+Connector_PinSocket_1.00mm
+PinSocket_1x26_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x26,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x26{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+26
+26
+Connector_PinSocket_1.00mm
+PinSocket_1x27_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x27,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x27{space}1.00mm{space}single{space}row
+0
+27
+27
+Connector_PinSocket_1.00mm
+PinSocket_1x27_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x27,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x27{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+27
+27
+Connector_PinSocket_1.00mm
+PinSocket_1x27_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x27,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x27{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+27
+27
+Connector_PinSocket_1.00mm
+PinSocket_1x28_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x28,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x28{space}1.00mm{space}single{space}row
+0
+28
+28
+Connector_PinSocket_1.00mm
+PinSocket_1x28_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x28,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x28{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+28
+28
+Connector_PinSocket_1.00mm
+PinSocket_1x28_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x28,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x28{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+28
+28
+Connector_PinSocket_1.00mm
+PinSocket_1x29_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x29,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x29{space}1.00mm{space}single{space}row
+0
+29
+29
+Connector_PinSocket_1.00mm
+PinSocket_1x29_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x29,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x29{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+29
+29
+Connector_PinSocket_1.00mm
+PinSocket_1x29_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x29,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x29{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+29
+29
+Connector_PinSocket_1.00mm
+PinSocket_1x30_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x30,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x30{space}1.00mm{space}single{space}row
+0
+30
+30
+Connector_PinSocket_1.00mm
+PinSocket_1x30_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x30,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x30{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+30
+30
+Connector_PinSocket_1.00mm
+PinSocket_1x30_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x30,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x30{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+30
+30
+Connector_PinSocket_1.00mm
+PinSocket_1x31_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x31,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x31{space}1.00mm{space}single{space}row
+0
+31
+31
+Connector_PinSocket_1.00mm
+PinSocket_1x31_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x31,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x31{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+31
+31
+Connector_PinSocket_1.00mm
+PinSocket_1x31_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x31,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x31{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+31
+31
+Connector_PinSocket_1.00mm
+PinSocket_1x32_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x32,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x32{space}1.00mm{space}single{space}row
+0
+32
+32
+Connector_PinSocket_1.00mm
+PinSocket_1x32_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x32,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x32{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+32
+32
+Connector_PinSocket_1.00mm
+PinSocket_1x32_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x32,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x32{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+32
+32
+Connector_PinSocket_1.00mm
+PinSocket_1x33_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x33,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x33{space}1.00mm{space}single{space}row
+0
+33
+33
+Connector_PinSocket_1.00mm
+PinSocket_1x33_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x33,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x33{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+33
+33
+Connector_PinSocket_1.00mm
+PinSocket_1x33_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x33,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x33{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+33
+33
+Connector_PinSocket_1.00mm
+PinSocket_1x34_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x34,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x34{space}1.00mm{space}single{space}row
+0
+34
+34
+Connector_PinSocket_1.00mm
+PinSocket_1x34_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x34,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x34{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+34
+34
+Connector_PinSocket_1.00mm
+PinSocket_1x34_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x34,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x34{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+34
+34
+Connector_PinSocket_1.00mm
+PinSocket_1x35_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x35,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x35{space}1.00mm{space}single{space}row
+0
+35
+35
+Connector_PinSocket_1.00mm
+PinSocket_1x35_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x35,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x35{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+35
+35
+Connector_PinSocket_1.00mm
+PinSocket_1x35_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x35,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x35{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+35
+35
+Connector_PinSocket_1.00mm
+PinSocket_1x36_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x36,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x36{space}1.00mm{space}single{space}row
+0
+36
+36
+Connector_PinSocket_1.00mm
+PinSocket_1x36_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x36,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x36{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+36
+36
+Connector_PinSocket_1.00mm
+PinSocket_1x36_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x36,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x36{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+36
+36
+Connector_PinSocket_1.00mm
+PinSocket_1x37_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x37,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x37{space}1.00mm{space}single{space}row
+0
+37
+37
+Connector_PinSocket_1.00mm
+PinSocket_1x37_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x37,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x37{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+37
+37
+Connector_PinSocket_1.00mm
+PinSocket_1x37_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x37,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x37{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+37
+37
+Connector_PinSocket_1.00mm
+PinSocket_1x38_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x38,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x38{space}1.00mm{space}single{space}row
+0
+38
+38
+Connector_PinSocket_1.00mm
+PinSocket_1x38_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x38,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x38{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+38
+38
+Connector_PinSocket_1.00mm
+PinSocket_1x38_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x38,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x38{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+38
+38
+Connector_PinSocket_1.00mm
+PinSocket_1x39_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x39,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x39{space}1.00mm{space}single{space}row
+0
+39
+39
+Connector_PinSocket_1.00mm
+PinSocket_1x39_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x39,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x39{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+39
+39
+Connector_PinSocket_1.00mm
+PinSocket_1x39_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x39,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x39{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+39
+39
+Connector_PinSocket_1.00mm
+PinSocket_1x40_P1.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x40,{space}1.00mm{space}pitch,{space}single{space}row{space}(https://gct.co/files/drawings/bc065.pdf),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x40{space}1.00mm{space}single{space}row
+0
+40
+40
+Connector_PinSocket_1.00mm
+PinSocket_1x40_P1.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x40,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x40{space}1.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+40
+40
+Connector_PinSocket_1.00mm
+PinSocket_1x40_P1.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x40,{space}1.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/files/drawings/bc070.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x40{space}1.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+40
+40
+Connector_PinSocket_1.00mm
+PinSocket_2x02_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x02,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x02{space}1.00mm{space}double{space}row
+0
+4
+4
+Connector_PinSocket_1.00mm
+PinSocket_2x03_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x03,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x03{space}1.00mm{space}double{space}row
+0
+6
+6
+Connector_PinSocket_1.00mm
+PinSocket_2x04_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x04,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x04{space}1.00mm{space}double{space}row
+0
+8
+8
+Connector_PinSocket_1.00mm
+PinSocket_2x05_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x05,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x05{space}1.00mm{space}double{space}row
+0
+10
+10
+Connector_PinSocket_1.00mm
+PinSocket_2x06_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x06,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x06{space}1.00mm{space}double{space}row
+0
+12
+12
+Connector_PinSocket_1.00mm
+PinSocket_2x07_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x07,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x07{space}1.00mm{space}double{space}row
+0
+14
+14
+Connector_PinSocket_1.00mm
+PinSocket_2x08_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x08,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x08{space}1.00mm{space}double{space}row
+0
+16
+16
+Connector_PinSocket_1.00mm
+PinSocket_2x09_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x09,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x09{space}1.00mm{space}double{space}row
+0
+18
+18
+Connector_PinSocket_1.00mm
+PinSocket_2x10_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x10,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x10{space}1.00mm{space}double{space}row
+0
+20
+20
+Connector_PinSocket_1.00mm
+PinSocket_2x11_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x11,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x11{space}1.00mm{space}double{space}row
+0
+22
+22
+Connector_PinSocket_1.00mm
+PinSocket_2x12_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x12,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x12{space}1.00mm{space}double{space}row
+0
+24
+24
+Connector_PinSocket_1.00mm
+PinSocket_2x13_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x13,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x13{space}1.00mm{space}double{space}row
+0
+26
+26
+Connector_PinSocket_1.00mm
+PinSocket_2x14_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x14,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x14{space}1.00mm{space}double{space}row
+0
+28
+28
+Connector_PinSocket_1.00mm
+PinSocket_2x15_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x15,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x15{space}1.00mm{space}double{space}row
+0
+30
+30
+Connector_PinSocket_1.00mm
+PinSocket_2x16_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x16,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x16{space}1.00mm{space}double{space}row
+0
+32
+32
+Connector_PinSocket_1.00mm
+PinSocket_2x17_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x17,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x17{space}1.00mm{space}double{space}row
+0
+34
+34
+Connector_PinSocket_1.00mm
+PinSocket_2x18_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x18,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x18{space}1.00mm{space}double{space}row
+0
+36
+36
+Connector_PinSocket_1.00mm
+PinSocket_2x19_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x19,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x19{space}1.00mm{space}double{space}row
+0
+38
+38
+Connector_PinSocket_1.00mm
+PinSocket_2x20_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x20,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x20{space}1.00mm{space}double{space}row
+0
+40
+40
+Connector_PinSocket_1.00mm
+PinSocket_2x21_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x21,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x21{space}1.00mm{space}double{space}row
+0
+42
+42
+Connector_PinSocket_1.00mm
+PinSocket_2x22_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x22,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x22{space}1.00mm{space}double{space}row
+0
+44
+44
+Connector_PinSocket_1.00mm
+PinSocket_2x23_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x23,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x23{space}1.00mm{space}double{space}row
+0
+46
+46
+Connector_PinSocket_1.00mm
+PinSocket_2x24_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x24,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x24{space}1.00mm{space}double{space}row
+0
+48
+48
+Connector_PinSocket_1.00mm
+PinSocket_2x25_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x25,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x25{space}1.00mm{space}double{space}row
+0
+50
+50
+Connector_PinSocket_1.00mm
+PinSocket_2x26_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x26,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x26{space}1.00mm{space}double{space}row
+0
+52
+52
+Connector_PinSocket_1.00mm
+PinSocket_2x27_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x27,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x27{space}1.00mm{space}double{space}row
+0
+54
+54
+Connector_PinSocket_1.00mm
+PinSocket_2x28_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x28,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x28{space}1.00mm{space}double{space}row
+0
+56
+56
+Connector_PinSocket_1.00mm
+PinSocket_2x29_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x29,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x29{space}1.00mm{space}double{space}row
+0
+58
+58
+Connector_PinSocket_1.00mm
+PinSocket_2x30_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x30,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x30{space}1.00mm{space}double{space}row
+0
+60
+60
+Connector_PinSocket_1.00mm
+PinSocket_2x31_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x31,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x31{space}1.00mm{space}double{space}row
+0
+62
+62
+Connector_PinSocket_1.00mm
+PinSocket_2x32_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x32,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x32{space}1.00mm{space}double{space}row
+0
+64
+64
+Connector_PinSocket_1.00mm
+PinSocket_2x33_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x33,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x33{space}1.00mm{space}double{space}row
+0
+66
+66
+Connector_PinSocket_1.00mm
+PinSocket_2x34_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x34,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x34{space}1.00mm{space}double{space}row
+0
+68
+68
+Connector_PinSocket_1.00mm
+PinSocket_2x35_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x35,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x35{space}1.00mm{space}double{space}row
+0
+70
+70
+Connector_PinSocket_1.00mm
+PinSocket_2x36_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x36,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x36{space}1.00mm{space}double{space}row
+0
+72
+72
+Connector_PinSocket_1.00mm
+PinSocket_2x37_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x37,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x37{space}1.00mm{space}double{space}row
+0
+74
+74
+Connector_PinSocket_1.00mm
+PinSocket_2x38_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x38,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x38{space}1.00mm{space}double{space}row
+0
+76
+76
+Connector_PinSocket_1.00mm
+PinSocket_2x39_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x39,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x39{space}1.00mm{space}double{space}row
+0
+78
+78
+Connector_PinSocket_1.00mm
+PinSocket_2x40_P1.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x40,{space}1.00mm{space}pitch,{space}double{space}cols{space}(https://gct.co/files/drawings/bc085.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x40{space}1.00mm{space}double{space}row
+0
+80
+80
+Connector_PinSocket_1.27mm
+PinSocket_1x01_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x01,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x01{space}1.27mm{space}single{space}row
+0
+1
+1
+Connector_PinSocket_1.27mm
+PinSocket_1x02_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x02,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x02{space}1.27mm{space}single{space}row
+0
+2
+2
+Connector_PinSocket_1.27mm
+PinSocket_1x02_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x02,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x02{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+2
+2
+Connector_PinSocket_1.27mm
+PinSocket_1x02_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x02,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x02{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+2
+2
+Connector_PinSocket_1.27mm
+PinSocket_1x03_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x03,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x03{space}1.27mm{space}single{space}row
+0
+3
+3
+Connector_PinSocket_1.27mm
+PinSocket_1x03_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x03,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x03{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+3
+3
+Connector_PinSocket_1.27mm
+PinSocket_1x03_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x03,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x03{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+3
+3
+Connector_PinSocket_1.27mm
+PinSocket_1x04_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x04,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x04{space}1.27mm{space}single{space}row
+0
+4
+4
+Connector_PinSocket_1.27mm
+PinSocket_1x04_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x04,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x04{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+4
+4
+Connector_PinSocket_1.27mm
+PinSocket_1x04_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x04,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x04{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+4
+4
+Connector_PinSocket_1.27mm
+PinSocket_1x05_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x05,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x05{space}1.27mm{space}single{space}row
+0
+5
+5
+Connector_PinSocket_1.27mm
+PinSocket_1x05_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x05,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x05{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+5
+5
+Connector_PinSocket_1.27mm
+PinSocket_1x05_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x05,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x05{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+5
+5
+Connector_PinSocket_1.27mm
+PinSocket_1x06_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x06,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x06{space}1.27mm{space}single{space}row
+0
+6
+6
+Connector_PinSocket_1.27mm
+PinSocket_1x06_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x06,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x06{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+6
+6
+Connector_PinSocket_1.27mm
+PinSocket_1x06_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x06,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x06{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+6
+6
+Connector_PinSocket_1.27mm
+PinSocket_1x07_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x07,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x07{space}1.27mm{space}single{space}row
+0
+7
+7
+Connector_PinSocket_1.27mm
+PinSocket_1x07_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x07,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x07{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+7
+7
+Connector_PinSocket_1.27mm
+PinSocket_1x07_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x07,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x07{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+7
+7
+Connector_PinSocket_1.27mm
+PinSocket_1x08_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x08,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x08{space}1.27mm{space}single{space}row
+0
+8
+8
+Connector_PinSocket_1.27mm
+PinSocket_1x08_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x08,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x08{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+8
+8
+Connector_PinSocket_1.27mm
+PinSocket_1x08_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x08,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x08{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+8
+8
+Connector_PinSocket_1.27mm
+PinSocket_1x09_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x09,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x09{space}1.27mm{space}single{space}row
+0
+9
+9
+Connector_PinSocket_1.27mm
+PinSocket_1x09_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x09,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x09{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+9
+9
+Connector_PinSocket_1.27mm
+PinSocket_1x09_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x09,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x09{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+9
+9
+Connector_PinSocket_1.27mm
+PinSocket_1x10_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x10,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x10{space}1.27mm{space}single{space}row
+0
+10
+10
+Connector_PinSocket_1.27mm
+PinSocket_1x10_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x10,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x10{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+10
+10
+Connector_PinSocket_1.27mm
+PinSocket_1x10_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x10,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x10{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+10
+10
+Connector_PinSocket_1.27mm
+PinSocket_1x11_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x11,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x11{space}1.27mm{space}single{space}row
+0
+11
+11
+Connector_PinSocket_1.27mm
+PinSocket_1x11_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x11,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x11{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+11
+11
+Connector_PinSocket_1.27mm
+PinSocket_1x11_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x11,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x11{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+11
+11
+Connector_PinSocket_1.27mm
+PinSocket_1x12_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x12,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x12{space}1.27mm{space}single{space}row
+0
+12
+12
+Connector_PinSocket_1.27mm
+PinSocket_1x12_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x12,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x12{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+12
+12
+Connector_PinSocket_1.27mm
+PinSocket_1x12_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x12,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x12{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+12
+12
+Connector_PinSocket_1.27mm
+PinSocket_1x13_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x13,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x13{space}1.27mm{space}single{space}row
+0
+13
+13
+Connector_PinSocket_1.27mm
+PinSocket_1x13_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x13,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x13{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+13
+13
+Connector_PinSocket_1.27mm
+PinSocket_1x13_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x13,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x13{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+13
+13
+Connector_PinSocket_1.27mm
+PinSocket_1x14_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x14,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x14{space}1.27mm{space}single{space}row
+0
+14
+14
+Connector_PinSocket_1.27mm
+PinSocket_1x14_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x14,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x14{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+14
+14
+Connector_PinSocket_1.27mm
+PinSocket_1x14_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x14,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x14{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+14
+14
+Connector_PinSocket_1.27mm
+PinSocket_1x15_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x15,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x15{space}1.27mm{space}single{space}row
+0
+15
+15
+Connector_PinSocket_1.27mm
+PinSocket_1x15_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x15,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x15{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+15
+15
+Connector_PinSocket_1.27mm
+PinSocket_1x15_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x15,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x15{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+15
+15
+Connector_PinSocket_1.27mm
+PinSocket_1x16_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x16,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x16{space}1.27mm{space}single{space}row
+0
+16
+16
+Connector_PinSocket_1.27mm
+PinSocket_1x16_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x16,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x16{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+16
+16
+Connector_PinSocket_1.27mm
+PinSocket_1x16_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x16,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x16{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+16
+16
+Connector_PinSocket_1.27mm
+PinSocket_1x17_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x17,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x17{space}1.27mm{space}single{space}row
+0
+17
+17
+Connector_PinSocket_1.27mm
+PinSocket_1x17_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x17,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x17{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+17
+17
+Connector_PinSocket_1.27mm
+PinSocket_1x17_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x17,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x17{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+17
+17
+Connector_PinSocket_1.27mm
+PinSocket_1x18_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x18,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x18{space}1.27mm{space}single{space}row
+0
+18
+18
+Connector_PinSocket_1.27mm
+PinSocket_1x18_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x18,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x18{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+18
+18
+Connector_PinSocket_1.27mm
+PinSocket_1x18_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x18,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x18{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+18
+18
+Connector_PinSocket_1.27mm
+PinSocket_1x19_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x19,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x19{space}1.27mm{space}single{space}row
+0
+19
+19
+Connector_PinSocket_1.27mm
+PinSocket_1x19_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x19,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x19{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+19
+19
+Connector_PinSocket_1.27mm
+PinSocket_1x19_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x19,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x19{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+19
+19
+Connector_PinSocket_1.27mm
+PinSocket_1x20_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x20,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x20{space}1.27mm{space}single{space}row
+0
+20
+20
+Connector_PinSocket_1.27mm
+PinSocket_1x20_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x20,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x20{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+20
+20
+Connector_PinSocket_1.27mm
+PinSocket_1x20_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x20,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x20{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+20
+20
+Connector_PinSocket_1.27mm
+PinSocket_1x21_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x21,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x21{space}1.27mm{space}single{space}row
+0
+21
+21
+Connector_PinSocket_1.27mm
+PinSocket_1x21_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x21,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x21{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+21
+21
+Connector_PinSocket_1.27mm
+PinSocket_1x21_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x21,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x21{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+21
+21
+Connector_PinSocket_1.27mm
+PinSocket_1x22_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x22,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x22{space}1.27mm{space}single{space}row
+0
+22
+22
+Connector_PinSocket_1.27mm
+PinSocket_1x22_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x22,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x22{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+22
+22
+Connector_PinSocket_1.27mm
+PinSocket_1x22_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x22,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x22{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+22
+22
+Connector_PinSocket_1.27mm
+PinSocket_1x23_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x23,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x23{space}1.27mm{space}single{space}row
+0
+23
+23
+Connector_PinSocket_1.27mm
+PinSocket_1x23_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x23,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x23{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+23
+23
+Connector_PinSocket_1.27mm
+PinSocket_1x23_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x23,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x23{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+23
+23
+Connector_PinSocket_1.27mm
+PinSocket_1x24_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x24,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x24{space}1.27mm{space}single{space}row
+0
+24
+24
+Connector_PinSocket_1.27mm
+PinSocket_1x24_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x24,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x24{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+24
+24
+Connector_PinSocket_1.27mm
+PinSocket_1x24_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x24,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x24{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+24
+24
+Connector_PinSocket_1.27mm
+PinSocket_1x25_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x25,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x25{space}1.27mm{space}single{space}row
+0
+25
+25
+Connector_PinSocket_1.27mm
+PinSocket_1x25_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x25,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x25{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+25
+25
+Connector_PinSocket_1.27mm
+PinSocket_1x25_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x25,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x25{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+25
+25
+Connector_PinSocket_1.27mm
+PinSocket_1x26_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x26,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x26{space}1.27mm{space}single{space}row
+0
+26
+26
+Connector_PinSocket_1.27mm
+PinSocket_1x26_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x26,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x26{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+26
+26
+Connector_PinSocket_1.27mm
+PinSocket_1x26_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x26,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x26{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+26
+26
+Connector_PinSocket_1.27mm
+PinSocket_1x27_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x27,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x27{space}1.27mm{space}single{space}row
+0
+27
+27
+Connector_PinSocket_1.27mm
+PinSocket_1x27_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x27,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x27{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+27
+27
+Connector_PinSocket_1.27mm
+PinSocket_1x27_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x27,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x27{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+27
+27
+Connector_PinSocket_1.27mm
+PinSocket_1x28_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x28,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x28{space}1.27mm{space}single{space}row
+0
+28
+28
+Connector_PinSocket_1.27mm
+PinSocket_1x28_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x28,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x28{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+28
+28
+Connector_PinSocket_1.27mm
+PinSocket_1x28_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x28,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x28{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+28
+28
+Connector_PinSocket_1.27mm
+PinSocket_1x29_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x29,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x29{space}1.27mm{space}single{space}row
+0
+29
+29
+Connector_PinSocket_1.27mm
+PinSocket_1x29_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x29,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x29{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+29
+29
+Connector_PinSocket_1.27mm
+PinSocket_1x29_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x29,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x29{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+29
+29
+Connector_PinSocket_1.27mm
+PinSocket_1x30_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x30,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x30{space}1.27mm{space}single{space}row
+0
+30
+30
+Connector_PinSocket_1.27mm
+PinSocket_1x30_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x30,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x30{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+30
+30
+Connector_PinSocket_1.27mm
+PinSocket_1x30_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x30,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x30{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+30
+30
+Connector_PinSocket_1.27mm
+PinSocket_1x31_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x31,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x31{space}1.27mm{space}single{space}row
+0
+31
+31
+Connector_PinSocket_1.27mm
+PinSocket_1x31_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x31,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x31{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+31
+31
+Connector_PinSocket_1.27mm
+PinSocket_1x31_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x31,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x31{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+31
+31
+Connector_PinSocket_1.27mm
+PinSocket_1x32_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x32,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x32{space}1.27mm{space}single{space}row
+0
+32
+32
+Connector_PinSocket_1.27mm
+PinSocket_1x32_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x32,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x32{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+32
+32
+Connector_PinSocket_1.27mm
+PinSocket_1x32_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x32,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x32{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+32
+32
+Connector_PinSocket_1.27mm
+PinSocket_1x33_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x33,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x33{space}1.27mm{space}single{space}row
+0
+33
+33
+Connector_PinSocket_1.27mm
+PinSocket_1x33_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x33,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x33{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+33
+33
+Connector_PinSocket_1.27mm
+PinSocket_1x33_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x33,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x33{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+33
+33
+Connector_PinSocket_1.27mm
+PinSocket_1x34_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x34,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x34{space}1.27mm{space}single{space}row
+0
+34
+34
+Connector_PinSocket_1.27mm
+PinSocket_1x34_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x34,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x34{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+34
+34
+Connector_PinSocket_1.27mm
+PinSocket_1x34_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x34,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x34{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+34
+34
+Connector_PinSocket_1.27mm
+PinSocket_1x35_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x35,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x35{space}1.27mm{space}single{space}row
+0
+35
+35
+Connector_PinSocket_1.27mm
+PinSocket_1x35_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x35,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x35{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+35
+35
+Connector_PinSocket_1.27mm
+PinSocket_1x35_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x35,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x35{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+35
+35
+Connector_PinSocket_1.27mm
+PinSocket_1x36_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x36,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x36{space}1.27mm{space}single{space}row
+0
+36
+36
+Connector_PinSocket_1.27mm
+PinSocket_1x36_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x36,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x36{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+36
+36
+Connector_PinSocket_1.27mm
+PinSocket_1x36_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x36,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x36{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+36
+36
+Connector_PinSocket_1.27mm
+PinSocket_1x37_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x37,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x37{space}1.27mm{space}single{space}row
+0
+37
+37
+Connector_PinSocket_1.27mm
+PinSocket_1x37_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x37,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x37{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+37
+37
+Connector_PinSocket_1.27mm
+PinSocket_1x37_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x37,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x37{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+37
+37
+Connector_PinSocket_1.27mm
+PinSocket_1x38_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x38,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x38{space}1.27mm{space}single{space}row
+0
+38
+38
+Connector_PinSocket_1.27mm
+PinSocket_1x38_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x38,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x38{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+38
+38
+Connector_PinSocket_1.27mm
+PinSocket_1x38_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x38,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x38{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+38
+38
+Connector_PinSocket_1.27mm
+PinSocket_1x39_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x39,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x39{space}1.27mm{space}single{space}row
+0
+39
+39
+Connector_PinSocket_1.27mm
+PinSocket_1x39_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x39,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x39{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+39
+39
+Connector_PinSocket_1.27mm
+PinSocket_1x39_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x39,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x39{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+39
+39
+Connector_PinSocket_1.27mm
+PinSocket_1x40_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x40,{space}1.27mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x40{space}1.27mm{space}single{space}row
+0
+40
+40
+Connector_PinSocket_1.27mm
+PinSocket_1x40_P1.27mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x40,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x40{space}1.27mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+40
+40
+Connector_PinSocket_1.27mm
+PinSocket_1x40_P1.27mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x40,{space}1.27mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD075.pdf&t=1511594726925),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x40{space}1.27mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+40
+40
+Connector_PinSocket_1.27mm
+PinSocket_2x01_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x01,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x01{space}1.27mm{space}double{space}row
+0
+2
+2
+Connector_PinSocket_1.27mm
+PinSocket_2x01_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x01,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x01{space}1.27mm{space}double{space}row
+0
+2
+2
+Connector_PinSocket_1.27mm
+PinSocket_2x02_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x02,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x02{space}1.27mm{space}double{space}row
+0
+4
+4
+Connector_PinSocket_1.27mm
+PinSocket_2x02_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x02,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x02{space}1.27mm{space}double{space}row
+0
+4
+4
+Connector_PinSocket_1.27mm
+PinSocket_2x03_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x03,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x03{space}1.27mm{space}double{space}row
+0
+6
+6
+Connector_PinSocket_1.27mm
+PinSocket_2x03_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x03,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x03{space}1.27mm{space}double{space}row
+0
+6
+6
+Connector_PinSocket_1.27mm
+PinSocket_2x03_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x03,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x03{space}1.27mm{space}double{space}row
+0
+6
+6
+Connector_PinSocket_1.27mm
+PinSocket_2x04_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x04,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x04{space}1.27mm{space}double{space}row
+0
+8
+8
+Connector_PinSocket_1.27mm
+PinSocket_2x04_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x04,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x04{space}1.27mm{space}double{space}row
+0
+8
+8
+Connector_PinSocket_1.27mm
+PinSocket_2x04_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x04,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x04{space}1.27mm{space}double{space}row
+0
+8
+8
+Connector_PinSocket_1.27mm
+PinSocket_2x05_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x05,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x05{space}1.27mm{space}double{space}row
+0
+10
+10
+Connector_PinSocket_1.27mm
+PinSocket_2x05_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x05,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x05{space}1.27mm{space}double{space}row
+0
+10
+10
+Connector_PinSocket_1.27mm
+PinSocket_2x05_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x05,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x05{space}1.27mm{space}double{space}row
+0
+10
+10
+Connector_PinSocket_1.27mm
+PinSocket_2x06_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x06,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x06{space}1.27mm{space}double{space}row
+0
+12
+12
+Connector_PinSocket_1.27mm
+PinSocket_2x06_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x06,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x06{space}1.27mm{space}double{space}row
+0
+12
+12
+Connector_PinSocket_1.27mm
+PinSocket_2x06_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x06,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x06{space}1.27mm{space}double{space}row
+0
+12
+12
+Connector_PinSocket_1.27mm
+PinSocket_2x07_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x07,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x07{space}1.27mm{space}double{space}row
+0
+14
+14
+Connector_PinSocket_1.27mm
+PinSocket_2x07_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x07,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x07{space}1.27mm{space}double{space}row
+0
+14
+14
+Connector_PinSocket_1.27mm
+PinSocket_2x07_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x07,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x07{space}1.27mm{space}double{space}row
+0
+14
+14
+Connector_PinSocket_1.27mm
+PinSocket_2x08_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x08,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x08{space}1.27mm{space}double{space}row
+0
+16
+16
+Connector_PinSocket_1.27mm
+PinSocket_2x08_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x08,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x08{space}1.27mm{space}double{space}row
+0
+16
+16
+Connector_PinSocket_1.27mm
+PinSocket_2x08_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x08,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x08{space}1.27mm{space}double{space}row
+0
+16
+16
+Connector_PinSocket_1.27mm
+PinSocket_2x09_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x09,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x09{space}1.27mm{space}double{space}row
+0
+18
+18
+Connector_PinSocket_1.27mm
+PinSocket_2x09_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x09,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x09{space}1.27mm{space}double{space}row
+0
+18
+18
+Connector_PinSocket_1.27mm
+PinSocket_2x09_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x09,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x09{space}1.27mm{space}double{space}row
+0
+18
+18
+Connector_PinSocket_1.27mm
+PinSocket_2x10_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x10,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x10{space}1.27mm{space}double{space}row
+0
+20
+20
+Connector_PinSocket_1.27mm
+PinSocket_2x10_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x10,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x10{space}1.27mm{space}double{space}row
+0
+20
+20
+Connector_PinSocket_1.27mm
+PinSocket_2x10_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x10,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x10{space}1.27mm{space}double{space}row
+0
+20
+20
+Connector_PinSocket_1.27mm
+PinSocket_2x11_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x11,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x11{space}1.27mm{space}double{space}row
+0
+22
+22
+Connector_PinSocket_1.27mm
+PinSocket_2x11_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x11,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x11{space}1.27mm{space}double{space}row
+0
+22
+22
+Connector_PinSocket_1.27mm
+PinSocket_2x11_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x11,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x11{space}1.27mm{space}double{space}row
+0
+22
+22
+Connector_PinSocket_1.27mm
+PinSocket_2x12_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x12,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x12{space}1.27mm{space}double{space}row
+0
+24
+24
+Connector_PinSocket_1.27mm
+PinSocket_2x12_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x12,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x12{space}1.27mm{space}double{space}row
+0
+24
+24
+Connector_PinSocket_1.27mm
+PinSocket_2x12_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x12,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x12{space}1.27mm{space}double{space}row
+0
+24
+24
+Connector_PinSocket_1.27mm
+PinSocket_2x13_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x13,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x13{space}1.27mm{space}double{space}row
+0
+26
+26
+Connector_PinSocket_1.27mm
+PinSocket_2x13_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x13,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x13{space}1.27mm{space}double{space}row
+0
+26
+26
+Connector_PinSocket_1.27mm
+PinSocket_2x13_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x13,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x13{space}1.27mm{space}double{space}row
+0
+26
+26
+Connector_PinSocket_1.27mm
+PinSocket_2x14_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x14,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x14{space}1.27mm{space}double{space}row
+0
+28
+28
+Connector_PinSocket_1.27mm
+PinSocket_2x14_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x14,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x14{space}1.27mm{space}double{space}row
+0
+28
+28
+Connector_PinSocket_1.27mm
+PinSocket_2x14_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x14,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x14{space}1.27mm{space}double{space}row
+0
+28
+28
+Connector_PinSocket_1.27mm
+PinSocket_2x15_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x15,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x15{space}1.27mm{space}double{space}row
+0
+30
+30
+Connector_PinSocket_1.27mm
+PinSocket_2x15_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x15,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x15{space}1.27mm{space}double{space}row
+0
+30
+30
+Connector_PinSocket_1.27mm
+PinSocket_2x15_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x15,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x15{space}1.27mm{space}double{space}row
+0
+30
+30
+Connector_PinSocket_1.27mm
+PinSocket_2x16_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x16,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x16{space}1.27mm{space}double{space}row
+0
+32
+32
+Connector_PinSocket_1.27mm
+PinSocket_2x16_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x16,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x16{space}1.27mm{space}double{space}row
+0
+32
+32
+Connector_PinSocket_1.27mm
+PinSocket_2x16_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x16,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x16{space}1.27mm{space}double{space}row
+0
+32
+32
+Connector_PinSocket_1.27mm
+PinSocket_2x17_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x17,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x17{space}1.27mm{space}double{space}row
+0
+34
+34
+Connector_PinSocket_1.27mm
+PinSocket_2x17_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x17,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x17{space}1.27mm{space}double{space}row
+0
+34
+34
+Connector_PinSocket_1.27mm
+PinSocket_2x17_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x17,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x17{space}1.27mm{space}double{space}row
+0
+34
+34
+Connector_PinSocket_1.27mm
+PinSocket_2x18_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x18,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x18{space}1.27mm{space}double{space}row
+0
+36
+36
+Connector_PinSocket_1.27mm
+PinSocket_2x18_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x18,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x18{space}1.27mm{space}double{space}row
+0
+36
+36
+Connector_PinSocket_1.27mm
+PinSocket_2x18_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x18,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x18{space}1.27mm{space}double{space}row
+0
+36
+36
+Connector_PinSocket_1.27mm
+PinSocket_2x19_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x19,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x19{space}1.27mm{space}double{space}row
+0
+38
+38
+Connector_PinSocket_1.27mm
+PinSocket_2x19_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x19,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x19{space}1.27mm{space}double{space}row
+0
+38
+38
+Connector_PinSocket_1.27mm
+PinSocket_2x19_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x19,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x19{space}1.27mm{space}double{space}row
+0
+38
+38
+Connector_PinSocket_1.27mm
+PinSocket_2x20_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x20,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x20{space}1.27mm{space}double{space}row
+0
+40
+40
+Connector_PinSocket_1.27mm
+PinSocket_2x20_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x20,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x20{space}1.27mm{space}double{space}row
+0
+40
+40
+Connector_PinSocket_1.27mm
+PinSocket_2x20_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x20,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x20{space}1.27mm{space}double{space}row
+0
+40
+40
+Connector_PinSocket_1.27mm
+PinSocket_2x21_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x21,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x21{space}1.27mm{space}double{space}row
+0
+42
+42
+Connector_PinSocket_1.27mm
+PinSocket_2x21_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x21,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x21{space}1.27mm{space}double{space}row
+0
+42
+42
+Connector_PinSocket_1.27mm
+PinSocket_2x21_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x21,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x21{space}1.27mm{space}double{space}row
+0
+42
+42
+Connector_PinSocket_1.27mm
+PinSocket_2x22_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x22,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x22{space}1.27mm{space}double{space}row
+0
+44
+44
+Connector_PinSocket_1.27mm
+PinSocket_2x22_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x22,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x22{space}1.27mm{space}double{space}row
+0
+44
+44
+Connector_PinSocket_1.27mm
+PinSocket_2x22_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x22,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x22{space}1.27mm{space}double{space}row
+0
+44
+44
+Connector_PinSocket_1.27mm
+PinSocket_2x23_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x23,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x23{space}1.27mm{space}double{space}row
+0
+46
+46
+Connector_PinSocket_1.27mm
+PinSocket_2x23_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x23,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x23{space}1.27mm{space}double{space}row
+0
+46
+46
+Connector_PinSocket_1.27mm
+PinSocket_2x23_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x23,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x23{space}1.27mm{space}double{space}row
+0
+46
+46
+Connector_PinSocket_1.27mm
+PinSocket_2x24_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x24,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x24{space}1.27mm{space}double{space}row
+0
+48
+48
+Connector_PinSocket_1.27mm
+PinSocket_2x24_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x24,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x24{space}1.27mm{space}double{space}row
+0
+48
+48
+Connector_PinSocket_1.27mm
+PinSocket_2x24_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x24,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x24{space}1.27mm{space}double{space}row
+0
+48
+48
+Connector_PinSocket_1.27mm
+PinSocket_2x25_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x25,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x25{space}1.27mm{space}double{space}row
+0
+50
+50
+Connector_PinSocket_1.27mm
+PinSocket_2x25_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x25,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x25{space}1.27mm{space}double{space}row
+0
+50
+50
+Connector_PinSocket_1.27mm
+PinSocket_2x25_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x25,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x25{space}1.27mm{space}double{space}row
+0
+50
+50
+Connector_PinSocket_1.27mm
+PinSocket_2x26_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x26,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x26{space}1.27mm{space}double{space}row
+0
+52
+52
+Connector_PinSocket_1.27mm
+PinSocket_2x26_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x26,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x26{space}1.27mm{space}double{space}row
+0
+52
+52
+Connector_PinSocket_1.27mm
+PinSocket_2x26_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x26,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x26{space}1.27mm{space}double{space}row
+0
+52
+52
+Connector_PinSocket_1.27mm
+PinSocket_2x27_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x27,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x27{space}1.27mm{space}double{space}row
+0
+54
+54
+Connector_PinSocket_1.27mm
+PinSocket_2x27_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x27,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x27{space}1.27mm{space}double{space}row
+0
+54
+54
+Connector_PinSocket_1.27mm
+PinSocket_2x27_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x27,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x27{space}1.27mm{space}double{space}row
+0
+54
+54
+Connector_PinSocket_1.27mm
+PinSocket_2x28_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x28,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x28{space}1.27mm{space}double{space}row
+0
+56
+56
+Connector_PinSocket_1.27mm
+PinSocket_2x28_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x28,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x28{space}1.27mm{space}double{space}row
+0
+56
+56
+Connector_PinSocket_1.27mm
+PinSocket_2x28_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x28,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x28{space}1.27mm{space}double{space}row
+0
+56
+56
+Connector_PinSocket_1.27mm
+PinSocket_2x29_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x29,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x29{space}1.27mm{space}double{space}row
+0
+58
+58
+Connector_PinSocket_1.27mm
+PinSocket_2x29_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x29,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x29{space}1.27mm{space}double{space}row
+0
+58
+58
+Connector_PinSocket_1.27mm
+PinSocket_2x29_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x29,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x29{space}1.27mm{space}double{space}row
+0
+58
+58
+Connector_PinSocket_1.27mm
+PinSocket_2x30_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x30,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x30{space}1.27mm{space}double{space}row
+0
+60
+60
+Connector_PinSocket_1.27mm
+PinSocket_2x30_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x30,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x30{space}1.27mm{space}double{space}row
+0
+60
+60
+Connector_PinSocket_1.27mm
+PinSocket_2x30_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x30,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x30{space}1.27mm{space}double{space}row
+0
+60
+60
+Connector_PinSocket_1.27mm
+PinSocket_2x31_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x31,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x31{space}1.27mm{space}double{space}row
+0
+62
+62
+Connector_PinSocket_1.27mm
+PinSocket_2x31_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x31,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x31{space}1.27mm{space}double{space}row
+0
+62
+62
+Connector_PinSocket_1.27mm
+PinSocket_2x31_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x31,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x31{space}1.27mm{space}double{space}row
+0
+62
+62
+Connector_PinSocket_1.27mm
+PinSocket_2x32_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x32,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x32{space}1.27mm{space}double{space}row
+0
+64
+64
+Connector_PinSocket_1.27mm
+PinSocket_2x32_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x32,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x32{space}1.27mm{space}double{space}row
+0
+64
+64
+Connector_PinSocket_1.27mm
+PinSocket_2x32_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x32,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x32{space}1.27mm{space}double{space}row
+0
+64
+64
+Connector_PinSocket_1.27mm
+PinSocket_2x33_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x33,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x33{space}1.27mm{space}double{space}row
+0
+66
+66
+Connector_PinSocket_1.27mm
+PinSocket_2x33_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x33,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x33{space}1.27mm{space}double{space}row
+0
+66
+66
+Connector_PinSocket_1.27mm
+PinSocket_2x33_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x33,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x33{space}1.27mm{space}double{space}row
+0
+66
+66
+Connector_PinSocket_1.27mm
+PinSocket_2x34_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x34,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x34{space}1.27mm{space}double{space}row
+0
+68
+68
+Connector_PinSocket_1.27mm
+PinSocket_2x34_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x34,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x34{space}1.27mm{space}double{space}row
+0
+68
+68
+Connector_PinSocket_1.27mm
+PinSocket_2x34_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x34,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x34{space}1.27mm{space}double{space}row
+0
+68
+68
+Connector_PinSocket_1.27mm
+PinSocket_2x35_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x35,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x35{space}1.27mm{space}double{space}row
+0
+70
+70
+Connector_PinSocket_1.27mm
+PinSocket_2x35_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x35,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x35{space}1.27mm{space}double{space}row
+0
+70
+70
+Connector_PinSocket_1.27mm
+PinSocket_2x35_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x35,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x35{space}1.27mm{space}double{space}row
+0
+70
+70
+Connector_PinSocket_1.27mm
+PinSocket_2x36_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x36,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x36{space}1.27mm{space}double{space}row
+0
+72
+72
+Connector_PinSocket_1.27mm
+PinSocket_2x36_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x36,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x36{space}1.27mm{space}double{space}row
+0
+72
+72
+Connector_PinSocket_1.27mm
+PinSocket_2x36_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x36,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x36{space}1.27mm{space}double{space}row
+0
+72
+72
+Connector_PinSocket_1.27mm
+PinSocket_2x37_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x37,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x37{space}1.27mm{space}double{space}row
+0
+74
+74
+Connector_PinSocket_1.27mm
+PinSocket_2x37_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x37,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x37{space}1.27mm{space}double{space}row
+0
+74
+74
+Connector_PinSocket_1.27mm
+PinSocket_2x37_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x37,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x37{space}1.27mm{space}double{space}row
+0
+74
+74
+Connector_PinSocket_1.27mm
+PinSocket_2x38_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x38,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x38{space}1.27mm{space}double{space}row
+0
+76
+76
+Connector_PinSocket_1.27mm
+PinSocket_2x38_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x38,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x38{space}1.27mm{space}double{space}row
+0
+76
+76
+Connector_PinSocket_1.27mm
+PinSocket_2x38_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x38,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x38{space}1.27mm{space}double{space}row
+0
+76
+76
+Connector_PinSocket_1.27mm
+PinSocket_2x39_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x39,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x39{space}1.27mm{space}double{space}row
+0
+78
+78
+Connector_PinSocket_1.27mm
+PinSocket_2x39_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x39,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x39{space}1.27mm{space}double{space}row
+0
+78
+78
+Connector_PinSocket_1.27mm
+PinSocket_2x39_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x39,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x39{space}1.27mm{space}double{space}row
+0
+78
+78
+Connector_PinSocket_1.27mm
+PinSocket_2x40_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x40,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x40{space}1.27mm{space}double{space}row
+0
+80
+80
+Connector_PinSocket_1.27mm
+PinSocket_2x40_P1.27mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x40,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x40{space}1.27mm{space}double{space}row
+0
+80
+80
+Connector_PinSocket_1.27mm
+PinSocket_2x40_P1.27mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x40,{space}1.27mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7!),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x40{space}1.27mm{space}double{space}row
+0
+80
+80
+Connector_PinSocket_1.27mm
+PinSocket_2x41_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x41,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x41{space}1.27mm{space}double{space}row
+0
+82
+82
+Connector_PinSocket_1.27mm
+PinSocket_2x42_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x42,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x42{space}1.27mm{space}double{space}row
+0
+84
+84
+Connector_PinSocket_1.27mm
+PinSocket_2x43_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x43,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x43{space}1.27mm{space}double{space}row
+0
+86
+86
+Connector_PinSocket_1.27mm
+PinSocket_2x44_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x44,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x44{space}1.27mm{space}double{space}row
+0
+88
+88
+Connector_PinSocket_1.27mm
+PinSocket_2x45_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x45,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x45{space}1.27mm{space}double{space}row
+0
+90
+90
+Connector_PinSocket_1.27mm
+PinSocket_2x46_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x46,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x46{space}1.27mm{space}double{space}row
+0
+92
+92
+Connector_PinSocket_1.27mm
+PinSocket_2x47_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x47,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x47{space}1.27mm{space}double{space}row
+0
+94
+94
+Connector_PinSocket_1.27mm
+PinSocket_2x48_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x48,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x48{space}1.27mm{space}double{space}row
+0
+96
+96
+Connector_PinSocket_1.27mm
+PinSocket_2x49_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x49,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x49{space}1.27mm{space}double{space}row
+0
+98
+98
+Connector_PinSocket_1.27mm
+PinSocket_2x50_P1.27mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x50,{space}1.27mm{space}pitch,{space}4.4mm{space}socket{space}length,{space}double{space}cols{space}(https://gct.co/pdfjs/web/viewer.html?file=/Files/Drawings/BD091.pdf&t=1511594177220),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x50{space}1.27mm{space}double{space}row
+0
+100
+100
+Connector_PinSocket_2.00mm
+PinSocket_1x01_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x01,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x01{space}2.00mm{space}single{space}row
+0
+1
+1
+Connector_PinSocket_2.00mm
+PinSocket_1x01_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x01,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x01{space}2.00mm{space}single{space}row
+0
+1
+1
+Connector_PinSocket_2.00mm
+PinSocket_1x02_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x02,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x02{space}2.00mm{space}single{space}row
+0
+2
+2
+Connector_PinSocket_2.00mm
+PinSocket_1x02_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x02,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x02{space}2.00mm{space}single{space}row
+0
+2
+2
+Connector_PinSocket_2.00mm
+PinSocket_1x02_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x02,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x02{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+2
+2
+Connector_PinSocket_2.00mm
+PinSocket_1x02_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x02,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x02{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+2
+2
+Connector_PinSocket_2.00mm
+PinSocket_1x03_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x03,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x03{space}2.00mm{space}single{space}row
+0
+3
+3
+Connector_PinSocket_2.00mm
+PinSocket_1x03_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x03,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x03{space}2.00mm{space}single{space}row
+0
+3
+3
+Connector_PinSocket_2.00mm
+PinSocket_1x03_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x03,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x03{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+3
+3
+Connector_PinSocket_2.00mm
+PinSocket_1x03_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x03,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x03{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+3
+3
+Connector_PinSocket_2.00mm
+PinSocket_1x04_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x04,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x04{space}2.00mm{space}single{space}row
+0
+4
+4
+Connector_PinSocket_2.00mm
+PinSocket_1x04_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x04,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x04{space}2.00mm{space}single{space}row
+0
+4
+4
+Connector_PinSocket_2.00mm
+PinSocket_1x04_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x04,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x04{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+4
+4
+Connector_PinSocket_2.00mm
+PinSocket_1x04_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x04,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x04{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+4
+4
+Connector_PinSocket_2.00mm
+PinSocket_1x05_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x05,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x05{space}2.00mm{space}single{space}row
+0
+5
+5
+Connector_PinSocket_2.00mm
+PinSocket_1x05_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x05,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x05{space}2.00mm{space}single{space}row
+0
+5
+5
+Connector_PinSocket_2.00mm
+PinSocket_1x05_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x05,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x05{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+5
+5
+Connector_PinSocket_2.00mm
+PinSocket_1x05_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x05,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x05{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+5
+5
+Connector_PinSocket_2.00mm
+PinSocket_1x06_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x06,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x06{space}2.00mm{space}single{space}row
+0
+6
+6
+Connector_PinSocket_2.00mm
+PinSocket_1x06_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x06,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x06{space}2.00mm{space}single{space}row
+0
+6
+6
+Connector_PinSocket_2.00mm
+PinSocket_1x06_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x06,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x06{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+6
+6
+Connector_PinSocket_2.00mm
+PinSocket_1x06_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x06,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x06{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+6
+6
+Connector_PinSocket_2.00mm
+PinSocket_1x07_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x07,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x07{space}2.00mm{space}single{space}row
+0
+7
+7
+Connector_PinSocket_2.00mm
+PinSocket_1x07_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x07,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x07{space}2.00mm{space}single{space}row
+0
+7
+7
+Connector_PinSocket_2.00mm
+PinSocket_1x07_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x07,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x07{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+7
+7
+Connector_PinSocket_2.00mm
+PinSocket_1x07_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x07,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x07{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+7
+7
+Connector_PinSocket_2.00mm
+PinSocket_1x08_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x08,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x08{space}2.00mm{space}single{space}row
+0
+8
+8
+Connector_PinSocket_2.00mm
+PinSocket_1x08_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x08,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x08{space}2.00mm{space}single{space}row
+0
+8
+8
+Connector_PinSocket_2.00mm
+PinSocket_1x08_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x08,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x08{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+8
+8
+Connector_PinSocket_2.00mm
+PinSocket_1x08_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x08,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x08{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+8
+8
+Connector_PinSocket_2.00mm
+PinSocket_1x09_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x09,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x09{space}2.00mm{space}single{space}row
+0
+9
+9
+Connector_PinSocket_2.00mm
+PinSocket_1x09_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x09,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x09{space}2.00mm{space}single{space}row
+0
+9
+9
+Connector_PinSocket_2.00mm
+PinSocket_1x09_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x09,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x09{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+9
+9
+Connector_PinSocket_2.00mm
+PinSocket_1x09_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x09,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x09{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+9
+9
+Connector_PinSocket_2.00mm
+PinSocket_1x10_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x10,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x10{space}2.00mm{space}single{space}row
+0
+10
+10
+Connector_PinSocket_2.00mm
+PinSocket_1x10_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x10,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x10{space}2.00mm{space}single{space}row
+0
+10
+10
+Connector_PinSocket_2.00mm
+PinSocket_1x10_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x10,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x10{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+10
+10
+Connector_PinSocket_2.00mm
+PinSocket_1x10_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x10,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x10{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+10
+10
+Connector_PinSocket_2.00mm
+PinSocket_1x11_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x11,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x11{space}2.00mm{space}single{space}row
+0
+11
+11
+Connector_PinSocket_2.00mm
+PinSocket_1x11_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x11,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x11{space}2.00mm{space}single{space}row
+0
+11
+11
+Connector_PinSocket_2.00mm
+PinSocket_1x11_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x11,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x11{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+11
+11
+Connector_PinSocket_2.00mm
+PinSocket_1x11_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x11,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x11{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+11
+11
+Connector_PinSocket_2.00mm
+PinSocket_1x12_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x12,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x12{space}2.00mm{space}single{space}row
+0
+12
+12
+Connector_PinSocket_2.00mm
+PinSocket_1x12_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x12,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x12{space}2.00mm{space}single{space}row
+0
+12
+12
+Connector_PinSocket_2.00mm
+PinSocket_1x12_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x12,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x12{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+12
+12
+Connector_PinSocket_2.00mm
+PinSocket_1x12_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x12,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x12{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+12
+12
+Connector_PinSocket_2.00mm
+PinSocket_1x13_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x13,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x13{space}2.00mm{space}single{space}row
+0
+13
+13
+Connector_PinSocket_2.00mm
+PinSocket_1x13_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x13,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x13{space}2.00mm{space}single{space}row
+0
+13
+13
+Connector_PinSocket_2.00mm
+PinSocket_1x13_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x13,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x13{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+13
+13
+Connector_PinSocket_2.00mm
+PinSocket_1x13_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x13,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x13{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+13
+13
+Connector_PinSocket_2.00mm
+PinSocket_1x14_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x14,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x14{space}2.00mm{space}single{space}row
+0
+14
+14
+Connector_PinSocket_2.00mm
+PinSocket_1x14_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x14,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x14{space}2.00mm{space}single{space}row
+0
+14
+14
+Connector_PinSocket_2.00mm
+PinSocket_1x14_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x14,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x14{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+14
+14
+Connector_PinSocket_2.00mm
+PinSocket_1x14_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x14,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x14{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+14
+14
+Connector_PinSocket_2.00mm
+PinSocket_1x15_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x15,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x15{space}2.00mm{space}single{space}row
+0
+15
+15
+Connector_PinSocket_2.00mm
+PinSocket_1x15_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x15,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x15{space}2.00mm{space}single{space}row
+0
+15
+15
+Connector_PinSocket_2.00mm
+PinSocket_1x15_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x15,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x15{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+15
+15
+Connector_PinSocket_2.00mm
+PinSocket_1x15_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x15,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x15{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+15
+15
+Connector_PinSocket_2.00mm
+PinSocket_1x16_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x16,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x16{space}2.00mm{space}single{space}row
+0
+16
+16
+Connector_PinSocket_2.00mm
+PinSocket_1x16_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x16,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x16{space}2.00mm{space}single{space}row
+0
+16
+16
+Connector_PinSocket_2.00mm
+PinSocket_1x16_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x16,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x16{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+16
+16
+Connector_PinSocket_2.00mm
+PinSocket_1x16_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x16,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x16{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+16
+16
+Connector_PinSocket_2.00mm
+PinSocket_1x17_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x17,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x17{space}2.00mm{space}single{space}row
+0
+17
+17
+Connector_PinSocket_2.00mm
+PinSocket_1x17_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x17,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x17{space}2.00mm{space}single{space}row
+0
+17
+17
+Connector_PinSocket_2.00mm
+PinSocket_1x17_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x17,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x17{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+17
+17
+Connector_PinSocket_2.00mm
+PinSocket_1x17_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x17,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x17{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+17
+17
+Connector_PinSocket_2.00mm
+PinSocket_1x18_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x18,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x18{space}2.00mm{space}single{space}row
+0
+18
+18
+Connector_PinSocket_2.00mm
+PinSocket_1x18_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x18,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x18{space}2.00mm{space}single{space}row
+0
+18
+18
+Connector_PinSocket_2.00mm
+PinSocket_1x18_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x18,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x18{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+18
+18
+Connector_PinSocket_2.00mm
+PinSocket_1x18_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x18,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x18{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+18
+18
+Connector_PinSocket_2.00mm
+PinSocket_1x19_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x19,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x19{space}2.00mm{space}single{space}row
+0
+19
+19
+Connector_PinSocket_2.00mm
+PinSocket_1x19_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x19,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x19{space}2.00mm{space}single{space}row
+0
+19
+19
+Connector_PinSocket_2.00mm
+PinSocket_1x19_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x19,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x19{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+19
+19
+Connector_PinSocket_2.00mm
+PinSocket_1x19_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x19,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x19{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+19
+19
+Connector_PinSocket_2.00mm
+PinSocket_1x20_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x20,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x20{space}2.00mm{space}single{space}row
+0
+20
+20
+Connector_PinSocket_2.00mm
+PinSocket_1x20_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x20,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x20{space}2.00mm{space}single{space}row
+0
+20
+20
+Connector_PinSocket_2.00mm
+PinSocket_1x20_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x20,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x20{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+20
+20
+Connector_PinSocket_2.00mm
+PinSocket_1x20_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x20,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x20{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+20
+20
+Connector_PinSocket_2.00mm
+PinSocket_1x21_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x21,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x21{space}2.00mm{space}single{space}row
+0
+21
+21
+Connector_PinSocket_2.00mm
+PinSocket_1x21_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x21,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x21{space}2.00mm{space}single{space}row
+0
+21
+21
+Connector_PinSocket_2.00mm
+PinSocket_1x21_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x21,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x21{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+21
+21
+Connector_PinSocket_2.00mm
+PinSocket_1x21_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x21,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x21{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+21
+21
+Connector_PinSocket_2.00mm
+PinSocket_1x22_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x22,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x22{space}2.00mm{space}single{space}row
+0
+22
+22
+Connector_PinSocket_2.00mm
+PinSocket_1x22_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x22,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x22{space}2.00mm{space}single{space}row
+0
+22
+22
+Connector_PinSocket_2.00mm
+PinSocket_1x22_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x22,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x22{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+22
+22
+Connector_PinSocket_2.00mm
+PinSocket_1x22_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x22,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x22{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+22
+22
+Connector_PinSocket_2.00mm
+PinSocket_1x23_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x23,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x23{space}2.00mm{space}single{space}row
+0
+23
+23
+Connector_PinSocket_2.00mm
+PinSocket_1x23_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x23,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x23{space}2.00mm{space}single{space}row
+0
+23
+23
+Connector_PinSocket_2.00mm
+PinSocket_1x23_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x23,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x23{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+23
+23
+Connector_PinSocket_2.00mm
+PinSocket_1x23_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x23,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x23{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+23
+23
+Connector_PinSocket_2.00mm
+PinSocket_1x24_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x24,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x24{space}2.00mm{space}single{space}row
+0
+24
+24
+Connector_PinSocket_2.00mm
+PinSocket_1x24_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x24,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x24{space}2.00mm{space}single{space}row
+0
+24
+24
+Connector_PinSocket_2.00mm
+PinSocket_1x24_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x24,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x24{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+24
+24
+Connector_PinSocket_2.00mm
+PinSocket_1x24_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x24,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x24{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+24
+24
+Connector_PinSocket_2.00mm
+PinSocket_1x25_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x25,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x25{space}2.00mm{space}single{space}row
+0
+25
+25
+Connector_PinSocket_2.00mm
+PinSocket_1x25_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x25,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x25{space}2.00mm{space}single{space}row
+0
+25
+25
+Connector_PinSocket_2.00mm
+PinSocket_1x25_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x25,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x25{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+25
+25
+Connector_PinSocket_2.00mm
+PinSocket_1x25_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x25,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x25{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+25
+25
+Connector_PinSocket_2.00mm
+PinSocket_1x26_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x26,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x26{space}2.00mm{space}single{space}row
+0
+26
+26
+Connector_PinSocket_2.00mm
+PinSocket_1x26_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x26,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x26{space}2.00mm{space}single{space}row
+0
+26
+26
+Connector_PinSocket_2.00mm
+PinSocket_1x26_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x26,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x26{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+26
+26
+Connector_PinSocket_2.00mm
+PinSocket_1x26_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x26,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x26{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+26
+26
+Connector_PinSocket_2.00mm
+PinSocket_1x27_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x27,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x27{space}2.00mm{space}single{space}row
+0
+27
+27
+Connector_PinSocket_2.00mm
+PinSocket_1x27_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x27,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x27{space}2.00mm{space}single{space}row
+0
+27
+27
+Connector_PinSocket_2.00mm
+PinSocket_1x27_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x27,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x27{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+27
+27
+Connector_PinSocket_2.00mm
+PinSocket_1x27_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x27,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x27{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+27
+27
+Connector_PinSocket_2.00mm
+PinSocket_1x28_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x28,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x28{space}2.00mm{space}single{space}row
+0
+28
+28
+Connector_PinSocket_2.00mm
+PinSocket_1x28_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x28,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x28{space}2.00mm{space}single{space}row
+0
+28
+28
+Connector_PinSocket_2.00mm
+PinSocket_1x28_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x28,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x28{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+28
+28
+Connector_PinSocket_2.00mm
+PinSocket_1x28_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x28,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x28{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+28
+28
+Connector_PinSocket_2.00mm
+PinSocket_1x29_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x29,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x29{space}2.00mm{space}single{space}row
+0
+29
+29
+Connector_PinSocket_2.00mm
+PinSocket_1x29_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x29,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x29{space}2.00mm{space}single{space}row
+0
+29
+29
+Connector_PinSocket_2.00mm
+PinSocket_1x29_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x29,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x29{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+29
+29
+Connector_PinSocket_2.00mm
+PinSocket_1x29_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x29,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x29{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+29
+29
+Connector_PinSocket_2.00mm
+PinSocket_1x30_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x30,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x30{space}2.00mm{space}single{space}row
+0
+30
+30
+Connector_PinSocket_2.00mm
+PinSocket_1x30_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x30,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x30{space}2.00mm{space}single{space}row
+0
+30
+30
+Connector_PinSocket_2.00mm
+PinSocket_1x30_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x30,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x30{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+30
+30
+Connector_PinSocket_2.00mm
+PinSocket_1x30_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x30,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x30{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+30
+30
+Connector_PinSocket_2.00mm
+PinSocket_1x31_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x31,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x31{space}2.00mm{space}single{space}row
+0
+31
+31
+Connector_PinSocket_2.00mm
+PinSocket_1x31_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x31,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x31{space}2.00mm{space}single{space}row
+0
+31
+31
+Connector_PinSocket_2.00mm
+PinSocket_1x31_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x31,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x31{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+31
+31
+Connector_PinSocket_2.00mm
+PinSocket_1x31_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x31,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x31{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+31
+31
+Connector_PinSocket_2.00mm
+PinSocket_1x32_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x32,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x32{space}2.00mm{space}single{space}row
+0
+32
+32
+Connector_PinSocket_2.00mm
+PinSocket_1x32_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x32,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x32{space}2.00mm{space}single{space}row
+0
+32
+32
+Connector_PinSocket_2.00mm
+PinSocket_1x32_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x32,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x32{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+32
+32
+Connector_PinSocket_2.00mm
+PinSocket_1x32_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x32,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x32{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+32
+32
+Connector_PinSocket_2.00mm
+PinSocket_1x33_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x33,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x33{space}2.00mm{space}single{space}row
+0
+33
+33
+Connector_PinSocket_2.00mm
+PinSocket_1x33_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x33,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x33{space}2.00mm{space}single{space}row
+0
+33
+33
+Connector_PinSocket_2.00mm
+PinSocket_1x33_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x33,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x33{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+33
+33
+Connector_PinSocket_2.00mm
+PinSocket_1x33_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x33,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x33{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+33
+33
+Connector_PinSocket_2.00mm
+PinSocket_1x34_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x34,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x34{space}2.00mm{space}single{space}row
+0
+34
+34
+Connector_PinSocket_2.00mm
+PinSocket_1x34_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x34,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x34{space}2.00mm{space}single{space}row
+0
+34
+34
+Connector_PinSocket_2.00mm
+PinSocket_1x34_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x34,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x34{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+34
+34
+Connector_PinSocket_2.00mm
+PinSocket_1x34_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x34,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x34{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+34
+34
+Connector_PinSocket_2.00mm
+PinSocket_1x35_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x35,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x35{space}2.00mm{space}single{space}row
+0
+35
+35
+Connector_PinSocket_2.00mm
+PinSocket_1x35_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x35,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x35{space}2.00mm{space}single{space}row
+0
+35
+35
+Connector_PinSocket_2.00mm
+PinSocket_1x35_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x35,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x35{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+35
+35
+Connector_PinSocket_2.00mm
+PinSocket_1x35_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x35,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x35{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+35
+35
+Connector_PinSocket_2.00mm
+PinSocket_1x36_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x36,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x36{space}2.00mm{space}single{space}row
+0
+36
+36
+Connector_PinSocket_2.00mm
+PinSocket_1x36_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x36,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x36{space}2.00mm{space}single{space}row
+0
+36
+36
+Connector_PinSocket_2.00mm
+PinSocket_1x36_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x36,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x36{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+36
+36
+Connector_PinSocket_2.00mm
+PinSocket_1x36_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x36,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x36{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+36
+36
+Connector_PinSocket_2.00mm
+PinSocket_1x37_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x37,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x37{space}2.00mm{space}single{space}row
+0
+37
+37
+Connector_PinSocket_2.00mm
+PinSocket_1x37_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x37,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x37{space}2.00mm{space}single{space}row
+0
+37
+37
+Connector_PinSocket_2.00mm
+PinSocket_1x37_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x37,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x37{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+37
+37
+Connector_PinSocket_2.00mm
+PinSocket_1x37_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x37,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x37{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+37
+37
+Connector_PinSocket_2.00mm
+PinSocket_1x38_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x38,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x38{space}2.00mm{space}single{space}row
+0
+38
+38
+Connector_PinSocket_2.00mm
+PinSocket_1x38_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x38,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x38{space}2.00mm{space}single{space}row
+0
+38
+38
+Connector_PinSocket_2.00mm
+PinSocket_1x38_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x38,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x38{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+38
+38
+Connector_PinSocket_2.00mm
+PinSocket_1x38_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x38,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x38{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+38
+38
+Connector_PinSocket_2.00mm
+PinSocket_1x39_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x39,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x39{space}2.00mm{space}single{space}row
+0
+39
+39
+Connector_PinSocket_2.00mm
+PinSocket_1x39_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x39,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x39{space}2.00mm{space}single{space}row
+0
+39
+39
+Connector_PinSocket_2.00mm
+PinSocket_1x39_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x39,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x39{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+39
+39
+Connector_PinSocket_2.00mm
+PinSocket_1x39_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x39,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x39{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+39
+39
+Connector_PinSocket_2.00mm
+PinSocket_1x40_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x40,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x40{space}2.00mm{space}single{space}row
+0
+40
+40
+Connector_PinSocket_2.00mm
+PinSocket_1x40_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x40,{space}2.00mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x40{space}2.00mm{space}single{space}row
+0
+40
+40
+Connector_PinSocket_2.00mm
+PinSocket_1x40_P2.00mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x40,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x40{space}2.00mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+40
+40
+Connector_PinSocket_2.00mm
+PinSocket_1x40_P2.00mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x40,{space}2.00mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://www.jayconsystems.com/fileuploader/download/download/?d=1&file=custom%2Fupload%2FFile-1375728122.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x40{space}2.00mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+40
+40
+Connector_PinSocket_2.00mm
+PinSocket_2x01_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x01,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x01{space}2.00mm{space}double{space}row
+0
+2
+2
+Connector_PinSocket_2.00mm
+PinSocket_2x01_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x01,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x01{space}2.00mm{space}double{space}row
+0
+2
+2
+Connector_PinSocket_2.00mm
+PinSocket_2x01_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x01,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x01{space}2.00mm{space}double{space}row
+0
+2
+2
+Connector_PinSocket_2.00mm
+PinSocket_2x02_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x02,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x02{space}2.00mm{space}double{space}row
+0
+4
+4
+Connector_PinSocket_2.00mm
+PinSocket_2x02_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x02,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x02{space}2.00mm{space}double{space}row
+0
+4
+4
+Connector_PinSocket_2.00mm
+PinSocket_2x02_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x02,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x02{space}2.00mm{space}double{space}row
+0
+4
+4
+Connector_PinSocket_2.00mm
+PinSocket_2x03_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x03,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x03{space}2.00mm{space}double{space}row
+0
+6
+6
+Connector_PinSocket_2.00mm
+PinSocket_2x03_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x03,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x03{space}2.00mm{space}double{space}row
+0
+6
+6
+Connector_PinSocket_2.00mm
+PinSocket_2x03_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x03,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x03{space}2.00mm{space}double{space}row
+0
+6
+6
+Connector_PinSocket_2.00mm
+PinSocket_2x04_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x04,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x04{space}2.00mm{space}double{space}row
+0
+8
+8
+Connector_PinSocket_2.00mm
+PinSocket_2x04_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x04,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x04{space}2.00mm{space}double{space}row
+0
+8
+8
+Connector_PinSocket_2.00mm
+PinSocket_2x04_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x04,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x04{space}2.00mm{space}double{space}row
+0
+8
+8
+Connector_PinSocket_2.00mm
+PinSocket_2x05_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x05,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x05{space}2.00mm{space}double{space}row
+0
+10
+10
+Connector_PinSocket_2.00mm
+PinSocket_2x05_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x05,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x05{space}2.00mm{space}double{space}row
+0
+10
+10
+Connector_PinSocket_2.00mm
+PinSocket_2x05_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x05,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x05{space}2.00mm{space}double{space}row
+0
+10
+10
+Connector_PinSocket_2.00mm
+PinSocket_2x06_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x06,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x06{space}2.00mm{space}double{space}row
+0
+12
+12
+Connector_PinSocket_2.00mm
+PinSocket_2x06_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x06,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x06{space}2.00mm{space}double{space}row
+0
+12
+12
+Connector_PinSocket_2.00mm
+PinSocket_2x06_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x06,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x06{space}2.00mm{space}double{space}row
+0
+12
+12
+Connector_PinSocket_2.00mm
+PinSocket_2x07_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x07,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x07{space}2.00mm{space}double{space}row
+0
+14
+14
+Connector_PinSocket_2.00mm
+PinSocket_2x07_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x07,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x07{space}2.00mm{space}double{space}row
+0
+14
+14
+Connector_PinSocket_2.00mm
+PinSocket_2x07_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x07,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x07{space}2.00mm{space}double{space}row
+0
+14
+14
+Connector_PinSocket_2.00mm
+PinSocket_2x08_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x08,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x08{space}2.00mm{space}double{space}row
+0
+16
+16
+Connector_PinSocket_2.00mm
+PinSocket_2x08_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x08,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x08{space}2.00mm{space}double{space}row
+0
+16
+16
+Connector_PinSocket_2.00mm
+PinSocket_2x08_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x08,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x08{space}2.00mm{space}double{space}row
+0
+16
+16
+Connector_PinSocket_2.00mm
+PinSocket_2x09_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x09,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x09{space}2.00mm{space}double{space}row
+0
+18
+18
+Connector_PinSocket_2.00mm
+PinSocket_2x09_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x09,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x09{space}2.00mm{space}double{space}row
+0
+18
+18
+Connector_PinSocket_2.00mm
+PinSocket_2x09_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x09,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x09{space}2.00mm{space}double{space}row
+0
+18
+18
+Connector_PinSocket_2.00mm
+PinSocket_2x10_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x10,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x10{space}2.00mm{space}double{space}row
+0
+20
+20
+Connector_PinSocket_2.00mm
+PinSocket_2x10_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x10,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x10{space}2.00mm{space}double{space}row
+0
+20
+20
+Connector_PinSocket_2.00mm
+PinSocket_2x10_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x10,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x10{space}2.00mm{space}double{space}row
+0
+20
+20
+Connector_PinSocket_2.00mm
+PinSocket_2x11_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x11,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x11{space}2.00mm{space}double{space}row
+0
+22
+22
+Connector_PinSocket_2.00mm
+PinSocket_2x11_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x11,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x11{space}2.00mm{space}double{space}row
+0
+22
+22
+Connector_PinSocket_2.00mm
+PinSocket_2x11_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x11,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x11{space}2.00mm{space}double{space}row
+0
+22
+22
+Connector_PinSocket_2.00mm
+PinSocket_2x12_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x12,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x12{space}2.00mm{space}double{space}row
+0
+24
+24
+Connector_PinSocket_2.00mm
+PinSocket_2x12_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x12,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x12{space}2.00mm{space}double{space}row
+0
+24
+24
+Connector_PinSocket_2.00mm
+PinSocket_2x12_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x12,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x12{space}2.00mm{space}double{space}row
+0
+24
+24
+Connector_PinSocket_2.00mm
+PinSocket_2x13_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x13,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x13{space}2.00mm{space}double{space}row
+0
+26
+26
+Connector_PinSocket_2.00mm
+PinSocket_2x13_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x13,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x13{space}2.00mm{space}double{space}row
+0
+26
+26
+Connector_PinSocket_2.00mm
+PinSocket_2x13_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x13,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x13{space}2.00mm{space}double{space}row
+0
+26
+26
+Connector_PinSocket_2.00mm
+PinSocket_2x14_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x14,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x14{space}2.00mm{space}double{space}row
+0
+28
+28
+Connector_PinSocket_2.00mm
+PinSocket_2x14_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x14,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x14{space}2.00mm{space}double{space}row
+0
+28
+28
+Connector_PinSocket_2.00mm
+PinSocket_2x14_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x14,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x14{space}2.00mm{space}double{space}row
+0
+28
+28
+Connector_PinSocket_2.00mm
+PinSocket_2x15_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x15,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x15{space}2.00mm{space}double{space}row
+0
+30
+30
+Connector_PinSocket_2.00mm
+PinSocket_2x15_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x15,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x15{space}2.00mm{space}double{space}row
+0
+30
+30
+Connector_PinSocket_2.00mm
+PinSocket_2x15_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x15,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x15{space}2.00mm{space}double{space}row
+0
+30
+30
+Connector_PinSocket_2.00mm
+PinSocket_2x16_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x16,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x16{space}2.00mm{space}double{space}row
+0
+32
+32
+Connector_PinSocket_2.00mm
+PinSocket_2x16_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x16,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x16{space}2.00mm{space}double{space}row
+0
+32
+32
+Connector_PinSocket_2.00mm
+PinSocket_2x16_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x16,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x16{space}2.00mm{space}double{space}row
+0
+32
+32
+Connector_PinSocket_2.00mm
+PinSocket_2x17_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x17,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x17{space}2.00mm{space}double{space}row
+0
+34
+34
+Connector_PinSocket_2.00mm
+PinSocket_2x17_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x17,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x17{space}2.00mm{space}double{space}row
+0
+34
+34
+Connector_PinSocket_2.00mm
+PinSocket_2x17_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x17,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x17{space}2.00mm{space}double{space}row
+0
+34
+34
+Connector_PinSocket_2.00mm
+PinSocket_2x18_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x18,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x18{space}2.00mm{space}double{space}row
+0
+36
+36
+Connector_PinSocket_2.00mm
+PinSocket_2x18_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x18,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x18{space}2.00mm{space}double{space}row
+0
+36
+36
+Connector_PinSocket_2.00mm
+PinSocket_2x18_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x18,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x18{space}2.00mm{space}double{space}row
+0
+36
+36
+Connector_PinSocket_2.00mm
+PinSocket_2x19_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x19,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x19{space}2.00mm{space}double{space}row
+0
+38
+38
+Connector_PinSocket_2.00mm
+PinSocket_2x19_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x19,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x19{space}2.00mm{space}double{space}row
+0
+38
+38
+Connector_PinSocket_2.00mm
+PinSocket_2x19_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x19,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x19{space}2.00mm{space}double{space}row
+0
+38
+38
+Connector_PinSocket_2.00mm
+PinSocket_2x20_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x20,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x20{space}2.00mm{space}double{space}row
+0
+40
+40
+Connector_PinSocket_2.00mm
+PinSocket_2x20_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x20,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x20{space}2.00mm{space}double{space}row
+0
+40
+40
+Connector_PinSocket_2.00mm
+PinSocket_2x20_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x20,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x20{space}2.00mm{space}double{space}row
+0
+40
+40
+Connector_PinSocket_2.00mm
+PinSocket_2x21_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x21,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x21{space}2.00mm{space}double{space}row
+0
+42
+42
+Connector_PinSocket_2.00mm
+PinSocket_2x21_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x21,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x21{space}2.00mm{space}double{space}row
+0
+42
+42
+Connector_PinSocket_2.00mm
+PinSocket_2x21_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x21,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x21{space}2.00mm{space}double{space}row
+0
+42
+42
+Connector_PinSocket_2.00mm
+PinSocket_2x22_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x22,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x22{space}2.00mm{space}double{space}row
+0
+44
+44
+Connector_PinSocket_2.00mm
+PinSocket_2x22_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x22,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x22{space}2.00mm{space}double{space}row
+0
+44
+44
+Connector_PinSocket_2.00mm
+PinSocket_2x22_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x22,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x22{space}2.00mm{space}double{space}row
+0
+44
+44
+Connector_PinSocket_2.00mm
+PinSocket_2x23_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x23,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x23{space}2.00mm{space}double{space}row
+0
+46
+46
+Connector_PinSocket_2.00mm
+PinSocket_2x23_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x23,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x23{space}2.00mm{space}double{space}row
+0
+46
+46
+Connector_PinSocket_2.00mm
+PinSocket_2x23_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x23,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x23{space}2.00mm{space}double{space}row
+0
+46
+46
+Connector_PinSocket_2.00mm
+PinSocket_2x24_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x24,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x24{space}2.00mm{space}double{space}row
+0
+48
+48
+Connector_PinSocket_2.00mm
+PinSocket_2x24_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x24,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x24{space}2.00mm{space}double{space}row
+0
+48
+48
+Connector_PinSocket_2.00mm
+PinSocket_2x24_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x24,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x24{space}2.00mm{space}double{space}row
+0
+48
+48
+Connector_PinSocket_2.00mm
+PinSocket_2x25_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x25,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x25{space}2.00mm{space}double{space}row
+0
+50
+50
+Connector_PinSocket_2.00mm
+PinSocket_2x25_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x25,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x25{space}2.00mm{space}double{space}row
+0
+50
+50
+Connector_PinSocket_2.00mm
+PinSocket_2x25_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x25,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x25{space}2.00mm{space}double{space}row
+0
+50
+50
+Connector_PinSocket_2.00mm
+PinSocket_2x26_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x26,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x26{space}2.00mm{space}double{space}row
+0
+52
+52
+Connector_PinSocket_2.00mm
+PinSocket_2x26_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x26,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x26{space}2.00mm{space}double{space}row
+0
+52
+52
+Connector_PinSocket_2.00mm
+PinSocket_2x26_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x26,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x26{space}2.00mm{space}double{space}row
+0
+52
+52
+Connector_PinSocket_2.00mm
+PinSocket_2x27_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x27,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x27{space}2.00mm{space}double{space}row
+0
+54
+54
+Connector_PinSocket_2.00mm
+PinSocket_2x27_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x27,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x27{space}2.00mm{space}double{space}row
+0
+54
+54
+Connector_PinSocket_2.00mm
+PinSocket_2x27_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x27,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x27{space}2.00mm{space}double{space}row
+0
+54
+54
+Connector_PinSocket_2.00mm
+PinSocket_2x28_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x28,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x28{space}2.00mm{space}double{space}row
+0
+56
+56
+Connector_PinSocket_2.00mm
+PinSocket_2x28_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x28,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x28{space}2.00mm{space}double{space}row
+0
+56
+56
+Connector_PinSocket_2.00mm
+PinSocket_2x28_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x28,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x28{space}2.00mm{space}double{space}row
+0
+56
+56
+Connector_PinSocket_2.00mm
+PinSocket_2x29_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x29,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x29{space}2.00mm{space}double{space}row
+0
+58
+58
+Connector_PinSocket_2.00mm
+PinSocket_2x29_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x29,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x29{space}2.00mm{space}double{space}row
+0
+58
+58
+Connector_PinSocket_2.00mm
+PinSocket_2x29_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x29,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x29{space}2.00mm{space}double{space}row
+0
+58
+58
+Connector_PinSocket_2.00mm
+PinSocket_2x30_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x30,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x30{space}2.00mm{space}double{space}row
+0
+60
+60
+Connector_PinSocket_2.00mm
+PinSocket_2x30_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x30,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x30{space}2.00mm{space}double{space}row
+0
+60
+60
+Connector_PinSocket_2.00mm
+PinSocket_2x30_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x30,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x30{space}2.00mm{space}double{space}row
+0
+60
+60
+Connector_PinSocket_2.00mm
+PinSocket_2x31_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x31,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x31{space}2.00mm{space}double{space}row
+0
+62
+62
+Connector_PinSocket_2.00mm
+PinSocket_2x31_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x31,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x31{space}2.00mm{space}double{space}row
+0
+62
+62
+Connector_PinSocket_2.00mm
+PinSocket_2x31_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x31,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x31{space}2.00mm{space}double{space}row
+0
+62
+62
+Connector_PinSocket_2.00mm
+PinSocket_2x32_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x32,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x32{space}2.00mm{space}double{space}row
+0
+64
+64
+Connector_PinSocket_2.00mm
+PinSocket_2x32_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x32,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x32{space}2.00mm{space}double{space}row
+0
+64
+64
+Connector_PinSocket_2.00mm
+PinSocket_2x32_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x32,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x32{space}2.00mm{space}double{space}row
+0
+64
+64
+Connector_PinSocket_2.00mm
+PinSocket_2x33_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x33,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x33{space}2.00mm{space}double{space}row
+0
+66
+66
+Connector_PinSocket_2.00mm
+PinSocket_2x33_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x33,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x33{space}2.00mm{space}double{space}row
+0
+66
+66
+Connector_PinSocket_2.00mm
+PinSocket_2x33_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x33,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x33{space}2.00mm{space}double{space}row
+0
+66
+66
+Connector_PinSocket_2.00mm
+PinSocket_2x34_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x34,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x34{space}2.00mm{space}double{space}row
+0
+68
+68
+Connector_PinSocket_2.00mm
+PinSocket_2x34_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x34,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x34{space}2.00mm{space}double{space}row
+0
+68
+68
+Connector_PinSocket_2.00mm
+PinSocket_2x34_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x34,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x34{space}2.00mm{space}double{space}row
+0
+68
+68
+Connector_PinSocket_2.00mm
+PinSocket_2x35_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x35,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x35{space}2.00mm{space}double{space}row
+0
+70
+70
+Connector_PinSocket_2.00mm
+PinSocket_2x35_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x35,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x35{space}2.00mm{space}double{space}row
+0
+70
+70
+Connector_PinSocket_2.00mm
+PinSocket_2x35_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x35,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x35{space}2.00mm{space}double{space}row
+0
+70
+70
+Connector_PinSocket_2.00mm
+PinSocket_2x36_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x36,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x36{space}2.00mm{space}double{space}row
+0
+72
+72
+Connector_PinSocket_2.00mm
+PinSocket_2x36_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x36,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x36{space}2.00mm{space}double{space}row
+0
+72
+72
+Connector_PinSocket_2.00mm
+PinSocket_2x36_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x36,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x36{space}2.00mm{space}double{space}row
+0
+72
+72
+Connector_PinSocket_2.00mm
+PinSocket_2x37_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x37,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x37{space}2.00mm{space}double{space}row
+0
+74
+74
+Connector_PinSocket_2.00mm
+PinSocket_2x37_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x37,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x37{space}2.00mm{space}double{space}row
+0
+74
+74
+Connector_PinSocket_2.00mm
+PinSocket_2x37_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x37,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x37{space}2.00mm{space}double{space}row
+0
+74
+74
+Connector_PinSocket_2.00mm
+PinSocket_2x38_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x38,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x38{space}2.00mm{space}double{space}row
+0
+76
+76
+Connector_PinSocket_2.00mm
+PinSocket_2x38_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x38,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x38{space}2.00mm{space}double{space}row
+0
+76
+76
+Connector_PinSocket_2.00mm
+PinSocket_2x38_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x38,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x38{space}2.00mm{space}double{space}row
+0
+76
+76
+Connector_PinSocket_2.00mm
+PinSocket_2x39_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x39,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x39{space}2.00mm{space}double{space}row
+0
+78
+78
+Connector_PinSocket_2.00mm
+PinSocket_2x39_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x39,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x39{space}2.00mm{space}double{space}row
+0
+78
+78
+Connector_PinSocket_2.00mm
+PinSocket_2x39_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x39,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x39{space}2.00mm{space}double{space}row
+0
+78
+78
+Connector_PinSocket_2.00mm
+PinSocket_2x40_P2.00mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x40,{space}2.00mm{space}pitch,{space}6.35mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x40{space}2.00mm{space}double{space}row
+0
+80
+80
+Connector_PinSocket_2.00mm
+PinSocket_2x40_P2.00mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x40,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x40{space}2.00mm{space}double{space}row
+0
+80
+80
+Connector_PinSocket_2.00mm
+PinSocket_2x40_P2.00mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x40,{space}2.00mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x40{space}2.00mm{space}double{space}row
+0
+80
+80
+Connector_PinSocket_2.54mm
+PinSocket_1x01_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x01,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x01{space}2.54mm{space}single{space}row
+0
+1
+1
+Connector_PinSocket_2.54mm
+PinSocket_1x01_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x01,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x01{space}2.54mm{space}single{space}row
+0
+1
+1
+Connector_PinSocket_2.54mm
+PinSocket_1x02_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x02,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x02{space}2.54mm{space}single{space}row
+0
+2
+2
+Connector_PinSocket_2.54mm
+PinSocket_1x02_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x02,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x02{space}2.54mm{space}single{space}row
+0
+2
+2
+Connector_PinSocket_2.54mm
+PinSocket_1x02_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x02,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x02{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+2
+2
+Connector_PinSocket_2.54mm
+PinSocket_1x02_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x02,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x02{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+2
+2
+Connector_PinSocket_2.54mm
+PinSocket_1x03_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x03,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x03{space}2.54mm{space}single{space}row
+0
+3
+3
+Connector_PinSocket_2.54mm
+PinSocket_1x03_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x03,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x03{space}2.54mm{space}single{space}row
+0
+3
+3
+Connector_PinSocket_2.54mm
+PinSocket_1x03_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x03,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x03{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+3
+3
+Connector_PinSocket_2.54mm
+PinSocket_1x03_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x03,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x03{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+3
+3
+Connector_PinSocket_2.54mm
+PinSocket_1x04_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x04,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x04{space}2.54mm{space}single{space}row
+0
+4
+4
+Connector_PinSocket_2.54mm
+PinSocket_1x04_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x04,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x04{space}2.54mm{space}single{space}row
+0
+4
+4
+Connector_PinSocket_2.54mm
+PinSocket_1x04_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x04,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x04{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+4
+4
+Connector_PinSocket_2.54mm
+PinSocket_1x04_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x04,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x04{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+4
+4
+Connector_PinSocket_2.54mm
+PinSocket_1x05_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x05,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x05{space}2.54mm{space}single{space}row
+0
+5
+5
+Connector_PinSocket_2.54mm
+PinSocket_1x05_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x05,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x05{space}2.54mm{space}single{space}row
+0
+5
+5
+Connector_PinSocket_2.54mm
+PinSocket_1x05_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x05,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x05{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+5
+5
+Connector_PinSocket_2.54mm
+PinSocket_1x05_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x05,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x05{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+5
+5
+Connector_PinSocket_2.54mm
+PinSocket_1x06_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x06,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x06{space}2.54mm{space}single{space}row
+0
+6
+6
+Connector_PinSocket_2.54mm
+PinSocket_1x06_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x06,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x06{space}2.54mm{space}single{space}row
+0
+6
+6
+Connector_PinSocket_2.54mm
+PinSocket_1x06_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x06,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x06{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+6
+6
+Connector_PinSocket_2.54mm
+PinSocket_1x06_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x06,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x06{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+6
+6
+Connector_PinSocket_2.54mm
+PinSocket_1x07_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x07,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x07{space}2.54mm{space}single{space}row
+0
+7
+7
+Connector_PinSocket_2.54mm
+PinSocket_1x07_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x07,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x07{space}2.54mm{space}single{space}row
+0
+7
+7
+Connector_PinSocket_2.54mm
+PinSocket_1x07_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x07,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x07{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+7
+7
+Connector_PinSocket_2.54mm
+PinSocket_1x07_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x07,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x07{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+7
+7
+Connector_PinSocket_2.54mm
+PinSocket_1x08_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x08,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x08{space}2.54mm{space}single{space}row
+0
+8
+8
+Connector_PinSocket_2.54mm
+PinSocket_1x08_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x08,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x08{space}2.54mm{space}single{space}row
+0
+8
+8
+Connector_PinSocket_2.54mm
+PinSocket_1x08_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x08,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x08{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+8
+8
+Connector_PinSocket_2.54mm
+PinSocket_1x08_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x08,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x08{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+8
+8
+Connector_PinSocket_2.54mm
+PinSocket_1x09_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x09,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x09{space}2.54mm{space}single{space}row
+0
+9
+9
+Connector_PinSocket_2.54mm
+PinSocket_1x09_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x09,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x09{space}2.54mm{space}single{space}row
+0
+9
+9
+Connector_PinSocket_2.54mm
+PinSocket_1x09_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x09,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x09{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+9
+9
+Connector_PinSocket_2.54mm
+PinSocket_1x09_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x09,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x09{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+9
+9
+Connector_PinSocket_2.54mm
+PinSocket_1x10_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x10,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x10{space}2.54mm{space}single{space}row
+0
+10
+10
+Connector_PinSocket_2.54mm
+PinSocket_1x10_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x10,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x10{space}2.54mm{space}single{space}row
+0
+10
+10
+Connector_PinSocket_2.54mm
+PinSocket_1x10_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x10,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x10{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+10
+10
+Connector_PinSocket_2.54mm
+PinSocket_1x10_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x10,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x10{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+10
+10
+Connector_PinSocket_2.54mm
+PinSocket_1x11_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x11,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x11{space}2.54mm{space}single{space}row
+0
+11
+11
+Connector_PinSocket_2.54mm
+PinSocket_1x11_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x11,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x11{space}2.54mm{space}single{space}row
+0
+11
+11
+Connector_PinSocket_2.54mm
+PinSocket_1x11_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x11,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x11{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+11
+11
+Connector_PinSocket_2.54mm
+PinSocket_1x11_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x11,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x11{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+11
+11
+Connector_PinSocket_2.54mm
+PinSocket_1x12_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x12,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x12{space}2.54mm{space}single{space}row
+0
+12
+12
+Connector_PinSocket_2.54mm
+PinSocket_1x12_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x12,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x12{space}2.54mm{space}single{space}row
+0
+12
+12
+Connector_PinSocket_2.54mm
+PinSocket_1x12_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x12,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x12{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+12
+12
+Connector_PinSocket_2.54mm
+PinSocket_1x12_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x12,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x12{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+12
+12
+Connector_PinSocket_2.54mm
+PinSocket_1x13_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x13,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x13{space}2.54mm{space}single{space}row
+0
+13
+13
+Connector_PinSocket_2.54mm
+PinSocket_1x13_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x13,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x13{space}2.54mm{space}single{space}row
+0
+13
+13
+Connector_PinSocket_2.54mm
+PinSocket_1x13_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x13,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x13{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+13
+13
+Connector_PinSocket_2.54mm
+PinSocket_1x13_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x13,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x13{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+13
+13
+Connector_PinSocket_2.54mm
+PinSocket_1x14_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x14,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x14{space}2.54mm{space}single{space}row
+0
+14
+14
+Connector_PinSocket_2.54mm
+PinSocket_1x14_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x14,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x14{space}2.54mm{space}single{space}row
+0
+14
+14
+Connector_PinSocket_2.54mm
+PinSocket_1x14_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x14,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x14{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+14
+14
+Connector_PinSocket_2.54mm
+PinSocket_1x14_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x14,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x14{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+14
+14
+Connector_PinSocket_2.54mm
+PinSocket_1x15_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x15,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x15{space}2.54mm{space}single{space}row
+0
+15
+15
+Connector_PinSocket_2.54mm
+PinSocket_1x15_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x15,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x15{space}2.54mm{space}single{space}row
+0
+15
+15
+Connector_PinSocket_2.54mm
+PinSocket_1x15_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x15,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x15{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+15
+15
+Connector_PinSocket_2.54mm
+PinSocket_1x15_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x15,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x15{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+15
+15
+Connector_PinSocket_2.54mm
+PinSocket_1x16_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x16,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x16{space}2.54mm{space}single{space}row
+0
+16
+16
+Connector_PinSocket_2.54mm
+PinSocket_1x16_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x16,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x16{space}2.54mm{space}single{space}row
+0
+16
+16
+Connector_PinSocket_2.54mm
+PinSocket_1x16_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x16,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x16{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+16
+16
+Connector_PinSocket_2.54mm
+PinSocket_1x16_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x16,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x16{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+16
+16
+Connector_PinSocket_2.54mm
+PinSocket_1x17_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x17,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x17{space}2.54mm{space}single{space}row
+0
+17
+17
+Connector_PinSocket_2.54mm
+PinSocket_1x17_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x17,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x17{space}2.54mm{space}single{space}row
+0
+17
+17
+Connector_PinSocket_2.54mm
+PinSocket_1x17_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x17,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x17{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+17
+17
+Connector_PinSocket_2.54mm
+PinSocket_1x17_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x17,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x17{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+17
+17
+Connector_PinSocket_2.54mm
+PinSocket_1x18_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x18,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x18{space}2.54mm{space}single{space}row
+0
+18
+18
+Connector_PinSocket_2.54mm
+PinSocket_1x18_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x18,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x18{space}2.54mm{space}single{space}row
+0
+18
+18
+Connector_PinSocket_2.54mm
+PinSocket_1x18_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x18,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x18{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+18
+18
+Connector_PinSocket_2.54mm
+PinSocket_1x18_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x18,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x18{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+18
+18
+Connector_PinSocket_2.54mm
+PinSocket_1x19_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x19,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x19{space}2.54mm{space}single{space}row
+0
+19
+19
+Connector_PinSocket_2.54mm
+PinSocket_1x19_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x19,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x19{space}2.54mm{space}single{space}row
+0
+19
+19
+Connector_PinSocket_2.54mm
+PinSocket_1x19_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x19,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x19{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+19
+19
+Connector_PinSocket_2.54mm
+PinSocket_1x19_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x19,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x19{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+19
+19
+Connector_PinSocket_2.54mm
+PinSocket_1x20_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x20,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x20{space}2.54mm{space}single{space}row
+0
+20
+20
+Connector_PinSocket_2.54mm
+PinSocket_1x20_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x20,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x20{space}2.54mm{space}single{space}row
+0
+20
+20
+Connector_PinSocket_2.54mm
+PinSocket_1x20_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x20,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x20{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+20
+20
+Connector_PinSocket_2.54mm
+PinSocket_1x20_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x20,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x20{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+20
+20
+Connector_PinSocket_2.54mm
+PinSocket_1x21_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x21,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x21{space}2.54mm{space}single{space}row
+0
+21
+21
+Connector_PinSocket_2.54mm
+PinSocket_1x21_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x21,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x21{space}2.54mm{space}single{space}row
+0
+21
+21
+Connector_PinSocket_2.54mm
+PinSocket_1x21_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x21,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x21{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+21
+21
+Connector_PinSocket_2.54mm
+PinSocket_1x21_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x21,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x21{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+21
+21
+Connector_PinSocket_2.54mm
+PinSocket_1x22_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x22,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x22{space}2.54mm{space}single{space}row
+0
+22
+22
+Connector_PinSocket_2.54mm
+PinSocket_1x22_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x22,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x22{space}2.54mm{space}single{space}row
+0
+22
+22
+Connector_PinSocket_2.54mm
+PinSocket_1x22_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x22,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x22{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+22
+22
+Connector_PinSocket_2.54mm
+PinSocket_1x22_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x22,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x22{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+22
+22
+Connector_PinSocket_2.54mm
+PinSocket_1x23_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x23,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x23{space}2.54mm{space}single{space}row
+0
+23
+23
+Connector_PinSocket_2.54mm
+PinSocket_1x23_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x23,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x23{space}2.54mm{space}single{space}row
+0
+23
+23
+Connector_PinSocket_2.54mm
+PinSocket_1x23_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x23,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x23{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+23
+23
+Connector_PinSocket_2.54mm
+PinSocket_1x23_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x23,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x23{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+23
+23
+Connector_PinSocket_2.54mm
+PinSocket_1x24_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x24,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x24{space}2.54mm{space}single{space}row
+0
+24
+24
+Connector_PinSocket_2.54mm
+PinSocket_1x24_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x24,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x24{space}2.54mm{space}single{space}row
+0
+24
+24
+Connector_PinSocket_2.54mm
+PinSocket_1x24_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x24,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x24{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+24
+24
+Connector_PinSocket_2.54mm
+PinSocket_1x24_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x24,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x24{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+24
+24
+Connector_PinSocket_2.54mm
+PinSocket_1x25_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x25,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x25{space}2.54mm{space}single{space}row
+0
+25
+25
+Connector_PinSocket_2.54mm
+PinSocket_1x25_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x25,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x25{space}2.54mm{space}single{space}row
+0
+25
+25
+Connector_PinSocket_2.54mm
+PinSocket_1x25_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x25,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x25{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+25
+25
+Connector_PinSocket_2.54mm
+PinSocket_1x25_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x25,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x25{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+25
+25
+Connector_PinSocket_2.54mm
+PinSocket_1x26_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x26,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x26{space}2.54mm{space}single{space}row
+0
+26
+26
+Connector_PinSocket_2.54mm
+PinSocket_1x26_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x26,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x26{space}2.54mm{space}single{space}row
+0
+26
+26
+Connector_PinSocket_2.54mm
+PinSocket_1x26_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x26,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x26{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+26
+26
+Connector_PinSocket_2.54mm
+PinSocket_1x26_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x26,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x26{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+26
+26
+Connector_PinSocket_2.54mm
+PinSocket_1x27_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x27,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x27{space}2.54mm{space}single{space}row
+0
+27
+27
+Connector_PinSocket_2.54mm
+PinSocket_1x27_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x27,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x27{space}2.54mm{space}single{space}row
+0
+27
+27
+Connector_PinSocket_2.54mm
+PinSocket_1x27_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x27,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x27{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+27
+27
+Connector_PinSocket_2.54mm
+PinSocket_1x27_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x27,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x27{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+27
+27
+Connector_PinSocket_2.54mm
+PinSocket_1x28_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x28,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x28{space}2.54mm{space}single{space}row
+0
+28
+28
+Connector_PinSocket_2.54mm
+PinSocket_1x28_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x28,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x28{space}2.54mm{space}single{space}row
+0
+28
+28
+Connector_PinSocket_2.54mm
+PinSocket_1x28_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x28,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x28{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+28
+28
+Connector_PinSocket_2.54mm
+PinSocket_1x28_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x28,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x28{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+28
+28
+Connector_PinSocket_2.54mm
+PinSocket_1x29_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x29,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x29{space}2.54mm{space}single{space}row
+0
+29
+29
+Connector_PinSocket_2.54mm
+PinSocket_1x29_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x29,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x29{space}2.54mm{space}single{space}row
+0
+29
+29
+Connector_PinSocket_2.54mm
+PinSocket_1x29_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x29,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x29{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+29
+29
+Connector_PinSocket_2.54mm
+PinSocket_1x29_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x29,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x29{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+29
+29
+Connector_PinSocket_2.54mm
+PinSocket_1x30_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x30,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x30{space}2.54mm{space}single{space}row
+0
+30
+30
+Connector_PinSocket_2.54mm
+PinSocket_1x30_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x30,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x30{space}2.54mm{space}single{space}row
+0
+30
+30
+Connector_PinSocket_2.54mm
+PinSocket_1x30_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x30,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x30{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+30
+30
+Connector_PinSocket_2.54mm
+PinSocket_1x30_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x30,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x30{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+30
+30
+Connector_PinSocket_2.54mm
+PinSocket_1x31_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x31,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x31{space}2.54mm{space}single{space}row
+0
+31
+31
+Connector_PinSocket_2.54mm
+PinSocket_1x31_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x31,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x31{space}2.54mm{space}single{space}row
+0
+31
+31
+Connector_PinSocket_2.54mm
+PinSocket_1x31_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x31,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x31{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+31
+31
+Connector_PinSocket_2.54mm
+PinSocket_1x31_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x31,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x31{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+31
+31
+Connector_PinSocket_2.54mm
+PinSocket_1x32_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x32,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x32{space}2.54mm{space}single{space}row
+0
+32
+32
+Connector_PinSocket_2.54mm
+PinSocket_1x32_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x32,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x32{space}2.54mm{space}single{space}row
+0
+32
+32
+Connector_PinSocket_2.54mm
+PinSocket_1x32_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x32,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x32{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+32
+32
+Connector_PinSocket_2.54mm
+PinSocket_1x32_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x32,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x32{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+32
+32
+Connector_PinSocket_2.54mm
+PinSocket_1x33_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x33,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x33{space}2.54mm{space}single{space}row
+0
+33
+33
+Connector_PinSocket_2.54mm
+PinSocket_1x33_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x33,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x33{space}2.54mm{space}single{space}row
+0
+33
+33
+Connector_PinSocket_2.54mm
+PinSocket_1x33_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x33,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x33{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+33
+33
+Connector_PinSocket_2.54mm
+PinSocket_1x33_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x33,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x33{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+33
+33
+Connector_PinSocket_2.54mm
+PinSocket_1x34_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x34,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x34{space}2.54mm{space}single{space}row
+0
+34
+34
+Connector_PinSocket_2.54mm
+PinSocket_1x34_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x34,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x34{space}2.54mm{space}single{space}row
+0
+34
+34
+Connector_PinSocket_2.54mm
+PinSocket_1x34_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x34,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x34{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+34
+34
+Connector_PinSocket_2.54mm
+PinSocket_1x34_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x34,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x34{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+34
+34
+Connector_PinSocket_2.54mm
+PinSocket_1x35_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x35,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x35{space}2.54mm{space}single{space}row
+0
+35
+35
+Connector_PinSocket_2.54mm
+PinSocket_1x35_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x35,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x35{space}2.54mm{space}single{space}row
+0
+35
+35
+Connector_PinSocket_2.54mm
+PinSocket_1x35_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x35,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x35{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+35
+35
+Connector_PinSocket_2.54mm
+PinSocket_1x35_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x35,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x35{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+35
+35
+Connector_PinSocket_2.54mm
+PinSocket_1x36_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x36,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x36{space}2.54mm{space}single{space}row
+0
+36
+36
+Connector_PinSocket_2.54mm
+PinSocket_1x36_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x36,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x36{space}2.54mm{space}single{space}row
+0
+36
+36
+Connector_PinSocket_2.54mm
+PinSocket_1x36_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x36,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x36{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+36
+36
+Connector_PinSocket_2.54mm
+PinSocket_1x36_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x36,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x36{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+36
+36
+Connector_PinSocket_2.54mm
+PinSocket_1x37_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x37,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x37{space}2.54mm{space}single{space}row
+0
+37
+37
+Connector_PinSocket_2.54mm
+PinSocket_1x37_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x37,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x37{space}2.54mm{space}single{space}row
+0
+37
+37
+Connector_PinSocket_2.54mm
+PinSocket_1x37_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x37,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x37{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+37
+37
+Connector_PinSocket_2.54mm
+PinSocket_1x37_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x37,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x37{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+37
+37
+Connector_PinSocket_2.54mm
+PinSocket_1x38_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x38,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x38{space}2.54mm{space}single{space}row
+0
+38
+38
+Connector_PinSocket_2.54mm
+PinSocket_1x38_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x38,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x38{space}2.54mm{space}single{space}row
+0
+38
+38
+Connector_PinSocket_2.54mm
+PinSocket_1x38_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x38,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x38{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+38
+38
+Connector_PinSocket_2.54mm
+PinSocket_1x38_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x38,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x38{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+38
+38
+Connector_PinSocket_2.54mm
+PinSocket_1x39_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x39,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x39{space}2.54mm{space}single{space}row
+0
+39
+39
+Connector_PinSocket_2.54mm
+PinSocket_1x39_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x39,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x39{space}2.54mm{space}single{space}row
+0
+39
+39
+Connector_PinSocket_2.54mm
+PinSocket_1x39_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x39,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x39{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+39
+39
+Connector_PinSocket_2.54mm
+PinSocket_1x39_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x39,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x39{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+39
+39
+Connector_PinSocket_2.54mm
+PinSocket_1x40_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}1x40,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}1x40{space}2.54mm{space}single{space}row
+0
+40
+40
+Connector_PinSocket_2.54mm
+PinSocket_1x40_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}1x40,{space}2.54mm{space}pitch,{space}single{space}row{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}1x40{space}2.54mm{space}single{space}row
+0
+40
+40
+Connector_PinSocket_2.54mm
+PinSocket_1x40_P2.54mm_Vertical_SMD_Pin1Left
+surface-mounted{space}straight{space}socket{space}strip,{space}1x40,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}1{space}(pin{space}1{space}left){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x40{space}2.54mm{space}single{space}row{space}style1{space}pin1{space}left
+0
+40
+40
+Connector_PinSocket_2.54mm
+PinSocket_1x40_P2.54mm_Vertical_SMD_Pin1Right
+surface-mounted{space}straight{space}socket{space}strip,{space}1x40,{space}2.54mm{space}pitch,{space}single{space}row,{space}style{space}2{space}(pin{space}1{space}right){space}(https://cdn.harwin.com/pdfs/M20-786.pdf),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}1x40{space}2.54mm{space}single{space}row{space}style2{space}pin1{space}right
+0
+40
+40
+Connector_PinSocket_2.54mm
+PinSocket_2x01_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x01,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x01{space}2.54mm{space}double{space}row
+0
+2
+2
+Connector_PinSocket_2.54mm
+PinSocket_2x01_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x01,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x01{space}2.54mm{space}double{space}row
+0
+2
+2
+Connector_PinSocket_2.54mm
+PinSocket_2x01_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x01,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x01{space}2.54mm{space}double{space}row
+0
+2
+2
+Connector_PinSocket_2.54mm
+PinSocket_2x02_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x02,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x02{space}2.54mm{space}double{space}row
+0
+4
+4
+Connector_PinSocket_2.54mm
+PinSocket_2x02_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x02,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x02{space}2.54mm{space}double{space}row
+0
+4
+4
+Connector_PinSocket_2.54mm
+PinSocket_2x02_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x02,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x02{space}2.54mm{space}double{space}row
+0
+4
+4
+Connector_PinSocket_2.54mm
+PinSocket_2x03_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x03,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x03{space}2.54mm{space}double{space}row
+0
+6
+6
+Connector_PinSocket_2.54mm
+PinSocket_2x03_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x03,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x03{space}2.54mm{space}double{space}row
+0
+6
+6
+Connector_PinSocket_2.54mm
+PinSocket_2x03_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x03,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x03{space}2.54mm{space}double{space}row
+0
+6
+6
+Connector_PinSocket_2.54mm
+PinSocket_2x04_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x04,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x04{space}2.54mm{space}double{space}row
+0
+8
+8
+Connector_PinSocket_2.54mm
+PinSocket_2x04_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x04,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x04{space}2.54mm{space}double{space}row
+0
+8
+8
+Connector_PinSocket_2.54mm
+PinSocket_2x04_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x04,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x04{space}2.54mm{space}double{space}row
+0
+8
+8
+Connector_PinSocket_2.54mm
+PinSocket_2x05_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x05,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x05{space}2.54mm{space}double{space}row
+0
+10
+10
+Connector_PinSocket_2.54mm
+PinSocket_2x05_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x05,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x05{space}2.54mm{space}double{space}row
+0
+10
+10
+Connector_PinSocket_2.54mm
+PinSocket_2x05_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x05,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x05{space}2.54mm{space}double{space}row
+0
+10
+10
+Connector_PinSocket_2.54mm
+PinSocket_2x06_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x06,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x06{space}2.54mm{space}double{space}row
+0
+12
+12
+Connector_PinSocket_2.54mm
+PinSocket_2x06_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x06,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x06{space}2.54mm{space}double{space}row
+0
+12
+12
+Connector_PinSocket_2.54mm
+PinSocket_2x06_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x06,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x06{space}2.54mm{space}double{space}row
+0
+12
+12
+Connector_PinSocket_2.54mm
+PinSocket_2x07_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x07,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x07{space}2.54mm{space}double{space}row
+0
+14
+14
+Connector_PinSocket_2.54mm
+PinSocket_2x07_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x07,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x07{space}2.54mm{space}double{space}row
+0
+14
+14
+Connector_PinSocket_2.54mm
+PinSocket_2x07_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x07,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x07{space}2.54mm{space}double{space}row
+0
+14
+14
+Connector_PinSocket_2.54mm
+PinSocket_2x08_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x08,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x08{space}2.54mm{space}double{space}row
+0
+16
+16
+Connector_PinSocket_2.54mm
+PinSocket_2x08_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x08,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x08{space}2.54mm{space}double{space}row
+0
+16
+16
+Connector_PinSocket_2.54mm
+PinSocket_2x08_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x08,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x08{space}2.54mm{space}double{space}row
+0
+16
+16
+Connector_PinSocket_2.54mm
+PinSocket_2x09_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x09,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x09{space}2.54mm{space}double{space}row
+0
+18
+18
+Connector_PinSocket_2.54mm
+PinSocket_2x09_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x09,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x09{space}2.54mm{space}double{space}row
+0
+18
+18
+Connector_PinSocket_2.54mm
+PinSocket_2x09_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x09,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x09{space}2.54mm{space}double{space}row
+0
+18
+18
+Connector_PinSocket_2.54mm
+PinSocket_2x10_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x10,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x10{space}2.54mm{space}double{space}row
+0
+20
+20
+Connector_PinSocket_2.54mm
+PinSocket_2x10_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x10,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x10{space}2.54mm{space}double{space}row
+0
+20
+20
+Connector_PinSocket_2.54mm
+PinSocket_2x10_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x10,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x10{space}2.54mm{space}double{space}row
+0
+20
+20
+Connector_PinSocket_2.54mm
+PinSocket_2x11_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x11,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x11{space}2.54mm{space}double{space}row
+0
+22
+22
+Connector_PinSocket_2.54mm
+PinSocket_2x11_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x11,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x11{space}2.54mm{space}double{space}row
+0
+22
+22
+Connector_PinSocket_2.54mm
+PinSocket_2x11_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x11,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x11{space}2.54mm{space}double{space}row
+0
+22
+22
+Connector_PinSocket_2.54mm
+PinSocket_2x12_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x12,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x12{space}2.54mm{space}double{space}row
+0
+24
+24
+Connector_PinSocket_2.54mm
+PinSocket_2x12_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x12,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x12{space}2.54mm{space}double{space}row
+0
+24
+24
+Connector_PinSocket_2.54mm
+PinSocket_2x12_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x12,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x12{space}2.54mm{space}double{space}row
+0
+24
+24
+Connector_PinSocket_2.54mm
+PinSocket_2x13_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x13,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x13{space}2.54mm{space}double{space}row
+0
+26
+26
+Connector_PinSocket_2.54mm
+PinSocket_2x13_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x13,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x13{space}2.54mm{space}double{space}row
+0
+26
+26
+Connector_PinSocket_2.54mm
+PinSocket_2x13_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x13,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x13{space}2.54mm{space}double{space}row
+0
+26
+26
+Connector_PinSocket_2.54mm
+PinSocket_2x14_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x14,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x14{space}2.54mm{space}double{space}row
+0
+28
+28
+Connector_PinSocket_2.54mm
+PinSocket_2x14_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x14,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x14{space}2.54mm{space}double{space}row
+0
+28
+28
+Connector_PinSocket_2.54mm
+PinSocket_2x14_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x14,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x14{space}2.54mm{space}double{space}row
+0
+28
+28
+Connector_PinSocket_2.54mm
+PinSocket_2x15_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x15,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x15{space}2.54mm{space}double{space}row
+0
+30
+30
+Connector_PinSocket_2.54mm
+PinSocket_2x15_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x15,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x15{space}2.54mm{space}double{space}row
+0
+30
+30
+Connector_PinSocket_2.54mm
+PinSocket_2x15_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x15,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x15{space}2.54mm{space}double{space}row
+0
+30
+30
+Connector_PinSocket_2.54mm
+PinSocket_2x16_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x16,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x16{space}2.54mm{space}double{space}row
+0
+32
+32
+Connector_PinSocket_2.54mm
+PinSocket_2x16_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x16,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x16{space}2.54mm{space}double{space}row
+0
+32
+32
+Connector_PinSocket_2.54mm
+PinSocket_2x16_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x16,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x16{space}2.54mm{space}double{space}row
+0
+32
+32
+Connector_PinSocket_2.54mm
+PinSocket_2x17_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x17,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x17{space}2.54mm{space}double{space}row
+0
+34
+34
+Connector_PinSocket_2.54mm
+PinSocket_2x17_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x17,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x17{space}2.54mm{space}double{space}row
+0
+34
+34
+Connector_PinSocket_2.54mm
+PinSocket_2x17_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x17,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x17{space}2.54mm{space}double{space}row
+0
+34
+34
+Connector_PinSocket_2.54mm
+PinSocket_2x18_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x18,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x18{space}2.54mm{space}double{space}row
+0
+36
+36
+Connector_PinSocket_2.54mm
+PinSocket_2x18_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x18,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x18{space}2.54mm{space}double{space}row
+0
+36
+36
+Connector_PinSocket_2.54mm
+PinSocket_2x18_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x18,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x18{space}2.54mm{space}double{space}row
+0
+36
+36
+Connector_PinSocket_2.54mm
+PinSocket_2x19_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x19,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x19{space}2.54mm{space}double{space}row
+0
+38
+38
+Connector_PinSocket_2.54mm
+PinSocket_2x19_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x19,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x19{space}2.54mm{space}double{space}row
+0
+38
+38
+Connector_PinSocket_2.54mm
+PinSocket_2x19_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x19,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x19{space}2.54mm{space}double{space}row
+0
+38
+38
+Connector_PinSocket_2.54mm
+PinSocket_2x20_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x20,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x20{space}2.54mm{space}double{space}row
+0
+40
+40
+Connector_PinSocket_2.54mm
+PinSocket_2x20_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x20,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x20{space}2.54mm{space}double{space}row
+0
+40
+40
+Connector_PinSocket_2.54mm
+PinSocket_2x20_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x20,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x20{space}2.54mm{space}double{space}row
+0
+40
+40
+Connector_PinSocket_2.54mm
+PinSocket_2x21_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x21,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x21{space}2.54mm{space}double{space}row
+0
+42
+42
+Connector_PinSocket_2.54mm
+PinSocket_2x21_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x21,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x21{space}2.54mm{space}double{space}row
+0
+42
+42
+Connector_PinSocket_2.54mm
+PinSocket_2x21_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x21,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x21{space}2.54mm{space}double{space}row
+0
+42
+42
+Connector_PinSocket_2.54mm
+PinSocket_2x22_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x22,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x22{space}2.54mm{space}double{space}row
+0
+44
+44
+Connector_PinSocket_2.54mm
+PinSocket_2x22_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x22,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x22{space}2.54mm{space}double{space}row
+0
+44
+44
+Connector_PinSocket_2.54mm
+PinSocket_2x22_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x22,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x22{space}2.54mm{space}double{space}row
+0
+44
+44
+Connector_PinSocket_2.54mm
+PinSocket_2x23_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x23,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x23{space}2.54mm{space}double{space}row
+0
+46
+46
+Connector_PinSocket_2.54mm
+PinSocket_2x23_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x23,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x23{space}2.54mm{space}double{space}row
+0
+46
+46
+Connector_PinSocket_2.54mm
+PinSocket_2x23_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x23,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x23{space}2.54mm{space}double{space}row
+0
+46
+46
+Connector_PinSocket_2.54mm
+PinSocket_2x24_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x24,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x24{space}2.54mm{space}double{space}row
+0
+48
+48
+Connector_PinSocket_2.54mm
+PinSocket_2x24_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x24,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x24{space}2.54mm{space}double{space}row
+0
+48
+48
+Connector_PinSocket_2.54mm
+PinSocket_2x24_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x24,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x24{space}2.54mm{space}double{space}row
+0
+48
+48
+Connector_PinSocket_2.54mm
+PinSocket_2x25_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x25,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x25{space}2.54mm{space}double{space}row
+0
+50
+50
+Connector_PinSocket_2.54mm
+PinSocket_2x25_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x25,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x25{space}2.54mm{space}double{space}row
+0
+50
+50
+Connector_PinSocket_2.54mm
+PinSocket_2x25_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x25,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x25{space}2.54mm{space}double{space}row
+0
+50
+50
+Connector_PinSocket_2.54mm
+PinSocket_2x26_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x26,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x26{space}2.54mm{space}double{space}row
+0
+52
+52
+Connector_PinSocket_2.54mm
+PinSocket_2x26_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x26,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x26{space}2.54mm{space}double{space}row
+0
+52
+52
+Connector_PinSocket_2.54mm
+PinSocket_2x26_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x26,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x26{space}2.54mm{space}double{space}row
+0
+52
+52
+Connector_PinSocket_2.54mm
+PinSocket_2x27_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x27,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x27{space}2.54mm{space}double{space}row
+0
+54
+54
+Connector_PinSocket_2.54mm
+PinSocket_2x27_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x27,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x27{space}2.54mm{space}double{space}row
+0
+54
+54
+Connector_PinSocket_2.54mm
+PinSocket_2x27_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x27,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x27{space}2.54mm{space}double{space}row
+0
+54
+54
+Connector_PinSocket_2.54mm
+PinSocket_2x28_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x28,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x28{space}2.54mm{space}double{space}row
+0
+56
+56
+Connector_PinSocket_2.54mm
+PinSocket_2x28_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x28,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x28{space}2.54mm{space}double{space}row
+0
+56
+56
+Connector_PinSocket_2.54mm
+PinSocket_2x28_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x28,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x28{space}2.54mm{space}double{space}row
+0
+56
+56
+Connector_PinSocket_2.54mm
+PinSocket_2x29_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x29,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x29{space}2.54mm{space}double{space}row
+0
+58
+58
+Connector_PinSocket_2.54mm
+PinSocket_2x29_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x29,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x29{space}2.54mm{space}double{space}row
+0
+58
+58
+Connector_PinSocket_2.54mm
+PinSocket_2x29_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x29,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x29{space}2.54mm{space}double{space}row
+0
+58
+58
+Connector_PinSocket_2.54mm
+PinSocket_2x30_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x30,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x30{space}2.54mm{space}double{space}row
+0
+60
+60
+Connector_PinSocket_2.54mm
+PinSocket_2x30_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x30,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x30{space}2.54mm{space}double{space}row
+0
+60
+60
+Connector_PinSocket_2.54mm
+PinSocket_2x30_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x30,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x30{space}2.54mm{space}double{space}row
+0
+60
+60
+Connector_PinSocket_2.54mm
+PinSocket_2x31_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x31,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x31{space}2.54mm{space}double{space}row
+0
+62
+62
+Connector_PinSocket_2.54mm
+PinSocket_2x31_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x31,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x31{space}2.54mm{space}double{space}row
+0
+62
+62
+Connector_PinSocket_2.54mm
+PinSocket_2x31_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x31,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x31{space}2.54mm{space}double{space}row
+0
+62
+62
+Connector_PinSocket_2.54mm
+PinSocket_2x32_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x32,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x32{space}2.54mm{space}double{space}row
+0
+64
+64
+Connector_PinSocket_2.54mm
+PinSocket_2x32_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x32,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x32{space}2.54mm{space}double{space}row
+0
+64
+64
+Connector_PinSocket_2.54mm
+PinSocket_2x32_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x32,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x32{space}2.54mm{space}double{space}row
+0
+64
+64
+Connector_PinSocket_2.54mm
+PinSocket_2x33_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x33,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x33{space}2.54mm{space}double{space}row
+0
+66
+66
+Connector_PinSocket_2.54mm
+PinSocket_2x33_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x33,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x33{space}2.54mm{space}double{space}row
+0
+66
+66
+Connector_PinSocket_2.54mm
+PinSocket_2x33_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x33,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x33{space}2.54mm{space}double{space}row
+0
+66
+66
+Connector_PinSocket_2.54mm
+PinSocket_2x34_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x34,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x34{space}2.54mm{space}double{space}row
+0
+68
+68
+Connector_PinSocket_2.54mm
+PinSocket_2x34_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x34,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x34{space}2.54mm{space}double{space}row
+0
+68
+68
+Connector_PinSocket_2.54mm
+PinSocket_2x34_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x34,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x34{space}2.54mm{space}double{space}row
+0
+68
+68
+Connector_PinSocket_2.54mm
+PinSocket_2x35_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x35,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x35{space}2.54mm{space}double{space}row
+0
+70
+70
+Connector_PinSocket_2.54mm
+PinSocket_2x35_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x35,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x35{space}2.54mm{space}double{space}row
+0
+70
+70
+Connector_PinSocket_2.54mm
+PinSocket_2x35_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x35,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x35{space}2.54mm{space}double{space}row
+0
+70
+70
+Connector_PinSocket_2.54mm
+PinSocket_2x36_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x36,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x36{space}2.54mm{space}double{space}row
+0
+72
+72
+Connector_PinSocket_2.54mm
+PinSocket_2x36_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x36,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x36{space}2.54mm{space}double{space}row
+0
+72
+72
+Connector_PinSocket_2.54mm
+PinSocket_2x36_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x36,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x36{space}2.54mm{space}double{space}row
+0
+72
+72
+Connector_PinSocket_2.54mm
+PinSocket_2x37_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x37,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x37{space}2.54mm{space}double{space}row
+0
+74
+74
+Connector_PinSocket_2.54mm
+PinSocket_2x37_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x37,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x37{space}2.54mm{space}double{space}row
+0
+74
+74
+Connector_PinSocket_2.54mm
+PinSocket_2x37_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x37,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x37{space}2.54mm{space}double{space}row
+0
+74
+74
+Connector_PinSocket_2.54mm
+PinSocket_2x38_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x38,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x38{space}2.54mm{space}double{space}row
+0
+76
+76
+Connector_PinSocket_2.54mm
+PinSocket_2x38_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x38,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x38{space}2.54mm{space}double{space}row
+0
+76
+76
+Connector_PinSocket_2.54mm
+PinSocket_2x38_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x38,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x38{space}2.54mm{space}double{space}row
+0
+76
+76
+Connector_PinSocket_2.54mm
+PinSocket_2x39_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x39,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x39{space}2.54mm{space}double{space}row
+0
+78
+78
+Connector_PinSocket_2.54mm
+PinSocket_2x39_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x39,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x39{space}2.54mm{space}double{space}row
+0
+78
+78
+Connector_PinSocket_2.54mm
+PinSocket_2x39_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x39,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x39{space}2.54mm{space}double{space}row
+0
+78
+78
+Connector_PinSocket_2.54mm
+PinSocket_2x40_P2.54mm_Horizontal
+Through{space}hole{space}angled{space}socket{space}strip,{space}2x40,{space}2.54mm{space}pitch,{space}8.51mm{space}socket{space}length,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}angled{space}socket{space}strip{space}THT{space}2x40{space}2.54mm{space}double{space}row
+0
+80
+80
+Connector_PinSocket_2.54mm
+PinSocket_2x40_P2.54mm_Vertical
+Through{space}hole{space}straight{space}socket{space}strip,{space}2x40,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Through{space}hole{space}socket{space}strip{space}THT{space}2x40{space}2.54mm{space}double{space}row
+0
+80
+80
+Connector_PinSocket_2.54mm
+PinSocket_2x40_P2.54mm_Vertical_SMD
+surface-mounted{space}straight{space}socket{space}strip,{space}2x40,{space}2.54mm{space}pitch,{space}double{space}cols{space}(from{space}Kicad{space}4.0.7),{space}script{space}generated
+Surface{space}mounted{space}socket{space}strip{space}SMD{space}2x40{space}2.54mm{space}double{space}row
+0
+80
+80
+Connector_RJ
+RJ12_Amphenol_54601
+RJ12{space}connector{space}{space}https://cdn.amphenol-icc.com/media/wysiwyg/files/drawing/c-bmj-0082.pdf
+RJ12{space}connector
+0
+6
+6
+Connector_RJ
+RJ45_Amphenol_54602-x08_Horizontal
+8{space}Pol{space}Shallow{space}Latch{space}Connector,{space}Modjack,{space}RJ45{space}(https://cdn.amphenol-icc.com/media/wysiwyg/files/drawing/c-bmj-0102.pdf)
+RJ45
+0
+8
+8
+Connector_RJ
+RJ45_Amphenol_RJHSE538X
+Shielded,{space}2{space}LED,{space}https://www.amphenolcanada.com/ProductSearch/drawings/AC/RJHSE538X.pdf
+RJ45{space}8p8c{space}ethernet{space}cat5
+0
+14
+13
+Connector_RJ
+RJ45_Amphenol_RJHSE5380
+Shielded,{space}https://www.amphenolcanada.com/ProductSearch/drawings/AC/RJHSE538X.pdf
+RJ45{space}8p8c{space}ethernet{space}cat5
+0
+10
+9
+Connector_RJ
+RJ45_Amphenol_RJHSE5380-08
+Shielded,{space}https://www.amphenolcanada.com/ProductSearch/drawings/AC/RJHSE538X08.pdf
+RJ45{space}8p8c{space}ethernet{space}cat5
+0
+68
+65
+Connector_RJ
+RJ45_Amphenol_RJMG1BD3B8K1ANR
+1{space}Port{space}RJ45{space}Magjack{space}Connector{space}Through{space}Hole{space}10/100{space}Base-T,{space}AutoMDIX,{space}https://www.amphenolcanada.com/ProductSearch/Drawings/AC/RJMG1BD3B8K1ANR.PDF
+RJ45{space}Magjack
+0
+14
+13
+Connector_RJ
+RJ45_BEL_SS74301-00x_Vertical
+https://belfuse.com/resources/drawings/stewartconnector/dr-stw-ss-74301-001-ss-74301-002-ss-74301-005.pdf
+RJ45{space}Vertical{space}Shield{space}LED{space}Green{space}Yellow
+0
+14
+13
+Connector_RJ
+RJ45_Cetus_J1B1211CCD_Horizontal
+1{space}Port{space}RJ45{space}Magjack{space}Connector{space}Through{space}Hole{space}10/100{space}Base-T,{space}Cetus,{space}used{space}and{space}distributed{space}by{space}WIZnet{space}(https://wizwiki.net/wiki/lib/exe/fetch.php?media=products:wiz550web:wiz550webds_kr:j1b1211ccd.pdf)
+RJ45{space}Magjack
+0
+14
+13
+Connector_RJ
+RJ45_Hanrun_HR911105A
+http://www.kosmodrom.com.ua/pdf/HR911105A.pdf
+RJ45{space}Magjack
+0
+14
+13
+Connector_RJ
+RJ45_OST_PJ012-8P8CX_Vertical
+RJ45{space}vertical{space}connector{space}http://www.on-shore.com/wp-content/uploads/2015/09/PJ012-8P8CX.pdf
+RJ45{space}PJ012
+0
+8
+8
+Connector_RJ
+RJ45_Plug_Metz_AJP92A8813
+plug,{space}ethernet,{space}8P8C,{space}RJ45{space}Plug
+AJP92A8813{space}8P8C{space}RJ45{space}ethernet{space}plug
+0
+8
+8
+Connector_RJ
+RJ45_Wuerth_7499010121A_Horizontal
+10/100Base-TX{space}RJ45{space}ethernet{space}magnetic{space}transformer{space}connector{space}horizontal{space}https://katalog.we-online.de/pbs/datasheet/7499010121A.pdf
+RJ45{space}ethernet{space}magnetic
+0
+14
+13
+Connector_RJ
+RJ45_Wuerth_7499151120_Horizontal
+Wuerth{space}7499151120,{space}LAN-Transformer{space}WE-RJ45LAN{space}10/100/1000{space}BaseT,{space}Dual{space}Ethernet{space}Jack{space}(http://katalog.we-online.de/pbs/datasheet/7499151120.pdf)
+ethernet{space}lan{space}connector
+0
+32
+29
+Connector_SATA_SAS
+SAS-mini_TEConnectivity_1888174_Vertical
+36pin{space}mini{space}SAS{space}connector,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=1888174&DocType=Customer+Drawing&DocLang=English
+SAS{space}mini{space}connector
+0
+44
+44
+Connector_SATA_SAS
+SATA_Amphenol_10029364-001LF_Horizontal
+https://cdn.amphenol-icc.com/media/wysiwyg/files/drawing/10029364.pdf
+SATA
+0
+24
+23
+Connector_Samtec
+Samtec_FMC_ASP-134602-01_10x40_P1.27mm_Vertical
+https://www.marutsu.co.jp/contents/shop/marutsu/ds/asp-134602-01.pdf
+FMC{space}HPC
+0
+400
+400
+Connector_Samtec
+Samtec_FMC_ASP-134604-01_4x40_Vertical
+http://www.samtec.com/standards/vita.aspx
+FMC{space}LPC{space}VITA{space}
+0
+162
+160
+Connector_Samtec
+Samtec_LSHM-105-xx.x-x-DV-N_2x05_P0.50mm_Vertical
+Molex{space}LSHM{space}0.50{space}mm{space}Razor{space}Beam{space}High-Speed{space}Hermaphroditic{space}Terminal/Socket{space}Strip,{space}LSHM-105-xx.x-x-DV-N,{space}5{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/lshm-1xx-xx.x-x-dv-a-x-x-tr-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}{space}side{space}entry
+0
+10
+10
+Connector_Samtec
+Samtec_LSHM-105-xx.x-x-DV-S_2x05-1SH_P0.50mm_Vertical
+Molex{space}LSHM{space}0.50{space}mm{space}Razor{space}Beam{space}High-Speed{space}Hermaphroditic{space}Terminal/Socket{space}Strip,{space}LSHM-105-xx.x-x-DV-S,{space}5{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/lshm-1xx-xx.x-x-dv-a-x-x-tr-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}{space}side{space}entry
+0
+12
+11
+Connector_Samtec
+Samtec_LSHM-110-xx.x-x-DV-N_2x10_P0.50mm_Vertical
+Molex{space}LSHM{space}0.50{space}mm{space}Razor{space}Beam{space}High-Speed{space}Hermaphroditic{space}Terminal/Socket{space}Strip,{space}LSHM-110-xx.x-x-DV-N,{space}10{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/lshm-1xx-xx.x-x-dv-a-x-x-tr-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}{space}side{space}entry
+0
+20
+20
+Connector_Samtec
+Samtec_LSHM-110-xx.x-x-DV-S_2x10-1SH_P0.50mm_Vertical
+Molex{space}LSHM{space}0.50{space}mm{space}Razor{space}Beam{space}High-Speed{space}Hermaphroditic{space}Terminal/Socket{space}Strip,{space}LSHM-110-xx.x-x-DV-S,{space}10{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/lshm-1xx-xx.x-x-dv-a-x-x-tr-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}{space}side{space}entry
+0
+22
+21
+Connector_Samtec
+Samtec_LSHM-120-xx.x-x-DV-N_2x20_P0.50mm_Vertical
+Molex{space}LSHM{space}0.50{space}mm{space}Razor{space}Beam{space}High-Speed{space}Hermaphroditic{space}Terminal/Socket{space}Strip,{space}LSHM-120-xx.x-x-DV-N,{space}20{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/lshm-1xx-xx.x-x-dv-a-x-x-tr-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}{space}side{space}entry
+0
+40
+40
+Connector_Samtec
+Samtec_LSHM-120-xx.x-x-DV-S_2x20-1SH_P0.50mm_Vertical
+Molex{space}LSHM{space}0.50{space}mm{space}Razor{space}Beam{space}High-Speed{space}Hermaphroditic{space}Terminal/Socket{space}Strip,{space}LSHM-120-xx.x-x-DV-S,{space}20{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/lshm-1xx-xx.x-x-dv-a-x-x-tr-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}{space}side{space}entry
+0
+42
+41
+Connector_Samtec
+Samtec_LSHM-130-xx.x-x-DV-N_2x30_P0.50mm_Vertical
+Molex{space}LSHM{space}0.50{space}mm{space}Razor{space}Beam{space}High-Speed{space}Hermaphroditic{space}Terminal/Socket{space}Strip,{space}LSHM-130-xx.x-x-DV-N,{space}30{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/lshm-1xx-xx.x-x-dv-a-x-x-tr-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}{space}side{space}entry
+0
+60
+60
+Connector_Samtec
+Samtec_LSHM-130-xx.x-x-DV-S_2x30-1SH_P0.50mm_Vertical
+Molex{space}LSHM{space}0.50{space}mm{space}Razor{space}Beam{space}High-Speed{space}Hermaphroditic{space}Terminal/Socket{space}Strip,{space}LSHM-130-xx.x-x-DV-S,{space}30{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/lshm-1xx-xx.x-x-dv-a-x-x-tr-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}{space}side{space}entry
+0
+62
+61
+Connector_Samtec
+Samtec_LSHM-140-xx.x-x-DV-N_2x40_P0.50mm_Vertical
+Molex{space}LSHM{space}0.50{space}mm{space}Razor{space}Beam{space}High-Speed{space}Hermaphroditic{space}Terminal/Socket{space}Strip,{space}LSHM-140-xx.x-x-DV-N,{space}40{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/lshm-1xx-xx.x-x-dv-a-x-x-tr-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}{space}side{space}entry
+0
+80
+80
+Connector_Samtec
+Samtec_LSHM-140-xx.x-x-DV-S_2x40-1SH_P0.50mm_Vertical
+Molex{space}LSHM{space}0.50{space}mm{space}Razor{space}Beam{space}High-Speed{space}Hermaphroditic{space}Terminal/Socket{space}Strip,{space}LSHM-140-xx.x-x-DV-S,{space}40{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/lshm-1xx-xx.x-x-dv-a-x-x-tr-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}{space}side{space}entry
+0
+82
+81
+Connector_Samtec
+Samtec_LSHM-150-xx.x-x-DV-N_2x50_P0.50mm_Vertical
+Molex{space}LSHM{space}0.50{space}mm{space}Razor{space}Beam{space}High-Speed{space}Hermaphroditic{space}Terminal/Socket{space}Strip,{space}LSHM-150-xx.x-x-DV-N,{space}50{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/lshm-1xx-xx.x-x-dv-a-x-x-tr-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}{space}side{space}entry
+0
+100
+100
+Connector_Samtec
+Samtec_LSHM-150-xx.x-x-DV-S_2x50-1SH_P0.50mm_Vertical
+Molex{space}LSHM{space}0.50{space}mm{space}Razor{space}Beam{space}High-Speed{space}Hermaphroditic{space}Terminal/Socket{space}Strip,{space}LSHM-150-xx.x-x-DV-S,{space}50{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/lshm-1xx-xx.x-x-dv-a-x-x-tr-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}{space}side{space}entry
+0
+102
+101
+Connector_Samtec_HLE_SMD
+Samtec_HLE-102-02-xxx-DV-BE-LC_2x02_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-102-02-xxx-DV-BE-LC,{space}2{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+4
+4
+Connector_Samtec_HLE_SMD
+Samtec_HLE-102-02-xxx-DV-BE_2x02_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-102-02-xxx-DV-BE,{space}2{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+4
+4
+Connector_Samtec_HLE_SMD
+Samtec_HLE-102-02-xxx-DV-LC_2x02_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-102-02-xxx-DV-LC,{space}2{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+4
+4
+Connector_Samtec_HLE_SMD
+Samtec_HLE-102-02-xxx-DV_2x02_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-102-02-xxx-DV,{space}2{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+4
+4
+Connector_Samtec_HLE_SMD
+Samtec_HLE-103-02-xxx-DV-BE-LC_2x03_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-103-02-xxx-DV-BE-LC,{space}3{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+6
+6
+Connector_Samtec_HLE_SMD
+Samtec_HLE-103-02-xxx-DV-BE_2x03_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-103-02-xxx-DV-BE,{space}3{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+6
+6
+Connector_Samtec_HLE_SMD
+Samtec_HLE-103-02-xxx-DV-LC_2x03_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-103-02-xxx-DV-LC,{space}3{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+6
+6
+Connector_Samtec_HLE_SMD
+Samtec_HLE-103-02-xxx-DV_2x03_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-103-02-xxx-DV,{space}3{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+6
+6
+Connector_Samtec_HLE_SMD
+Samtec_HLE-104-02-xxx-DV-A_2x04_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-104-02-xxx-DV-A,{space}4{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+8
+8
+Connector_Samtec_HLE_SMD
+Samtec_HLE-104-02-xxx-DV-BE-A_2x04_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-104-02-xxx-DV-BE-A,{space}4{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+8
+8
+Connector_Samtec_HLE_SMD
+Samtec_HLE-104-02-xxx-DV-BE-LC_2x04_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-104-02-xxx-DV-BE-LC,{space}4{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+8
+8
+Connector_Samtec_HLE_SMD
+Samtec_HLE-104-02-xxx-DV-BE_2x04_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-104-02-xxx-DV-BE,{space}4{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+8
+8
+Connector_Samtec_HLE_SMD
+Samtec_HLE-104-02-xxx-DV-LC_2x04_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-104-02-xxx-DV-LC,{space}4{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+8
+8
+Connector_Samtec_HLE_SMD
+Samtec_HLE-104-02-xxx-DV_2x04_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-104-02-xxx-DV,{space}4{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+8
+8
+Connector_Samtec_HLE_SMD
+Samtec_HLE-105-02-xxx-DV-A_2x05_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-105-02-xxx-DV-A,{space}5{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+10
+10
+Connector_Samtec_HLE_SMD
+Samtec_HLE-105-02-xxx-DV-BE-A_2x05_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-105-02-xxx-DV-BE-A,{space}5{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+10
+10
+Connector_Samtec_HLE_SMD
+Samtec_HLE-105-02-xxx-DV-BE-LC_2x05_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-105-02-xxx-DV-BE-LC,{space}5{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+10
+10
+Connector_Samtec_HLE_SMD
+Samtec_HLE-105-02-xxx-DV-BE_2x05_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-105-02-xxx-DV-BE,{space}5{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+10
+10
+Connector_Samtec_HLE_SMD
+Samtec_HLE-105-02-xxx-DV-LC_2x05_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-105-02-xxx-DV-LC,{space}5{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+10
+10
+Connector_Samtec_HLE_SMD
+Samtec_HLE-105-02-xxx-DV_2x05_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-105-02-xxx-DV,{space}5{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+10
+10
+Connector_Samtec_HLE_SMD
+Samtec_HLE-106-02-xxx-DV-A_2x06_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-106-02-xxx-DV-A,{space}6{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+12
+12
+Connector_Samtec_HLE_SMD
+Samtec_HLE-106-02-xxx-DV-BE-A_2x06_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-106-02-xxx-DV-BE-A,{space}6{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+12
+12
+Connector_Samtec_HLE_SMD
+Samtec_HLE-106-02-xxx-DV-BE-LC_2x06_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-106-02-xxx-DV-BE-LC,{space}6{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+12
+12
+Connector_Samtec_HLE_SMD
+Samtec_HLE-106-02-xxx-DV-BE_2x06_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-106-02-xxx-DV-BE,{space}6{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+12
+12
+Connector_Samtec_HLE_SMD
+Samtec_HLE-106-02-xxx-DV-LC_2x06_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-106-02-xxx-DV-LC,{space}6{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+12
+12
+Connector_Samtec_HLE_SMD
+Samtec_HLE-106-02-xxx-DV_2x06_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-106-02-xxx-DV,{space}6{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+12
+12
+Connector_Samtec_HLE_SMD
+Samtec_HLE-107-02-xxx-DV-A_2x07_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-107-02-xxx-DV-A,{space}7{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+14
+14
+Connector_Samtec_HLE_SMD
+Samtec_HLE-107-02-xxx-DV-BE-A_2x07_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-107-02-xxx-DV-BE-A,{space}7{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+14
+14
+Connector_Samtec_HLE_SMD
+Samtec_HLE-107-02-xxx-DV-BE-LC_2x07_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-107-02-xxx-DV-BE-LC,{space}7{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+14
+14
+Connector_Samtec_HLE_SMD
+Samtec_HLE-107-02-xxx-DV-BE_2x07_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-107-02-xxx-DV-BE,{space}7{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+14
+14
+Connector_Samtec_HLE_SMD
+Samtec_HLE-107-02-xxx-DV-LC_2x07_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-107-02-xxx-DV-LC,{space}7{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+14
+14
+Connector_Samtec_HLE_SMD
+Samtec_HLE-107-02-xxx-DV_2x07_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-107-02-xxx-DV,{space}7{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+14
+14
+Connector_Samtec_HLE_SMD
+Samtec_HLE-108-02-xxx-DV-A_2x08_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-108-02-xxx-DV-A,{space}8{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+16
+16
+Connector_Samtec_HLE_SMD
+Samtec_HLE-108-02-xxx-DV-BE-A_2x08_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-108-02-xxx-DV-BE-A,{space}8{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+16
+16
+Connector_Samtec_HLE_SMD
+Samtec_HLE-108-02-xxx-DV-BE-LC_2x08_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-108-02-xxx-DV-BE-LC,{space}8{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+16
+16
+Connector_Samtec_HLE_SMD
+Samtec_HLE-108-02-xxx-DV-BE_2x08_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-108-02-xxx-DV-BE,{space}8{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+16
+16
+Connector_Samtec_HLE_SMD
+Samtec_HLE-108-02-xxx-DV-LC_2x08_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-108-02-xxx-DV-LC,{space}8{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+16
+16
+Connector_Samtec_HLE_SMD
+Samtec_HLE-108-02-xxx-DV_2x08_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-108-02-xxx-DV,{space}8{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+16
+16
+Connector_Samtec_HLE_SMD
+Samtec_HLE-109-02-xxx-DV-A_2x09_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-109-02-xxx-DV-A,{space}9{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+18
+18
+Connector_Samtec_HLE_SMD
+Samtec_HLE-109-02-xxx-DV-BE-A_2x09_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-109-02-xxx-DV-BE-A,{space}9{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+18
+18
+Connector_Samtec_HLE_SMD
+Samtec_HLE-109-02-xxx-DV-BE-LC_2x09_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-109-02-xxx-DV-BE-LC,{space}9{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+18
+18
+Connector_Samtec_HLE_SMD
+Samtec_HLE-109-02-xxx-DV-BE_2x09_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-109-02-xxx-DV-BE,{space}9{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+18
+18
+Connector_Samtec_HLE_SMD
+Samtec_HLE-109-02-xxx-DV-LC_2x09_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-109-02-xxx-DV-LC,{space}9{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+18
+18
+Connector_Samtec_HLE_SMD
+Samtec_HLE-109-02-xxx-DV_2x09_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-109-02-xxx-DV,{space}9{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+18
+18
+Connector_Samtec_HLE_SMD
+Samtec_HLE-110-02-xxx-DV-A_2x10_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-110-02-xxx-DV-A,{space}10{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+20
+20
+Connector_Samtec_HLE_SMD
+Samtec_HLE-110-02-xxx-DV-BE-A_2x10_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-110-02-xxx-DV-BE-A,{space}10{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+20
+20
+Connector_Samtec_HLE_SMD
+Samtec_HLE-110-02-xxx-DV-BE-LC_2x10_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-110-02-xxx-DV-BE-LC,{space}10{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+20
+20
+Connector_Samtec_HLE_SMD
+Samtec_HLE-110-02-xxx-DV-BE_2x10_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-110-02-xxx-DV-BE,{space}10{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+20
+20
+Connector_Samtec_HLE_SMD
+Samtec_HLE-110-02-xxx-DV-LC_2x10_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-110-02-xxx-DV-LC,{space}10{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+20
+20
+Connector_Samtec_HLE_SMD
+Samtec_HLE-110-02-xxx-DV_2x10_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-110-02-xxx-DV,{space}10{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+20
+20
+Connector_Samtec_HLE_SMD
+Samtec_HLE-111-02-xxx-DV-A_2x11_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-111-02-xxx-DV-A,{space}11{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+22
+22
+Connector_Samtec_HLE_SMD
+Samtec_HLE-111-02-xxx-DV-BE-A_2x11_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-111-02-xxx-DV-BE-A,{space}11{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+22
+22
+Connector_Samtec_HLE_SMD
+Samtec_HLE-111-02-xxx-DV-BE-LC_2x11_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-111-02-xxx-DV-BE-LC,{space}11{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+22
+22
+Connector_Samtec_HLE_SMD
+Samtec_HLE-111-02-xxx-DV-BE_2x11_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-111-02-xxx-DV-BE,{space}11{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+22
+22
+Connector_Samtec_HLE_SMD
+Samtec_HLE-111-02-xxx-DV-LC_2x11_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-111-02-xxx-DV-LC,{space}11{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+22
+22
+Connector_Samtec_HLE_SMD
+Samtec_HLE-111-02-xxx-DV_2x11_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-111-02-xxx-DV,{space}11{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+22
+22
+Connector_Samtec_HLE_SMD
+Samtec_HLE-112-02-xxx-DV-A_2x12_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-112-02-xxx-DV-A,{space}12{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+24
+24
+Connector_Samtec_HLE_SMD
+Samtec_HLE-112-02-xxx-DV-BE-A_2x12_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-112-02-xxx-DV-BE-A,{space}12{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+24
+24
+Connector_Samtec_HLE_SMD
+Samtec_HLE-112-02-xxx-DV-BE-LC_2x12_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-112-02-xxx-DV-BE-LC,{space}12{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+24
+24
+Connector_Samtec_HLE_SMD
+Samtec_HLE-112-02-xxx-DV-BE_2x12_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-112-02-xxx-DV-BE,{space}12{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+24
+24
+Connector_Samtec_HLE_SMD
+Samtec_HLE-112-02-xxx-DV-LC_2x12_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-112-02-xxx-DV-LC,{space}12{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+24
+24
+Connector_Samtec_HLE_SMD
+Samtec_HLE-112-02-xxx-DV_2x12_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-112-02-xxx-DV,{space}12{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+24
+24
+Connector_Samtec_HLE_SMD
+Samtec_HLE-113-02-xxx-DV-A_2x13_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-113-02-xxx-DV-A,{space}13{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+26
+26
+Connector_Samtec_HLE_SMD
+Samtec_HLE-113-02-xxx-DV-BE-A_2x13_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-113-02-xxx-DV-BE-A,{space}13{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+26
+26
+Connector_Samtec_HLE_SMD
+Samtec_HLE-113-02-xxx-DV-BE-LC_2x13_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-113-02-xxx-DV-BE-LC,{space}13{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+26
+26
+Connector_Samtec_HLE_SMD
+Samtec_HLE-113-02-xxx-DV-BE_2x13_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-113-02-xxx-DV-BE,{space}13{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+26
+26
+Connector_Samtec_HLE_SMD
+Samtec_HLE-113-02-xxx-DV-LC_2x13_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-113-02-xxx-DV-LC,{space}13{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+26
+26
+Connector_Samtec_HLE_SMD
+Samtec_HLE-113-02-xxx-DV_2x13_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-113-02-xxx-DV,{space}13{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+26
+26
+Connector_Samtec_HLE_SMD
+Samtec_HLE-114-02-xxx-DV-A_2x14_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-114-02-xxx-DV-A,{space}14{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+28
+28
+Connector_Samtec_HLE_SMD
+Samtec_HLE-114-02-xxx-DV-BE-A_2x14_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-114-02-xxx-DV-BE-A,{space}14{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+28
+28
+Connector_Samtec_HLE_SMD
+Samtec_HLE-114-02-xxx-DV-BE-LC_2x14_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-114-02-xxx-DV-BE-LC,{space}14{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+28
+28
+Connector_Samtec_HLE_SMD
+Samtec_HLE-114-02-xxx-DV-BE_2x14_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-114-02-xxx-DV-BE,{space}14{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+28
+28
+Connector_Samtec_HLE_SMD
+Samtec_HLE-114-02-xxx-DV-LC_2x14_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-114-02-xxx-DV-LC,{space}14{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+28
+28
+Connector_Samtec_HLE_SMD
+Samtec_HLE-114-02-xxx-DV_2x14_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-114-02-xxx-DV,{space}14{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+28
+28
+Connector_Samtec_HLE_SMD
+Samtec_HLE-115-02-xxx-DV-A_2x15_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-115-02-xxx-DV-A,{space}15{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+30
+30
+Connector_Samtec_HLE_SMD
+Samtec_HLE-115-02-xxx-DV-BE-A_2x15_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-115-02-xxx-DV-BE-A,{space}15{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+30
+30
+Connector_Samtec_HLE_SMD
+Samtec_HLE-115-02-xxx-DV-BE-LC_2x15_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-115-02-xxx-DV-BE-LC,{space}15{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+30
+30
+Connector_Samtec_HLE_SMD
+Samtec_HLE-115-02-xxx-DV-BE_2x15_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-115-02-xxx-DV-BE,{space}15{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+30
+30
+Connector_Samtec_HLE_SMD
+Samtec_HLE-115-02-xxx-DV-LC_2x15_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-115-02-xxx-DV-LC,{space}15{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+30
+30
+Connector_Samtec_HLE_SMD
+Samtec_HLE-115-02-xxx-DV_2x15_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-115-02-xxx-DV,{space}15{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+30
+30
+Connector_Samtec_HLE_SMD
+Samtec_HLE-116-02-xxx-DV-A_2x16_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-116-02-xxx-DV-A,{space}16{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+32
+32
+Connector_Samtec_HLE_SMD
+Samtec_HLE-116-02-xxx-DV-BE-A_2x16_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-116-02-xxx-DV-BE-A,{space}16{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+32
+32
+Connector_Samtec_HLE_SMD
+Samtec_HLE-116-02-xxx-DV-BE-LC_2x16_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-116-02-xxx-DV-BE-LC,{space}16{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+32
+32
+Connector_Samtec_HLE_SMD
+Samtec_HLE-116-02-xxx-DV-BE_2x16_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-116-02-xxx-DV-BE,{space}16{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+32
+32
+Connector_Samtec_HLE_SMD
+Samtec_HLE-116-02-xxx-DV-LC_2x16_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-116-02-xxx-DV-LC,{space}16{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+32
+32
+Connector_Samtec_HLE_SMD
+Samtec_HLE-116-02-xxx-DV_2x16_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-116-02-xxx-DV,{space}16{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+32
+32
+Connector_Samtec_HLE_SMD
+Samtec_HLE-117-02-xxx-DV-A_2x17_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-117-02-xxx-DV-A,{space}17{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+34
+34
+Connector_Samtec_HLE_SMD
+Samtec_HLE-117-02-xxx-DV-BE-A_2x17_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-117-02-xxx-DV-BE-A,{space}17{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+34
+34
+Connector_Samtec_HLE_SMD
+Samtec_HLE-117-02-xxx-DV-BE-LC_2x17_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-117-02-xxx-DV-BE-LC,{space}17{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+34
+34
+Connector_Samtec_HLE_SMD
+Samtec_HLE-117-02-xxx-DV-BE_2x17_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-117-02-xxx-DV-BE,{space}17{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+34
+34
+Connector_Samtec_HLE_SMD
+Samtec_HLE-117-02-xxx-DV-LC_2x17_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-117-02-xxx-DV-LC,{space}17{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+34
+34
+Connector_Samtec_HLE_SMD
+Samtec_HLE-117-02-xxx-DV_2x17_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-117-02-xxx-DV,{space}17{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+34
+34
+Connector_Samtec_HLE_SMD
+Samtec_HLE-118-02-xxx-DV-A_2x18_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-118-02-xxx-DV-A,{space}18{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+36
+36
+Connector_Samtec_HLE_SMD
+Samtec_HLE-118-02-xxx-DV-BE-A_2x18_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-118-02-xxx-DV-BE-A,{space}18{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+36
+36
+Connector_Samtec_HLE_SMD
+Samtec_HLE-118-02-xxx-DV-BE-LC_2x18_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-118-02-xxx-DV-BE-LC,{space}18{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+36
+36
+Connector_Samtec_HLE_SMD
+Samtec_HLE-118-02-xxx-DV-BE_2x18_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-118-02-xxx-DV-BE,{space}18{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+36
+36
+Connector_Samtec_HLE_SMD
+Samtec_HLE-118-02-xxx-DV-LC_2x18_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-118-02-xxx-DV-LC,{space}18{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+36
+36
+Connector_Samtec_HLE_SMD
+Samtec_HLE-118-02-xxx-DV_2x18_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-118-02-xxx-DV,{space}18{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+36
+36
+Connector_Samtec_HLE_SMD
+Samtec_HLE-119-02-xxx-DV-A_2x19_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-119-02-xxx-DV-A,{space}19{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+38
+38
+Connector_Samtec_HLE_SMD
+Samtec_HLE-119-02-xxx-DV-BE-A_2x19_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-119-02-xxx-DV-BE-A,{space}19{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+38
+38
+Connector_Samtec_HLE_SMD
+Samtec_HLE-119-02-xxx-DV-BE-LC_2x19_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-119-02-xxx-DV-BE-LC,{space}19{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+38
+38
+Connector_Samtec_HLE_SMD
+Samtec_HLE-119-02-xxx-DV-BE_2x19_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-119-02-xxx-DV-BE,{space}19{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+38
+38
+Connector_Samtec_HLE_SMD
+Samtec_HLE-119-02-xxx-DV-LC_2x19_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-119-02-xxx-DV-LC,{space}19{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+38
+38
+Connector_Samtec_HLE_SMD
+Samtec_HLE-119-02-xxx-DV_2x19_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-119-02-xxx-DV,{space}19{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+38
+38
+Connector_Samtec_HLE_SMD
+Samtec_HLE-120-02-xxx-DV-A_2x20_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-120-02-xxx-DV-A,{space}20{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+40
+40
+Connector_Samtec_HLE_SMD
+Samtec_HLE-120-02-xxx-DV-BE-A_2x20_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-120-02-xxx-DV-BE-A,{space}20{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+40
+40
+Connector_Samtec_HLE_SMD
+Samtec_HLE-120-02-xxx-DV-BE-LC_2x20_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-120-02-xxx-DV-BE-LC,{space}20{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+40
+40
+Connector_Samtec_HLE_SMD
+Samtec_HLE-120-02-xxx-DV-BE_2x20_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-120-02-xxx-DV-BE,{space}20{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+40
+40
+Connector_Samtec_HLE_SMD
+Samtec_HLE-120-02-xxx-DV-LC_2x20_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-120-02-xxx-DV-LC,{space}20{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+40
+40
+Connector_Samtec_HLE_SMD
+Samtec_HLE-120-02-xxx-DV_2x20_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-120-02-xxx-DV,{space}20{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+40
+40
+Connector_Samtec_HLE_SMD
+Samtec_HLE-121-02-xxx-DV-A_2x21_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-121-02-xxx-DV-A,{space}21{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+42
+42
+Connector_Samtec_HLE_SMD
+Samtec_HLE-121-02-xxx-DV-BE-A_2x21_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-121-02-xxx-DV-BE-A,{space}21{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+42
+42
+Connector_Samtec_HLE_SMD
+Samtec_HLE-121-02-xxx-DV-BE-LC_2x21_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-121-02-xxx-DV-BE-LC,{space}21{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+42
+42
+Connector_Samtec_HLE_SMD
+Samtec_HLE-121-02-xxx-DV-BE_2x21_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-121-02-xxx-DV-BE,{space}21{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+42
+42
+Connector_Samtec_HLE_SMD
+Samtec_HLE-121-02-xxx-DV-LC_2x21_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-121-02-xxx-DV-LC,{space}21{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+42
+42
+Connector_Samtec_HLE_SMD
+Samtec_HLE-121-02-xxx-DV_2x21_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-121-02-xxx-DV,{space}21{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+42
+42
+Connector_Samtec_HLE_SMD
+Samtec_HLE-122-02-xxx-DV-A_2x22_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-122-02-xxx-DV-A,{space}22{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+44
+44
+Connector_Samtec_HLE_SMD
+Samtec_HLE-122-02-xxx-DV-BE-A_2x22_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-122-02-xxx-DV-BE-A,{space}22{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+44
+44
+Connector_Samtec_HLE_SMD
+Samtec_HLE-122-02-xxx-DV-BE-LC_2x22_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-122-02-xxx-DV-BE-LC,{space}22{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+44
+44
+Connector_Samtec_HLE_SMD
+Samtec_HLE-122-02-xxx-DV-BE_2x22_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-122-02-xxx-DV-BE,{space}22{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+44
+44
+Connector_Samtec_HLE_SMD
+Samtec_HLE-122-02-xxx-DV-LC_2x22_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-122-02-xxx-DV-LC,{space}22{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+44
+44
+Connector_Samtec_HLE_SMD
+Samtec_HLE-122-02-xxx-DV_2x22_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-122-02-xxx-DV,{space}22{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+44
+44
+Connector_Samtec_HLE_SMD
+Samtec_HLE-123-02-xxx-DV-A_2x23_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-123-02-xxx-DV-A,{space}23{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+46
+46
+Connector_Samtec_HLE_SMD
+Samtec_HLE-123-02-xxx-DV-BE-A_2x23_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-123-02-xxx-DV-BE-A,{space}23{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+46
+46
+Connector_Samtec_HLE_SMD
+Samtec_HLE-123-02-xxx-DV-BE-LC_2x23_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-123-02-xxx-DV-BE-LC,{space}23{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+46
+46
+Connector_Samtec_HLE_SMD
+Samtec_HLE-123-02-xxx-DV-BE_2x23_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-123-02-xxx-DV-BE,{space}23{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+46
+46
+Connector_Samtec_HLE_SMD
+Samtec_HLE-123-02-xxx-DV-LC_2x23_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-123-02-xxx-DV-LC,{space}23{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+46
+46
+Connector_Samtec_HLE_SMD
+Samtec_HLE-123-02-xxx-DV_2x23_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-123-02-xxx-DV,{space}23{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+46
+46
+Connector_Samtec_HLE_SMD
+Samtec_HLE-124-02-xxx-DV-A_2x24_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-124-02-xxx-DV-A,{space}24{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+48
+48
+Connector_Samtec_HLE_SMD
+Samtec_HLE-124-02-xxx-DV-BE-A_2x24_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-124-02-xxx-DV-BE-A,{space}24{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+48
+48
+Connector_Samtec_HLE_SMD
+Samtec_HLE-124-02-xxx-DV-BE-LC_2x24_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-124-02-xxx-DV-BE-LC,{space}24{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+48
+48
+Connector_Samtec_HLE_SMD
+Samtec_HLE-124-02-xxx-DV-BE_2x24_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-124-02-xxx-DV-BE,{space}24{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+48
+48
+Connector_Samtec_HLE_SMD
+Samtec_HLE-124-02-xxx-DV-LC_2x24_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-124-02-xxx-DV-LC,{space}24{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+48
+48
+Connector_Samtec_HLE_SMD
+Samtec_HLE-124-02-xxx-DV_2x24_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-124-02-xxx-DV,{space}24{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+48
+48
+Connector_Samtec_HLE_SMD
+Samtec_HLE-125-02-xxx-DV-A_2x25_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-125-02-xxx-DV-A,{space}25{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+50
+50
+Connector_Samtec_HLE_SMD
+Samtec_HLE-125-02-xxx-DV-BE-A_2x25_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-125-02-xxx-DV-BE-A,{space}25{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+50
+50
+Connector_Samtec_HLE_SMD
+Samtec_HLE-125-02-xxx-DV-BE-LC_2x25_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-125-02-xxx-DV-BE-LC,{space}25{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+50
+50
+Connector_Samtec_HLE_SMD
+Samtec_HLE-125-02-xxx-DV-BE_2x25_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-125-02-xxx-DV-BE,{space}25{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+50
+50
+Connector_Samtec_HLE_SMD
+Samtec_HLE-125-02-xxx-DV-LC_2x25_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-125-02-xxx-DV-LC,{space}25{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+50
+50
+Connector_Samtec_HLE_SMD
+Samtec_HLE-125-02-xxx-DV_2x25_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-125-02-xxx-DV,{space}25{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+50
+50
+Connector_Samtec_HLE_SMD
+Samtec_HLE-126-02-xxx-DV-A_2x26_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-126-02-xxx-DV-A,{space}26{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+52
+52
+Connector_Samtec_HLE_SMD
+Samtec_HLE-126-02-xxx-DV-BE-A_2x26_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-126-02-xxx-DV-BE-A,{space}26{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+52
+52
+Connector_Samtec_HLE_SMD
+Samtec_HLE-126-02-xxx-DV-BE-LC_2x26_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-126-02-xxx-DV-BE-LC,{space}26{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+52
+52
+Connector_Samtec_HLE_SMD
+Samtec_HLE-126-02-xxx-DV-BE_2x26_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-126-02-xxx-DV-BE,{space}26{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+52
+52
+Connector_Samtec_HLE_SMD
+Samtec_HLE-126-02-xxx-DV-LC_2x26_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-126-02-xxx-DV-LC,{space}26{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+52
+52
+Connector_Samtec_HLE_SMD
+Samtec_HLE-126-02-xxx-DV_2x26_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-126-02-xxx-DV,{space}26{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+52
+52
+Connector_Samtec_HLE_SMD
+Samtec_HLE-127-02-xxx-DV-A_2x27_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-127-02-xxx-DV-A,{space}27{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+54
+54
+Connector_Samtec_HLE_SMD
+Samtec_HLE-127-02-xxx-DV-BE-A_2x27_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-127-02-xxx-DV-BE-A,{space}27{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+54
+54
+Connector_Samtec_HLE_SMD
+Samtec_HLE-127-02-xxx-DV-BE-LC_2x27_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-127-02-xxx-DV-BE-LC,{space}27{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+54
+54
+Connector_Samtec_HLE_SMD
+Samtec_HLE-127-02-xxx-DV-BE_2x27_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-127-02-xxx-DV-BE,{space}27{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+54
+54
+Connector_Samtec_HLE_SMD
+Samtec_HLE-127-02-xxx-DV-LC_2x27_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-127-02-xxx-DV-LC,{space}27{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+54
+54
+Connector_Samtec_HLE_SMD
+Samtec_HLE-127-02-xxx-DV_2x27_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-127-02-xxx-DV,{space}27{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+54
+54
+Connector_Samtec_HLE_SMD
+Samtec_HLE-128-02-xxx-DV-A_2x28_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-128-02-xxx-DV-A,{space}28{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+56
+56
+Connector_Samtec_HLE_SMD
+Samtec_HLE-128-02-xxx-DV-BE-A_2x28_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-128-02-xxx-DV-BE-A,{space}28{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+56
+56
+Connector_Samtec_HLE_SMD
+Samtec_HLE-128-02-xxx-DV-BE-LC_2x28_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-128-02-xxx-DV-BE-LC,{space}28{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+56
+56
+Connector_Samtec_HLE_SMD
+Samtec_HLE-128-02-xxx-DV-BE_2x28_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-128-02-xxx-DV-BE,{space}28{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+56
+56
+Connector_Samtec_HLE_SMD
+Samtec_HLE-128-02-xxx-DV-LC_2x28_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-128-02-xxx-DV-LC,{space}28{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+56
+56
+Connector_Samtec_HLE_SMD
+Samtec_HLE-128-02-xxx-DV_2x28_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-128-02-xxx-DV,{space}28{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+56
+56
+Connector_Samtec_HLE_SMD
+Samtec_HLE-129-02-xxx-DV-A_2x29_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-129-02-xxx-DV-A,{space}29{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+58
+58
+Connector_Samtec_HLE_SMD
+Samtec_HLE-129-02-xxx-DV-BE-A_2x29_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-129-02-xxx-DV-BE-A,{space}29{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+58
+58
+Connector_Samtec_HLE_SMD
+Samtec_HLE-129-02-xxx-DV-BE-LC_2x29_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-129-02-xxx-DV-BE-LC,{space}29{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+58
+58
+Connector_Samtec_HLE_SMD
+Samtec_HLE-129-02-xxx-DV-BE_2x29_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-129-02-xxx-DV-BE,{space}29{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+58
+58
+Connector_Samtec_HLE_SMD
+Samtec_HLE-129-02-xxx-DV-LC_2x29_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-129-02-xxx-DV-LC,{space}29{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+58
+58
+Connector_Samtec_HLE_SMD
+Samtec_HLE-129-02-xxx-DV_2x29_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-129-02-xxx-DV,{space}29{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+58
+58
+Connector_Samtec_HLE_SMD
+Samtec_HLE-130-02-xxx-DV-A_2x30_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-130-02-xxx-DV-A,{space}30{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+60
+60
+Connector_Samtec_HLE_SMD
+Samtec_HLE-130-02-xxx-DV-BE-A_2x30_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-130-02-xxx-DV-BE-A,{space}30{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+60
+60
+Connector_Samtec_HLE_SMD
+Samtec_HLE-130-02-xxx-DV-BE-LC_2x30_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-130-02-xxx-DV-BE-LC,{space}30{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+60
+60
+Connector_Samtec_HLE_SMD
+Samtec_HLE-130-02-xxx-DV-BE_2x30_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-130-02-xxx-DV-BE,{space}30{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+60
+60
+Connector_Samtec_HLE_SMD
+Samtec_HLE-130-02-xxx-DV-LC_2x30_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-130-02-xxx-DV-LC,{space}30{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+60
+60
+Connector_Samtec_HLE_SMD
+Samtec_HLE-130-02-xxx-DV_2x30_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-130-02-xxx-DV,{space}30{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+60
+60
+Connector_Samtec_HLE_SMD
+Samtec_HLE-131-02-xxx-DV-A_2x31_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-131-02-xxx-DV-A,{space}31{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+62
+62
+Connector_Samtec_HLE_SMD
+Samtec_HLE-131-02-xxx-DV-BE-A_2x31_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-131-02-xxx-DV-BE-A,{space}31{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+62
+62
+Connector_Samtec_HLE_SMD
+Samtec_HLE-131-02-xxx-DV-BE-LC_2x31_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-131-02-xxx-DV-BE-LC,{space}31{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+62
+62
+Connector_Samtec_HLE_SMD
+Samtec_HLE-131-02-xxx-DV-BE_2x31_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-131-02-xxx-DV-BE,{space}31{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+62
+62
+Connector_Samtec_HLE_SMD
+Samtec_HLE-131-02-xxx-DV-LC_2x31_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-131-02-xxx-DV-LC,{space}31{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+62
+62
+Connector_Samtec_HLE_SMD
+Samtec_HLE-131-02-xxx-DV_2x31_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-131-02-xxx-DV,{space}31{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+62
+62
+Connector_Samtec_HLE_SMD
+Samtec_HLE-132-02-xxx-DV-A_2x32_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-132-02-xxx-DV-A,{space}32{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+64
+64
+Connector_Samtec_HLE_SMD
+Samtec_HLE-132-02-xxx-DV-BE-A_2x32_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-132-02-xxx-DV-BE-A,{space}32{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+64
+64
+Connector_Samtec_HLE_SMD
+Samtec_HLE-132-02-xxx-DV-BE-LC_2x32_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-132-02-xxx-DV-BE-LC,{space}32{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+64
+64
+Connector_Samtec_HLE_SMD
+Samtec_HLE-132-02-xxx-DV-BE_2x32_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-132-02-xxx-DV-BE,{space}32{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+64
+64
+Connector_Samtec_HLE_SMD
+Samtec_HLE-132-02-xxx-DV-LC_2x32_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-132-02-xxx-DV-LC,{space}32{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+64
+64
+Connector_Samtec_HLE_SMD
+Samtec_HLE-132-02-xxx-DV_2x32_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-132-02-xxx-DV,{space}32{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+64
+64
+Connector_Samtec_HLE_SMD
+Samtec_HLE-133-02-xxx-DV-A_2x33_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-133-02-xxx-DV-A,{space}33{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+66
+66
+Connector_Samtec_HLE_SMD
+Samtec_HLE-133-02-xxx-DV-BE-A_2x33_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-133-02-xxx-DV-BE-A,{space}33{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+66
+66
+Connector_Samtec_HLE_SMD
+Samtec_HLE-133-02-xxx-DV-BE-LC_2x33_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-133-02-xxx-DV-BE-LC,{space}33{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+66
+66
+Connector_Samtec_HLE_SMD
+Samtec_HLE-133-02-xxx-DV-BE_2x33_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-133-02-xxx-DV-BE,{space}33{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+66
+66
+Connector_Samtec_HLE_SMD
+Samtec_HLE-133-02-xxx-DV-LC_2x33_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-133-02-xxx-DV-LC,{space}33{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+66
+66
+Connector_Samtec_HLE_SMD
+Samtec_HLE-133-02-xxx-DV_2x33_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-133-02-xxx-DV,{space}33{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+66
+66
+Connector_Samtec_HLE_SMD
+Samtec_HLE-134-02-xxx-DV-A_2x34_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-134-02-xxx-DV-A,{space}34{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+68
+68
+Connector_Samtec_HLE_SMD
+Samtec_HLE-134-02-xxx-DV-BE-A_2x34_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-134-02-xxx-DV-BE-A,{space}34{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+68
+68
+Connector_Samtec_HLE_SMD
+Samtec_HLE-134-02-xxx-DV-BE-LC_2x34_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-134-02-xxx-DV-BE-LC,{space}34{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+68
+68
+Connector_Samtec_HLE_SMD
+Samtec_HLE-134-02-xxx-DV-BE_2x34_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-134-02-xxx-DV-BE,{space}34{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+68
+68
+Connector_Samtec_HLE_SMD
+Samtec_HLE-134-02-xxx-DV-LC_2x34_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-134-02-xxx-DV-LC,{space}34{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+68
+68
+Connector_Samtec_HLE_SMD
+Samtec_HLE-134-02-xxx-DV_2x34_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-134-02-xxx-DV,{space}34{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+68
+68
+Connector_Samtec_HLE_SMD
+Samtec_HLE-135-02-xxx-DV-A_2x35_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-135-02-xxx-DV-A,{space}35{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+70
+70
+Connector_Samtec_HLE_SMD
+Samtec_HLE-135-02-xxx-DV-BE-A_2x35_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-135-02-xxx-DV-BE-A,{space}35{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+70
+70
+Connector_Samtec_HLE_SMD
+Samtec_HLE-135-02-xxx-DV-BE-LC_2x35_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-135-02-xxx-DV-BE-LC,{space}35{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+70
+70
+Connector_Samtec_HLE_SMD
+Samtec_HLE-135-02-xxx-DV-BE_2x35_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-135-02-xxx-DV-BE,{space}35{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+70
+70
+Connector_Samtec_HLE_SMD
+Samtec_HLE-135-02-xxx-DV-LC_2x35_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-135-02-xxx-DV-LC,{space}35{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+70
+70
+Connector_Samtec_HLE_SMD
+Samtec_HLE-135-02-xxx-DV_2x35_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-135-02-xxx-DV,{space}35{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+70
+70
+Connector_Samtec_HLE_SMD
+Samtec_HLE-136-02-xxx-DV-A_2x36_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-136-02-xxx-DV-A,{space}36{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+72
+72
+Connector_Samtec_HLE_SMD
+Samtec_HLE-136-02-xxx-DV-BE-A_2x36_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-136-02-xxx-DV-BE-A,{space}36{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+72
+72
+Connector_Samtec_HLE_SMD
+Samtec_HLE-136-02-xxx-DV-BE-LC_2x36_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-136-02-xxx-DV-BE-LC,{space}36{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+72
+72
+Connector_Samtec_HLE_SMD
+Samtec_HLE-136-02-xxx-DV-BE_2x36_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-136-02-xxx-DV-BE,{space}36{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+72
+72
+Connector_Samtec_HLE_SMD
+Samtec_HLE-136-02-xxx-DV-LC_2x36_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-136-02-xxx-DV-LC,{space}36{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+72
+72
+Connector_Samtec_HLE_SMD
+Samtec_HLE-136-02-xxx-DV_2x36_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-136-02-xxx-DV,{space}36{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+72
+72
+Connector_Samtec_HLE_SMD
+Samtec_HLE-137-02-xxx-DV-A_2x37_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-137-02-xxx-DV-A,{space}37{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+74
+74
+Connector_Samtec_HLE_SMD
+Samtec_HLE-137-02-xxx-DV-BE-A_2x37_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-137-02-xxx-DV-BE-A,{space}37{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+74
+74
+Connector_Samtec_HLE_SMD
+Samtec_HLE-137-02-xxx-DV-BE-LC_2x37_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-137-02-xxx-DV-BE-LC,{space}37{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+74
+74
+Connector_Samtec_HLE_SMD
+Samtec_HLE-137-02-xxx-DV-BE_2x37_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-137-02-xxx-DV-BE,{space}37{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+74
+74
+Connector_Samtec_HLE_SMD
+Samtec_HLE-137-02-xxx-DV-LC_2x37_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-137-02-xxx-DV-LC,{space}37{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+74
+74
+Connector_Samtec_HLE_SMD
+Samtec_HLE-137-02-xxx-DV_2x37_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-137-02-xxx-DV,{space}37{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+74
+74
+Connector_Samtec_HLE_SMD
+Samtec_HLE-138-02-xxx-DV-A_2x38_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-138-02-xxx-DV-A,{space}38{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+76
+76
+Connector_Samtec_HLE_SMD
+Samtec_HLE-138-02-xxx-DV-BE-A_2x38_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-138-02-xxx-DV-BE-A,{space}38{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+76
+76
+Connector_Samtec_HLE_SMD
+Samtec_HLE-138-02-xxx-DV-BE-LC_2x38_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-138-02-xxx-DV-BE-LC,{space}38{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+76
+76
+Connector_Samtec_HLE_SMD
+Samtec_HLE-138-02-xxx-DV-BE_2x38_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-138-02-xxx-DV-BE,{space}38{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+76
+76
+Connector_Samtec_HLE_SMD
+Samtec_HLE-138-02-xxx-DV-LC_2x38_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-138-02-xxx-DV-LC,{space}38{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+76
+76
+Connector_Samtec_HLE_SMD
+Samtec_HLE-138-02-xxx-DV_2x38_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-138-02-xxx-DV,{space}38{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+76
+76
+Connector_Samtec_HLE_SMD
+Samtec_HLE-139-02-xxx-DV-A_2x39_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-139-02-xxx-DV-A,{space}39{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+78
+78
+Connector_Samtec_HLE_SMD
+Samtec_HLE-139-02-xxx-DV-BE-A_2x39_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-139-02-xxx-DV-BE-A,{space}39{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+78
+78
+Connector_Samtec_HLE_SMD
+Samtec_HLE-139-02-xxx-DV-BE-LC_2x39_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-139-02-xxx-DV-BE-LC,{space}39{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+78
+78
+Connector_Samtec_HLE_SMD
+Samtec_HLE-139-02-xxx-DV-BE_2x39_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-139-02-xxx-DV-BE,{space}39{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+78
+78
+Connector_Samtec_HLE_SMD
+Samtec_HLE-139-02-xxx-DV-LC_2x39_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-139-02-xxx-DV-LC,{space}39{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+78
+78
+Connector_Samtec_HLE_SMD
+Samtec_HLE-139-02-xxx-DV_2x39_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-139-02-xxx-DV,{space}39{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+78
+78
+Connector_Samtec_HLE_SMD
+Samtec_HLE-140-02-xxx-DV-A_2x40_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-140-02-xxx-DV-A,{space}40{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+80
+80
+Connector_Samtec_HLE_SMD
+Samtec_HLE-140-02-xxx-DV-BE-A_2x40_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-140-02-xxx-DV-BE-A,{space}40{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+80
+80
+Connector_Samtec_HLE_SMD
+Samtec_HLE-140-02-xxx-DV-BE-LC_2x40_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-140-02-xxx-DV-BE-LC,{space}40{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+80
+80
+Connector_Samtec_HLE_SMD
+Samtec_HLE-140-02-xxx-DV-BE_2x40_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-140-02-xxx-DV-BE,{space}40{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+80
+80
+Connector_Samtec_HLE_SMD
+Samtec_HLE-140-02-xxx-DV-LC_2x40_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-140-02-xxx-DV-LC,{space}40{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+80
+80
+Connector_Samtec_HLE_SMD
+Samtec_HLE-140-02-xxx-DV_2x40_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-140-02-xxx-DV,{space}40{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+80
+80
+Connector_Samtec_HLE_SMD
+Samtec_HLE-141-02-xxx-DV-A_2x41_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-141-02-xxx-DV-A,{space}41{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+82
+82
+Connector_Samtec_HLE_SMD
+Samtec_HLE-141-02-xxx-DV-BE-A_2x41_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-141-02-xxx-DV-BE-A,{space}41{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+82
+82
+Connector_Samtec_HLE_SMD
+Samtec_HLE-141-02-xxx-DV-BE-LC_2x41_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-141-02-xxx-DV-BE-LC,{space}41{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+82
+82
+Connector_Samtec_HLE_SMD
+Samtec_HLE-141-02-xxx-DV-BE_2x41_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-141-02-xxx-DV-BE,{space}41{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+82
+82
+Connector_Samtec_HLE_SMD
+Samtec_HLE-141-02-xxx-DV-LC_2x41_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-141-02-xxx-DV-LC,{space}41{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+82
+82
+Connector_Samtec_HLE_SMD
+Samtec_HLE-141-02-xxx-DV_2x41_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-141-02-xxx-DV,{space}41{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+82
+82
+Connector_Samtec_HLE_SMD
+Samtec_HLE-142-02-xxx-DV-A_2x42_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-142-02-xxx-DV-A,{space}42{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+84
+84
+Connector_Samtec_HLE_SMD
+Samtec_HLE-142-02-xxx-DV-BE-A_2x42_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-142-02-xxx-DV-BE-A,{space}42{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+84
+84
+Connector_Samtec_HLE_SMD
+Samtec_HLE-142-02-xxx-DV-BE-LC_2x42_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-142-02-xxx-DV-BE-LC,{space}42{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+84
+84
+Connector_Samtec_HLE_SMD
+Samtec_HLE-142-02-xxx-DV-BE_2x42_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-142-02-xxx-DV-BE,{space}42{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+84
+84
+Connector_Samtec_HLE_SMD
+Samtec_HLE-142-02-xxx-DV-LC_2x42_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-142-02-xxx-DV-LC,{space}42{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+84
+84
+Connector_Samtec_HLE_SMD
+Samtec_HLE-142-02-xxx-DV_2x42_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-142-02-xxx-DV,{space}42{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+84
+84
+Connector_Samtec_HLE_SMD
+Samtec_HLE-143-02-xxx-DV-A_2x43_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-143-02-xxx-DV-A,{space}43{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+86
+86
+Connector_Samtec_HLE_SMD
+Samtec_HLE-143-02-xxx-DV-BE-A_2x43_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-143-02-xxx-DV-BE-A,{space}43{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+86
+86
+Connector_Samtec_HLE_SMD
+Samtec_HLE-143-02-xxx-DV-BE-LC_2x43_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-143-02-xxx-DV-BE-LC,{space}43{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+86
+86
+Connector_Samtec_HLE_SMD
+Samtec_HLE-143-02-xxx-DV-BE_2x43_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-143-02-xxx-DV-BE,{space}43{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+86
+86
+Connector_Samtec_HLE_SMD
+Samtec_HLE-143-02-xxx-DV-LC_2x43_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-143-02-xxx-DV-LC,{space}43{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+86
+86
+Connector_Samtec_HLE_SMD
+Samtec_HLE-143-02-xxx-DV_2x43_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-143-02-xxx-DV,{space}43{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+86
+86
+Connector_Samtec_HLE_SMD
+Samtec_HLE-144-02-xxx-DV-A_2x44_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-144-02-xxx-DV-A,{space}44{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+88
+88
+Connector_Samtec_HLE_SMD
+Samtec_HLE-144-02-xxx-DV-BE-A_2x44_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-144-02-xxx-DV-BE-A,{space}44{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+88
+88
+Connector_Samtec_HLE_SMD
+Samtec_HLE-144-02-xxx-DV-BE-LC_2x44_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-144-02-xxx-DV-BE-LC,{space}44{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+88
+88
+Connector_Samtec_HLE_SMD
+Samtec_HLE-144-02-xxx-DV-BE_2x44_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-144-02-xxx-DV-BE,{space}44{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+88
+88
+Connector_Samtec_HLE_SMD
+Samtec_HLE-144-02-xxx-DV-LC_2x44_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-144-02-xxx-DV-LC,{space}44{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+88
+88
+Connector_Samtec_HLE_SMD
+Samtec_HLE-144-02-xxx-DV_2x44_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-144-02-xxx-DV,{space}44{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+88
+88
+Connector_Samtec_HLE_SMD
+Samtec_HLE-145-02-xxx-DV-A_2x45_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-145-02-xxx-DV-A,{space}45{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+90
+90
+Connector_Samtec_HLE_SMD
+Samtec_HLE-145-02-xxx-DV-BE-A_2x45_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-145-02-xxx-DV-BE-A,{space}45{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+90
+90
+Connector_Samtec_HLE_SMD
+Samtec_HLE-145-02-xxx-DV-BE-LC_2x45_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-145-02-xxx-DV-BE-LC,{space}45{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+90
+90
+Connector_Samtec_HLE_SMD
+Samtec_HLE-145-02-xxx-DV-BE_2x45_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-145-02-xxx-DV-BE,{space}45{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+90
+90
+Connector_Samtec_HLE_SMD
+Samtec_HLE-145-02-xxx-DV-LC_2x45_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-145-02-xxx-DV-LC,{space}45{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+90
+90
+Connector_Samtec_HLE_SMD
+Samtec_HLE-145-02-xxx-DV_2x45_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-145-02-xxx-DV,{space}45{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+90
+90
+Connector_Samtec_HLE_SMD
+Samtec_HLE-146-02-xxx-DV-A_2x46_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-146-02-xxx-DV-A,{space}46{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+92
+92
+Connector_Samtec_HLE_SMD
+Samtec_HLE-146-02-xxx-DV-BE-A_2x46_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-146-02-xxx-DV-BE-A,{space}46{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+92
+92
+Connector_Samtec_HLE_SMD
+Samtec_HLE-146-02-xxx-DV-BE-LC_2x46_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-146-02-xxx-DV-BE-LC,{space}46{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+92
+92
+Connector_Samtec_HLE_SMD
+Samtec_HLE-146-02-xxx-DV-BE_2x46_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-146-02-xxx-DV-BE,{space}46{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+92
+92
+Connector_Samtec_HLE_SMD
+Samtec_HLE-146-02-xxx-DV-LC_2x46_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-146-02-xxx-DV-LC,{space}46{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+92
+92
+Connector_Samtec_HLE_SMD
+Samtec_HLE-146-02-xxx-DV_2x46_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-146-02-xxx-DV,{space}46{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+92
+92
+Connector_Samtec_HLE_SMD
+Samtec_HLE-147-02-xxx-DV-A_2x47_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-147-02-xxx-DV-A,{space}47{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+94
+94
+Connector_Samtec_HLE_SMD
+Samtec_HLE-147-02-xxx-DV-BE-A_2x47_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-147-02-xxx-DV-BE-A,{space}47{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+94
+94
+Connector_Samtec_HLE_SMD
+Samtec_HLE-147-02-xxx-DV-BE-LC_2x47_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-147-02-xxx-DV-BE-LC,{space}47{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+94
+94
+Connector_Samtec_HLE_SMD
+Samtec_HLE-147-02-xxx-DV-BE_2x47_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-147-02-xxx-DV-BE,{space}47{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+94
+94
+Connector_Samtec_HLE_SMD
+Samtec_HLE-147-02-xxx-DV-LC_2x47_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-147-02-xxx-DV-LC,{space}47{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+94
+94
+Connector_Samtec_HLE_SMD
+Samtec_HLE-147-02-xxx-DV_2x47_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-147-02-xxx-DV,{space}47{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+94
+94
+Connector_Samtec_HLE_SMD
+Samtec_HLE-148-02-xxx-DV-A_2x48_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-148-02-xxx-DV-A,{space}48{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+96
+96
+Connector_Samtec_HLE_SMD
+Samtec_HLE-148-02-xxx-DV-BE-A_2x48_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-148-02-xxx-DV-BE-A,{space}48{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+96
+96
+Connector_Samtec_HLE_SMD
+Samtec_HLE-148-02-xxx-DV-BE-LC_2x48_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-148-02-xxx-DV-BE-LC,{space}48{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+96
+96
+Connector_Samtec_HLE_SMD
+Samtec_HLE-148-02-xxx-DV-BE_2x48_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-148-02-xxx-DV-BE,{space}48{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+96
+96
+Connector_Samtec_HLE_SMD
+Samtec_HLE-148-02-xxx-DV-LC_2x48_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-148-02-xxx-DV-LC,{space}48{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+96
+96
+Connector_Samtec_HLE_SMD
+Samtec_HLE-148-02-xxx-DV_2x48_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-148-02-xxx-DV,{space}48{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+96
+96
+Connector_Samtec_HLE_SMD
+Samtec_HLE-149-02-xxx-DV-A_2x49_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-149-02-xxx-DV-A,{space}49{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+98
+98
+Connector_Samtec_HLE_SMD
+Samtec_HLE-149-02-xxx-DV-BE-A_2x49_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-149-02-xxx-DV-BE-A,{space}49{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+98
+98
+Connector_Samtec_HLE_SMD
+Samtec_HLE-149-02-xxx-DV-BE-LC_2x49_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-149-02-xxx-DV-BE-LC,{space}49{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+98
+98
+Connector_Samtec_HLE_SMD
+Samtec_HLE-149-02-xxx-DV-BE_2x49_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-149-02-xxx-DV-BE,{space}49{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+98
+98
+Connector_Samtec_HLE_SMD
+Samtec_HLE-149-02-xxx-DV-LC_2x49_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-149-02-xxx-DV-LC,{space}49{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+98
+98
+Connector_Samtec_HLE_SMD
+Samtec_HLE-149-02-xxx-DV_2x49_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-149-02-xxx-DV,{space}49{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+98
+98
+Connector_Samtec_HLE_SMD
+Samtec_HLE-150-02-xxx-DV-A_2x50_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-150-02-xxx-DV-A,{space}50{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+100
+100
+Connector_Samtec_HLE_SMD
+Samtec_HLE-150-02-xxx-DV-BE-A_2x50_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-150-02-xxx-DV-BE-A,{space}50{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+100
+100
+Connector_Samtec_HLE_SMD
+Samtec_HLE-150-02-xxx-DV-BE-LC_2x50_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-150-02-xxx-DV-BE-LC,{space}50{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+100
+100
+Connector_Samtec_HLE_SMD
+Samtec_HLE-150-02-xxx-DV-BE_2x50_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-150-02-xxx-DV-BE,{space}50{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+100
+100
+Connector_Samtec_HLE_SMD
+Samtec_HLE-150-02-xxx-DV-LC_2x50_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-150-02-xxx-DV-LC,{space}50{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+100
+100
+Connector_Samtec_HLE_SMD
+Samtec_HLE-150-02-xxx-DV_2x50_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-150-02-xxx-DV,{space}50{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xxx-dv-xx-xx-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-dv-footprint.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+100
+100
+Connector_Samtec_HLE_THT
+Samtec_HLE-104-02-xx-DV-PE-LC_2x04_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-104-02-xx-DV-PE-LC,{space}4{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+8
+8
+Connector_Samtec_HLE_THT
+Samtec_HLE-104-02-xx-DV-PE_2x04_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-104-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-104-02-xx-DV-PE-BE),{space}4{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+8
+8
+Connector_Samtec_HLE_THT
+Samtec_HLE-104-02-xx-DV-TE_2x04_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-104-02-xx-DV-TE,{space}4{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+8
+8
+Connector_Samtec_HLE_THT
+Samtec_HLE-105-02-xx-DV-PE-LC_2x05_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-105-02-xx-DV-PE-LC,{space}5{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+10
+10
+Connector_Samtec_HLE_THT
+Samtec_HLE-105-02-xx-DV-PE_2x05_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-105-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-105-02-xx-DV-PE-BE),{space}5{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+10
+10
+Connector_Samtec_HLE_THT
+Samtec_HLE-105-02-xx-DV-TE_2x05_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-105-02-xx-DV-TE,{space}5{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+10
+10
+Connector_Samtec_HLE_THT
+Samtec_HLE-106-02-xx-DV-PE-LC_2x06_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-106-02-xx-DV-PE-LC,{space}6{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+12
+12
+Connector_Samtec_HLE_THT
+Samtec_HLE-106-02-xx-DV-PE_2x06_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-106-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-106-02-xx-DV-PE-BE),{space}6{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+12
+12
+Connector_Samtec_HLE_THT
+Samtec_HLE-106-02-xx-DV-TE_2x06_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-106-02-xx-DV-TE,{space}6{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+12
+12
+Connector_Samtec_HLE_THT
+Samtec_HLE-107-02-xx-DV-PE-LC_2x07_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-107-02-xx-DV-PE-LC,{space}7{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+14
+14
+Connector_Samtec_HLE_THT
+Samtec_HLE-107-02-xx-DV-PE_2x07_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-107-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-107-02-xx-DV-PE-BE),{space}7{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+14
+14
+Connector_Samtec_HLE_THT
+Samtec_HLE-107-02-xx-DV-TE_2x07_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-107-02-xx-DV-TE,{space}7{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+14
+14
+Connector_Samtec_HLE_THT
+Samtec_HLE-108-02-xx-DV-PE-LC_2x08_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-108-02-xx-DV-PE-LC,{space}8{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+16
+16
+Connector_Samtec_HLE_THT
+Samtec_HLE-108-02-xx-DV-PE_2x08_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-108-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-108-02-xx-DV-PE-BE),{space}8{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+16
+16
+Connector_Samtec_HLE_THT
+Samtec_HLE-108-02-xx-DV-TE_2x08_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-108-02-xx-DV-TE,{space}8{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+16
+16
+Connector_Samtec_HLE_THT
+Samtec_HLE-109-02-xx-DV-PE-LC_2x09_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-109-02-xx-DV-PE-LC,{space}9{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+18
+18
+Connector_Samtec_HLE_THT
+Samtec_HLE-109-02-xx-DV-PE_2x09_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-109-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-109-02-xx-DV-PE-BE),{space}9{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+18
+18
+Connector_Samtec_HLE_THT
+Samtec_HLE-109-02-xx-DV-TE_2x09_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-109-02-xx-DV-TE,{space}9{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+18
+18
+Connector_Samtec_HLE_THT
+Samtec_HLE-110-02-xx-DV-PE-LC_2x10_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-110-02-xx-DV-PE-LC,{space}10{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+20
+20
+Connector_Samtec_HLE_THT
+Samtec_HLE-110-02-xx-DV-PE_2x10_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-110-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-110-02-xx-DV-PE-BE),{space}10{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+20
+20
+Connector_Samtec_HLE_THT
+Samtec_HLE-110-02-xx-DV-TE_2x10_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-110-02-xx-DV-TE,{space}10{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+20
+20
+Connector_Samtec_HLE_THT
+Samtec_HLE-111-02-xx-DV-PE-LC_2x11_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-111-02-xx-DV-PE-LC,{space}11{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+22
+22
+Connector_Samtec_HLE_THT
+Samtec_HLE-111-02-xx-DV-PE_2x11_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-111-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-111-02-xx-DV-PE-BE),{space}11{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+22
+22
+Connector_Samtec_HLE_THT
+Samtec_HLE-111-02-xx-DV-TE_2x11_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-111-02-xx-DV-TE,{space}11{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+22
+22
+Connector_Samtec_HLE_THT
+Samtec_HLE-112-02-xx-DV-PE-LC_2x12_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-112-02-xx-DV-PE-LC,{space}12{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+24
+24
+Connector_Samtec_HLE_THT
+Samtec_HLE-112-02-xx-DV-PE_2x12_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-112-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-112-02-xx-DV-PE-BE),{space}12{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+24
+24
+Connector_Samtec_HLE_THT
+Samtec_HLE-112-02-xx-DV-TE_2x12_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-112-02-xx-DV-TE,{space}12{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+24
+24
+Connector_Samtec_HLE_THT
+Samtec_HLE-113-02-xx-DV-PE-LC_2x13_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-113-02-xx-DV-PE-LC,{space}13{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+26
+26
+Connector_Samtec_HLE_THT
+Samtec_HLE-113-02-xx-DV-PE_2x13_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-113-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-113-02-xx-DV-PE-BE),{space}13{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+26
+26
+Connector_Samtec_HLE_THT
+Samtec_HLE-113-02-xx-DV-TE_2x13_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-113-02-xx-DV-TE,{space}13{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+26
+26
+Connector_Samtec_HLE_THT
+Samtec_HLE-114-02-xx-DV-PE-LC_2x14_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-114-02-xx-DV-PE-LC,{space}14{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+28
+28
+Connector_Samtec_HLE_THT
+Samtec_HLE-114-02-xx-DV-PE_2x14_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-114-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-114-02-xx-DV-PE-BE),{space}14{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+28
+28
+Connector_Samtec_HLE_THT
+Samtec_HLE-114-02-xx-DV-TE_2x14_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-114-02-xx-DV-TE,{space}14{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+28
+28
+Connector_Samtec_HLE_THT
+Samtec_HLE-115-02-xx-DV-PE-LC_2x15_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-115-02-xx-DV-PE-LC,{space}15{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+30
+30
+Connector_Samtec_HLE_THT
+Samtec_HLE-115-02-xx-DV-PE_2x15_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-115-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-115-02-xx-DV-PE-BE),{space}15{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+30
+30
+Connector_Samtec_HLE_THT
+Samtec_HLE-115-02-xx-DV-TE_2x15_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-115-02-xx-DV-TE,{space}15{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+30
+30
+Connector_Samtec_HLE_THT
+Samtec_HLE-116-02-xx-DV-PE-LC_2x16_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-116-02-xx-DV-PE-LC,{space}16{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+32
+32
+Connector_Samtec_HLE_THT
+Samtec_HLE-116-02-xx-DV-PE_2x16_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-116-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-116-02-xx-DV-PE-BE),{space}16{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+32
+32
+Connector_Samtec_HLE_THT
+Samtec_HLE-116-02-xx-DV-TE_2x16_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-116-02-xx-DV-TE,{space}16{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+32
+32
+Connector_Samtec_HLE_THT
+Samtec_HLE-117-02-xx-DV-PE-LC_2x17_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-117-02-xx-DV-PE-LC,{space}17{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+34
+34
+Connector_Samtec_HLE_THT
+Samtec_HLE-117-02-xx-DV-PE_2x17_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-117-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-117-02-xx-DV-PE-BE),{space}17{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+34
+34
+Connector_Samtec_HLE_THT
+Samtec_HLE-117-02-xx-DV-TE_2x17_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-117-02-xx-DV-TE,{space}17{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+34
+34
+Connector_Samtec_HLE_THT
+Samtec_HLE-118-02-xx-DV-PE-LC_2x18_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-118-02-xx-DV-PE-LC,{space}18{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+36
+36
+Connector_Samtec_HLE_THT
+Samtec_HLE-118-02-xx-DV-PE_2x18_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-118-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-118-02-xx-DV-PE-BE),{space}18{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+36
+36
+Connector_Samtec_HLE_THT
+Samtec_HLE-118-02-xx-DV-TE_2x18_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-118-02-xx-DV-TE,{space}18{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+36
+36
+Connector_Samtec_HLE_THT
+Samtec_HLE-119-02-xx-DV-PE-LC_2x19_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-119-02-xx-DV-PE-LC,{space}19{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+38
+38
+Connector_Samtec_HLE_THT
+Samtec_HLE-119-02-xx-DV-PE_2x19_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-119-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-119-02-xx-DV-PE-BE),{space}19{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+38
+38
+Connector_Samtec_HLE_THT
+Samtec_HLE-119-02-xx-DV-TE_2x19_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-119-02-xx-DV-TE,{space}19{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+38
+38
+Connector_Samtec_HLE_THT
+Samtec_HLE-120-02-xx-DV-PE-LC_2x20_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-120-02-xx-DV-PE-LC,{space}20{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+40
+40
+Connector_Samtec_HLE_THT
+Samtec_HLE-120-02-xx-DV-PE_2x20_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-120-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-120-02-xx-DV-PE-BE),{space}20{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+40
+40
+Connector_Samtec_HLE_THT
+Samtec_HLE-120-02-xx-DV-TE_2x20_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-120-02-xx-DV-TE,{space}20{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+40
+40
+Connector_Samtec_HLE_THT
+Samtec_HLE-121-02-xx-DV-PE-LC_2x21_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-121-02-xx-DV-PE-LC,{space}21{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+42
+42
+Connector_Samtec_HLE_THT
+Samtec_HLE-121-02-xx-DV-PE_2x21_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-121-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-121-02-xx-DV-PE-BE),{space}21{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+42
+42
+Connector_Samtec_HLE_THT
+Samtec_HLE-121-02-xx-DV-TE_2x21_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-121-02-xx-DV-TE,{space}21{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+42
+42
+Connector_Samtec_HLE_THT
+Samtec_HLE-122-02-xx-DV-PE-LC_2x22_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-122-02-xx-DV-PE-LC,{space}22{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+44
+44
+Connector_Samtec_HLE_THT
+Samtec_HLE-122-02-xx-DV-PE_2x22_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-122-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-122-02-xx-DV-PE-BE),{space}22{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+44
+44
+Connector_Samtec_HLE_THT
+Samtec_HLE-122-02-xx-DV-TE_2x22_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-122-02-xx-DV-TE,{space}22{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+44
+44
+Connector_Samtec_HLE_THT
+Samtec_HLE-123-02-xx-DV-PE-LC_2x23_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-123-02-xx-DV-PE-LC,{space}23{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+46
+46
+Connector_Samtec_HLE_THT
+Samtec_HLE-123-02-xx-DV-PE_2x23_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-123-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-123-02-xx-DV-PE-BE),{space}23{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+46
+46
+Connector_Samtec_HLE_THT
+Samtec_HLE-123-02-xx-DV-TE_2x23_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-123-02-xx-DV-TE,{space}23{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+46
+46
+Connector_Samtec_HLE_THT
+Samtec_HLE-124-02-xx-DV-PE-LC_2x24_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-124-02-xx-DV-PE-LC,{space}24{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+48
+48
+Connector_Samtec_HLE_THT
+Samtec_HLE-124-02-xx-DV-PE_2x24_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-124-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-124-02-xx-DV-PE-BE),{space}24{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+48
+48
+Connector_Samtec_HLE_THT
+Samtec_HLE-124-02-xx-DV-TE_2x24_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-124-02-xx-DV-TE,{space}24{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+48
+48
+Connector_Samtec_HLE_THT
+Samtec_HLE-125-02-xx-DV-PE-LC_2x25_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-125-02-xx-DV-PE-LC,{space}25{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+50
+50
+Connector_Samtec_HLE_THT
+Samtec_HLE-125-02-xx-DV-PE_2x25_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-125-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-125-02-xx-DV-PE-BE),{space}25{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+50
+50
+Connector_Samtec_HLE_THT
+Samtec_HLE-125-02-xx-DV-TE_2x25_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-125-02-xx-DV-TE,{space}25{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+50
+50
+Connector_Samtec_HLE_THT
+Samtec_HLE-126-02-xx-DV-PE-LC_2x26_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-126-02-xx-DV-PE-LC,{space}26{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+52
+52
+Connector_Samtec_HLE_THT
+Samtec_HLE-126-02-xx-DV-PE_2x26_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-126-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-126-02-xx-DV-PE-BE),{space}26{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+52
+52
+Connector_Samtec_HLE_THT
+Samtec_HLE-126-02-xx-DV-TE_2x26_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-126-02-xx-DV-TE,{space}26{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+52
+52
+Connector_Samtec_HLE_THT
+Samtec_HLE-127-02-xx-DV-PE-LC_2x27_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-127-02-xx-DV-PE-LC,{space}27{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+54
+54
+Connector_Samtec_HLE_THT
+Samtec_HLE-127-02-xx-DV-PE_2x27_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-127-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-127-02-xx-DV-PE-BE),{space}27{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+54
+54
+Connector_Samtec_HLE_THT
+Samtec_HLE-127-02-xx-DV-TE_2x27_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-127-02-xx-DV-TE,{space}27{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+54
+54
+Connector_Samtec_HLE_THT
+Samtec_HLE-128-02-xx-DV-PE-LC_2x28_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-128-02-xx-DV-PE-LC,{space}28{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+56
+56
+Connector_Samtec_HLE_THT
+Samtec_HLE-128-02-xx-DV-PE_2x28_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-128-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-128-02-xx-DV-PE-BE),{space}28{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+56
+56
+Connector_Samtec_HLE_THT
+Samtec_HLE-128-02-xx-DV-TE_2x28_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-128-02-xx-DV-TE,{space}28{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+56
+56
+Connector_Samtec_HLE_THT
+Samtec_HLE-129-02-xx-DV-PE-LC_2x29_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-129-02-xx-DV-PE-LC,{space}29{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+58
+58
+Connector_Samtec_HLE_THT
+Samtec_HLE-129-02-xx-DV-PE_2x29_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-129-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-129-02-xx-DV-PE-BE),{space}29{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+58
+58
+Connector_Samtec_HLE_THT
+Samtec_HLE-129-02-xx-DV-TE_2x29_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-129-02-xx-DV-TE,{space}29{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+58
+58
+Connector_Samtec_HLE_THT
+Samtec_HLE-130-02-xx-DV-PE-LC_2x30_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-130-02-xx-DV-PE-LC,{space}30{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+60
+60
+Connector_Samtec_HLE_THT
+Samtec_HLE-130-02-xx-DV-PE_2x30_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-130-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-130-02-xx-DV-PE-BE),{space}30{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+60
+60
+Connector_Samtec_HLE_THT
+Samtec_HLE-130-02-xx-DV-TE_2x30_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-130-02-xx-DV-TE,{space}30{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+60
+60
+Connector_Samtec_HLE_THT
+Samtec_HLE-131-02-xx-DV-PE-LC_2x31_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-131-02-xx-DV-PE-LC,{space}31{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+62
+62
+Connector_Samtec_HLE_THT
+Samtec_HLE-131-02-xx-DV-PE_2x31_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-131-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-131-02-xx-DV-PE-BE),{space}31{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+62
+62
+Connector_Samtec_HLE_THT
+Samtec_HLE-131-02-xx-DV-TE_2x31_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-131-02-xx-DV-TE,{space}31{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+62
+62
+Connector_Samtec_HLE_THT
+Samtec_HLE-132-02-xx-DV-PE-LC_2x32_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-132-02-xx-DV-PE-LC,{space}32{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+64
+64
+Connector_Samtec_HLE_THT
+Samtec_HLE-132-02-xx-DV-PE_2x32_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-132-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-132-02-xx-DV-PE-BE),{space}32{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+64
+64
+Connector_Samtec_HLE_THT
+Samtec_HLE-132-02-xx-DV-TE_2x32_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-132-02-xx-DV-TE,{space}32{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+64
+64
+Connector_Samtec_HLE_THT
+Samtec_HLE-133-02-xx-DV-PE-LC_2x33_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-133-02-xx-DV-PE-LC,{space}33{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+66
+66
+Connector_Samtec_HLE_THT
+Samtec_HLE-133-02-xx-DV-PE_2x33_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-133-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-133-02-xx-DV-PE-BE),{space}33{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+66
+66
+Connector_Samtec_HLE_THT
+Samtec_HLE-133-02-xx-DV-TE_2x33_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-133-02-xx-DV-TE,{space}33{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+66
+66
+Connector_Samtec_HLE_THT
+Samtec_HLE-134-02-xx-DV-PE-LC_2x34_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-134-02-xx-DV-PE-LC,{space}34{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+68
+68
+Connector_Samtec_HLE_THT
+Samtec_HLE-134-02-xx-DV-PE_2x34_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-134-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-134-02-xx-DV-PE-BE),{space}34{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+68
+68
+Connector_Samtec_HLE_THT
+Samtec_HLE-134-02-xx-DV-TE_2x34_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-134-02-xx-DV-TE,{space}34{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+68
+68
+Connector_Samtec_HLE_THT
+Samtec_HLE-135-02-xx-DV-PE-LC_2x35_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-135-02-xx-DV-PE-LC,{space}35{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+70
+70
+Connector_Samtec_HLE_THT
+Samtec_HLE-135-02-xx-DV-PE_2x35_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-135-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-135-02-xx-DV-PE-BE),{space}35{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+70
+70
+Connector_Samtec_HLE_THT
+Samtec_HLE-135-02-xx-DV-TE_2x35_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-135-02-xx-DV-TE,{space}35{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+70
+70
+Connector_Samtec_HLE_THT
+Samtec_HLE-136-02-xx-DV-PE-LC_2x36_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-136-02-xx-DV-PE-LC,{space}36{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+72
+72
+Connector_Samtec_HLE_THT
+Samtec_HLE-136-02-xx-DV-PE_2x36_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-136-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-136-02-xx-DV-PE-BE),{space}36{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+72
+72
+Connector_Samtec_HLE_THT
+Samtec_HLE-136-02-xx-DV-TE_2x36_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-136-02-xx-DV-TE,{space}36{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+72
+72
+Connector_Samtec_HLE_THT
+Samtec_HLE-137-02-xx-DV-PE-LC_2x37_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-137-02-xx-DV-PE-LC,{space}37{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+74
+74
+Connector_Samtec_HLE_THT
+Samtec_HLE-137-02-xx-DV-PE_2x37_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-137-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-137-02-xx-DV-PE-BE),{space}37{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+74
+74
+Connector_Samtec_HLE_THT
+Samtec_HLE-137-02-xx-DV-TE_2x37_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-137-02-xx-DV-TE,{space}37{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+74
+74
+Connector_Samtec_HLE_THT
+Samtec_HLE-138-02-xx-DV-PE-LC_2x38_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-138-02-xx-DV-PE-LC,{space}38{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+76
+76
+Connector_Samtec_HLE_THT
+Samtec_HLE-138-02-xx-DV-PE_2x38_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-138-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-138-02-xx-DV-PE-BE),{space}38{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+76
+76
+Connector_Samtec_HLE_THT
+Samtec_HLE-138-02-xx-DV-TE_2x38_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-138-02-xx-DV-TE,{space}38{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+76
+76
+Connector_Samtec_HLE_THT
+Samtec_HLE-139-02-xx-DV-PE-LC_2x39_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-139-02-xx-DV-PE-LC,{space}39{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+78
+78
+Connector_Samtec_HLE_THT
+Samtec_HLE-139-02-xx-DV-PE_2x39_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-139-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-139-02-xx-DV-PE-BE),{space}39{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+78
+78
+Connector_Samtec_HLE_THT
+Samtec_HLE-139-02-xx-DV-TE_2x39_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-139-02-xx-DV-TE,{space}39{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+78
+78
+Connector_Samtec_HLE_THT
+Samtec_HLE-140-02-xx-DV-PE-LC_2x40_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-140-02-xx-DV-PE-LC,{space}40{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+80
+80
+Connector_Samtec_HLE_THT
+Samtec_HLE-140-02-xx-DV-PE_2x40_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-140-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-140-02-xx-DV-PE-BE),{space}40{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+80
+80
+Connector_Samtec_HLE_THT
+Samtec_HLE-140-02-xx-DV-TE_2x40_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-140-02-xx-DV-TE,{space}40{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+80
+80
+Connector_Samtec_HLE_THT
+Samtec_HLE-141-02-xx-DV-PE-LC_2x41_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-141-02-xx-DV-PE-LC,{space}41{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+82
+82
+Connector_Samtec_HLE_THT
+Samtec_HLE-141-02-xx-DV-PE_2x41_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-141-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-141-02-xx-DV-PE-BE),{space}41{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+82
+82
+Connector_Samtec_HLE_THT
+Samtec_HLE-141-02-xx-DV-TE_2x41_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-141-02-xx-DV-TE,{space}41{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+82
+82
+Connector_Samtec_HLE_THT
+Samtec_HLE-142-02-xx-DV-PE-LC_2x42_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-142-02-xx-DV-PE-LC,{space}42{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+84
+84
+Connector_Samtec_HLE_THT
+Samtec_HLE-142-02-xx-DV-PE_2x42_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-142-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-142-02-xx-DV-PE-BE),{space}42{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+84
+84
+Connector_Samtec_HLE_THT
+Samtec_HLE-142-02-xx-DV-TE_2x42_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-142-02-xx-DV-TE,{space}42{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+84
+84
+Connector_Samtec_HLE_THT
+Samtec_HLE-143-02-xx-DV-PE-LC_2x43_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-143-02-xx-DV-PE-LC,{space}43{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+86
+86
+Connector_Samtec_HLE_THT
+Samtec_HLE-143-02-xx-DV-PE_2x43_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-143-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-143-02-xx-DV-PE-BE),{space}43{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+86
+86
+Connector_Samtec_HLE_THT
+Samtec_HLE-143-02-xx-DV-TE_2x43_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-143-02-xx-DV-TE,{space}43{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+86
+86
+Connector_Samtec_HLE_THT
+Samtec_HLE-144-02-xx-DV-PE-LC_2x44_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-144-02-xx-DV-PE-LC,{space}44{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+88
+88
+Connector_Samtec_HLE_THT
+Samtec_HLE-144-02-xx-DV-PE_2x44_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-144-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-144-02-xx-DV-PE-BE),{space}44{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+88
+88
+Connector_Samtec_HLE_THT
+Samtec_HLE-144-02-xx-DV-TE_2x44_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-144-02-xx-DV-TE,{space}44{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+88
+88
+Connector_Samtec_HLE_THT
+Samtec_HLE-145-02-xx-DV-PE-LC_2x45_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-145-02-xx-DV-PE-LC,{space}45{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+90
+90
+Connector_Samtec_HLE_THT
+Samtec_HLE-145-02-xx-DV-PE_2x45_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-145-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-145-02-xx-DV-PE-BE),{space}45{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+90
+90
+Connector_Samtec_HLE_THT
+Samtec_HLE-145-02-xx-DV-TE_2x45_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-145-02-xx-DV-TE,{space}45{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+90
+90
+Connector_Samtec_HLE_THT
+Samtec_HLE-146-02-xx-DV-PE-LC_2x46_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-146-02-xx-DV-PE-LC,{space}46{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+92
+92
+Connector_Samtec_HLE_THT
+Samtec_HLE-146-02-xx-DV-PE_2x46_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-146-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-146-02-xx-DV-PE-BE),{space}46{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+92
+92
+Connector_Samtec_HLE_THT
+Samtec_HLE-146-02-xx-DV-TE_2x46_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-146-02-xx-DV-TE,{space}46{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+92
+92
+Connector_Samtec_HLE_THT
+Samtec_HLE-147-02-xx-DV-PE-LC_2x47_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-147-02-xx-DV-PE-LC,{space}47{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+94
+94
+Connector_Samtec_HLE_THT
+Samtec_HLE-147-02-xx-DV-PE_2x47_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-147-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-147-02-xx-DV-PE-BE),{space}47{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+94
+94
+Connector_Samtec_HLE_THT
+Samtec_HLE-147-02-xx-DV-TE_2x47_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-147-02-xx-DV-TE,{space}47{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+94
+94
+Connector_Samtec_HLE_THT
+Samtec_HLE-148-02-xx-DV-PE-LC_2x48_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-148-02-xx-DV-PE-LC,{space}48{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+96
+96
+Connector_Samtec_HLE_THT
+Samtec_HLE-148-02-xx-DV-PE_2x48_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-148-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-148-02-xx-DV-PE-BE),{space}48{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+96
+96
+Connector_Samtec_HLE_THT
+Samtec_HLE-148-02-xx-DV-TE_2x48_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-148-02-xx-DV-TE,{space}48{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+96
+96
+Connector_Samtec_HLE_THT
+Samtec_HLE-149-02-xx-DV-PE-LC_2x49_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-149-02-xx-DV-PE-LC,{space}49{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+98
+98
+Connector_Samtec_HLE_THT
+Samtec_HLE-149-02-xx-DV-PE_2x49_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-149-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-149-02-xx-DV-PE-BE),{space}49{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+98
+98
+Connector_Samtec_HLE_THT
+Samtec_HLE-149-02-xx-DV-TE_2x49_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-149-02-xx-DV-TE,{space}49{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+98
+98
+Connector_Samtec_HLE_THT
+Samtec_HLE-150-02-xx-DV-PE-LC_2x50_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-150-02-xx-DV-PE-LC,{space}50{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+100
+100
+Connector_Samtec_HLE_THT
+Samtec_HLE-150-02-xx-DV-PE_2x50_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-150-02-xx-DV-PE{space}(compatible{space}alternatives:{space}HLE-150-02-xx-DV-PE-BE),{space}50{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+100
+100
+Connector_Samtec_HLE_THT
+Samtec_HLE-150-02-xx-DV-TE_2x50_P2.54mm_Horizontal
+Samtec{space}HLE{space}.100"{space}Tiger{space}Beam{space}Cost-effective{space}Single{space}Beam{space}Socket{space}Strip,{space}HLE-150-02-xx-DV-TE,{space}50{space}Pins{space}per{space}row{space}(http://suddendocs.samtec.com/prints/hle-1xx-02-xx-dv-xe-xx-mkt.pdf,{space}http://suddendocs.samtec.com/prints/hle-thru.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Samtec{space}HLE{space}top{space}entry
+0
+100
+100
+Connector_Stocko
+Stocko_MKS_1651-6-0-202_1x2_P2.50mm_Vertical
+Stocko{space}MKS{space}16xx{space}series{space}connector,{space}(https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15),{space}generated{space}with{space}kicad-footprint-generator
+Stocko{space}RFK{space}MKS{space}16xx
+0
+2
+2
+Connector_Stocko
+Stocko_MKS_1652-6-0-202_1x2_P2.50mm_Vertical
+Stocko{space}MKS{space}16xx{space}series{space}connector,{space}(https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15),{space}generated{space}with{space}kicad-footprint-generator
+Stocko{space}RFK{space}MKS{space}16xx
+0
+2
+2
+Connector_Stocko
+Stocko_MKS_1653-6-0-303_1x3_P2.50mm_Vertical
+Stocko{space}MKS{space}16xx{space}series{space}connector,{space}(https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15),{space}generated{space}with{space}kicad-footprint-generator
+Stocko{space}RFK{space}MKS{space}16xx
+0
+3
+3
+Connector_Stocko
+Stocko_MKS_1654-6-0-404_1x4_P2.50mm_Vertical
+Stocko{space}MKS{space}16xx{space}series{space}connector,{space}(https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15),{space}generated{space}with{space}kicad-footprint-generator
+Stocko{space}RFK{space}MKS{space}16xx
+0
+4
+4
+Connector_Stocko
+Stocko_MKS_1655-6-0-505_1x5_P2.50mm_Vertical
+Stocko{space}MKS{space}16xx{space}series{space}connector,{space}(https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15),{space}generated{space}with{space}kicad-footprint-generator
+Stocko{space}RFK{space}MKS{space}16xx
+0
+5
+5
+Connector_Stocko
+Stocko_MKS_1656-6-0-606_1x6_P2.50mm_Vertical
+Stocko{space}MKS{space}16xx{space}series{space}connector,{space}(https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15),{space}generated{space}with{space}kicad-footprint-generator
+Stocko{space}RFK{space}MKS{space}16xx
+0
+6
+6
+Connector_Stocko
+Stocko_MKS_1657-6-0-707_1x7_P2.50mm_Vertical
+Stocko{space}MKS{space}16xx{space}series{space}connector,{space}(https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15),{space}generated{space}with{space}kicad-footprint-generator
+Stocko{space}RFK{space}MKS{space}16xx
+0
+7
+7
+Connector_Stocko
+Stocko_MKS_1658-6-0-808_1x8_P2.50mm_Vertical
+Stocko{space}MKS{space}16xx{space}series{space}connector,{space}(https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15),{space}generated{space}with{space}kicad-footprint-generator
+Stocko{space}RFK{space}MKS{space}16xx
+0
+8
+8
+Connector_Stocko
+Stocko_MKS_1659-6-0-909_1x9_P2.50mm_Vertical
+Stocko{space}MKS{space}16xx{space}series{space}connector,{space}(https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15),{space}generated{space}with{space}kicad-footprint-generator
+Stocko{space}RFK{space}MKS{space}16xx
+0
+9
+9
+Connector_Stocko
+Stocko_MKS_1660-6-0-1010_1x10_P2.50mm_Vertical
+Stocko{space}MKS{space}16xx{space}series{space}connector,{space}(https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15),{space}generated{space}with{space}kicad-footprint-generator
+Stocko{space}RFK{space}MKS{space}16xx
+0
+10
+10
+Connector_Stocko
+Stocko_MKS_1661-6-0-1111_1x11_P2.50mm_Vertical
+Stocko{space}MKS{space}16xx{space}series{space}connector,{space}(https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15),{space}generated{space}with{space}kicad-footprint-generator
+Stocko{space}RFK{space}MKS{space}16xx
+0
+11
+11
+Connector_Stocko
+Stocko_MKS_1662-6-0-1212_1x12_P2.50mm_Vertical
+Stocko{space}MKS{space}16xx{space}series{space}connector,{space}(https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15),{space}generated{space}with{space}kicad-footprint-generator
+Stocko{space}RFK{space}MKS{space}16xx
+0
+12
+12
+Connector_Stocko
+Stocko_MKS_1663-6-0-1313_1x13_P2.50mm_Vertical
+Stocko{space}MKS{space}16xx{space}series{space}connector,{space}(https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15),{space}generated{space}with{space}kicad-footprint-generator
+Stocko{space}RFK{space}MKS{space}16xx
+0
+13
+13
+Connector_Stocko
+Stocko_MKS_1664-6-0-1414_1x14_P2.50mm_Vertical
+Stocko{space}MKS{space}16xx{space}series{space}connector,{space}(https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15),{space}generated{space}with{space}kicad-footprint-generator
+Stocko{space}RFK{space}MKS{space}16xx
+0
+14
+14
+Connector_Stocko
+Stocko_MKS_1665-6-0-1515_1x15_P2.50mm_Vertical
+Stocko{space}MKS{space}16xx{space}series{space}connector,{space}(https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15),{space}generated{space}with{space}kicad-footprint-generator
+Stocko{space}RFK{space}MKS{space}16xx
+0
+15
+15
+Connector_Stocko
+Stocko_MKS_1666-6-0-1616_1x16_P2.50mm_Vertical
+Stocko{space}MKS{space}16xx{space}series{space}connector,{space}(https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15),{space}generated{space}with{space}kicad-footprint-generator
+Stocko{space}RFK{space}MKS{space}16xx
+0
+16
+16
+Connector_Stocko
+Stocko_MKS_1667-6-0-1717_1x17_P2.50mm_Vertical
+Stocko{space}MKS{space}16xx{space}series{space}connector,{space}(https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15),{space}generated{space}with{space}kicad-footprint-generator
+Stocko{space}RFK{space}MKS{space}16xx
+0
+17
+17
+Connector_Stocko
+Stocko_MKS_1668-6-0-1818_1x18_P2.50mm_Vertical
+Stocko{space}MKS{space}16xx{space}series{space}connector,{space}(https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15),{space}generated{space}with{space}kicad-footprint-generator
+Stocko{space}RFK{space}MKS{space}16xx
+0
+18
+18
+Connector_Stocko
+Stocko_MKS_1669-6-0-1919_1x19_P2.50mm_Vertical
+Stocko{space}MKS{space}16xx{space}series{space}connector,{space}(https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15),{space}generated{space}with{space}kicad-footprint-generator
+Stocko{space}RFK{space}MKS{space}16xx
+0
+19
+19
+Connector_Stocko
+Stocko_MKS_1670-6-0-2020_1x20_P2.50mm_Vertical
+Stocko{space}MKS{space}16xx{space}series{space}connector,{space}(https://www.stocko-contact.com/downloads/steckverbindersystem-raster-2,5-mm.pdf#page=15),{space}generated{space}with{space}kicad-footprint-generator
+Stocko{space}RFK{space}MKS{space}16xx
+0
+20
+20
+Connector_TE-Connectivity
+TE_AMPSEAL_1-776087-x_3Rows_23_P0.4mm_Horizontal
+Connector
+TE{space}776087
+0
+23
+23
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-770182-x_3x03_P4.14mm_Vertical
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-770182-x,{space}3{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}side{space}entry
+0
+9
+9
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-770186-x_3x04_P4.14mm_Vertical
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-770186-x,{space}4{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}side{space}entry
+0
+12
+12
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-770190-x_3x05_P4.14mm_Vertical
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-770190-x,{space}5{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}side{space}entry
+0
+15
+15
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-770621-x_2x06_P4.14mm_Vertical
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-770621-x,{space}6{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}side{space}entry
+0
+12
+12
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-770858-x_2x05_P4.14mm_Vertical
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-770858-x,{space}5{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}side{space}entry
+0
+10
+10
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-770866-x_1x02_P4.14mm_Vertical
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-770866-x,{space}2{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}side{space}entry
+0
+2
+2
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-770870-x_1x03_P4.14mm_Vertical
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-770870-x,{space}3{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}side{space}entry
+0
+3
+3
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-770874-x_2x02_P4.14mm_Vertical
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-770874-x,{space}2{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}side{space}entry
+0
+4
+4
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-770875-x_2x03_P4.14mm_Vertical
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-770875-x,{space}3{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}side{space}entry
+0
+6
+6
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-770966-x_1x02_P4.14mm_Horizontal
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-770966-x,{space}2{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}top{space}entry
+0
+2
+2
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-770967-x_1x03_P4.14mm_Horizontal
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-770967-x,{space}3{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}top{space}entry
+0
+3
+3
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-770968-x_2x02_P4.14mm_Horizontal
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-770968-x,{space}2{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}top{space}entry
+0
+4
+4
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-770969-x_2x03_P4.14mm_Horizontal
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-770969-x,{space}3{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}top{space}entry
+0
+6
+6
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-770970-x_2x04_P4.14mm_Horizontal
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-770970-x,{space}4{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}top{space}entry
+0
+8
+8
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-770971-x_2x05_P4.14mm_Horizontal
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-770971-x,{space}5{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}top{space}entry
+0
+10
+10
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-770972-x_2x06_P4.14mm_Horizontal
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-770972-x,{space}6{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}top{space}entry
+0
+12
+12
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-770973-x_2x07_P4.14mm_Horizontal
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-770973-x,{space}7{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}top{space}entry
+0
+14
+14
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-770974-x_2x08_P4.14mm_Horizontal
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-770974-x,{space}8{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}top{space}entry
+0
+16
+16
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-794067-x_2x07_P4.14mm_Vertical
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-794067-x,{space}7{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}side{space}entry
+0
+14
+14
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-794068-x_2x08_P4.14mm_Vertical
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-794068-x,{space}8{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}side{space}entry
+0
+16
+16
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-794069-x_2x09_P4.14mm_Vertical
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-794069-x,{space}9{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}side{space}entry
+0
+18
+18
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-794070-x_2x10_P4.14mm_Vertical
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-794070-x,{space}10{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}side{space}entry
+0
+20
+20
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-794071-x_2x11_P4.14mm_Vertical
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-794071-x,{space}11{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}side{space}entry
+0
+22
+22
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-794072-x_2x12_P4.14mm_Vertical
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-794072-x,{space}12{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}side{space}entry
+0
+24
+24
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-794073-x_2x04_P4.14mm_Vertical
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-794073-x,{space}4{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}side{space}entry
+0
+8
+8
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-794105-x_2x09_P4.14mm_Horizontal
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-794105-x,{space}9{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}top{space}entry
+0
+18
+18
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-794106-x_2x10_P4.14mm_Horizontal
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-794106-x,{space}10{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}top{space}entry
+0
+20
+20
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-794107-x_2x11_P4.14mm_Horizontal
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-794107-x,{space}11{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}top{space}entry
+0
+22
+22
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-794108-x_2x12_P4.14mm_Horizontal
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-794108-x,{space}12{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}top{space}entry
+0
+24
+24
+Connector_TE-Connectivity
+TE_MATE-N-LOK_1-794374-x_1x01_P4.14mm_Horizontal
+Molex{space}Mini-Universal{space}MATE-N-LOK,{space}old{space}mpn/engineering{space}number:{space}1-794374-x,{space}1{space}Pins{space}per{space}row{space}(http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=82181_SOFTSHELL_HIGH_DENSITY&DocType=CS&DocLang=EN),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}TE{space}MATE-N-LOK{space}top{space}entry
+0
+1
+1
+Connector_USB
+USB3_A_Molex_48393-001
+USB{space}3.0,{space}type{space}A,{space}right{space}angle{space}(http://www.molex.com/pdm_docs/sd/483930003_sd.pdf)
+USB{space}3.0{space}type{space}A{space}right{space}angle
+0
+13
+10
+Connector_USB
+USB3_A_Plug_Wuerth_692112030100_Horizontal
+USB{space}type{space}A{space}Plug,{space}Horizontal,{space}http://katalog.we-online.de/em/datasheet/692112030100.pdf
+usb{space}A{space}plug{space}horizontal
+0
+11
+10
+Connector_USB
+USB_A_CNCTech_1001-011-01101_Horizontal
+http://cnctech.us/pdfs/1001-011-01101.pdf
+USB-A
+0
+6
+5
+Connector_USB
+USB_A_Molex_105057_Vertical
+https://www.molex.com/pdm_docs/sd/1050570001_sd.pdf
+USB{space}A{space}Vertical
+0
+7
+5
+Connector_USB
+USB_A_Stewart_SS-52100-001_Horizontal
+USB{space}A{space}connector{space}https://belfuse.com/resources/drawings/stewartconnector/dr-stw-ss-52100-001.pdf
+USB_A{space}Female{space}Connector{space}receptacle
+0
+6
+5
+Connector_USB
+USB_A_Wuerth_61400826021_Horizontal_Stacked
+Stacked{space}USB{space}A{space}connector{space}http://katalog.we-online.de/em/datasheet/61400826021.pdf
+Wuerth{space}stacked{space}USB_A
+0
+12
+9
+Connector_USB
+USB_B_Amphenol_MUSB-D511_Vertical_Rugged
+A,phenol{space}MUSB_D511,{space}USB{space}B{space}female{space}connector,{space}straight,{space}rugged,{space}https://www.amphenolcanada.com/ProductSearch/drawings/AC/MUSBD511XX.pdf
+USB_B_MUSB_Straight{space}female{space}connector{space}straight{space}rugged{space}MUSB{space}D511
+0
+6
+5
+Connector_USB
+USB_B_OST_USB-B1HSxx_Horizontal
+USB{space}B{space}receptacle,{space}Horizontal,{space}through-hole,{space}http://www.on-shore.com/wp-content/uploads/2015/09/usb-b1hsxx.pdf
+USB-B{space}receptacle{space}horizontal{space}through-hole
+0
+6
+5
+Connector_USB
+USB_B_TE_5787834_Vertical
+http://www.mouser.com/ds/2/418/NG_CD_5787834_A4-669110.pdf
+USB_B{space}USB{space}B{space}vertical{space}female{space}connector
+0
+6
+5
+Connector_USB
+USB_C_Plug_Molex_105444
+Universal{space}Serial{space}Bus{space}(USB){space}Shielded{space}I/O{space}Plug,{space}Type{space}C,{space}Right{space}Angle,{space}Surface{space}Mount,{space}http://www.molex.com/pdm_docs/sd/1054440001_sd.pdf
+USB{space}Type-C{space}Plug{space}Edge{space}Mount
+0
+24
+23
+Connector_USB
+USB_C_Receptacle_Amphenol_12401548E4-2A
+USB{space}TYPE{space}C,{space}RA{space}RCPT{space}PCB,{space}Hybrid,{space}https://www.amphenolcanada.com/StockAvailabilityPrice.aspx?From=&PartNum=12401548E4%7e2A
+USB{space}C{space}Type-C{space}Receptacle{space}Hybrid
+0
+28
+25
+Connector_USB
+USB_C_Receptacle_Amphenol_12401548E4-2A_CircularHoles
+USB{space}TYPE{space}C,{space}RA{space}RCPT{space}PCB,{space}Hybrid,{space}https://www.amphenolcanada.com/StockAvailabilityPrice.aspx?From=&PartNum=12401548E4%7e2A
+USB{space}C{space}Type-C{space}Receptacle{space}Hybrid
+0
+28
+25
+Connector_USB
+USB_C_Receptacle_Amphenol_12401610E4-2A
+USB{space}TYPE{space}C,{space}RA{space}RCPT{space}PCB,{space}SMT,{space}https://www.amphenolcanada.com/StockAvailabilityPrice.aspx?From=&PartNum=12401610E4%7e2A
+USB{space}C{space}Type-C{space}Receptacle{space}SMD
+0
+28
+25
+Connector_USB
+USB_C_Receptacle_Amphenol_12401610E4-2A_CircularHoles
+USB{space}TYPE{space}C,{space}RA{space}RCPT{space}PCB,{space}SMT,{space}https://www.amphenolcanada.com/StockAvailabilityPrice.aspx?From=&PartNum=12401610E4%7e2A
+USB{space}C{space}Type-C{space}Receptacle{space}SMD
+0
+28
+25
+Connector_USB
+USB_C_Receptacle_GCT_USB4085
+USB{space}2.0{space}Type{space}C{space}Receptacle,{space}https://gct.co/Files/Drawings/USB4085.pdf
+USB{space}Type-C{space}Receptacle{space}Through-hole{space}Right{space}angle
+0
+20
+17
+Connector_USB
+USB_C_Receptacle_JAE_DX07S024WJ1R350
+http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ117219.pdf
+USB{space}C{space}Type-C{space}Receptacle{space}SMD
+0
+30
+25
+Connector_USB
+USB_C_Receptacle_JAE_DX07S024WJ3R400
+USB{space}TYPE{space}C,{space}VERT{space}RCPT{space}PCB,{space}SMT,{space}http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ117928.pdf
+USB{space}C{space}Type-C{space}Receptacle{space}SMD
+0
+28
+25
+Connector_USB
+USB_Micro-B_Amphenol_10103594-0001LF_Horizontal
+Micro{space}USB{space}Type{space}B{space}10103594-0001LF,{space}http://cdn.amphenol-icc.com/media/wysiwyg/files/drawing/10103594.pdf
+USB{space}USB_B{space}USB_micro{space}USB_OTG
+0
+17
+6
+Connector_USB
+USB_Micro-B_GCT_USB3076-30-A
+GCT{space}Micro{space}USB{space}https://gct.co/files/drawings/usb3076.pdf
+Micro-USB{space}SMD{space}Typ-B{space}GCT
+0
+11
+6
+Connector_USB
+USB_Micro-B_Molex-105017-0001
+http://www.molex.com/pdm_docs/sd/1050170001_sd.pdf
+Micro-USB{space}SMD{space}Typ-B
+0
+13
+6
+Connector_USB
+USB_Micro-B_Molex-105133-0001
+Molex{space}Vertical{space}Micro{space}USB{space}Typ-B{space}(http://www.molex.com/pdm_docs/sd/1051330001_sd.pdf)
+Micro-USB{space}SMD{space}Typ-B{space}Vertical
+0
+8
+6
+Connector_USB
+USB_Micro-B_Molex-105133-0031
+Molex{space}Vertical{space}Micro{space}USB{space}Typ-B{space}(http://www.molex.com/pdm_docs/sd/1051330031_sd.pdf)
+Micro-USB{space}SMD{space}Typ-B{space}Vertical
+0
+8
+6
+Connector_USB
+USB_Micro-B_Molex_47346-0001
+Micro{space}USB{space}B{space}receptable{space}with{space}flange,{space}bottom-mount,{space}SMD,{space}right-angle{space}(http://www.molex.com/pdm_docs/sd/473460001_sd.pdf)
+Micro{space}B{space}USB{space}SMD
+0
+11
+6
+Connector_USB
+USB_Micro-B_Wuerth_614105150721_Vertical
+USB{space}Micro-B{space}receptacle,{space}through-hole,{space}vertical,{space}http://katalog.we-online.de/em/datasheet/614105150721.pdf
+usb{space}micro{space}receptacle{space}vertical
+0
+7
+6
+Connector_USB
+USB_Micro-B_Wuerth_614105150721_Vertical_CircularHoles
+USB{space}Micro-B{space}receptacle,{space}through-hole,{space}vertical,{space}http://katalog.we-online.de/em/datasheet/614105150721.pdf
+usb{space}micro{space}receptacle{space}vertical
+0
+7
+6
+Connector_USB
+USB_Micro-B_Wuerth_629105150521
+USB{space}Micro-B{space}receptacle,{space}http://www.mouser.com/ds/2/445/629105150521-469306.pdf
+usb{space}micro{space}receptacle
+0
+9
+6
+Connector_USB
+USB_Micro-B_Wuerth_629105150521_CircularHoles
+USB{space}Micro-B{space}receptacle,{space}http://www.mouser.com/ds/2/445/629105150521-469306.pdf
+usb{space}micro{space}receptacle
+0
+9
+6
+Connector_USB
+USB_Mini-B_AdamTech_MUSB-B5-S-VT-TSMT-1_SMD_Vertical
+http://www.adam-tech.com/upload/MUSB-B5-S-VT-TSMT-1.pdf
+USB{space}Mini-B
+0
+7
+6
+Connector_USB
+USB_Mini-B_Lumberg_2486_01_Horizontal
+USB{space}Mini-B{space}5-pin{space}SMD{space}connector,{space}http://downloads.lumberg.com/datenblaetter/en/2486_01.pdf
+USB{space}USB_B{space}USB_Mini{space}connector
+0
+9
+6
+Connector_USB
+USB_Mini-B_Tensility_54-00023_Vertical
+http://www.tensility.com/pdffiles/54-00023.pdf
+usb{space}mini{space}receptacle{space}vertical
+0
+7
+6
+Connector_USB
+USB_Mini-B_Tensility_54-00023_Vertical_CircularHoles
+http://www.tensility.com/pdffiles/54-00023.pdf
+usb{space}mini{space}receptacle{space}vertical
+0
+7
+6
+Connector_Wago
+Wago_734-132_1x02_P3.50mm_Vertical
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Straight{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-132{space},{space}2{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}side{space}entry
+0
+2
+2
+Connector_Wago
+Wago_734-133_1x03_P3.50mm_Vertical
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Straight{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-133{space},{space}3{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}side{space}entry
+0
+3
+3
+Connector_Wago
+Wago_734-134_1x04_P3.50mm_Vertical
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Straight{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-134{space},{space}4{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}side{space}entry
+0
+4
+4
+Connector_Wago
+Wago_734-135_1x05_P3.50mm_Vertical
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Straight{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-135{space},{space}5{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}side{space}entry
+0
+5
+5
+Connector_Wago
+Wago_734-136_1x06_P3.50mm_Vertical
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Straight{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-136{space},{space}6{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}side{space}entry
+0
+6
+6
+Connector_Wago
+Wago_734-137_1x07_P3.50mm_Vertical
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Straight{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-137{space},{space}7{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}side{space}entry
+0
+7
+7
+Connector_Wago
+Wago_734-138_1x08_P3.50mm_Vertical
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Straight{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-138{space},{space}8{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}side{space}entry
+0
+8
+8
+Connector_Wago
+Wago_734-139_1x09_P3.50mm_Vertical
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Straight{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-139{space},{space}9{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}side{space}entry
+0
+9
+9
+Connector_Wago
+Wago_734-140_1x10_P3.50mm_Vertical
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Straight{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-140{space},{space}10{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}side{space}entry
+0
+10
+10
+Connector_Wago
+Wago_734-141_1x11_P3.50mm_Vertical
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Straight{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-141{space},{space}11{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}side{space}entry
+0
+11
+11
+Connector_Wago
+Wago_734-142_1x12_P3.50mm_Vertical
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Straight{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-142{space},{space}12{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}side{space}entry
+0
+12
+12
+Connector_Wago
+Wago_734-143_1x13_P3.50mm_Vertical
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Straight{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-143{space},{space}13{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}side{space}entry
+0
+13
+13
+Connector_Wago
+Wago_734-144_1x14_P3.50mm_Vertical
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Straight{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-144{space},{space}14{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}side{space}entry
+0
+14
+14
+Connector_Wago
+Wago_734-146_1x16_P3.50mm_Vertical
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Straight{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-146{space},{space}16{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}side{space}entry
+0
+16
+16
+Connector_Wago
+Wago_734-148_1x18_P3.50mm_Vertical
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Straight{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-148{space},{space}18{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}side{space}entry
+0
+18
+18
+Connector_Wago
+Wago_734-150_1x20_P3.50mm_Vertical
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Straight{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-150{space},{space}20{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}side{space}entry
+0
+20
+20
+Connector_Wago
+Wago_734-154_1x24_P3.50mm_Vertical
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Straight{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-154{space},{space}24{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}side{space}entry
+0
+24
+24
+Connector_Wago
+Wago_734-162_1x02_P3.50mm_Horizontal
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Angled{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-162{space},{space}2{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}top{space}entry
+0
+2
+2
+Connector_Wago
+Wago_734-163_1x03_P3.50mm_Horizontal
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Angled{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-163{space},{space}3{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}top{space}entry
+0
+3
+3
+Connector_Wago
+Wago_734-164_1x04_P3.50mm_Horizontal
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Angled{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-164{space},{space}4{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}top{space}entry
+0
+4
+4
+Connector_Wago
+Wago_734-165_1x05_P3.50mm_Horizontal
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Angled{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-165{space},{space}5{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}top{space}entry
+0
+5
+5
+Connector_Wago
+Wago_734-166_1x06_P3.50mm_Horizontal
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Angled{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-166{space},{space}6{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}top{space}entry
+0
+6
+6
+Connector_Wago
+Wago_734-167_1x07_P3.50mm_Horizontal
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Angled{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-167{space},{space}7{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}top{space}entry
+0
+7
+7
+Connector_Wago
+Wago_734-168_1x08_P3.50mm_Horizontal
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Angled{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-168{space},{space}8{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}top{space}entry
+0
+8
+8
+Connector_Wago
+Wago_734-169_1x09_P3.50mm_Horizontal
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Angled{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-169{space},{space}9{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}top{space}entry
+0
+9
+9
+Connector_Wago
+Wago_734-170_1x10_P3.50mm_Horizontal
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Angled{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-170{space},{space}10{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}top{space}entry
+0
+10
+10
+Connector_Wago
+Wago_734-171_1x11_P3.50mm_Horizontal
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Angled{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-171{space},{space}11{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}top{space}entry
+0
+11
+11
+Connector_Wago
+Wago_734-172_1x12_P3.50mm_Horizontal
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Angled{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-172{space},{space}12{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}top{space}entry
+0
+12
+12
+Connector_Wago
+Wago_734-173_1x13_P3.50mm_Horizontal
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Angled{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-173{space},{space}13{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}top{space}entry
+0
+13
+13
+Connector_Wago
+Wago_734-174_1x14_P3.50mm_Horizontal
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Angled{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-174{space},{space}14{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}top{space}entry
+0
+14
+14
+Connector_Wago
+Wago_734-176_1x16_P3.50mm_Horizontal
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Angled{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-176{space},{space}16{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}top{space}entry
+0
+16
+16
+Connector_Wago
+Wago_734-178_1x18_P3.50mm_Horizontal
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Angled{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-178{space},{space}18{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}top{space}entry
+0
+18
+18
+Connector_Wago
+Wago_734-180_1x20_P3.50mm_Horizontal
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Angled{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-180{space},{space}20{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}top{space}entry
+0
+20
+20
+Connector_Wago
+Wago_734-184_1x24_P3.50mm_Horizontal
+Molex{space}734{space}Male{space}header{space}(for{space}PCBs);{space}Angled{space}solder{space}pin{space}1{space}x{space}1{space}mm,{space}734-184{space},{space}24{space}Pins{space}(http://www.farnell.com/datasheets/2157639.pdf),{space}generated{space}with{space}kicad-footprint-generator
+connector{space}Wago{space}{space}top{space}entry
+0
+24
+24
+Connector_Wire
+SolderWirePad_1x01_Drill0.8mm
+Wire{space}solder{space}connection
+connector
+0
+1
+1
+Connector_Wire
+SolderWirePad_1x01_Drill1.2mm
+Wire{space}solder{space}connection
+connector
+0
+1
+1
+Connector_Wire
+SolderWirePad_1x01_Drill1.5mm
+Wire{space}solder{space}connection
+connector
+0
+1
+1
+Connector_Wire
+SolderWirePad_1x01_Drill1mm
+Wire{space}solder{space}connection
+connector
+0
+1
+1
+Connector_Wire
+SolderWirePad_1x01_Drill2.5mm
+Wire{space}solder{space}connection
+connector
+0
+1
+1
+Connector_Wire
+SolderWirePad_1x01_Drill2mm
+Wire{space}solder{space}connection
+connector
+0
+1
+1
+Connector_Wire
+SolderWirePad_1x01_SMD_5x10mm
+Wire{space}Pad,{space}Square,{space}SMD{space}Pad,{space}{space}5mm{space}x{space}10mm,
+MesurementPoint{space}Square{space}SMDPad{space}5mmx10mm{space}
+0
+1
+1
+Connector_Wire
+SolderWirePad_1x02_P3.81mm_Drill0.8mm
+Wire{space}solder{space}connection
+connector
+0
+2
+2
+Connector_Wire
+SolderWirePad_1x02_P3.81mm_Drill1.2mm
+Wire{space}solder{space}connection
+connector
+0
+2
+2
+Connector_Wire
+SolderWirePad_1x02_P3.81mm_Drill1mm
+Wire{space}solder{space}connection
+connector
+0
+2
+2
+Connector_Wire
+SolderWirePad_1x02_P5.08mm_Drill1.5mm
+Wire{space}solder{space}connection
+connector
+0
+2
+2
+Connector_Wire
+SolderWirePad_1x02_P7.62mm_Drill2.5mm
+Wire{space}solder{space}connection
+connector
+0
+2
+2
+Connector_Wire
+SolderWirePad_1x02_P7.62mm_Drill2mm
+Wire{space}solder{space}connection
+connector
+0
+2
+2
+Connector_Wire
+SolderWirePad_1x03_P3.81mm_Drill1.2mm
+Wire{space}solder{space}connection
+connector
+0
+3
+3
+Connector_Wire
+SolderWirePad_1x03_P3.175mm_Drill0.8mm
+Wire{space}solder{space}connection
+connector
+0
+3
+3
+Connector_Wire
+SolderWirePad_1x03_P3.175mm_Drill1mm
+Wire{space}solder{space}connection
+connector
+0
+3
+3
+Connector_Wire
+SolderWirePad_1x03_P4.445mm_Drill1.5mm
+Wire{space}solder{space}connection
+connector
+0
+3
+3
+Connector_Wire
+SolderWirePad_1x03_P5.715mm_Drill2mm
+Wire{space}solder{space}connection
+connector
+0
+3
+3
+Connector_Wire
+SolderWirePad_1x03_P7.62mm_Drill2.5mm
+Wire{space}solder{space}connection
+connector
+0
+3
+3
+Connector_Wire
+SolderWirePad_1x04_P3.81mm_Drill1.2mm
+Wire{space}solder{space}connection
+connector
+0
+4
+4
+Connector_Wire
+SolderWirePad_1x04_P3.81mm_Drill1mm
+Wire{space}solder{space}connection
+connector
+0
+4
+4
+Connector_Wire
+SolderWirePad_1x04_P3.175mm_Drill0.8mm
+Wire{space}solder{space}connection
+connector
+0
+4
+4
+Connector_Wire
+SolderWirePad_1x04_P5.08mm_Drill1.5mm
+Wire{space}solder{space}connection
+connector
+0
+4
+4
+Connector_Wire
+SolderWirePad_1x04_P6.35mm_Drill2mm
+Wire{space}solder{space}connection
+connector
+0
+4
+4
+Connector_Wire
+SolderWirePad_1x04_P7.62mm_Drill2.5mm
+Wire{space}solder{space}connection
+connector
+0
+4
+4
+Connector_Wire
+SolderWirePad_2x02_P2.54mm_Drill0.8mm
+Wire{space}solder{space}connection
+connector
+0
+4
+4
+Connector_Wire
+SolderWirePad_2x02_P3.81mm_Drill1.2mm
+Wire{space}solder{space}connection
+connector
+0
+4
+4
+Connector_Wire
+SolderWirePad_2x02_P3.81mm_Drill1mm
+Wire{space}solder{space}connection
+connector
+0
+4
+4
+Connector_Wire
+SolderWirePad_2x02_P5.08mm_Drill1.5mm
+Wire{space}solder{space}connection
+connector
+0
+4
+4
+Connector_Wire
+SolderWirePad_2x02_P6.35mm_Drill2mm
+Wire{space}solder{space}connection
+connector
+0
+4
+4
+Connector_Wire
+SolderWirePad_2x02_P7.62mm_Drill2.5mm
+Wire{space}solder{space}connection
+connector
+0
+4
+4
+Converter_ACDC
+Converter_ACDC_Hahn_HS-400xx_THT
+ACDC-Converter,{space}3W,{space}Hahn-HS-400xx,{space}THT{space}https://www.schukat.com/schukat/schukat_cms_de.nsf/index/FrameView?OpenDocument&art=HS40009&wg=M7942
+Hahn{space}ACDC-Converter{space}THT
+0
+4
+4
+Converter_ACDC
+Converter_ACDC_HiLink_HLK-PMxx
+ACDC-Converter,{space}3W,{space}HiLink,{space}HLK-PMxx,{space}THT,{space}http://www.hlktech.net/product_detail.php?ProId=54
+ACDC-Converter{space}3W{space}THT{space}HiLink{space}board{space}mount{space}module
+0
+4
+4
+Converter_ACDC
+Converter_ACDC_MeanWell_IRM-02-xx_SMD
+ACDC-Converter,{space}3W,{space}Meanwell,{space}IRM-02,{space}SMD,{space}https://www.meanwell.com/Upload/PDF/IRM-02/IRM-02-SPEC.PDF
+ACDC-Converter{space}3W
+0
+14
+14
+Converter_ACDC
+Converter_ACDC_MeanWell_IRM-02-xx_THT
+ACDC-Converter,{space}2W,{space}Meanwell,{space}IRM-02,{space}THT,{space}https://www.meanwell.co.uk/media/productPDF/IRM-02-spec.pdf
+ACDC-Converter{space}2W{space}THT
+0
+4
+4
+Converter_ACDC
+Converter_ACDC_MeanWell_IRM-03-xx_SMD
+ACDC-Converter,{space}3W,{space}Meanwell,{space}IRM-03,{space}SMD,{space}http://www.meanwell.com/webapp/product/search.aspx?prod=IRM-03
+ACDC-Converter{space}3W
+0
+14
+14
+Converter_ACDC
+Converter_ACDC_MeanWell_IRM-03-xx_THT
+ACDC-Converter,{space}3W,{space}Meanwell,{space}IRM-03,{space}THT,{space}https://www.meanwell.com/Upload/PDF/IRM-03/IRM-03-SPEC.PDF
+ACDC-Converter{space}3W{space}THT
+0
+5
+5
+Converter_ACDC
+Converter_ACDC_MeanWell_IRM-05-xx_THT
+http://www.meanwell.com/webapp/product/search.aspx?prod=IRM-05
+ACDC-Converter{space}5W{space}{space}{space}Meanwell{space}IRM-05
+0
+4
+4
+Converter_ACDC
+Converter_ACDC_MeanWell_IRM-10-xx_THT
+http://www.meanwell.com/webapp/product/search.aspx?prod=IRM-10
+ACDC-Converter{space}10W{space}{space}{space}Meanwell{space}IRM-10
+0
+4
+4
+Converter_ACDC
+Converter_ACDC_MeanWell_IRM-20-xx_THT
+ACDC-Converter,{space}20W,{space}Meanwell,{space}IRM-20,{space}THT{space}http://www.meanwell.com/webapp/product/search.aspx?prod=IRM-20
+ACDC-Converter{space}20W{space}{space}{space}Meanwell{space}IRM-20
+0
+4
+4
+Converter_ACDC
+Converter_ACDC_RECOM_RAC01-xxSGB_THT
+https://www.recom-power.com/pdf/Powerline-AC-DC/RAC01-GB.pdf
+recom{space}power{space}ac{space}dc{space}rac01xxgb{space}rac01-05sgb{space}rac01-12sgb
+0
+4
+4
+Converter_ACDC
+Converter_ACDC_RECOM_RAC04-xxSGx_THT
+https://www.recom-power.com/pdf/Powerline-AC-DC/RAC04-GA.pdf
+recom{space}power{space}ac{space}dc
+0
+5
+5
+Converter_ACDC
+Converter_ACDC_RECOM_RAC05-xxSK_THT
+https://www.recom-power.com/pdf/Powerline-AC-DC/RAC05-K.pdf
+recom{space}power{space}ac{space}dc
+0
+5
+5
+Converter_ACDC
+Converter_ACDC_TRACO_TMLM-04_THT
+ACDC-Converter,{space}TRACO,{space}TMLM{space}Series{space}04{space}https://www.tracopower.com/products/tmlm.pdf
+ACDC-Converter{space}TRACO{space}TMLM{space}Series{space}04
+0
+7
+7
+Converter_ACDC
+Converter_ACDC_TRACO_TMLM-05_THT
+ACDC-Converter,{space}TRACO{space}TMLM{space}05,https://www.tracopower.com/products/tmlm.pdf
+ACDC-Converter{space}TRACO{space}TMLM{space}05
+0
+4
+4
+Converter_ACDC
+Converter_ACDC_TRACO_TMLM-10-20_THT
+ACDC-Converter,{space}TRACO{space}TMLM{space}10,{space}TRACO{space}TMLM{space}20,{space}https://www.tracopower.com/products/tmlm.pdf
+ACDC-Converter{space}TRACO{space}TMLM{space}10{space}and{space}TMLM{space}20
+0
+4
+4
+Converter_ACDC
+Converter_ACDC_Vigortronix_VTX-214-010-xxx_THT
+Vigortronix{space}VTX-214-010-xxx{space}serie{space}of{space}ACDC{space}converter,{space}http://www.vigortronix.com/10WattACDCPCBPowerModule.aspx
+Vigortronix{space}VTX-214-010-xxx{space}serie{space}of{space}ACDC{space}converter
+0
+4
+4
+Converter_ACDC
+Converter_ACDC_Vigortronix_VTX-214-015-1xx_THT
+Vigortronix{space}VTX-214-010-xxx{space}serie{space}of{space}ACDC{space}converter,{space}http://www.vigortronix.com/10WattACDCPCBPowerModule.aspx
+Vigortronix{space}VTX-214-010-xxx{space}serie{space}of{space}ACDC{space}converter
+0
+5
+5
+Converter_DCDC
+Converter_DCDC_Artesyn_ATA_SMD
+DCDC-Converter,{space}Artesyn,{space}ATA{space}Series,{space}3W{space}Single{space}and{space}Dual{space}Output,{space}1500VDC{space}Isolation,{space}24.0x13.7x8.0mm{space}https://www.artesyn.com/power/assets/ata_series_ds_01apr2015_79c25814fd.pdf{space}https://www.artesyn.com/power/assets/trn_dc-dc_ata_3w_series_releas1430412818_techref.pdf
+DCDC{space}SMD
+0
+7
+7
+Converter_DCDC
+Converter_DCDC_Bothhand_CFUDxxxx_THT
+DCDC-Converter,{space}BOTHHAND,{space}Type{space}CFxxxx-Serie,{space}{space}(Very{space}dodgy{space}url{space}but{space}was{space}the{space}only{space}at{space}hand),{space}
+DCDC-Converter{space}BOTHHAND{space}Type{space}CFxxxx-Serie
+0
+10
+10
+Converter_DCDC
+Converter_DCDC_Bothhand_CFUSxxxxEH_THT
+DCDC-Converter,{space}BOTHHAND,{space}Type{space}CFxxxx-Serie,{space}{space}(Very{space}dodgy{space}url{space}but{space}was{space}the{space}only{space}at{space}hand),{space}
+DCDC-Converter{space}BOTHHAND{space}Type{space}CFxxxx-Serie
+0
+9
+9
+Converter_DCDC
+Converter_DCDC_Bothhand_CFUSxxxx_THT
+DCDC-Converter,{space}BOTHHAND,{space}Type{space}CFxxxx-Serie,{space}{space}(Very{space}dodgy{space}url{space}but{space}was{space}the{space}only{space}at{space}hand),{space}
+DCDC-Converter{space}BOTHHAND{space}Type{space}CFxxxx-Serie
+0
+8
+8
+Converter_DCDC
+Converter_DCDC_Cincon_EC5BExx_Dual_THT
+DCDC-Converter,{space}CINCON,{space}EC5BExx,{space}18-36VDC{space}to{space}Dual{space}output,{space}http://www.cincon.com/upload/media/data%20sheets/Data%20Sheet%20(DC)/B%20CASE/SPEC-EC5BE-V24.pdf
+DCDC-Converter{space}CINCON{space}EC5BExx{space}18-36VDC{space}to{space}Dual{space}output
+0
+6
+6
+Converter_DCDC
+Converter_DCDC_Cincon_EC5BExx_Single_THT
+DCDC-Converter,{space}CINCON,{space}EC5BExx,{space}18-36VDC{space}to{space}dual{space}output,{space}http://www.cincon.com/upload/media/data%20sheets/Data%20Sheet%20(DC)/B%20CASE/SPEC-EC5BE-V24.pdf
+DCDC-Converter{space}CINCON{space}EC5BExx{space}18-36VDC{space}to{space}dual{space}output
+0
+4
+4
+Converter_DCDC
+Converter_DCDC_Cincon_EC6Cxx_Dual-Triple_THT
+DCDC-Converter,{space}CINCON,{space}EC6Cxx,{space}dual{space}or{space}tripple{space}output,{space}http://www.cincon.com/upload/media/data%20sheets/Data%20Sheet%20(DC)/C%20CASE/SPEC-EC6C-V12.pdf
+DCDC-Converter{space}CINCON{space}EC6Cxx{space}dual{space}or{space}tripple{space}output
+0
+7
+7
+Converter_DCDC
+Converter_DCDC_Cincon_EC6Cxx_Single_THT
+DCDC-Converter,{space}CINCON,{space}EC6Cxx,{space}single{space}output,{space}http://www.cincon.com/upload/media/data%20sheets/Data%20Sheet%20(DC)/C%20CASE/SPEC-EC6C-V12.pdf
+DCDC-Converter{space}CINCON{space}EC6Cxx{space}single{space}output
+0
+6
+6
+Converter_DCDC
+Converter_DCDC_MeanWell_NID30_THT
+Meanwell{space}DCDC{space}non-isolated{space}converter{space}SIP{space}module,{space}http://www.meanwell.com/webapp/product/search.aspx?prod=nid30
+DCDC{space}non-isolated{space}converter{space}
+0
+11
+11
+Converter_DCDC
+Converter_DCDC_MeanWell_NID60_THT
+MeanWell{space}NID60,{space}http://www.meanwell.com/Upload/PDF/NID60/NID60-SPEC.PDF
+MeanWell{space}NID60
+0
+11
+11
+Converter_DCDC
+Converter_DCDC_Murata_NCS1SxxxxSC_THT
+Murata{space}NCS1SxxxxSC{space}https://power.murata.com/data/power/ncl/kdc_ncs1.pdf{space}(Script{space}generated{space}with{space}StandardBox.py){space}(Murata{space}NCS1SxxxxSC{space}https://power.murata.com/data/power/ncl/kdc_ncs1.pdf)
+Murata{space}NCS1SxxxxSC
+0
+5
+5
+Converter_DCDC
+Converter_DCDC_RECOM_R-78B-2.0_THT
+DCDC-Converter,{space}RECOM,{space}RECOM_R-78B-2.0,{space}SIP-3,{space}pitch{space}2.54mm,{space}package{space}size{space}11.5x8.5x17.5mm^3,{space}https://www.recom-power.com/pdf/Innoline/R-78Bxx-2.0.pdf
+dc-dc{space}recom{space}buck{space}sip-3{space}pitch{space}2.54mm
+0
+3
+3
+Converter_DCDC
+Converter_DCDC_RECOM_R-78E-0.5_THT
+DCDC-Converter,{space}RECOM,{space}RECOM_R-78E-0.5,{space}SIP-3,{space}pitch{space}2.54mm,{space}package{space}size{space}11.6x8.5x10.4mm^3,{space}https://www.recom-power.com/pdf/Innoline/R-78Exx-0.5.pdf
+dc-dc{space}recom{space}buck{space}sip-3{space}pitch{space}2.54mm
+0
+3
+3
+Converter_DCDC
+Converter_DCDC_RECOM_R-78HB-0.5L_THT
+DCDC-Converter,{space}RECOM,{space}RECOM_R-78HB-0.5L,{space}SIP-3,{space}Horizontally{space}Mounted,{space}pitch{space}2.54mm,{space}package{space}size{space}11.5x8.5x17.5mm^3,{space}https://www.recom-power.com/pdf/Innoline/R-78HBxx-0.5_L.pdf
+dc-dc{space}recom{space}buck{space}sip-3{space}pitch{space}2.54mm
+0
+3
+3
+Converter_DCDC
+Converter_DCDC_RECOM_R-78HB-0.5_THT
+DCDC-Converter,{space}RECOM,{space}RECOM_R-78HB-0.5,{space}SIP-3,{space}pitch{space}2.54mm,{space}package{space}size{space}11.5x8.5x17.5mm^3,{space}https://www.recom-power.com/pdf/Innoline/R-78HBxx-0.5_L.pdf
+dc-dc{space}recom{space}buck{space}sip-3{space}pitch{space}2.54mm
+0
+3
+3
+Converter_DCDC
+Converter_DCDC_RECOM_R-78S-0.1_THT
+DCDC-Converter,{space}RECOM,{space}RECOM_R-78S-0.1,{space}SIP-4,{space}pitch{space}2.54mm,{space}package{space}size{space}11.6x8.5x10.4mm^3,{space}https://www.recom-power.com/pdf/Innoline/R-78Sxx-0.1.pdf
+dc-dc{space}recom{space}buck{space}sip-4{space}pitch{space}2.54mm
+0
+4
+4
+Converter_DCDC
+Converter_DCDC_RECOM_R5xxxDA_THT
+DCDC-Converter,{space}RECOM,{space}RECOM_R5xxxDA,{space}SIP-12,{space}Horizontally{space}Mounted,{space}pitch{space}2.54mm,{space}package{space}size{space}32.2x9.1x15mm^3,{space}https://www.recom-power.com/pdf/Innoline/R-5xxxPA_DA.pdf
+dc-dc{space}recom{space}buck{space}sip-12{space}pitch{space}2.54mm
+0
+12
+12
+Converter_DCDC
+Converter_DCDC_RECOM_R5xxxPA_THT
+DCDC-Converter,{space}RECOM,{space}RECOM_R5xxxPA,{space}SIP-12,{space}pitch{space}2.54mm,{space}package{space}size{space}32.2x9.1x15mm^3,{space}https://www.recom-power.com/pdf/Innoline/R-5xxxPA_DA.pdf
+dc-dc{space}recom{space}buck{space}sip-12{space}pitch{space}2.54mm
+0
+12
+12
+Converter_DCDC
+Converter_DCDC_RECOM_RPMx.x-x.0
+https://www.recom-power.com/pdf/Innoline/RPM-6.0.pdf
+dc-dc{space}recom{space}buck{space}lga-25{space}pitch{space}2.29mm
+0
+25
+25
+Converter_DCDC
+Converter_DCDC_TRACO_TEN10-xxxx_Dual_THT
+DCDC-Converter,{space}TRACO,{space}TEN10-xxxx,{space}single{space}output,{space}https://assets.tracopower.com/20171102100522/TEN10/documents/ten10-datasheet.pdf
+DCDC-Converter{space}TRACO{space}TEN10-xxxx{space}single{space}output
+0
+5
+5
+Converter_DCDC
+Converter_DCDC_TRACO_TEN10-xxxx_Single_THT
+DCDC-Converter,{space}TRACO,{space}TEN10-xxxx,{space}single{space}output,{space}https://assets.tracopower.com/20171102100522/TEN10/documents/ten10-datasheet.pdf
+DCDC-Converter{space}TRACO{space}TEN10-xxxx{space}single{space}output
+0
+4
+4
+Converter_DCDC
+Converter_DCDC_TRACO_TEN10-xxxx_THT
+DCDC-Converter,{space}TRACO,{space}TEN10-xxxx,{space}https://assets.tracopower.com/20171102100522/TEN10/documents/ten10-datasheet.pdf
+DCDC-Converter{space}TRACO{space}TEN10-xxxx
+0
+4
+4
+Converter_DCDC
+Converter_DCDC_TRACO_TEN20-xxxx-N4_THT
+DCDC-Converter{space}TRACO{space}TEN20{space}Generic,{space}https://assets.tracopower.com/20171102100522/TEN20/documents/ten20-datasheet.pdf
+DCDC-Converter{space}TRACO{space}TEN20{space}Generic
+0
+5
+5
+Converter_DCDC
+Converter_DCDC_TRACO_TEN20-xxxx_THT
+DCDC-Converter{space}TRACO{space}TEN20{space}Generic,{space}https://assets.tracopower.com/20171102100522/TEN20/documents/ten20-datasheet.pdf
+DCDC-Converter{space}TRACO{space}TEN20{space}Generic
+0
+6
+6
+Converter_DCDC
+Converter_DCDC_TRACO_TMR-1-xxxx_Dual_THT
+DCDC-Converter,{space}TRACO,{space}TMR{space}1-xxxx,{space}Dual{space}output,{space}Rev.{space}March{space}21.2016
+DCDC-Converter{space}TRACO{space}TMR1-xxxx{space}Dual_output
+0
+5
+5
+Converter_DCDC
+Converter_DCDC_TRACO_TMR-1-xxxx_Single_THT
+DCDC-Converter,{space}TRACO,{space}TMR{space}1-xxxx,{space}Single{space}output,{space}Rev.{space}March{space}21.2016
+DCDC-Converter{space}TRACO{space}TMR1-xxxx{space}Single_output
+0
+4
+4
+Converter_DCDC
+Converter_DCDC_TRACO_TMR-1SM_SMD
+http://assets.tracopower.com/TMR1SM/documents/tmr1sm-datasheet.pdf
+DCDC{space}SMD{space}TRACO{space}TMR-1SM
+0
+7
+7
+Converter_DCDC
+Converter_DCDC_TRACO_TMR-2xxxxWI_THT
+https://www.tracopower.com/products/tmr2wi.pdf
+DCDC-Converter{space}TRACO{space}TMRxxxxWI{space}Single/Dual_output
+0
+7
+7
+Converter_DCDC
+Converter_DCDC_TRACO_TMR-xxxx_THT
+DCDC-Converter,{space}TRACO,{space}TMR{space}xxxx,{space}Single/Dual{space}output,{space}http://www.datasheetlib.com/datasheet/135136/tmr-2-2410e_traco-power.html?page=3#datasheet
+DCDC-Converter{space}TRACO{space}TMRxxxx{space}Single/Dual_output
+0
+7
+7
+Converter_DCDC
+Converter_DCDC_TRACO_TSR-1_THT
+DCDC-Converter,{space}TRACO,{space}TSR{space}1-xxxx
+DCDC-Converter{space}TRACO{space}TSR-1
+0
+3
+3
+Converter_DCDC
+Converter_DCDC_XP_POWER-IA48xxD_THT
+XP_POWER{space}{space}IA48xxD,{space}DIP,{space}(https://www.xppower.com/pdfs/SF_IA.pdf),{space}generated{space}with{space}kicad-footprint-generator
+XP_POWER{space}{space}IA48xxD{space}DIP{space}DCDC-Converter
+0
+6
+6
+Converter_DCDC
+Converter_DCDC_XP_POWER-IA48xxS_THT
+XP_POWER{space}{space}IA48xxS,{space}SIP,{space}(https://www.xppower.com/pdfs/SF_IA.pdf),{space}generated{space}with{space}kicad-footprint-generator
+XP_POWER{space}{space}IA48xxS{space}SIP{space}DCDC-Converter
+0
+5
+5
+Converter_DCDC
+Converter_DCDC_XP_POWER-IAxxxxD_THT
+XP_POWER{space}{space}IAxxxxD,{space}DIP,{space}(https://www.xppower.com/pdfs/SF_IA.pdf),{space}generated{space}with{space}kicad-footprint-generator
+XP_POWER{space}{space}IAxxxxD{space}DIP{space}DCDC-Converter
+0
+6
+6
+Converter_DCDC
+Converter_DCDC_XP_POWER-IAxxxxS_THT
+XP_POWER{space}{space}IAxxxxS,{space}SIP,{space}(https://www.xppower.com/pdfs/SF_IA.pdf),{space}generated{space}with{space}kicad-footprint-generator
+XP_POWER{space}{space}IAxxxxS{space}SIP{space}DCDC-Converter
+0
+5
+5
+Converter_DCDC
+Converter_DCDC_XP_POWER-IHxxxxDH_THT
+XP_POWER{space}{space}IHxxxxDH,{space}DIP,{space}(https://www.xppower.com/pdfs/SF_IH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+XP_POWER{space}{space}IHxxxxDH{space}DIP{space}DCDC-Converter
+0
+6
+6
+Converter_DCDC
+Converter_DCDC_XP_POWER-IHxxxxD_THT
+XP_POWER{space}{space}IHxxxxD,{space}DIP,{space}(https://www.xppower.com/pdfs/SF_IH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+XP_POWER{space}{space}IHxxxxD{space}DIP{space}DCDC-Converter
+0
+6
+6
+Converter_DCDC
+Converter_DCDC_XP_POWER-IHxxxxSH_THT
+XP_POWER{space}{space}IHxxxxSH,{space}SIP,{space}(https://www.xppower.com/pdfs/SF_IH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+XP_POWER{space}{space}IHxxxxSH{space}SIP{space}DCDC-Converter
+0
+5
+5
+Converter_DCDC
+Converter_DCDC_XP_POWER-IHxxxxS_THT
+XP_POWER{space}{space}IHxxxxS,{space}SIP,{space}(https://www.xppower.com/pdfs/SF_IH.pdf),{space}generated{space}with{space}kicad-footprint-generator
+XP_POWER{space}{space}IHxxxxS{space}SIP{space}DCDC-Converter
+0
+5
+5
+Converter_DCDC
+Converter_DCDC_XP_POWER-ISU02_SMD
+DCDC-Converter,{space}XP{space}POWER,{space}ISU02{space}Series,{space}2W{space}Single{space}and{space}Dual{space}Output,{space}1500VDC{space}Isolation,{space}19.0x17.0x8.7mm{space}https://www.xppower.com/Portals/0/pdfs/SF_ISU02.pdf
+DCDC{space}SMD{space}XP{space}POWER{space}ISU02
+0
+7
+7
+Converter_DCDC
+Converter_DCDC_XP_POWER-ITQxxxxS-H_THT
+XP_POWER{space}{space}ITQxxxxS-H,{space}SIP,{space}(https://www.xppower.com/pdfs/SF_ITQ.pdf),{space}generated{space}with{space}kicad-footprint-generator
+XP_POWER{space}{space}ITQxxxxS-H{space}SIP{space}DCDC-Converter
+0
+6
+6
+Converter_DCDC
+Converter_DCDC_XP_POWER-ITXxxxxSA_THT
+XP_POWER{space}{space}ITXxxxxSA,{space}SIP,{space}(https://www.xppower.com/pdfs/SF_ITX.pdf),{space}generated{space}with{space}kicad-footprint-generator
+XP_POWER{space}{space}ITXxxxxSA{space}SIP{space}DCDC-Converter
+0
+5
+5
+Converter_DCDC
+Converter_DCDC_XP_POWER-ITxxxxxS_THT
+XP_POWER{space}{space}ITxxxxxS,{space}SIP,{space}(https://www.xppower.com/pdfs/SF_ITX.pdf{space}https://www.xppower.com/pdfs/SF_ITQ.pdf),{space}generated{space}with{space}kicad-footprint-generator
+XP_POWER{space}{space}ITxxxxxS{space}SIP{space}DCDC-Converter
+0
+5
+5
+Converter_DCDC
+Converter_DCDC_XP_POWER_JTExxxxDxx_THT
+DCDC-Converter,{space}XP{space}POWER,{space}Type{space}JTE06{space}Series,{space}{space}Dual{space}Output
+DCDC-Converter{space}XP_POWER{space}JTE06{space}Dual
+0
+8
+8
+Converter_DCDC
+Converter_DCDC_muRata_CRE1xxxxxx3C_THT
+Isolated{space}1W{space}single{space}output{space}DC/DC,{space}http://power.murata.com/data/power/ncl/kdc_cre1.pdf
+Isolated{space}1W{space}single{space}output{space}DC/DC
+0
+4
+4
+Converter_DCDC
+Converter_DCDC_muRata_CRE1xxxxxxDC_THT
+Isloated{space}DC-DC,{space}http://power.murata.com/data/power/ncl/kdc_cre1.pdf
+Isloated{space}DC-DC
+0
+4
+4
+Converter_DCDC
+Converter_DCDC_muRata_CRE1xxxxxxSC_THT
+http://power.murata.com/data/power/ncl/kdc_cre1.pdf
+murata{space}dc-dc{space}transformer
+0
+4
+4
+Converter_DCDC
+Converter_DCDC_muRata_NMAxxxxDC_THT
+Isolated{space}1W{space}DCDC-Converter,{space}http://power.murata.com/data/power/ncl/kdc_nma.pdf
+Isolated{space}1W{space}DCDC-Converter
+0
+6
+6
+Converter_DCDC
+Converter_DCDC_muRata_NMAxxxxSC_THT
+muRata{space}NMAxxxxSC{space}footprint{space}based{space}on{space}SIP7,{space}http://power.murata.com/data/power/ncl/kdc_nma.pdf
+muRata{space}NMAxxxxSC{space}DCDC-Converter
+0
+5
+5
+Converter_DCDC
+Converter_DCDC_muRata_NXE2SxxxxMC_THT
+Isolated{space}2W{space}Single{space}Output{space}SM{space}DC/DC{space}Converters,{space}http://power.murata.com/data/power/ncl/kdc_nxe2.pdf
+Isolated{space}2W{space}Single{space}Output{space}SM{space}DC/DC{space}Converters
+0
+5
+5
+Converter_DCDC
+Converter_DCDC_muRata_OKI-78SR_Horizontal
+https://power.murata.com/data/power/oki-78sr.pdf
+78sr3.3{space}78sr5{space}78sr9{space}78sr12{space}78srXX
+0
+3
+3
+Converter_DCDC
+Converter_DCDC_muRata_OKI-78SR_Vertical
+https://power.murata.com/data/power/oki-78sr.pdf
+78sr3.3{space}78sr5{space}78sr9{space}78sr12{space}78srXX
+0
+3
+3
+Crystal
+Crystal_AT310_D3.0mm_L10.0mm_Horizontal
+Crystal{space}THT{space}AT310{space}10.0mm-10.5mm{space}length{space}3.0mm{space}diameter
+['AT310']
+0
+2
+2
+Crystal
+Crystal_AT310_D3.0mm_L10.0mm_Horizontal_1EP_style1
+Crystal{space}THT{space}AT310{space}10.0mm-10.5mm{space}length{space}3.0mm{space}diameter
+['AT310']
+0
+3
+3
+Crystal
+Crystal_AT310_D3.0mm_L10.0mm_Horizontal_1EP_style2
+Crystal{space}THT{space}AT310{space}10.0mm-10.5mm{space}length{space}3.0mm{space}diameter
+['AT310']
+0
+5
+3
+Crystal
+Crystal_AT310_D3.0mm_L10.0mm_Vertical
+Crystal{space}THT{space}AT310{space}10.0mm-10.5mm{space}length{space}3.0mm{space}diameter
+['AT310']
+0
+2
+2
+Crystal
+Crystal_C26-LF_D2.1mm_L6.5mm_Horizontal
+Crystal{space}THT{space}C26-LF{space}6.5mm{space}length{space}2.06mm{space}diameter
+['C26-LF']
+0
+2
+2
+Crystal
+Crystal_C26-LF_D2.1mm_L6.5mm_Horizontal_1EP_style1
+Crystal{space}THT{space}C26-LF{space}6.5mm{space}length{space}2.06mm{space}diameter
+['C26-LF']
+0
+3
+3
+Crystal
+Crystal_C26-LF_D2.1mm_L6.5mm_Horizontal_1EP_style2
+Crystal{space}THT{space}C26-LF{space}6.5mm{space}length{space}2.06mm{space}diameter
+['C26-LF']
+0
+5
+3
+Crystal
+Crystal_C26-LF_D2.1mm_L6.5mm_Vertical
+Crystal{space}THT{space}C26-LF{space}6.5mm{space}length{space}2.06mm{space}diameter
+['C26-LF']
+0
+2
+2
+Crystal
+Crystal_C38-LF_D3.0mm_L8.0mm_Horizontal
+Crystal{space}THT{space}C38-LF{space}8.0mm{space}length{space}3.0mm{space}diameter
+['C38-LF']
+0
+2
+2
+Crystal
+Crystal_C38-LF_D3.0mm_L8.0mm_Horizontal_1EP_style1
+Crystal{space}THT{space}C38-LF{space}8.0mm{space}length{space}3.0mm{space}diameter
+['C38-LF']
+0
+3
+3
+Crystal
+Crystal_C38-LF_D3.0mm_L8.0mm_Horizontal_1EP_style2
+Crystal{space}THT{space}C38-LF{space}8.0mm{space}length{space}3.0mm{space}diameter
+['C38-LF']
+0
+5
+3
+Crystal
+Crystal_C38-LF_D3.0mm_L8.0mm_Vertical
+Crystal{space}THT{space}C38-LF{space}8.0mm{space}length{space}3.0mm{space}diameter
+['C38-LF']
+0
+2
+2
+Crystal
+Crystal_DS10_D1.0mm_L4.3mm_Horizontal
+Crystal{space}THT{space}DS10{space}4.3mm{space}length{space}1.0mm{space}diameter{space}http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf
+['DS10']
+0
+2
+2
+Crystal
+Crystal_DS10_D1.0mm_L4.3mm_Horizontal_1EP_style1
+Crystal{space}THT{space}DS10{space}4.3mm{space}length{space}1.0mm{space}diameter{space}http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf
+['DS10']
+0
+3
+3
+Crystal
+Crystal_DS10_D1.0mm_L4.3mm_Horizontal_1EP_style2
+Crystal{space}THT{space}DS10{space}4.3mm{space}length{space}1.0mm{space}diameter{space}http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf
+['DS10']
+0
+5
+3
+Crystal
+Crystal_DS10_D1.0mm_L4.3mm_Vertical
+Crystal{space}THT{space}DS10{space}4.3mm{space}length{space}1.0mm{space}diameter{space}http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf
+['DS10']
+0
+2
+2
+Crystal
+Crystal_DS15_D1.5mm_L5.0mm_Horizontal
+Crystal{space}THT{space}DS15{space}5.0mm{space}length{space}1.5mm{space}diameter{space}http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf
+['DS15']
+0
+2
+2
+Crystal
+Crystal_DS15_D1.5mm_L5.0mm_Horizontal_1EP_style1
+Crystal{space}THT{space}DS15{space}5.0mm{space}length{space}1.5mm{space}diameter{space}http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf
+['DS15']
+0
+3
+3
+Crystal
+Crystal_DS15_D1.5mm_L5.0mm_Horizontal_1EP_style2
+Crystal{space}THT{space}DS15{space}5.0mm{space}length{space}1.5mm{space}diameter{space}http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf
+['DS15']
+0
+5
+3
+Crystal
+Crystal_DS15_D1.5mm_L5.0mm_Vertical
+Crystal{space}THT{space}DS15{space}5.0mm{space}length{space}1.5mm{space}diameter{space}http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf
+['DS15']
+0
+2
+2
+Crystal
+Crystal_DS26_D2.0mm_L6.0mm_Horizontal
+Crystal{space}THT{space}DS26{space}6.0mm{space}length{space}2.0mm{space}diameter{space}http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf
+['DS26']
+0
+2
+2
+Crystal
+Crystal_DS26_D2.0mm_L6.0mm_Horizontal_1EP_style1
+Crystal{space}THT{space}DS26{space}6.0mm{space}length{space}2.0mm{space}diameter{space}http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf
+['DS26']
+0
+3
+3
+Crystal
+Crystal_DS26_D2.0mm_L6.0mm_Horizontal_1EP_style2
+Crystal{space}THT{space}DS26{space}6.0mm{space}length{space}2.0mm{space}diameter{space}http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf
+['DS26']
+0
+5
+3
+Crystal
+Crystal_DS26_D2.0mm_L6.0mm_Vertical
+Crystal{space}THT{space}DS26{space}6.0mm{space}length{space}2.0mm{space}diameter{space}http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf
+['DS26']
+0
+2
+2
+Crystal
+Crystal_HC18-U_Horizontal
+Crystal{space}THT{space}HC-18/U{space}http://5hertz.com/pdfs/04404_D.pdf
+THT{space}crystal
+0
+2
+2
+Crystal
+Crystal_HC18-U_Horizontal_1EP_style1
+Crystal{space}THT{space}HC-18/U{space}http://5hertz.com/pdfs/04404_D.pdf
+THT{space}crystal
+0
+3
+3
+Crystal
+Crystal_HC18-U_Horizontal_1EP_style2
+Crystal{space}THT{space}HC-18/U{space}http://5hertz.com/pdfs/04404_D.pdf
+THT{space}crystal
+0
+5
+3
+Crystal
+Crystal_HC18-U_Vertical
+Crystal{space}THT{space}HC-18/U,{space}http://5hertz.com/pdfs/04404_D.pdf
+THT{space}crystalHC-18/U
+0
+2
+2
+Crystal
+Crystal_HC33-U_Horizontal
+Crystal{space}THT{space}HC-33/U{space}http://pdi.bentech-taiwan.com/PDI/GEN20SPEV20HC3320U.pdf
+THT{space}crystal
+0
+2
+2
+Crystal
+Crystal_HC33-U_Horizontal_1EP_style1
+Crystal{space}THT{space}HC-33/U{space}http://pdi.bentech-taiwan.com/PDI/GEN20SPEV20HC3320U.pdf
+THT{space}crystal
+0
+3
+3
+Crystal
+Crystal_HC33-U_Horizontal_1EP_style2
+Crystal{space}THT{space}HC-33/U{space}http://pdi.bentech-taiwan.com/PDI/GEN20SPEV20HC3320U.pdf
+THT{space}crystal
+0
+5
+3
+Crystal
+Crystal_HC33-U_Vertical
+Crystal{space}THT{space}HC-33/U,{space}http://pdi.bentech-taiwan.com/PDI/GEN20SPEV20HC3320U.pdf
+THT{space}crystalHC-33/U
+0
+2
+2
+Crystal
+Crystal_HC35-U
+Crystal,{space}Quarz,{space}HC35/U,{space}http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/TO71xx.pdf
+Crystal{space}Quarz{space}HC35/U
+0
+3
+3
+Crystal
+Crystal_HC49-4H_Vertical
+Crystal{space}THT{space}HC-49-4H{space}http://5hertz.com/pdfs/04404_D.pdf
+THT{space}crystalHC-49-4H
+0
+2
+2
+Crystal
+Crystal_HC49-U-3Pin_Vertical
+Crystal{space}THT{space}HC-49/U,{space}3pin-version,{space}http://www.raltron.com/products/pdfspecs/crystal_hc_49_45_51.pdf
+THT{space}crystalHC-49/U
+0
+3
+3
+Crystal
+Crystal_HC49-U_Horizontal
+Crystal{space}THT{space}HC-49/U{space}http://5hertz.com/pdfs/04404_D.pdf
+THT{space}crystal
+0
+2
+2
+Crystal
+Crystal_HC49-U_Horizontal_1EP_style1
+Crystal{space}THT{space}HC-49/U{space}http://5hertz.com/pdfs/04404_D.pdf
+THT{space}crystal
+0
+3
+3
+Crystal
+Crystal_HC49-U_Horizontal_1EP_style2
+Crystal{space}THT{space}HC-49/U{space}http://5hertz.com/pdfs/04404_D.pdf
+THT{space}crystal
+0
+5
+3
+Crystal
+Crystal_HC49-U_Vertical
+Crystal{space}THT{space}HC-49/U{space}http://5hertz.com/pdfs/04404_D.pdf
+THT{space}crystalHC-49/U
+0
+2
+2
+Crystal
+Crystal_HC50_Horizontal
+Crystal{space}THT{space}HC-50{space}http://www.crovencrystals.com/croven_pdf/HC-50_Crystal_Holder_Rev_00.pdf
+THT{space}crystal
+0
+2
+2
+Crystal
+Crystal_HC50_Horizontal_1EP_style1
+Crystal{space}THT{space}HC-50{space}http://www.crovencrystals.com/croven_pdf/HC-50_Crystal_Holder_Rev_00.pdf
+THT{space}crystal
+0
+3
+3
+Crystal
+Crystal_HC50_Horizontal_1EP_style2
+Crystal{space}THT{space}HC-50{space}http://www.crovencrystals.com/croven_pdf/HC-50_Crystal_Holder_Rev_00.pdf
+THT{space}crystal
+0
+5
+3
+Crystal
+Crystal_HC50_Vertical
+Crystal{space}THT{space}HC-50,{space}http://www.crovencrystals.com/croven_pdf/HC-50_Crystal_Holder_Rev_00.pdf
+THT{space}crystalHC-50
+0
+2
+2
+Crystal
+Crystal_HC51-U_Vertical
+Crystal{space}THT{space}HC-51/U,{space}http://www.crovencrystals.com/croven_pdf/HC-51_Crystal_Holder_Rev_00.pdf
+THT{space}crystalHC-51/U
+0
+2
+2
+Crystal
+Crystal_HC51_Horizontal
+Crystal{space}THT{space}HC-51{space}http://www.crovencrystals.com/croven_pdf/HC-51_Crystal_Holder_Rev_00.pdf
+THT{space}crystal
+0
+2
+2
+Crystal
+Crystal_HC51_Horizontal_1EP_style1
+Crystal{space}THT{space}HC-51{space}http://www.crovencrystals.com/croven_pdf/HC-51_Crystal_Holder_Rev_00.pdf
+THT{space}crystal
+0
+3
+3
+Crystal
+Crystal_HC51_Horizontal_1EP_style2
+Crystal{space}THT{space}HC-51{space}http://www.crovencrystals.com/croven_pdf/HC-51_Crystal_Holder_Rev_00.pdf
+THT{space}crystal
+0
+5
+3
+Crystal
+Crystal_HC52-6mm_Horizontal
+Crystal{space}THT{space}HC-51/6mm{space}http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf
+THT{space}crystal
+0
+2
+2
+Crystal
+Crystal_HC52-6mm_Horizontal_1EP_style1
+Crystal{space}THT{space}HC-51/6mm{space}http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf
+THT{space}crystal
+0
+3
+3
+Crystal
+Crystal_HC52-6mm_Horizontal_1EP_style2
+Crystal{space}THT{space}HC-51/6mm{space}http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf
+THT{space}crystal
+0
+5
+3
+Crystal
+Crystal_HC52-6mm_Vertical
+Crystal{space}THT{space}HC-52/6mm,{space}http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf
+THT{space}crystalHC-49/U
+0
+2
+2
+Crystal
+Crystal_HC52-8mm_Horizontal
+Crystal{space}THT{space}HC-51/8mm{space}http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf
+THT{space}crystal
+0
+2
+2
+Crystal
+Crystal_HC52-8mm_Horizontal_1EP_style1
+Crystal{space}THT{space}HC-51/8mm{space}http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf
+THT{space}crystal
+0
+3
+3
+Crystal
+Crystal_HC52-8mm_Horizontal_1EP_style2
+Crystal{space}THT{space}HC-51/8mm{space}http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf
+THT{space}crystal
+0
+5
+3
+Crystal
+Crystal_HC52-8mm_Vertical
+Crystal{space}THT{space}HC-52/8mm,{space}http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf
+THT{space}crystalHC-49/U
+0
+2
+2
+Crystal
+Crystal_HC52-U-3Pin_Vertical
+Crystal{space}THT{space}HC-52/U,{space}http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf
+THT{space}crystalHC-52/U
+0
+3
+3
+Crystal
+Crystal_HC52-U_Horizontal
+Crystal{space}THT{space}HC-51/U{space}http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf
+THT{space}crystal
+0
+2
+2
+Crystal
+Crystal_HC52-U_Horizontal_1EP_style1
+Crystal{space}THT{space}HC-51/U{space}http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf
+THT{space}crystal
+0
+3
+3
+Crystal
+Crystal_HC52-U_Horizontal_1EP_style2
+Crystal{space}THT{space}HC-51/U{space}http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf
+THT{space}crystal
+0
+5
+3
+Crystal
+Crystal_HC52-U_Vertical
+Crystal{space}THT{space}HC-52/U,{space}http://www.kvg-gmbh.de/assets/uploads/files/product_pdfs/XS71xx.pdf
+THT{space}crystal{space}HC-52/U
+0
+2
+2
+Crystal
+Crystal_Round_D1.0mm_Vertical
+Crystal{space}THT{space}DS10{space}1.0mm{space}diameter{space}http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf
+['DS10']
+0
+2
+2
+Crystal
+Crystal_Round_D1.5mm_Vertical
+Crystal{space}THT{space}DS15{space}5.0mm{space}length{space}1.5mm{space}diameter{space}http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf
+['DS15']
+0
+2
+2
+Crystal
+Crystal_Round_D2.0mm_Vertical
+Crystal{space}THT{space}DS26{space}6.0mm{space}length{space}2.0mm{space}diameter{space}http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/DS-Series.pdf
+['DS26']
+0
+2
+2
+Crystal
+Crystal_Round_D3.0mm_Vertical
+Crystal{space}THT{space}C38-LF{space}8.0mm{space}length{space}3.0mm{space}diameter
+['C38-LF']
+0
+2
+2
+Crystal
+Crystal_SMD_0603-2Pin_6.0x3.5mm
+SMD{space}Crystal{space}SERIES{space}SMD0603/2{space}http://www.petermann-technik.de/fileadmin/petermann/pdf/SMD0603-2.pdf,{space}6.0x3.5mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+2
+2
+Crystal
+Crystal_SMD_0603-2Pin_6.0x3.5mm_HandSoldering
+SMD{space}Crystal{space}SERIES{space}SMD0603/2{space}http://www.petermann-technik.de/fileadmin/petermann/pdf/SMD0603-2.pdf,{space}hand-soldering,{space}6.0x3.5mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+2
+2
+Crystal
+Crystal_SMD_0603-4Pin_6.0x3.5mm
+SMD{space}Crystal{space}SERIES{space}SMD0603/4{space}http://www.petermann-technik.de/fileadmin/petermann/pdf/SMD0603-4.pdf,{space}6.0x3.5mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+4
+4
+Crystal
+Crystal_SMD_0603-4Pin_6.0x3.5mm_HandSoldering
+SMD{space}Crystal{space}SERIES{space}SMD0603/4{space}http://www.petermann-technik.de/fileadmin/petermann/pdf/SMD0603-4.pdf,{space}hand-soldering,{space}6.0x3.5mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+4
+4
+Crystal
+Crystal_SMD_2012-2Pin_2.0x1.2mm
+SMD{space}Crystal{space}2012/2{space}http://txccrystal.com/images/pdf/9ht11.pdf,{space}2.0x1.2mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+2
+2
+Crystal
+Crystal_SMD_2012-2Pin_2.0x1.2mm_HandSoldering
+SMD{space}Crystal{space}2012/2{space}http://txccrystal.com/images/pdf/9ht11.pdf,{space}hand-soldering,{space}2.0x1.2mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+2
+2
+Crystal
+Crystal_SMD_2016-4Pin_2.0x1.6mm
+SMD{space}Crystal{space}SERIES{space}SMD2016/4{space}http://www.q-crystal.com/upload/5/2015552223166229.pdf,{space}2.0x1.6mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+4
+4
+Crystal
+Crystal_SMD_2520-4Pin_2.5x2.0mm
+SMD{space}Crystal{space}SERIES{space}SMD2520/4{space}http://www.newxtal.com/UploadFiles/Images/2012-11-12-09-29-09-776.pdf,{space}2.5x2.0mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+4
+4
+Crystal
+Crystal_SMD_3215-2Pin_3.2x1.5mm
+SMD{space}Crystal{space}FC-135{space}https://support.epson.biz/td/api/doc_check.php?dl=brief_FC-135R_en.pdf
+SMD{space}SMT{space}Crystal
+0
+2
+2
+Crystal
+Crystal_SMD_3225-4Pin_3.2x2.5mm
+SMD{space}Crystal{space}SERIES{space}SMD3225/4{space}http://www.txccrystal.com/images/pdf/7m-accuracy.pdf,{space}3.2x2.5mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+4
+4
+Crystal
+Crystal_SMD_3225-4Pin_3.2x2.5mm_HandSoldering
+SMD{space}Crystal{space}SERIES{space}SMD3225/4{space}http://www.txccrystal.com/images/pdf/7m-accuracy.pdf,{space}hand-soldering,{space}3.2x2.5mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+4
+4
+Crystal
+Crystal_SMD_5032-2Pin_5.0x3.2mm
+SMD{space}Crystal{space}SERIES{space}SMD2520/2{space}http://www.icbase.com/File/PDF/HKC/HKC00061008.pdf,{space}5.0x3.2mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+2
+2
+Crystal
+Crystal_SMD_5032-2Pin_5.0x3.2mm_HandSoldering
+SMD{space}Crystal{space}SERIES{space}SMD2520/2{space}http://www.icbase.com/File/PDF/HKC/HKC00061008.pdf,{space}hand-soldering,{space}5.0x3.2mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+2
+2
+Crystal
+Crystal_SMD_5032-4Pin_5.0x3.2mm
+SMD{space}Crystal{space}SERIES{space}SMD2520/4{space}http://www.icbase.com/File/PDF/HKC/HKC00061008.pdf,{space}5.0x3.2mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+4
+4
+Crystal
+Crystal_SMD_7050-2Pin_7.0x5.0mm
+SMD{space}Crystal{space}SERIES{space}SMD7050/4{space}https://www.foxonline.com/pdfs/FQ7050.pdf,{space}7.0x5.0mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+2
+2
+Crystal
+Crystal_SMD_7050-2Pin_7.0x5.0mm_HandSoldering
+SMD{space}Crystal{space}SERIES{space}SMD7050/4{space}https://www.foxonline.com/pdfs/FQ7050.pdf,{space}hand-soldering,{space}7.0x5.0mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+2
+2
+Crystal
+Crystal_SMD_7050-4Pin_7.0x5.0mm
+SMD{space}Crystal{space}SERIES{space}SMD7050/4{space}https://www.foxonline.com/pdfs/FQ7050.pdf,{space}7.0x5.0mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+4
+4
+Crystal
+Crystal_SMD_Abracon_ABM3-2Pin_5.0x3.2mm
+Abracon{space}Miniature{space}Ceramic{space}Smd{space}Crystal{space}ABM3{space}http://www.abracon.com/Resonators/abm3.pdf,{space}5.0x3.2mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+2
+2
+Crystal
+Crystal_SMD_Abracon_ABM3-2Pin_5.0x3.2mm_HandSoldering
+Abracon{space}Miniature{space}Ceramic{space}Smd{space}Crystal{space}ABM3{space}http://www.abracon.com/Resonators/abm3.pdf,{space}hand-soldering,{space}5.0x3.2mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+2
+2
+Crystal
+Crystal_SMD_Abracon_ABM3B-4Pin_5.0x3.2mm
+Abracon{space}Miniature{space}Ceramic{space}Smd{space}Crystal{space}ABM3B{space}http://www.abracon.com/Resonators/abm3b.pdf,{space}5.0x3.2mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+4
+4
+Crystal
+Crystal_SMD_Abracon_ABM3C-4Pin_5.0x3.2mm
+Abracon{space}Miniature{space}Ceramic{space}Smd{space}Crystal{space}ABM3C{space}http://www.abracon.com/Resonators/abm3c.pdf,{space}5.0x3.2mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+4
+4
+Crystal
+Crystal_SMD_Abracon_ABM7-2Pin_6.0x3.5mm
+SMD{space}Crystal{space}Abracon{space}ABM7,{space}https://abracon.com/Resonators/abm7.pdf
+SMD{space}SMT{space}crystal
+0
+2
+2
+Crystal
+Crystal_SMD_Abracon_ABM8G-4Pin_3.2x2.5mm
+Abracon{space}Miniature{space}Ceramic{space}Smd{space}Crystal{space}ABM8G{space}http://www.abracon.com/Resonators/ABM8G.pdf,{space}3.2x2.5mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+4
+4
+Crystal
+Crystal_SMD_Abracon_ABM10-4Pin_2.5x2.0mm
+Abracon{space}Miniature{space}Ceramic{space}Smd{space}Crystal{space}ABM10{space}http://www.abracon.com/Resonators/ABM10.pdf
+SMD{space}SMT{space}crystal{space}Abracon{space}ABM10
+0
+4
+4
+Crystal
+Crystal_SMD_Abracon_ABS25-4Pin_8.0x3.8mm
+Abracon{space}Miniature{space}Ceramic{space}SMD{space}Crystal{space}ABS25{space}https://abracon.com/Resonators/abs25.pdf,{space}8.0x3.8mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+4
+4
+Crystal
+Crystal_SMD_ECS_CSM3X-2Pin_7.6x4.1mm
+http://www.ecsxtal.com/store/pdf/CSM-3X.pdf
+Crystal{space}CSM-3X
+0
+2
+2
+Crystal
+Crystal_SMD_EuroQuartz_EQ161-2Pin_3.2x1.5mm
+SMD{space}Crystal{space}EuroQuartz{space}EQ161{space}series{space}http://cdn-reichelt.de/documents/datenblatt/B400/PG32768C.pdf,{space}3.2x1.5mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+2
+2
+Crystal
+Crystal_SMD_EuroQuartz_EQ161-2Pin_3.2x1.5mm_HandSoldering
+SMD{space}Crystal{space}EuroQuartz{space}EQ161{space}series{space}http://cdn-reichelt.de/documents/datenblatt/B400/PG32768C.pdf,{space}hand-soldering,{space}3.2x1.5mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+2
+2
+Crystal
+Crystal_SMD_EuroQuartz_MJ-4Pin_5.0x3.2mm
+SMD{space}Crystal{space}EuroQuartz{space}MJ{space}series{space}http://cdn-reichelt.de/documents/datenblatt/B400/MJ.pdf,{space}5.0x3.2mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+4
+4
+Crystal
+Crystal_SMD_EuroQuartz_MJ-4Pin_5.0x3.2mm_HandSoldering
+SMD{space}Crystal{space}EuroQuartz{space}MJ{space}series{space}http://cdn-reichelt.de/documents/datenblatt/B400/MJ.pdf,{space}hand-soldering,{space}5.0x3.2mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+4
+4
+Crystal
+Crystal_SMD_EuroQuartz_MQ-4Pin_7.0x5.0mm
+SMD{space}Crystal{space}EuroQuartz{space}MQ{space}series{space}http://cdn-reichelt.de/documents/datenblatt/B400/MQ.pdf,{space}7.0x5.0mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+4
+4
+Crystal
+Crystal_SMD_EuroQuartz_MQ-4Pin_7.0x5.0mm_HandSoldering
+SMD{space}Crystal{space}EuroQuartz{space}MQ{space}series{space}http://cdn-reichelt.de/documents/datenblatt/B400/MQ.pdf,{space}hand-soldering,{space}7.0x5.0mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+4
+4
+Crystal
+Crystal_SMD_EuroQuartz_MQ2-2Pin_7.0x5.0mm
+SMD{space}Crystal{space}EuroQuartz{space}MQ2{space}series{space}http://cdn-reichelt.de/documents/datenblatt/B400/MQ.pdf,{space}7.0x5.0mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+2
+2
+Crystal
+Crystal_SMD_EuroQuartz_MQ2-2Pin_7.0x5.0mm_HandSoldering
+SMD{space}Crystal{space}EuroQuartz{space}MQ2{space}series{space}http://cdn-reichelt.de/documents/datenblatt/B400/MQ.pdf,{space}hand-soldering,{space}7.0x5.0mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+2
+2
+Crystal
+Crystal_SMD_EuroQuartz_MT-4Pin_3.2x2.5mm
+SMD{space}Crystal{space}EuroQuartz{space}MT{space}series{space}http://cdn-reichelt.de/documents/datenblatt/B400/MT.pdf,{space}3.2x2.5mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+4
+4
+Crystal
+Crystal_SMD_EuroQuartz_MT-4Pin_3.2x2.5mm_HandSoldering
+SMD{space}Crystal{space}EuroQuartz{space}MT{space}series{space}http://cdn-reichelt.de/documents/datenblatt/B400/MT.pdf,{space}hand-soldering,{space}3.2x2.5mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+4
+4
+Crystal
+Crystal_SMD_EuroQuartz_X22-4Pin_2.5x2.0mm
+SMD{space}Crystal{space}EuroQuartz{space}X22{space}series{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_X22.pdf,{space}2.5x2.0mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+4
+4
+Crystal
+Crystal_SMD_EuroQuartz_X22-4Pin_2.5x2.0mm_HandSoldering
+SMD{space}Crystal{space}EuroQuartz{space}X22{space}series{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_X22.pdf,{space}hand-soldering,{space}2.5x2.0mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+4
+4
+Crystal
+Crystal_SMD_FOX_FE-2Pin_7.5x5.0mm
+crystal{space}Ceramic{space}Resin{space}Sealed{space}SMD{space}http://www.foxonline.com/pdfs/fe.pdf,{space}7.5x5.0mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+2
+2
+Crystal
+Crystal_SMD_FOX_FE-2Pin_7.5x5.0mm_HandSoldering
+crystal{space}Ceramic{space}Resin{space}Sealed{space}SMD{space}http://www.foxonline.com/pdfs/fe.pdf,{space}hand-soldering,{space}7.5x5.0mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+2
+2
+Crystal
+Crystal_SMD_FOX_FQ7050-2Pin_7.0x5.0mm
+FOX{space}SMD{space}Crystal{space}SERIES{space}SMD7050/4{space}https://www.foxonline.com/pdfs/FQ7050.pdf,{space}7.0x5.0mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+2
+2
+Crystal
+Crystal_SMD_FOX_FQ7050-2Pin_7.0x5.0mm_HandSoldering
+FOX{space}SMD{space}Crystal{space}SERIES{space}SMD7050/4{space}https://www.foxonline.com/pdfs/FQ7050.pdf,{space}hand-soldering,{space}7.0x5.0mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+2
+2
+Crystal
+Crystal_SMD_FOX_FQ7050-4Pin_7.0x5.0mm
+FOX{space}SMD{space}Crystal{space}SERIES{space}SMD7050/4{space}https://www.foxonline.com/pdfs/FQ7050.pdf,{space}7.0x5.0mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+4
+4
+Crystal
+Crystal_SMD_FrontierElectronics_FM206
+SMD{space}Watch{space}Crystal{space}FrontierElectronics{space}FM206{space}6.0mm{space}length{space}1.9mm{space}diameter{space}http://www.chinafronter.com/wp-content/uploads/2013/12/FM206.pdf
+['FM206']
+0
+3
+3
+Crystal
+Crystal_SMD_G8-2Pin_3.2x1.5mm
+SMD{space}Crystal{space}G8,{space}3.2x1.5mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+2
+2
+Crystal
+Crystal_SMD_G8-2Pin_3.2x1.5mm_HandSoldering
+SMD{space}Crystal{space}G8,{space}hand-soldering,{space}3.2x1.5mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+2
+2
+Crystal
+Crystal_SMD_HC49-SD
+SMD{space}Crystal{space}HC-49-SD{space}http://cdn-reichelt.de/documents/datenblatt/B400/xxx-HC49-SMD.pdf,{space}11.4x4.7mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+2
+2
+Crystal
+Crystal_SMD_HC49-SD_HandSoldering
+SMD{space}Crystal{space}HC-49-SD{space}http://cdn-reichelt.de/documents/datenblatt/B400/xxx-HC49-SMD.pdf,{space}hand-soldering,{space}11.4x4.7mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+2
+2
+Crystal
+Crystal_SMD_MicroCrystal_CC1V-T1A-2Pin_8.0x3.7mm
+SMD{space}Crystal{space}MicroCrystal{space}CC1V-T1A{space}series{space}http://www.microcrystal.com/images/_Product-Documentation/01_TF_ceramic_Packages/01_Datasheet/CC1V-T1A.pdf,{space}8.0x3.7mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+2
+2
+Crystal
+Crystal_SMD_MicroCrystal_CC1V-T1A-2Pin_8.0x3.7mm_HandSoldering
+SMD{space}Crystal{space}MicroCrystal{space}CC1V-T1A{space}series{space}http://www.microcrystal.com/images/_Product-Documentation/01_TF_ceramic_Packages/01_Datasheet/CC1V-T1A.pdf,{space}hand-soldering,{space}8.0x3.7mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+2
+2
+Crystal
+Crystal_SMD_MicroCrystal_CC4V-T1A-2Pin_5.0x1.9mm
+SMD{space}Crystal{space}MicroCrystal{space}CC4V-T1A{space}series{space}http://cdn-reichelt.de/documents/datenblatt/B400/CC4V-T1A.pdf,{space}5.0x1.9mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+2
+2
+Crystal
+Crystal_SMD_MicroCrystal_CC4V-T1A-2Pin_5.0x1.9mm_HandSoldering
+SMD{space}Crystal{space}MicroCrystal{space}CC4V-T1A{space}series{space}http://cdn-reichelt.de/documents/datenblatt/B400/CC4V-T1A.pdf,{space}hand-soldering,{space}5.0x1.9mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+2
+2
+Crystal
+Crystal_SMD_MicroCrystal_CC5V-T1A-2Pin_4.1x1.5mm
+SMD{space}Crystal{space}MicroCrystal{space}CC5V-T1A{space}series{space}http://cdn-reichelt.de/documents/datenblatt/B400/CC5V-T1A.pdf,{space}4.1x1.5mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+2
+2
+Crystal
+Crystal_SMD_MicroCrystal_CC5V-T1A-2Pin_4.1x1.5mm_HandSoldering
+SMD{space}Crystal{space}MicroCrystal{space}CC5V-T1A{space}series{space}http://cdn-reichelt.de/documents/datenblatt/B400/CC5V-T1A.pdf,{space}hand-soldering,{space}4.1x1.5mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+2
+2
+Crystal
+Crystal_SMD_MicroCrystal_CC7V-T1A-2Pin_3.2x1.5mm
+SMD{space}Crystal{space}MicroCrystal{space}CC7V-T1A/CM7V-T1A{space}series{space}http://www.microcrystal.com/images/_Product-Documentation/01_TF_ceramic_Packages/01_Datasheet/CC1V-T1A.pdf,{space}3.2x1.5mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+2
+2
+Crystal
+Crystal_SMD_MicroCrystal_CC7V-T1A-2Pin_3.2x1.5mm_HandSoldering
+SMD{space}Crystal{space}MicroCrystal{space}CC7V-T1A/CM7V-T1A{space}series{space}http://www.microcrystal.com/images/_Product-Documentation/01_TF_ceramic_Packages/01_Datasheet/CC1V-T1A.pdf,{space}hand-soldering,{space}3.2x1.5mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+2
+2
+Crystal
+Crystal_SMD_MicroCrystal_CC8V-T1A-2Pin_2.0x1.2mm
+SMD{space}Crystal{space}MicroCrystal{space}CC8V-T1A/CM8V-T1A{space}series{space}http://www.microcrystal.com/images/_Product-Documentation/01_TF_ceramic_Packages/01_Datasheet/CC8V-T1A.pdf,{space}2.0x1.2mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+2
+2
+Crystal
+Crystal_SMD_MicroCrystal_CC8V-T1A-2Pin_2.0x1.2mm_HandSoldering
+SMD{space}Crystal{space}MicroCrystal{space}CC8V-T1A/CM8V-T1A{space}series{space}http://www.microcrystal.com/images/_Product-Documentation/01_TF_ceramic_Packages/01_Datasheet/CC8V-T1A.pdf,{space}hand-soldering,{space}2.0x1.2mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+2
+2
+Crystal
+Crystal_SMD_MicroCrystal_CM9V-T1A-2Pin_1.6x1.0mm
+SMD{space}Crystal{space}MicroCrystal{space}CM9V-T1A{space}series{space}http://www.microcrystal.com/images/_Product-Documentation/01_TF_ceramic_Packages/01_Datasheet/CM9V-T1A.pdf,{space}1.6x1.0mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+2
+2
+Crystal
+Crystal_SMD_MicroCrystal_CM9V-T1A-2Pin_1.6x1.0mm_HandSoldering
+SMD{space}Crystal{space}MicroCrystal{space}CM9V-T1A{space}series{space}http://www.microcrystal.com/images/_Product-Documentation/01_TF_ceramic_Packages/01_Datasheet/CM9V-T1A.pdf,{space}hand-soldering,{space}1.6x1.0mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+2
+2
+Crystal
+Crystal_SMD_MicroCrystal_MS1V-T1K
+SMD{space}Watch{space}Crystal{space}MicroCrystal{space}MS1V-T1K{space}6.1mm{space}length{space}2.0mm{space}diameter{space}http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/MS1V-T1K.pdf
+['MS1V-T1K']
+0
+3
+3
+Crystal
+Crystal_SMD_MicroCrystal_MS3V-T1R
+SMD{space}Watch{space}Crystal{space}MicroCrystal{space}MS3V-T1R{space}5.2mm{space}length{space}1.4mm{space}diameter{space}http://www.microcrystal.com/images/_Product-Documentation/03_TF_metal_Packages/01_Datasheet/MS3V-T1R.pdf
+['MS3V-T1R']
+0
+3
+3
+Crystal
+Crystal_SMD_Qantek_QC5CB-2Pin_5x3.2mm
+SMD{space}Crystal{space}Qantek{space}QC5CB,{space}https://www.qantek.com/tl_files/products/crystals/QC5CB.pdf
+SMD{space}SMT{space}crystal
+0
+2
+2
+Crystal
+Crystal_SMD_SeikoEpson_FA238-4Pin_3.2x2.5mm
+crystal{space}Epson{space}Toyocom{space}FA-238{space}https://support.epson.biz/td/api/doc_check.php?dl=brief_fa-238v_en.pdf,{space}3.2x2.5mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+4
+4
+Crystal
+Crystal_SMD_SeikoEpson_FA238-4Pin_3.2x2.5mm_HandSoldering
+crystal{space}Epson{space}Toyocom{space}FA-238{space}series{space}https://support.epson.biz/td/api/doc_check.php?dl=brief_fa-238v_en.pdf,{space}hand-soldering,{space}3.2x2.5mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+4
+4
+Crystal
+Crystal_SMD_SeikoEpson_FA238V-4Pin_3.2x2.5mm
+crystal{space}Epson{space}Toyocom{space}FA-238{space}series{space}https://support.epson.biz/td/api/doc_check.php?dl=brief_fa-238v_en.pdf,{space}3.2x2.5mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+4
+4
+Crystal
+Crystal_SMD_SeikoEpson_FA238V-4Pin_3.2x2.5mm_HandSoldering
+crystal{space}Epson{space}Toyocom{space}FA-238{space}series{space}http://www.mouser.com/ds/2/137/1721499-465440.pdf,{space}hand-soldering,{space}3.2x2.5mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+4
+4
+Crystal
+Crystal_SMD_SeikoEpson_MA406-4Pin_11.7x4.0mm
+SMD{space}Crystal{space}Seiko{space}Epson{space}MC-506{space}http://media.digikey.com/pdf/Data%20Sheets/Epson%20PDFs/MA-505,506.pdf,{space}11.7x4.0mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+4
+4
+Crystal
+Crystal_SMD_SeikoEpson_MA406-4Pin_11.7x4.0mm_HandSoldering
+SMD{space}Crystal{space}Seiko{space}Epson{space}MC-506{space}http://media.digikey.com/pdf/Data%20Sheets/Epson%20PDFs/MA-505,506.pdf,{space}hand-soldering,{space}11.7x4.0mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+4
+4
+Crystal
+Crystal_SMD_SeikoEpson_MA505-2Pin_12.7x5.1mm
+SMD{space}Crystal{space}Seiko{space}Epson{space}MC-505{space}http://media.digikey.com/pdf/Data%20Sheets/Epson%20PDFs/MA-505,506.pdf,{space}12.7x5.1mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+2
+2
+Crystal
+Crystal_SMD_SeikoEpson_MA505-2Pin_12.7x5.1mm_HandSoldering
+SMD{space}Crystal{space}Seiko{space}Epson{space}MC-505{space}http://media.digikey.com/pdf/Data%20Sheets/Epson%20PDFs/MA-505,506.pdf,{space}hand-soldering,{space}12.7x5.1mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+2
+2
+Crystal
+Crystal_SMD_SeikoEpson_MA506-4Pin_12.7x5.1mm
+SMD{space}Crystal{space}Seiko{space}Epson{space}MC-506{space}http://media.digikey.com/pdf/Data%20Sheets/Epson%20PDFs/MA-505,506.pdf,{space}12.7x5.1mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+4
+4
+Crystal
+Crystal_SMD_SeikoEpson_MA506-4Pin_12.7x5.1mm_HandSoldering
+SMD{space}Crystal{space}Seiko{space}Epson{space}MC-506{space}http://media.digikey.com/pdf/Data%20Sheets/Epson%20PDFs/MA-505,506.pdf,{space}hand-soldering,{space}12.7x5.1mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+4
+4
+Crystal
+Crystal_SMD_SeikoEpson_MC146-4Pin_6.7x1.5mm
+SMD{space}Crystal{space}Seiko{space}Epson{space}MC-146{space}https://support.epson.biz/td/api/doc_check.php?dl=brief_MC-156_en.pdf,{space}6.7x1.5mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+4
+4
+Crystal
+Crystal_SMD_SeikoEpson_MC146-4Pin_6.7x1.5mm_HandSoldering
+SMD{space}Crystal{space}Seiko{space}Epson{space}MC-146{space}https://support.epson.biz/td/api/doc_check.php?dl=brief_MC-156_en.pdf,{space}hand-soldering,{space}6.7x1.5mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+4
+4
+Crystal
+Crystal_SMD_SeikoEpson_MC156-4Pin_7.1x2.5mm
+SMD{space}Crystal{space}Seiko{space}Epson{space}MC-156{space}https://support.epson.biz/td/api/doc_check.php?dl=brief_MC-156_en.pdf,{space}7.1x2.5mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+4
+4
+Crystal
+Crystal_SMD_SeikoEpson_MC156-4Pin_7.1x2.5mm_HandSoldering
+SMD{space}Crystal{space}Seiko{space}Epson{space}MC-156{space}https://support.epson.biz/td/api/doc_check.php?dl=brief_MC-156_en.pdf,{space}hand-soldering,{space}7.1x2.5mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+4
+4
+Crystal
+Crystal_SMD_SeikoEpson_MC306-4Pin_8.0x3.2mm
+SMD{space}Crystal{space}Seiko{space}Epson{space}MC-306{space}https://support.epson.biz/td/api/doc_check.php?dl=brief_MC-306_en.pdf,{space}8.0x3.2mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+4
+4
+Crystal
+Crystal_SMD_SeikoEpson_MC306-4Pin_8.0x3.2mm_HandSoldering
+SMD{space}Crystal{space}Seiko{space}Epson{space}MC-306{space}https://support.epson.biz/td/api/doc_check.php?dl=brief_MC-306_en.pdf,{space}hand-soldering,{space}8.0x3.2mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+4
+4
+Crystal
+Crystal_SMD_SeikoEpson_MC405-2Pin_9.6x4.1mm
+SMD{space}Crystal{space}Seiko{space}Epson{space}MC-405{space}https://support.epson.biz/td/api/doc_check.php?dl=brief_MC-306_en.pdf,{space}9.6x4.1mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+2
+2
+Crystal
+Crystal_SMD_SeikoEpson_MC405-2Pin_9.6x4.1mm_HandSoldering
+SMD{space}Crystal{space}Seiko{space}Epson{space}MC-405{space}https://support.epson.biz/td/api/doc_check.php?dl=brief_MC-306_en.pdf,{space}hand-soldering,{space}9.6x4.1mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+2
+2
+Crystal
+Crystal_SMD_SeikoEpson_MC406-4Pin_9.6x4.1mm
+SMD{space}Crystal{space}Seiko{space}Epson{space}MC-406{space}https://support.epson.biz/td/api/doc_check.php?dl=brief_MC-306_en.pdf,{space}9.6x4.1mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+4
+4
+Crystal
+Crystal_SMD_SeikoEpson_MC406-4Pin_9.6x4.1mm_HandSoldering
+SMD{space}Crystal{space}Seiko{space}Epson{space}MC-406{space}https://support.epson.biz/td/api/doc_check.php?dl=brief_MC-306_en.pdf,{space}hand-soldering,{space}9.6x4.1mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+4
+4
+Crystal
+Crystal_SMD_SeikoEpson_TSX3225-4Pin_3.2x2.5mm
+crystal{space}Epson{space}Toyocom{space}TSX-3225{space}series{space}https://support.epson.biz/td/api/doc_check.php?dl=brief_fa-238v_en.pdf,{space}3.2x2.5mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+4
+4
+Crystal
+Crystal_SMD_SeikoEpson_TSX3225-4Pin_3.2x2.5mm_HandSoldering
+crystal{space}Epson{space}Toyocom{space}TSX-3225{space}series{space}https://support.epson.biz/td/api/doc_check.php?dl=brief_fa-238v_en.pdf,{space}hand-soldering,{space}3.2x2.5mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+4
+4
+Crystal
+Crystal_SMD_TXC_7A-2Pin_5x3.2mm
+SMD{space}Crystal{space}TXC{space}7A{space}http://txccrystal.com/images/pdf/7a.pdf
+SMD{space}SMT{space}crystal
+0
+2
+2
+Crystal
+Crystal_SMD_TXC_7M-4Pin_3.2x2.5mm
+SMD{space}Crystal{space}TXC{space}7M{space}http://www.txccrystal.com/images/pdf/7m-accuracy.pdf,{space}3.2x2.5mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+4
+4
+Crystal
+Crystal_SMD_TXC_7M-4Pin_3.2x2.5mm_HandSoldering
+SMD{space}Crystal{space}TXC{space}7M{space}http://www.txccrystal.com/images/pdf/7m-accuracy.pdf,{space}hand-soldering,{space}3.2x2.5mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+4
+4
+Crystal
+Crystal_SMD_TXC_9HT11-2Pin_2.0x1.2mm
+SMD{space}Crystal{space}TXC{space}9HT11{space}http://txccrystal.com/images/pdf/9ht11.pdf,{space}2.0x1.2mm^2{space}package
+SMD{space}SMT{space}crystal
+0
+2
+2
+Crystal
+Crystal_SMD_TXC_9HT11-2Pin_2.0x1.2mm_HandSoldering
+SMD{space}Crystal{space}TXC{space}9HT11{space}http://txccrystal.com/images/pdf/9ht11.pdf,{space}hand-soldering,{space}2.0x1.2mm^2{space}package
+SMD{space}SMT{space}crystal{space}hand-soldering
+0
+2
+2
+Crystal
+Crystal_SMD_TXC_AX_8045-2Pin_8.0x4.5mm
+http://www.txccrystal.com/images/pdf/ax-automotive.pdf
+SMD{space}SMT{space}crystal
+0
+2
+2
+Crystal
+Resonator-2Pin_W6.0mm_H3.0mm
+Ceramic{space}Resomator/Filter{space}6.0x3.0mm^2,{space}length*width=6.0x3.0mm^2{space}package,{space}package{space}length=6.0mm,{space}package{space}width=3.0mm,{space}2{space}pins
+THT{space}ceramic{space}resonator{space}filter
+0
+2
+2
+Crystal
+Resonator-2Pin_W7.0mm_H2.5mm
+Ceramic{space}Resomator/Filter{space}7.0x2.5mm^2,{space}length*width=7.0x2.5mm^2{space}package,{space}package{space}length=7.0mm,{space}package{space}width=2.5mm,{space}2{space}pins
+THT{space}ceramic{space}resonator{space}filter
+0
+2
+2
+Crystal
+Resonator-2Pin_W8.0mm_H3.5mm
+Ceramic{space}Resomator/Filter{space}8.0x3.5mm^2,{space}length*width=8.0x3.5mm^2{space}package,{space}package{space}length=8.0mm,{space}package{space}width=3.5mm,{space}2{space}pins
+THT{space}ceramic{space}resonator{space}filter
+0
+2
+2
+Crystal
+Resonator-2Pin_W10.0mm_H5.0mm
+Ceramic{space}Resomator/Filter{space}10.0x5.0{space}RedFrequency{space}MG/MT/MX{space}series,{space}http://www.red-frequency.com/download/datenblatt/redfrequency-datenblatt-ir-zta.pdf,{space}length*width=10.0x5.0mm^2{space}package,{space}package{space}length=10.0mm,{space}package{space}width=5.0mm,{space}2{space}pins
+THT{space}ceramic{space}resonator{space}filter
+0
+2
+2
+Crystal
+Resonator-3Pin_W6.0mm_H3.0mm
+Ceramic{space}Resomator/Filter{space}6.0x3.0mm^2,{space}length*width=6.0x3.0mm^2{space}package,{space}package{space}length=6.0mm,{space}package{space}width=3.0mm,{space}3{space}pins
+THT{space}ceramic{space}resonator{space}filter
+0
+3
+3
+Crystal
+Resonator-3Pin_W7.0mm_H2.5mm
+Ceramic{space}Resomator/Filter{space}7.0x2.5mm^2,{space}length*width=7.0x2.5mm^2{space}package,{space}package{space}length=7.0mm,{space}package{space}width=2.5mm,{space}3{space}pins
+THT{space}ceramic{space}resonator{space}filter
+0
+3
+3
+Crystal
+Resonator-3Pin_W8.0mm_H3.5mm
+Ceramic{space}Resomator/Filter{space}8.0x3.5mm^2,{space}length*width=8.0x3.5mm^2{space}package,{space}package{space}length=8.0mm,{space}package{space}width=3.5mm,{space}3{space}pins
+THT{space}ceramic{space}resonator{space}filter
+0
+3
+3
+Crystal
+Resonator-3Pin_W10.0mm_H5.0mm
+Ceramic{space}Resomator/Filter{space}10.0x5.0mm^2{space}RedFrequency{space}MG/MT/MX{space}series,{space}http://www.red-frequency.com/download/datenblatt/redfrequency-datenblatt-ir-zta.pdf,{space}length*width=10.0x5.0mm^2{space}package,{space}package{space}length=10.0mm,{space}package{space}width=5.0mm,{space}3{space}pins
+THT{space}ceramic{space}resonator{space}filter
+0
+3
+3
+Crystal
+Resonator_SMD-3Pin_7.2x3.0mm
+SMD{space}Resomator/Filter{space}7.2x3.0mm,{space}Murata{space}CSTCC8M00G53-R0;{space}8MHz{space}resonator,{space}SMD,{space}Farnell{space}(Element{space}14){space}#1170435,{space}http://www.farnell.com/datasheets/19296.pdf?_ga=1.247244932.122297557.1475167906,{space}7.2x3.0mm^2{space}package
+SMD{space}SMT{space}ceramic{space}resonator{space}filter{space}filter
+0
+3
+3
+Crystal
+Resonator_SMD-3Pin_7.2x3.0mm_HandSoldering
+SMD{space}Resomator/Filter{space}7.2x3.0mm,{space}Murata{space}CSTCC8M00G53-R0;{space}8MHz{space}resonator,{space}SMD,{space}Farnell{space}(Element{space}14){space}#1170435,{space}http://www.farnell.com/datasheets/19296.pdf?_ga=1.247244932.122297557.1475167906,{space}hand-soldering,{space}7.2x3.0mm^2{space}package
+SMD{space}SMT{space}ceramic{space}resonator{space}filter{space}filter{space}hand-soldering
+0
+3
+3
+Crystal
+Resonator_SMD_muRata_CDSCB-2Pin_4.5x2.0mm
+SMD{space}Resomator/Filter{space}Murata{space}CDSCB,{space}http://cdn-reichelt.de/documents/datenblatt/B400/SFECV-107.pdf,{space}4.5x2.0mm^2{space}package
+SMD{space}SMT{space}ceramic{space}resonator{space}filter{space}filter
+0
+2
+2
+Crystal
+Resonator_SMD_muRata_CDSCB-2Pin_4.5x2.0mm_HandSoldering
+SMD{space}Resomator/Filter{space}Murata{space}CDSCB,{space}http://cdn-reichelt.de/documents/datenblatt/B400/SFECV-107.pdf,{space}hand-soldering,{space}4.5x2.0mm^2{space}package
+SMD{space}SMT{space}ceramic{space}resonator{space}filter{space}filter{space}hand-soldering
+0
+2
+2
+Crystal
+Resonator_SMD_muRata_CSTxExxV-3Pin_3.0x1.1mm
+SMD{space}Resomator/Filter{space}Murata{space}CSTCE,{space}https://www.murata.com/en-eu/products/productdata/8801162264606/SPEC-CSTNE16M0VH3C000R0.pdf
+SMD{space}SMT{space}ceramic{space}resonator{space}filter
+0
+3
+3
+Crystal
+Resonator_SMD_muRata_CSTxExxV-3Pin_3.0x1.1mm_HandSoldering
+SMD{space}Resomator/Filter{space}Murata{space}CSTCE,{space}https://www.murata.com/en-eu/products/productdata/8801162264606/SPEC-CSTNE16M0VH3C000R0.pdf
+SMD{space}SMT{space}ceramic{space}resonator{space}filter
+0
+3
+3
+Crystal
+Resonator_SMD_muRata_SFECV-3Pin_6.9x2.9mm
+SMD{space}Resomator/Filter{space}Murata{space}SFECV,{space}http://cdn-reichelt.de/documents/datenblatt/B400/SFECV-107.pdf,{space}6.9x2.9mm^2{space}package
+SMD{space}SMT{space}ceramic{space}resonator{space}filter{space}filter
+0
+3
+3
+Crystal
+Resonator_SMD_muRata_SFECV-3Pin_6.9x2.9mm_HandSoldering
+SMD{space}Resomator/Filter{space}Murata{space}SFECV,{space}http://cdn-reichelt.de/documents/datenblatt/B400/SFECV-107.pdf,{space}hand-soldering,{space}6.9x2.9mm^2{space}package
+SMD{space}SMT{space}ceramic{space}resonator{space}filter{space}filter{space}hand-soldering
+0
+3
+3
+Crystal
+Resonator_SMD_muRata_SFSKA-3Pin_7.9x3.8mm
+SMD{space}Resomator/Filter{space}Murata{space}SFSKA,{space}http://cdn-reichelt.de/documents/datenblatt/B400/SFECV-107.pdf,{space}7.9x3.8mm^2{space}package
+SMD{space}SMT{space}ceramic{space}resonator{space}filter{space}filter
+0
+3
+3
+Crystal
+Resonator_SMD_muRata_SFSKA-3Pin_7.9x3.8mm_HandSoldering
+SMD{space}Resomator/Filter{space}Murata{space}SFSKA,{space}http://cdn-reichelt.de/documents/datenblatt/B400/SFECV-107.pdf,{space}hand-soldering,{space}7.9x3.8mm^2{space}package
+SMD{space}SMT{space}ceramic{space}resonator{space}filter{space}filter{space}hand-soldering
+0
+3
+3
+Crystal
+Resonator_SMD_muRata_TPSKA-3Pin_7.9x3.8mm
+SMD{space}Resomator/Filter{space}Murata{space}TPSKA,{space}http://cdn-reichelt.de/documents/datenblatt/B400/SFECV-107.pdf,{space}7.9x3.8mm^2{space}package
+SMD{space}SMT{space}ceramic{space}resonator{space}filter{space}filter
+0
+3
+3
+Crystal
+Resonator_SMD_muRata_TPSKA-3Pin_7.9x3.8mm_HandSoldering
+SMD{space}Resomator/Filter{space}Murata{space}TPSKA,{space}http://cdn-reichelt.de/documents/datenblatt/B400/SFECV-107.pdf,{space}hand-soldering,{space}7.9x3.8mm^2{space}package
+SMD{space}SMT{space}ceramic{space}resonator{space}filter{space}filter{space}hand-soldering
+0
+3
+3
+Crystal
+Resonator_muRata_CSTLSxxxG-3Pin_W8.0mm_H3.0mm
+Ceramic{space}Resomator/Filter{space}Murata{space}CSTLSxxxG,{space}http://www.murata.com/~/media/webrenewal/support/library/catalog/products/timingdevice/ceralock/p17e.ashx,{space}length*width=8.0x3.0mm^2{space}package,{space}package{space}length=8.0mm,{space}package{space}width=3.0mm,{space}3{space}pins
+THT{space}ceramic{space}resonator{space}filter{space}CSTLSxxxG
+0
+3
+3
+Crystal
+Resonator_muRata_CSTLSxxxX-3Pin_W5.5mm_H3.0mm
+Ceramic{space}Resomator/Filter{space}Murata{space}CSTLSxxxX,{space}http://www.murata.com/~/media/webrenewal/support/library/catalog/products/timingdevice/ceralock/p17e.ashx,{space}length*width=5.5x3.0mm^2{space}package,{space}package{space}length=5.5mm,{space}package{space}width=3.0mm,{space}3{space}pins
+THT{space}ceramic{space}resonator{space}filter{space}CSTLSxxxX
+0
+3
+3
+Crystal
+Resonator_muRata_DSN6-3Pin_W7.0mm_H2.5mm
+Ceramic{space}Resomator/Filter{space}Murata{space}DSN6,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DSN6NC51H.pdf,{space}length*width=7.0x2.5mm^2{space}package,{space}package{space}length=7.0mm,{space}package{space}width=2.5mm,{space}3{space}pins
+THT{space}ceramic{space}resonator{space}filter{space}DSN6
+0
+3
+3
+Crystal
+Resonator_muRata_DSS6-3Pin_W7.0mm_H2.5mm
+Ceramic{space}Resomator/Filter{space}Murata{space}DSS6,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DSN6NC51H.pdf,{space}length*width=7.0x2.5mm^2{space}package,{space}package{space}length=7.0mm,{space}package{space}width=2.5mm,{space}3{space}pins
+THT{space}ceramic{space}resonator{space}filter{space}DSS6
+0
+3
+3
+Diode_SMD
+D_0201_0603Metric
+Diode{space}SMD{space}0201{space}(0603{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.vishay.com/docs/20052/crcw0201e3.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode
+0
+4
+2
+Diode_SMD
+D_0402_1005Metric
+Diode{space}SMD{space}0402{space}(1005{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode
+0
+2
+2
+Diode_SMD
+D_0603_1608Metric
+Diode{space}SMD{space}0603{space}(1608{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode
+0
+2
+2
+Diode_SMD
+D_0603_1608Metric_Castellated
+Diode{space}SMD{space}0603{space}(1608{space}Metric),{space}castellated{space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode{space}castellated
+0
+2
+2
+Diode_SMD
+D_0603_1608Metric_Pad1.05x0.95mm_HandSolder
+Diode{space}SMD{space}0603{space}(1608{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode{space}handsolder
+0
+2
+2
+Diode_SMD
+D_0805_2012Metric
+Diode{space}SMD{space}0805{space}(2012{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing),{space}generated{space}with{space}kicad-footprint-generator
+diode
+0
+2
+2
+Diode_SMD
+D_0805_2012Metric_Castellated
+Diode{space}SMD{space}0805{space}(2012{space}Metric),{space}castellated{space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing),{space}generated{space}with{space}kicad-footprint-generator
+diode{space}castellated
+0
+2
+2
+Diode_SMD
+D_0805_2012Metric_Pad1.15x1.40mm_HandSolder
+Diode{space}SMD{space}0805{space}(2012{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing),{space}generated{space}with{space}kicad-footprint-generator
+diode{space}handsolder
+0
+2
+2
+Diode_SMD
+D_01005_0402Metric
+Diode{space}SMD{space}01005{space}(0402{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.vishay.com/docs/20056/crcw01005e3.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode
+0
+4
+2
+Diode_SMD
+D_1206_3216Metric
+Diode{space}SMD{space}1206{space}(3216{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode
+0
+2
+2
+Diode_SMD
+D_1206_3216Metric_Castellated
+Diode{space}SMD{space}1206{space}(3216{space}Metric),{space}castellated{space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode{space}castellated
+0
+2
+2
+Diode_SMD
+D_1206_3216Metric_Pad1.42x1.75mm_HandSolder
+Diode{space}SMD{space}1206{space}(3216{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode{space}handsolder
+0
+2
+2
+Diode_SMD
+D_1210_3225Metric
+Diode{space}SMD{space}1210{space}(3225{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode
+0
+2
+2
+Diode_SMD
+D_1210_3225Metric_Castellated
+Diode{space}SMD{space}1210{space}(3225{space}Metric),{space}castellated{space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode{space}castellated
+0
+2
+2
+Diode_SMD
+D_1210_3225Metric_Pad1.42x2.65mm_HandSolder
+Diode{space}SMD{space}1210{space}(3225{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode{space}handsolder
+0
+2
+2
+Diode_SMD
+D_1806_4516Metric
+Diode{space}SMD{space}1806{space}(4516{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.modelithics.com/models/Vendor/MuRata/BLM41P.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode
+0
+2
+2
+Diode_SMD
+D_1806_4516Metric_Castellated
+Diode{space}SMD{space}1806{space}(4516{space}Metric),{space}castellated{space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.modelithics.com/models/Vendor/MuRata/BLM41P.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode{space}castellated
+0
+2
+2
+Diode_SMD
+D_1806_4516Metric_Pad1.57x1.80mm_HandSolder
+Diode{space}SMD{space}1806{space}(4516{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.modelithics.com/models/Vendor/MuRata/BLM41P.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode{space}handsolder
+0
+2
+2
+Diode_SMD
+D_1812_4532Metric
+Diode{space}SMD{space}1812{space}(4532{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode
+0
+2
+2
+Diode_SMD
+D_1812_4532Metric_Castellated
+Diode{space}SMD{space}1812{space}(4532{space}Metric),{space}castellated{space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode{space}castellated
+0
+2
+2
+Diode_SMD
+D_1812_4532Metric_Pad1.30x3.40mm_HandSolder
+Diode{space}SMD{space}1812{space}(4532{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode{space}handsolder
+0
+2
+2
+Diode_SMD
+D_2010_5025Metric
+Diode{space}SMD{space}2010{space}(5025{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode
+0
+2
+2
+Diode_SMD
+D_2010_5025Metric_Castellated
+Diode{space}SMD{space}2010{space}(5025{space}Metric),{space}castellated{space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode{space}castellated
+0
+2
+2
+Diode_SMD
+D_2010_5025Metric_Pad1.52x2.65mm_HandSolder
+Diode{space}SMD{space}2010{space}(5025{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode{space}handsolder
+0
+2
+2
+Diode_SMD
+D_2114_3652Metric
+Diode{space}SMD{space}2114{space}(3652{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://datasheets.avx.com/schottky.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode
+0
+2
+2
+Diode_SMD
+D_2114_3652Metric_Castellated
+Diode{space}SMD{space}2114{space}(3652{space}Metric),{space}castellated{space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://datasheets.avx.com/schottky.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode{space}castellated
+0
+2
+2
+Diode_SMD
+D_2114_3652Metric_Pad1.85x3.75mm_HandSolder
+Diode{space}SMD{space}2114{space}(3652{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://datasheets.avx.com/schottky.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode{space}handsolder
+0
+2
+2
+Diode_SMD
+D_2512_6332Metric
+Diode{space}SMD{space}2512{space}(6332{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode
+0
+2
+2
+Diode_SMD
+D_2512_6332Metric_Castellated
+Diode{space}SMD{space}2512{space}(6332{space}Metric),{space}castellated{space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode{space}castellated
+0
+2
+2
+Diode_SMD
+D_2512_6332Metric_Pad1.52x3.35mm_HandSolder
+Diode{space}SMD{space}2512{space}(6332{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode{space}handsolder
+0
+2
+2
+Diode_SMD
+D_2816_7142Metric
+Diode{space}SMD{space}2816{space}(7142{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}https://www.vishay.com/docs/30100/wsl.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode
+0
+2
+2
+Diode_SMD
+D_2816_7142Metric_Castellated
+Diode{space}SMD{space}2816{space}(7142{space}Metric),{space}castellated{space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}https://www.vishay.com/docs/30100/wsl.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode{space}castellated
+0
+2
+2
+Diode_SMD
+D_2816_7142Metric_Pad3.20x4.45mm_HandSolder
+Diode{space}SMD{space}2816{space}(7142{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}https://www.vishay.com/docs/30100/wsl.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode{space}handsolder
+0
+2
+2
+Diode_SMD
+D_3220_8050Metric
+Diode{space}SMD{space}3220{space}(8050{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://datasheets.avx.com/schottky.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode
+0
+2
+2
+Diode_SMD
+D_3220_8050Metric_Castellated
+Diode{space}SMD{space}3220{space}(8050{space}Metric),{space}castellated{space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://datasheets.avx.com/schottky.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode{space}castellated
+0
+2
+2
+Diode_SMD
+D_3220_8050Metric_Pad2.65x5.15mm_HandSolder
+Diode{space}SMD{space}3220{space}(8050{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://datasheets.avx.com/schottky.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode{space}handsolder
+0
+2
+2
+Diode_SMD
+D_MELF
+Diode,{space}MELF,,
+Diode{space}MELF{space}
+0
+2
+2
+Diode_SMD
+D_MELF-RM10_Universal_Handsoldering
+Diode,{space}Universal,{space}MELF,{space}RM10,{space}Handsoldering,{space}SMD,{space}Thruhole,
+Diode{space}Universal{space}MELF{space}RM10{space}Handsoldering{space}SMD{space}Thruhole{space}
+0
+2
+2
+Diode_SMD
+D_MELF_Handsoldering
+Diode{space}MELF{space}Handsoldering
+Diode{space}MELF{space}Handsoldering
+0
+2
+2
+Diode_SMD
+D_MicroMELF
+Diode,{space}MicroMELF,{space}http://www.vishay.com/docs/85597/bzm55-se.pdf
+MicroMELF{space}Diode
+0
+2
+2
+Diode_SMD
+D_MicroMELF_Hadsoldering
+Diode,{space}MicroMELF,{space}hand-soldering,{space}http://www.vishay.com/docs/85597/bzm55-se.pdf
+MicroMELF{space}Diode
+0
+2
+2
+Diode_SMD
+D_MiniMELF
+Diode{space}Mini-MELF
+Diode{space}Mini-MELF
+0
+2
+2
+Diode_SMD
+D_MiniMELF_Handsoldering
+Diode{space}Mini-MELF{space}Handsoldering
+Diode{space}Mini-MELF{space}Handsoldering
+0
+2
+2
+Diode_SMD
+D_PowerDI-123
+http://www.diodes.com/_files/datasheets/ds30497.pdf
+PowerDI{space}diode{space}vishay
+0
+2
+2
+Diode_SMD
+D_Powermite2_AK
+Microsemi{space}Powermite{space}2{space}SMD{space}power{space}package{space}(https://www.microsemi.com/packaging-information/partpackage/details?pid=5341)
+PowerMite2
+0
+2
+2
+Diode_SMD
+D_Powermite2_KA
+Microsemi{space}Powermite{space}2{space}SMD{space}power{space}package{space}(https://www.microsemi.com/packaging-information/partpackage/details?pid=5341)
+PowerMite2
+0
+2
+2
+Diode_SMD
+D_Powermite3
+Microsemi{space}Powermite{space}3{space}SMD{space}power{space}package{space}(https://www.microsemi.com/packaging-information/partpackage/details?pid=5340)
+PowerMite3
+0
+3
+3
+Diode_SMD
+D_Powermite_AK
+Microsemi{space}Powermite{space}SMD{space}power{space}package{space}(https://www.microsemi.com/packaging-information/partpackage/details?pid=5339,{space}https://www.onsemi.com/pub/Collateral/457-04.PDF)
+Powermite
+0
+2
+2
+Diode_SMD
+D_Powermite_KA
+Microsemi{space}Powermite{space}SMD{space}power{space}package{space}(https://www.microsemi.com/packaging-information/partpackage/details?pid=5339,{space}https://www.onsemi.com/pub/Collateral/457-04.PDF)
+Powermite
+0
+2
+2
+Diode_SMD
+D_QFN_3.3x3.3mm_P0.65mm
+QFN,{space}diode,{space}3.3x3.3x1mm{space}(https://www.wolfspeed.com/media/downloads/846/C3D1P7060Q.pdf)
+diode{space}qfn{space}3.3
+0
+3
+2
+Diode_SMD
+D_SC-80
+JEITA{space}SC-80
+SC-80
+0
+2
+2
+Diode_SMD
+D_SC-80_HandSoldering
+JEITA{space}SC-80
+SC-80
+0
+2
+2
+Diode_SMD
+D_SMA
+Diode{space}SMA{space}(DO-214AC)
+Diode{space}SMA{space}(DO-214AC)
+0
+2
+2
+Diode_SMD
+D_SMA-SMB_Universal_Handsoldering
+Diode,{space}Universal,{space}SMA{space}(DO-214AC){space}or{space}SMB{space}(DO-214AA),{space}Handsoldering,
+Diode{space}Universal{space}SMA{space}(DO-214AC){space}SMB{space}(DO-214AA){space}Handsoldering{space}
+0
+2
+2
+Diode_SMD
+D_SMA_Handsoldering
+Diode{space}SMA{space}(DO-214AC){space}Handsoldering
+Diode{space}SMA{space}(DO-214AC){space}Handsoldering
+0
+2
+2
+Diode_SMD
+D_SMB
+Diode{space}SMB{space}(DO-214AA)
+Diode{space}SMB{space}(DO-214AA)
+0
+2
+2
+Diode_SMD
+D_SMB-SMC_Universal_Handsoldering
+Diode,{space}Universal,{space}SMB(DO-214AA){space}or{space}SMC{space}(DO-214AB),{space}Handsoldering,
+Diode{space}Universal{space}SMB(DO-214AA){space}SMC{space}(DO-214AB){space}Handsoldering{space}
+0
+2
+2
+Diode_SMD
+D_SMB_Handsoldering
+Diode{space}SMB{space}(DO-214AA){space}Handsoldering
+Diode{space}SMB{space}(DO-214AA){space}Handsoldering
+0
+2
+2
+Diode_SMD
+D_SMB_Modified
+Diode{space}SMB{space}(DO-214AA){space}Modified{space}(http://www.littelfuse.com/~/media/electronics/datasheets/sidactors/littelfuse_sidactor_battrax_positive_negative_modified_do_214_datasheet.pdf.pdf)
+Diode{space}SMB{space}(DO-214AA)
+0
+3
+3
+Diode_SMD
+D_SMC
+Diode{space}SMC{space}(DO-214AB)
+Diode{space}SMC{space}(DO-214AB)
+0
+2
+2
+Diode_SMD
+D_SMC-RM10_Universal_Handsoldering
+Diode,{space}Universal,{space}SMC{space}(DO-214AB),{space}RM10,{space}Handsoldering,{space}SMD,{space}Thruhole
+Diode{space}Universal{space}SMC{space}(DO-214AB){space}RM10{space}Handsoldering{space}SMD{space}Thruhole
+0
+2
+2
+Diode_SMD
+D_SMC_Handsoldering
+Diode{space}SMC{space}(DO-214AB){space}Handsoldering
+Diode{space}SMC{space}(DO-214AB){space}Handsoldering
+0
+2
+2
+Diode_SMD
+D_SOD-110
+SOD-110
+SOD-110
+0
+2
+2
+Diode_SMD
+D_SOD-123
+SOD-123
+SOD-123
+0
+2
+2
+Diode_SMD
+D_SOD-123F
+D_SOD-123F
+D_SOD-123F
+0
+2
+2
+Diode_SMD
+D_SOD-323
+SOD-323
+SOD-323
+0
+2
+2
+Diode_SMD
+D_SOD-323F
+SOD-323F{space}http://www.nxp.com/documents/outline_drawing/SOD323F.pdf
+SOD-323F
+0
+2
+2
+Diode_SMD
+D_SOD-323_HandSoldering
+SOD-323
+SOD-323
+0
+2
+2
+Diode_SMD
+D_SOD-523
+http://www.diodes.com/datasheets/ap02001.pdf{space}p.144
+Diode{space}SOD523
+0
+2
+2
+Diode_SMD
+D_SOT-23_ANK
+SOT-23,{space}Single{space}Diode
+SOT-23
+0
+3
+2
+Diode_SMD
+D_SOT-23_NKA
+SOT-23,{space}Single{space}Diode
+SOT-23
+0
+3
+2
+Diode_SMD
+D_TUMD2
+ROHM{space}-{space}TUMD2
+TUMD2
+0
+2
+2
+Diode_SMD
+Diode_Bridge_Diotec_ABS
+SMD{space}diode{space}bridge{space}ABS{space}(Diotec),{space}see{space}https://diotec.com/tl_files/diotec/files/pdf/datasheets/abs2.pdf
+ABS{space}MBLS
+0
+4
+4
+Diode_SMD
+Diode_Bridge_Diotec_MicroDil_3.0x3.0x1.8mm
+SMD{space}package{space}Diotec{space}Diotec{space}MicroDil,{space}body{space}3.0x3.0x1.8mm{space}(e.g.{space}diode{space}bridge),{space}see{space}https://diotec.com/tl_files/diotec/files/pdf/datasheets/mys40.pdf
+Diotec{space}MicroDil{space}diode{space}bridge
+0
+4
+4
+Diode_SMD
+Diode_Bridge_Diotec_SO-DIL-Slim
+SMD{space}diode{space}bridge{space}Diotec{space}SO-DIL{space}Slim,{space}see{space}https://diotec.com/tl_files/diotec/files/pdf/datasheets/b40fs.pdf
+DFS{space}SO-DIL{space}Slim
+0
+4
+4
+Diode_SMD
+Diode_Bridge_Vishay_DFS
+SMD{space}diode{space}bridge{space}DFS,{space}see{space}http://www.vishay.com/docs/88854/padlayouts.pdf
+DFS
+0
+4
+4
+Diode_SMD
+Diode_Bridge_Vishay_DFSFlat
+SMD{space}diode{space}bridge{space}Low{space}Profile{space}DFS{space}"Flat",{space}see{space}http://www.vishay.com/docs/88874/dfl15005.pdf
+DFS
+0
+4
+4
+Diode_SMD
+Diode_Bridge_Vishay_MBLS
+SMD{space}diode{space}bridge{space}MBLS,{space}see{space}http://www.vishay.com/docs/89959/mbl104s.pdf{space}http://www.vishay.com/docs/88854/padlayouts.pdf
+DFS
+0
+4
+4
+Diode_SMD
+Littelfuse_PolyZen-LS
+http://m.littelfuse.com/~/media/electronics/datasheets/polyzen_devices/littelfuse_polyzen_standard_polyzen_catalog_datasheet.pdf.pdf
+Diode{space}Polymer{space}Protected{space}Zener{space}Diode{space}Littelfuse{space}LS
+0
+3
+3
+Diode_THT
+D_5KPW_P7.62mm_Vertical_AnodeUp
+Diode,{space}5KPW{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=9*8mm^2,{space},{space}http://www.diodes.com/_files/packages/8686949.gif
+Diode{space}5KPW{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}9mm{space}diameter{space}8mm
+0
+2
+2
+Diode_THT
+D_5KPW_P7.62mm_Vertical_KathodeUp
+Diode,{space}5KPW{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=9*8mm^2,{space},{space}http://www.diodes.com/_files/packages/8686949.gif
+Diode{space}5KPW{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}9mm{space}diameter{space}8mm
+0
+2
+2
+Diode_THT
+D_5KPW_P12.70mm_Horizontal
+Diode,{space}5KPW{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=12.7mm,{space},{space}length*diameter=9*8mm^2,{space},{space}http://www.diodes.com/_files/packages/8686949.gif
+Diode{space}5KPW{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}12.7mm{space}{space}length{space}9mm{space}diameter{space}8mm
+0
+2
+2
+Diode_THT
+D_5KP_P7.62mm_Vertical_AnodeUp
+Diode,{space}5KP{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=7.62*9.53mm^2,{space},{space}http://www.diodes.com/_files/packages/8686949.gif
+Diode{space}5KP{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}7.62mm{space}diameter{space}9.53mm
+0
+2
+2
+Diode_THT
+D_5KP_P7.62mm_Vertical_KathodeUp
+Diode,{space}5KP{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=7.62*9.53mm^2,{space},{space}http://www.diodes.com/_files/packages/8686949.gif
+Diode{space}5KP{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}7.62mm{space}diameter{space}9.53mm
+0
+2
+2
+Diode_THT
+D_5KP_P10.16mm_Horizontal
+Diode,{space}5KP{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=10.16mm,{space},{space}length*diameter=7.62*9.53mm^2,{space},{space}http://www.diodes.com/_files/packages/8686949.gif
+Diode{space}5KP{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}10.16mm{space}{space}length{space}7.62mm{space}diameter{space}9.53mm
+0
+2
+2
+Diode_THT
+D_5KP_P12.70mm_Horizontal
+Diode,{space}5KP{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=12.7mm,{space},{space}length*diameter=7.62*9.53mm^2,{space},{space}http://www.diodes.com/_files/packages/8686949.gif
+Diode{space}5KP{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}12.7mm{space}{space}length{space}7.62mm{space}diameter{space}9.53mm
+0
+2
+2
+Diode_THT
+D_5W_P5.08mm_Vertical_AnodeUp
+Diode,{space}5W{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=8.9*3.7mm^2,{space},{space}http://www.diodes.com/_files/packages/8686949.gif
+Diode{space}5W{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}8.9mm{space}diameter{space}3.7mm
+0
+2
+2
+Diode_THT
+D_5W_P5.08mm_Vertical_KathodeUp
+Diode,{space}5W{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=8.9*3.7mm^2,{space},{space}http://www.diodes.com/_files/packages/8686949.gif
+Diode{space}5W{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}8.9mm{space}diameter{space}3.7mm
+0
+2
+2
+Diode_THT
+D_5W_P10.16mm_Horizontal
+Diode,{space}5W{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=10.16mm,{space},{space}length*diameter=8.9*3.7mm^2,{space},{space}http://www.diodes.com/_files/packages/8686949.gif
+Diode{space}5W{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}10.16mm{space}{space}length{space}8.9mm{space}diameter{space}3.7mm
+0
+2
+2
+Diode_THT
+D_5W_P12.70mm_Horizontal
+Diode,{space}5W{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=12.7mm,{space},{space}length*diameter=8.9*3.7mm^2,{space},{space}http://www.diodes.com/_files/packages/8686949.gif
+Diode{space}5W{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}12.7mm{space}{space}length{space}8.9mm{space}diameter{space}3.7mm
+0
+2
+2
+Diode_THT
+D_A-405_P2.54mm_Vertical_AnodeUp
+Diode,{space}A-405{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=2.54mm,{space},{space}length*diameter=5.2*2.7mm^2,{space},{space}http://www.diodes.com/_files/packages/A-405.pdf
+Diode{space}A-405{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}2.54mm{space}{space}length{space}5.2mm{space}diameter{space}2.7mm
+0
+2
+2
+Diode_THT
+D_A-405_P2.54mm_Vertical_KathodeUp
+Diode,{space}A-405{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=2.54mm,{space},{space}length*diameter=5.2*2.7mm^2,{space},{space}http://www.diodes.com/_files/packages/A-405.pdf
+Diode{space}A-405{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}2.54mm{space}{space}length{space}5.2mm{space}diameter{space}2.7mm
+0
+2
+2
+Diode_THT
+D_A-405_P5.08mm_Vertical_AnodeUp
+Diode,{space}A-405{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=5.2*2.7mm^2,{space},{space}http://www.diodes.com/_files/packages/A-405.pdf
+Diode{space}A-405{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}5.2mm{space}diameter{space}2.7mm
+0
+2
+2
+Diode_THT
+D_A-405_P5.08mm_Vertical_KathodeUp
+Diode,{space}A-405{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=5.2*2.7mm^2,{space},{space}http://www.diodes.com/_files/packages/A-405.pdf
+Diode{space}A-405{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}5.2mm{space}diameter{space}2.7mm
+0
+2
+2
+Diode_THT
+D_A-405_P7.62mm_Horizontal
+Diode,{space}A-405{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=5.2*2.7mm^2,{space},{space}http://www.diodes.com/_files/packages/A-405.pdf
+Diode{space}A-405{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}7.62mm{space}{space}length{space}5.2mm{space}diameter{space}2.7mm
+0
+2
+2
+Diode_THT
+D_A-405_P10.16mm_Horizontal
+Diode,{space}A-405{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=10.16mm,{space},{space}length*diameter=5.2*2.7mm^2,{space},{space}http://www.diodes.com/_files/packages/A-405.pdf
+Diode{space}A-405{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}10.16mm{space}{space}length{space}5.2mm{space}diameter{space}2.7mm
+0
+2
+2
+Diode_THT
+D_A-405_P12.70mm_Horizontal
+Diode,{space}A-405{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=12.7mm,{space},{space}length*diameter=5.2*2.7mm^2,{space},{space}http://www.diodes.com/_files/packages/A-405.pdf
+Diode{space}A-405{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}12.7mm{space}{space}length{space}5.2mm{space}diameter{space}2.7mm
+0
+2
+2
+Diode_THT
+D_DO-15_P2.54mm_Vertical_AnodeUp
+Diode,{space}DO-15{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=2.54mm,{space},{space}length*diameter=7.6*3.6mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-15.pdf
+Diode{space}DO-15{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}2.54mm{space}{space}length{space}7.6mm{space}diameter{space}3.6mm
+0
+2
+2
+Diode_THT
+D_DO-15_P2.54mm_Vertical_KathodeUp
+Diode,{space}DO-15{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=2.54mm,{space},{space}length*diameter=7.6*3.6mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-15.pdf
+Diode{space}DO-15{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}2.54mm{space}{space}length{space}7.6mm{space}diameter{space}3.6mm
+0
+2
+2
+Diode_THT
+D_DO-15_P3.81mm_Vertical_AnodeUp
+Diode,{space}DO-15{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=3.81mm,{space},{space}length*diameter=7.6*3.6mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-15.pdf
+Diode{space}DO-15{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}3.81mm{space}{space}length{space}7.6mm{space}diameter{space}3.6mm
+0
+2
+2
+Diode_THT
+D_DO-15_P3.81mm_Vertical_KathodeUp
+Diode,{space}DO-15{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=3.81mm,{space},{space}length*diameter=7.6*3.6mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-15.pdf
+Diode{space}DO-15{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}3.81mm{space}{space}length{space}7.6mm{space}diameter{space}3.6mm
+0
+2
+2
+Diode_THT
+D_DO-15_P5.08mm_Vertical_AnodeUp
+Diode,{space}DO-15{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=7.6*3.6mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-15.pdf
+Diode{space}DO-15{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}7.6mm{space}diameter{space}3.6mm
+0
+2
+2
+Diode_THT
+D_DO-15_P5.08mm_Vertical_KathodeUp
+Diode,{space}DO-15{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=7.6*3.6mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-15.pdf
+Diode{space}DO-15{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}7.6mm{space}diameter{space}3.6mm
+0
+2
+2
+Diode_THT
+D_DO-15_P10.16mm_Horizontal
+Diode,{space}DO-15{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=10.16mm,{space},{space}length*diameter=7.6*3.6mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-15.pdf
+Diode{space}DO-15{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}10.16mm{space}{space}length{space}7.6mm{space}diameter{space}3.6mm
+0
+2
+2
+Diode_THT
+D_DO-15_P12.70mm_Horizontal
+Diode,{space}DO-15{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=12.7mm,{space},{space}length*diameter=7.6*3.6mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-15.pdf
+Diode{space}DO-15{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}12.7mm{space}{space}length{space}7.6mm{space}diameter{space}3.6mm
+0
+2
+2
+Diode_THT
+D_DO-15_P15.24mm_Horizontal
+Diode,{space}DO-15{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=15.24mm,{space},{space}length*diameter=7.6*3.6mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-15.pdf
+Diode{space}DO-15{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}15.24mm{space}{space}length{space}7.6mm{space}diameter{space}3.6mm
+0
+2
+2
+Diode_THT
+D_DO-27_P5.08mm_Vertical_AnodeUp
+Diode,{space}DO-27{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=9.52*5.33mm^2,{space},{space}http://www.slottechforum.com/slotinfo/Techstuff/CD2%20Diodes%20and%20Transistors/Cases/Diode%20DO-27.jpg
+Diode{space}DO-27{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}9.52mm{space}diameter{space}5.33mm
+0
+2
+2
+Diode_THT
+D_DO-27_P5.08mm_Vertical_KathodeUp
+Diode,{space}DO-27{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=9.52*5.33mm^2,{space},{space}http://www.slottechforum.com/slotinfo/Techstuff/CD2%20Diodes%20and%20Transistors/Cases/Diode%20DO-27.jpg
+Diode{space}DO-27{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}9.52mm{space}diameter{space}5.33mm
+0
+2
+2
+Diode_THT
+D_DO-27_P12.70mm_Horizontal
+Diode,{space}DO-27{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=12.7mm,{space},{space}length*diameter=9.52*5.33mm^2,{space},{space}http://www.slottechforum.com/slotinfo/Techstuff/CD2%20Diodes%20and%20Transistors/Cases/Diode%20DO-27.jpg
+Diode{space}DO-27{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}12.7mm{space}{space}length{space}9.52mm{space}diameter{space}5.33mm
+0
+2
+2
+Diode_THT
+D_DO-27_P15.24mm_Horizontal
+Diode,{space}DO-27{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=15.24mm,{space},{space}length*diameter=9.52*5.33mm^2,{space},{space}http://www.slottechforum.com/slotinfo/Techstuff/CD2%20Diodes%20and%20Transistors/Cases/Diode%20DO-27.jpg
+Diode{space}DO-27{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}15.24mm{space}{space}length{space}9.52mm{space}diameter{space}5.33mm
+0
+2
+2
+Diode_THT
+D_DO-34_SOD68_P2.54mm_Vertical_AnodeUp
+Diode,{space}DO-34_SOD68{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=2.54mm,{space},{space}length*diameter=3.04*1.6mm^2,{space},{space}https://www.nxp.com/docs/en/data-sheet/KTY83_SER.pdf
+Diode{space}DO-34_SOD68{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}2.54mm{space}{space}length{space}3.04mm{space}diameter{space}1.6mm
+0
+2
+2
+Diode_THT
+D_DO-34_SOD68_P2.54mm_Vertical_KathodeUp
+Diode,{space}DO-34_SOD68{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=2.54mm,{space},{space}length*diameter=3.04*1.6mm^2,{space},{space}https://www.nxp.com/docs/en/data-sheet/KTY83_SER.pdf
+Diode{space}DO-34_SOD68{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}2.54mm{space}{space}length{space}3.04mm{space}diameter{space}1.6mm
+0
+2
+2
+Diode_THT
+D_DO-34_SOD68_P5.08mm_Vertical_AnodeUp
+Diode,{space}DO-34_SOD68{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=3.04*1.6mm^2,{space},{space}https://www.nxp.com/docs/en/data-sheet/KTY83_SER.pdf
+Diode{space}DO-34_SOD68{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}3.04mm{space}diameter{space}1.6mm
+0
+2
+2
+Diode_THT
+D_DO-34_SOD68_P5.08mm_Vertical_KathodeUp
+Diode,{space}DO-34_SOD68{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=3.04*1.6mm^2,{space},{space}https://www.nxp.com/docs/en/data-sheet/KTY83_SER.pdf
+Diode{space}DO-34_SOD68{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}3.04mm{space}diameter{space}1.6mm
+0
+2
+2
+Diode_THT
+D_DO-34_SOD68_P7.62mm_Horizontal
+Diode,{space}DO-34_SOD68{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=3.04*1.6mm^2,{space},{space}https://www.nxp.com/docs/en/data-sheet/KTY83_SER.pdf
+Diode{space}DO-34_SOD68{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}7.62mm{space}{space}length{space}3.04mm{space}diameter{space}1.6mm
+0
+2
+2
+Diode_THT
+D_DO-34_SOD68_P10.16mm_Horizontal
+Diode,{space}DO-34_SOD68{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=10.16mm,{space},{space}length*diameter=3.04*1.6mm^2,{space},{space}https://www.nxp.com/docs/en/data-sheet/KTY83_SER.pdf
+Diode{space}DO-34_SOD68{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}10.16mm{space}{space}length{space}3.04mm{space}diameter{space}1.6mm
+0
+2
+2
+Diode_THT
+D_DO-34_SOD68_P12.70mm_Horizontal
+Diode,{space}DO-34_SOD68{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=12.7mm,{space},{space}length*diameter=3.04*1.6mm^2,{space},{space}https://www.nxp.com/docs/en/data-sheet/KTY83_SER.pdf
+Diode{space}DO-34_SOD68{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}12.7mm{space}{space}length{space}3.04mm{space}diameter{space}1.6mm
+0
+2
+2
+Diode_THT
+D_DO-35_SOD27_P2.54mm_Vertical_AnodeUp
+Diode,{space}DO-35_SOD27{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=2.54mm,{space},{space}length*diameter=4*2mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-35.pdf
+Diode{space}DO-35_SOD27{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}2.54mm{space}{space}length{space}4mm{space}diameter{space}2mm
+0
+2
+2
+Diode_THT
+D_DO-35_SOD27_P2.54mm_Vertical_KathodeUp
+Diode,{space}DO-35_SOD27{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=2.54mm,{space},{space}length*diameter=4*2mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-35.pdf
+Diode{space}DO-35_SOD27{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}2.54mm{space}{space}length{space}4mm{space}diameter{space}2mm
+0
+2
+2
+Diode_THT
+D_DO-35_SOD27_P3.81mm_Vertical_AnodeUp
+Diode,{space}DO-35_SOD27{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=3.81mm,{space},{space}length*diameter=4*2mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-35.pdf
+Diode{space}DO-35_SOD27{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}3.81mm{space}{space}length{space}4mm{space}diameter{space}2mm
+0
+2
+2
+Diode_THT
+D_DO-35_SOD27_P3.81mm_Vertical_KathodeUp
+Diode,{space}DO-35_SOD27{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=3.81mm,{space},{space}length*diameter=4*2mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-35.pdf
+Diode{space}DO-35_SOD27{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}3.81mm{space}{space}length{space}4mm{space}diameter{space}2mm
+0
+2
+2
+Diode_THT
+D_DO-35_SOD27_P5.08mm_Vertical_AnodeUp
+Diode,{space}DO-35_SOD27{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=4*2mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-35.pdf
+Diode{space}DO-35_SOD27{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}4mm{space}diameter{space}2mm
+0
+2
+2
+Diode_THT
+D_DO-35_SOD27_P5.08mm_Vertical_KathodeUp
+Diode,{space}DO-35_SOD27{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=4*2mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-35.pdf
+Diode{space}DO-35_SOD27{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}4mm{space}diameter{space}2mm
+0
+2
+2
+Diode_THT
+D_DO-35_SOD27_P7.62mm_Horizontal
+Diode,{space}DO-35_SOD27{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=4*2mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-35.pdf
+Diode{space}DO-35_SOD27{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}7.62mm{space}{space}length{space}4mm{space}diameter{space}2mm
+0
+2
+2
+Diode_THT
+D_DO-35_SOD27_P10.16mm_Horizontal
+Diode,{space}DO-35_SOD27{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=10.16mm,{space},{space}length*diameter=4*2mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-35.pdf
+Diode{space}DO-35_SOD27{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}10.16mm{space}{space}length{space}4mm{space}diameter{space}2mm
+0
+2
+2
+Diode_THT
+D_DO-35_SOD27_P12.70mm_Horizontal
+Diode,{space}DO-35_SOD27{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=12.7mm,{space},{space}length*diameter=4*2mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-35.pdf
+Diode{space}DO-35_SOD27{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}12.7mm{space}{space}length{space}4mm{space}diameter{space}2mm
+0
+2
+2
+Diode_THT
+D_DO-41_SOD81_P2.54mm_Vertical_AnodeUp
+Diode,{space}DO-41_SOD81{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=2.54mm,{space},{space}length*diameter=5.2*2.7mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-41%20(Plastic).pdf
+Diode{space}DO-41_SOD81{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}2.54mm{space}{space}length{space}5.2mm{space}diameter{space}2.7mm
+0
+2
+2
+Diode_THT
+D_DO-41_SOD81_P2.54mm_Vertical_KathodeUp
+Diode,{space}DO-41_SOD81{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=2.54mm,{space},{space}length*diameter=5.2*2.7mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-41%20(Plastic).pdf
+Diode{space}DO-41_SOD81{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}2.54mm{space}{space}length{space}5.2mm{space}diameter{space}2.7mm
+0
+2
+2
+Diode_THT
+D_DO-41_SOD81_P3.81mm_Vertical_AnodeUp
+Diode,{space}DO-41_SOD81{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=3.81mm,{space},{space}length*diameter=5.2*2.7mm^2,{space},{space}https://www.diodes.com/assets/Package-Files/DO-41-Plastic.pdf
+Diode{space}DO-41_SOD81{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}3.81mm{space}{space}length{space}5.2mm{space}diameter{space}2.7mm
+0
+2
+2
+Diode_THT
+D_DO-41_SOD81_P3.81mm_Vertical_KathodeUp
+Diode,{space}DO-41_SOD81{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=3.81mm,{space},{space}length*diameter=5.2*2.7mm^2,{space},{space}https://www.diodes.com/assets/Package-Files/DO-41-Plastic.pdf
+Diode{space}DO-41_SOD81{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}3.81mm{space}{space}length{space}5.2mm{space}diameter{space}2.7mm
+0
+2
+2
+Diode_THT
+D_DO-41_SOD81_P5.08mm_Vertical_AnodeUp
+Diode,{space}DO-41_SOD81{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=5.2*2.7mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-41%20(Plastic).pdf
+Diode{space}DO-41_SOD81{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}5.2mm{space}diameter{space}2.7mm
+0
+2
+2
+Diode_THT
+D_DO-41_SOD81_P5.08mm_Vertical_KathodeUp
+Diode,{space}DO-41_SOD81{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=5.2*2.7mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-41%20(Plastic).pdf
+Diode{space}DO-41_SOD81{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}5.2mm{space}diameter{space}2.7mm
+0
+2
+2
+Diode_THT
+D_DO-41_SOD81_P7.62mm_Horizontal
+Diode,{space}DO-41_SOD81{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=5.2*2.7mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-41%20(Plastic).pdf
+Diode{space}DO-41_SOD81{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}7.62mm{space}{space}length{space}5.2mm{space}diameter{space}2.7mm
+0
+2
+2
+Diode_THT
+D_DO-41_SOD81_P10.16mm_Horizontal
+Diode,{space}DO-41_SOD81{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=10.16mm,{space},{space}length*diameter=5.2*2.7mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-41%20(Plastic).pdf
+Diode{space}DO-41_SOD81{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}10.16mm{space}{space}length{space}5.2mm{space}diameter{space}2.7mm
+0
+2
+2
+Diode_THT
+D_DO-41_SOD81_P12.70mm_Horizontal
+Diode,{space}DO-41_SOD81{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=12.7mm,{space},{space}length*diameter=5.2*2.7mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-41%20(Plastic).pdf
+Diode{space}DO-41_SOD81{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}12.7mm{space}{space}length{space}5.2mm{space}diameter{space}2.7mm
+0
+2
+2
+Diode_THT
+D_DO-201AD_P3.81mm_Vertical_AnodeUp
+Diode,{space}DO-201AD{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=3.81mm,{space},{space}length*diameter=9.5*5.2mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-201AD.pdf
+Diode{space}DO-201AD{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}3.81mm{space}{space}length{space}9.5mm{space}diameter{space}5.2mm
+0
+2
+2
+Diode_THT
+D_DO-201AD_P3.81mm_Vertical_KathodeUp
+Diode,{space}DO-201AD{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=3.81mm,{space},{space}length*diameter=9.5*5.2mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-201AD.pdf
+Diode{space}DO-201AD{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}3.81mm{space}{space}length{space}9.5mm{space}diameter{space}5.2mm
+0
+2
+2
+Diode_THT
+D_DO-201AD_P5.08mm_Vertical_AnodeUp
+Diode,{space}DO-201AD{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=9.5*5.2mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-201AD.pdf
+Diode{space}DO-201AD{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}9.5mm{space}diameter{space}5.2mm
+0
+2
+2
+Diode_THT
+D_DO-201AD_P5.08mm_Vertical_KathodeUp
+Diode,{space}DO-201AD{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=9.5*5.2mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-201AD.pdf
+Diode{space}DO-201AD{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}9.5mm{space}diameter{space}5.2mm
+0
+2
+2
+Diode_THT
+D_DO-201AD_P12.70mm_Horizontal
+Diode,{space}DO-201AD{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=12.7mm,{space},{space}length*diameter=9.5*5.2mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-201AD.pdf
+Diode{space}DO-201AD{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}12.7mm{space}{space}length{space}9.5mm{space}diameter{space}5.2mm
+0
+2
+2
+Diode_THT
+D_DO-201AD_P15.24mm_Horizontal
+Diode,{space}DO-201AD{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=15.24mm,{space},{space}length*diameter=9.5*5.2mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-201AD.pdf
+Diode{space}DO-201AD{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}15.24mm{space}{space}length{space}9.5mm{space}diameter{space}5.2mm
+0
+2
+2
+Diode_THT
+D_DO-201AE_P3.81mm_Vertical_AnodeUp
+Diode,{space}DO-201AE{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=3.81mm,{space},{space}length*diameter=9*5.3mm^2,{space},{space}http://www.farnell.com/datasheets/529758.pdf
+Diode{space}DO-201AE{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}3.81mm{space}{space}length{space}9mm{space}diameter{space}5.3mm
+0
+2
+2
+Diode_THT
+D_DO-201AE_P3.81mm_Vertical_KathodeUp
+Diode,{space}DO-201AE{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=3.81mm,{space},{space}length*diameter=9*5.3mm^2,{space},{space}http://www.farnell.com/datasheets/529758.pdf
+Diode{space}DO-201AE{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}3.81mm{space}{space}length{space}9mm{space}diameter{space}5.3mm
+0
+2
+2
+Diode_THT
+D_DO-201AE_P5.08mm_Vertical_AnodeUp
+Diode,{space}DO-201AE{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=9*5.3mm^2,{space},{space}http://www.farnell.com/datasheets/529758.pdf
+Diode{space}DO-201AE{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}9mm{space}diameter{space}5.3mm
+0
+2
+2
+Diode_THT
+D_DO-201AE_P5.08mm_Vertical_KathodeUp
+Diode,{space}DO-201AE{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=9*5.3mm^2,{space},{space}http://www.farnell.com/datasheets/529758.pdf
+Diode{space}DO-201AE{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}9mm{space}diameter{space}5.3mm
+0
+2
+2
+Diode_THT
+D_DO-201AE_P12.70mm_Horizontal
+Diode,{space}DO-201AE{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=12.7mm,{space},{space}length*diameter=9*5.3mm^2,{space},{space}http://www.farnell.com/datasheets/529758.pdf
+Diode{space}DO-201AE{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}12.7mm{space}{space}length{space}9mm{space}diameter{space}5.3mm
+0
+2
+2
+Diode_THT
+D_DO-201AE_P15.24mm_Horizontal
+Diode,{space}DO-201AE{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=15.24mm,{space},{space}length*diameter=9*5.3mm^2,{space},{space}http://www.farnell.com/datasheets/529758.pdf
+Diode{space}DO-201AE{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}15.24mm{space}{space}length{space}9mm{space}diameter{space}5.3mm
+0
+2
+2
+Diode_THT
+D_DO-201_P3.81mm_Vertical_AnodeUp
+Diode,{space}DO-201{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=3.81mm,{space},{space}length*diameter=9.53*5.21mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-201.pdf
+Diode{space}DO-201{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}3.81mm{space}{space}length{space}9.53mm{space}diameter{space}5.21mm
+0
+2
+2
+Diode_THT
+D_DO-201_P3.81mm_Vertical_KathodeUp
+Diode,{space}DO-201{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=3.81mm,{space},{space}length*diameter=9.53*5.21mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-201.pdf
+Diode{space}DO-201{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}3.81mm{space}{space}length{space}9.53mm{space}diameter{space}5.21mm
+0
+2
+2
+Diode_THT
+D_DO-201_P5.08mm_Vertical_AnodeUp
+Diode,{space}DO-201{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=9.53*5.21mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-201.pdf
+Diode{space}DO-201{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}9.53mm{space}diameter{space}5.21mm
+0
+2
+2
+Diode_THT
+D_DO-201_P5.08mm_Vertical_KathodeUp
+Diode,{space}DO-201{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=9.53*5.21mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-201.pdf
+Diode{space}DO-201{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}9.53mm{space}diameter{space}5.21mm
+0
+2
+2
+Diode_THT
+D_DO-201_P12.70mm_Horizontal
+Diode,{space}DO-201{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=12.7mm,{space},{space}length*diameter=9.53*5.21mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-201.pdf
+Diode{space}DO-201{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}12.7mm{space}{space}length{space}9.53mm{space}diameter{space}5.21mm
+0
+2
+2
+Diode_THT
+D_DO-201_P15.24mm_Horizontal
+Diode,{space}DO-201{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=15.24mm,{space},{space}length*diameter=9.53*5.21mm^2,{space},{space}http://www.diodes.com/_files/packages/DO-201.pdf
+Diode{space}DO-201{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}15.24mm{space}{space}length{space}9.53mm{space}diameter{space}5.21mm
+0
+2
+2
+Diode_THT
+D_P600_R-6_P7.62mm_Vertical_AnodeUp
+Diode,{space}P600_R-6{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=9.1*9.1mm^2,{space},{space}http://www.vishay.com/docs/88692/p600a.pdf,{space}http://www.diodes.com/_files/packages/R-6.pdf
+Diode{space}P600_R-6{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}9.1mm{space}diameter{space}9.1mm
+0
+2
+2
+Diode_THT
+D_P600_R-6_P7.62mm_Vertical_KathodeUp
+Diode,{space}P600_R-6{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=9.1*9.1mm^2,{space},{space}http://www.vishay.com/docs/88692/p600a.pdf,{space}http://www.diodes.com/_files/packages/R-6.pdf
+Diode{space}P600_R-6{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}9.1mm{space}diameter{space}9.1mm
+0
+2
+2
+Diode_THT
+D_P600_R-6_P12.70mm_Horizontal
+Diode,{space}P600_R-6{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=12.7mm,{space},{space}length*diameter=9.1*9.1mm^2,{space},{space}http://www.vishay.com/docs/88692/p600a.pdf,{space}http://www.diodes.com/_files/packages/R-6.pdf
+Diode{space}P600_R-6{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}12.7mm{space}{space}length{space}9.1mm{space}diameter{space}9.1mm
+0
+2
+2
+Diode_THT
+D_P600_R-6_P20.00mm_Horizontal
+Diode,{space}P600_R-6{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=20mm,{space},{space}length*diameter=9.1*9.1mm^2,{space},{space}http://www.vishay.com/docs/88692/p600a.pdf,{space}http://www.diodes.com/_files/packages/R-6.pdf
+Diode{space}P600_R-6{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}20mm{space}{space}length{space}9.1mm{space}diameter{space}9.1mm
+0
+2
+2
+Diode_THT
+D_T-1_P2.54mm_Vertical_AnodeUp
+Diode,{space}T-1{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=2.54mm,{space},{space}length*diameter=3.2*2.6mm^2,{space},{space}http://www.diodes.com/_files/packages/T-1.pdf
+Diode{space}T-1{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}2.54mm{space}{space}length{space}3.2mm{space}diameter{space}2.6mm
+0
+2
+2
+Diode_THT
+D_T-1_P2.54mm_Vertical_KathodeUp
+Diode,{space}T-1{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=2.54mm,{space},{space}length*diameter=3.2*2.6mm^2,{space},{space}http://www.diodes.com/_files/packages/T-1.pdf
+Diode{space}T-1{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}2.54mm{space}{space}length{space}3.2mm{space}diameter{space}2.6mm
+0
+2
+2
+Diode_THT
+D_T-1_P5.08mm_Horizontal
+Diode,{space}T-1{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=3.2*2.6mm^2,{space},{space}http://www.diodes.com/_files/packages/T-1.pdf
+Diode{space}T-1{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}5.08mm{space}{space}length{space}3.2mm{space}diameter{space}2.6mm
+0
+2
+2
+Diode_THT
+D_T-1_P10.16mm_Horizontal
+Diode,{space}T-1{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=10.16mm,{space},{space}length*diameter=3.2*2.6mm^2,{space},{space}http://www.diodes.com/_files/packages/T-1.pdf
+Diode{space}T-1{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}10.16mm{space}{space}length{space}3.2mm{space}diameter{space}2.6mm
+0
+2
+2
+Diode_THT
+D_T-1_P12.70mm_Horizontal
+Diode,{space}T-1{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=12.7mm,{space},{space}length*diameter=3.2*2.6mm^2,{space},{space}http://www.diodes.com/_files/packages/T-1.pdf
+Diode{space}T-1{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}12.7mm{space}{space}length{space}3.2mm{space}diameter{space}2.6mm
+0
+2
+2
+Diode_THT
+Diode_Bridge_15.1x15.1x6.3mm_P10.9mm
+Single{space}phase{space}bridge{space}rectifier{space}case{space}15.1x15.1mm,{space}pitch{space}10.9mm,{space}see{space}https://diotec.com/tl_files/diotec/files/pdf/datasheets/pb1000.pdf
+Diode{space}Bridge{space}PB10xxS
+0
+4
+4
+Diode_THT
+Diode_Bridge_15.2x15.2x6.3mm_P10.9mm
+Single{space}phase{space}bridge{space}rectifier{space}case{space}15.2x15.2mm,{space}pitch{space}10.9mm,{space}see{space}https://diotec.com/tl_files/diotec/files/pdf/datasheets/kbpc600.pdf
+Diode{space}Bridge{space}KBPC6xx
+0
+4
+4
+Diode_THT
+Diode_Bridge_15.7x15.7x6.3mm_P10.8mm
+Single{space}phase{space}bridge{space}rectifier{space}case{space}15.7x15.7
+Diode{space}Bridge
+0
+4
+4
+Diode_THT
+Diode_Bridge_16.7x16.7x6.3mm_P10.8mm
+Single{space}phase{space}bridge{space}rectifier{space}case{space}16.7x16.7
+Diode{space}Bridge
+0
+4
+4
+Diode_THT
+Diode_Bridge_19.0x3.5x10.0mm_P5.0mm
+Vishay{space}GBU{space}rectifier{space}package,{space}5.08mm{space}pitch,{space}see{space}http://www.vishay.com/docs/88606/g3sba20.pdf
+Vishay{space}GBU{space}rectifier{space}diode{space}bridge
+0
+4
+4
+Diode_THT
+Diode_Bridge_19.0x19.0x6.8mm_P12.7mm
+Single{space}phase{space}bridge{space}rectifier{space}case{space}19x19mm,{space}pitch{space}12.7mm,{space}see{space}https://diotec.com/tl_files/diotec/files/pdf/datasheets/pb1000.pdf
+Diode{space}Bridge{space}PB10xx
+0
+4
+4
+Diode_THT
+Diode_Bridge_28.6x28.6x7.3mm_P18.0mm_P11.6mm
+Single{space}phase{space}bridge{space}rectifier{space}case{space}28.6x28.6mm,{space}pitch{space}18.0mm{space}&{space}11.6mm,{space}see{space}https://diotec.com/tl_files/diotec/files/pdf/datasheets/kbpc1500fw.pdf
+Diode{space}Bridge{space}KBPCxxxxWP
+0
+4
+4
+Diode_THT
+Diode_Bridge_32.0x5.6x17.0mm_P10.0mm_P7.5mm
+Diotec{space}32x5.6x17mm{space}rectifier{space}package,{space}7.5mm/10mm{space}pitch,{space}see{space}https://diotec.com/tl_files/diotec/files/pdf/datasheets/b40c3700.pdf
+Diotec{space}rectifier{space}diode{space}bridge
+0
+4
+4
+Diode_THT
+Diode_Bridge_DIP-4_W5.08mm_P2.54mm
+4-lead{space}dip{space}package{space}for{space}diode{space}bridges,{space}row{space}spacing{space}5.08mm,{space}pin-spacing{space}2.54mm,{space}see{space}http://www.vishay.com/docs/88898/b2m.pdf
+DIL{space}DIP{space}PDIP{space}5.08mm{space}2.54
+0
+4
+4
+Diode_THT
+Diode_Bridge_DIP-4_W7.62mm_P5.08mm
+4-lead{space}dip{space}package{space}for{space}diode{space}bridges,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}see{space}http://cdn-reichelt.de/documents/datenblatt/A400/HDBL101G_20SERIES-TSC.pdf
+DIL{space}DIP{space}PDIP{space}5.08mm{space}7.62mm{space}300mil
+0
+4
+4
+Diode_THT
+Diode_Bridge_Round_D8.9mm
+4-lead{space}round{space}diode{space}bridge{space}package,{space}diameter{space}8.9mm,{space}pin{space}pitch{space}5.08mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/A400/W005M-W10M_SEP.PDF
+diode{space}bridge{space}8.9mm{space}8.85mm{space}WOB{space}pitch{space}5.08mm
+0
+4
+4
+Diode_THT
+Diode_Bridge_Round_D9.0mm
+4-lead{space}round{space}diode{space}bridge{space}package,{space}diameter{space}9.0mm,{space}pin{space}pitch{space}5.0mm,{space}see{space}https://diotec.com/tl_files/diotec/files/pdf/datasheets/b40r.pdf
+diode{space}bridge{space}9.0mm{space}8.85mm{space}WOB{space}pitch{space}5.0mm
+0
+4
+4
+Diode_THT
+Diode_Bridge_Round_D9.8mm
+4-lead{space}round{space}diode{space}bridge{space}package,{space}diameter{space}9.8mm,{space}pin{space}pitch{space}5.08mm,{space}see{space}http://www.vishay.com/docs/88769/woo5g.pdf
+diode{space}bridge{space}9.8mm{space}WOG{space}pitch{space}5.08mm
+0
+4
+4
+Diode_THT
+Diode_Bridge_Vishay_GBL
+Vishay{space}GBL{space}rectifier{space}package,{space}5.08mm{space}pitch,{space}see{space}http://www.vishay.com/docs/88609/gbl005.pdf
+Vishay{space}GBL{space}rectifier{space}diode{space}bridge
+0
+4
+4
+Diode_THT
+Diode_Bridge_Vishay_GBU
+Vishay{space}GBU{space}rectifier{space}package,{space}5.08mm{space}pitch,{space}see{space}http://www.vishay.com/docs/88606/g3sba20.pdf
+Vishay{space}GBU{space}rectifier{space}diode{space}bridge
+0
+4
+4
+Diode_THT
+Diode_Bridge_Vishay_KBL
+Vishay{space}KBL{space}rectifier{space}package,{space}5.08mm{space}pitch,{space}see{space}http://www.vishay.com/docs/88655/kbl005.pdf
+Vishay{space}KBL{space}rectifier{space}diode{space}bridge
+0
+4
+4
+Diode_THT
+Diode_Bridge_Vishay_KBPC1
+Single{space}phase{space}bridge{space}rectifier{space}case{space}KBPC1,{space}see{space}http://www.vishay.com/docs/93585/vs-kbpc1series.pdf
+Diode{space}Bridge
+0
+4
+4
+Diode_THT
+Diode_Bridge_Vishay_KBPC6
+Single{space}phase{space}bridge{space}rectifier{space}case{space}KBPC6,{space}see{space}http://www.vishay.com/docs/93585/vs-kbpc1series.pdf
+Diode{space}Bridge
+0
+4
+4
+Diode_THT
+Diode_Bridge_Vishay_KBU
+Vishay{space}KBU{space}rectifier{space}package,{space}5.08mm{space}pitch,{space}see{space}http://www.vishay.com/docs/88656/kbu4.pdf
+Vishay{space}KBU{space}rectifier{space}diode{space}bridge
+0
+4
+4
+Display
+AG12864E
+STN/FSTN{space}LCD{space}128x64{space}dot{space}https://www.digchip.com/datasheets/parts/datasheet/1121/AG-12864E-pdf.php
+AG12864E{space}Graphics{space}Display{space}128x64{space}Ampire
+0
+24
+20
+Display
+Adafruit_SSD1306
+Adafruit{space}SSD1306{space}OLED{space}1.3{space}inch{space}128x64{space}I2C{space}&{space}SPI{space}https://learn.adafruit.com/monochrome-oled-breakouts/downloads
+Adafruit{space}SSD1306{space}OLED{space}1.3{space}inch{space}128x64{space}I2C{space}&{space}SPI{space}
+0
+8
+8
+Display
+Adafruit_SSD1306_No_Mounting_Holes
+Adafruit{space}SSD1306{space}OLED{space}1.3{space}inch{space}128x64{space}I2C{space}&{space}SPI{space}https://learn.adafruit.com/monochrome-oled-breakouts/downloads
+Adafruit{space}SSD1306{space}OLED{space}1.3{space}inch{space}128x64{space}I2C{space}&{space}SPI{space}
+0
+8
+8
+Display
+CR2013-MI2120
+CR2013-MI2120{space}ILI9341{space}LCD{space}Breakout{space}http://pan.baidu.com/s/11Y990
+CR2013-MI2120{space}ILI9341{space}LCD{space}Breakout
+0
+18
+14
+Display
+EA-eDIP128B-XXX
+LCD-graphical{space}display{space}with{space}LED{space}backlight{space}128x64{space}RS-232{space}I2C{space}or{space}SPI{space}http://www.lcd-module.com/fileadmin/eng/pdf/grafik/edip128-6e.pdf
+LCD-graphical{space}display{space}with{space}LED{space}backlight{space}128x64{space}RS-232{space}I2C{space}or{space}SPI
+0
+32
+32
+Display
+EA_DOGS104X-A
+LCD{space}4x10{space}character{space}3.3V{space}VDD{space}I2C{space}or{space}SPI{space}http://www.lcd-module.com/fileadmin/eng/pdf/doma/dogs104e.pdf
+LCD{space}4x10{space}character{space}3.3V{space}VDD{space}I2C{space}or{space}SPI
+0
+14
+14
+Display
+EA_DOGXL160-7
+Grapchical,Display,LCD,160x104{space}http://www.lcd-module.com/eng/pdf/grafik/dogxl160-7e.pdf
+EA_DOGXL160-7
+0
+22
+22
+Display
+EA_DOGXL160-7_Backlight
+Grapchical,Display,LCD,160x104{space}http://www.lcd-module.com/eng/pdf/grafik/dogxl160-7e.pdf
+EA_DOGXL160-7_Backlight
+0
+22
+22
+Display
+EA_T123X-I2C
+http://www.lcd-module.de/pdf/doma/t123-i2c.pdf
+3{space}Line{space}12{space}character{space}wide{space}alpha{space}numeric{space}LCD
+0
+6
+6
+Display
+EA_eDIP160-XXX
+LCD-graphical{space}display{space}with{space}LED{space}backlight{space}160x104{space}RS-232{space}I2C{space}or{space}SPI{space}http://www.lcd-module.com/fileadmin/eng/pdf/grafik/edip160-7e.pdf
+LCD-graphical{space}display{space}with{space}LED{space}backlight{space}160x104{space}RS-232{space}I2C{space}or{space}SPI
+0
+40
+40
+Display
+EA_eDIP240-XXX
+LCD{space}graphical{space}display{space}LED{space}backlight{space}240x128{space}http://www.lcd-module.com/fileadmin/eng/pdf/grafik/edip240-7e.pdf
+LCD{space}graphical{space}display{space}LED{space}backlight{space}240x128
+0
+40
+40
+Display
+EA_eDIP320X-XXX
+LCD{space}display{space}320x340{space}RS-232{space}I2C{space}or{space}SPI{space}http://www.lcd-module.com/fileadmin/eng/pdf/grafik/edip320-8e.pdf
+LCD{space}display{space}320x340{space}RS-232{space}I2C{space}or{space}SPI
+0
+48
+48
+Display
+EA_eDIPTFT32-XXX
+TFT-graphic{space}display{space}320x240{space}16{space}bit{space}colour{space}with{space}led{space}backlight{space}http://www.lcd-module.com/fileadmin/eng/pdf/grafik/ediptft32-ae.pdf
+TFT-graphic{space}display{space}320x240{space}16{space}bit{space}colour{space}with{space}led{space}backlight
+0
+40
+40
+Display
+EA_eDIPTFT43-ATC
+http://www.lcd-module.com/fileadmin/eng/pdf/grafik/ediptft43-ae.pdf
+TFT{space}graphical{space}display{space}480x272{space}16-bit{space}colour{space}with{space}LED{space}backlight
+0
+40
+40
+Display
+EA_eDIPTFT43-XXX
+TFT{space}graphical{space}display{space}480x272{space}16-bit{space}colour{space}with{space}LED{space}backlight{space}http://www.lcd-module.com/fileadmin/eng/pdf/grafik/ediptft43-ae.pdf
+TFT{space}graphical{space}display{space}480x272{space}16-bit{space}colour{space}with{space}LED{space}backlight
+0
+40
+40
+Display
+EA_eDIPTFT57-XXX
+http://www.lcd-module.com/fileadmin/eng/pdf/grafik/ediptft57-ae.pdf
+TFT-graphic{space}display{space}640x480{space}16{space}bit{space}colour
+0
+48
+48
+Display
+EA_eDIPTFT70-ATC
+TFT-graphical{space}display{space}800x480{space}16-bit{space}colours{space}with{space}capacitive{space}touch{space}panel{space}http://www.lcd-module.com/fileadmin/eng/pdf/grafik/ediptft70-ae.pdf
+TFT-graphical{space}display{space}800x480{space}16-bit{space}colours{space}with{space}capacitive{space}touch{space}panel
+0
+48
+48
+Display
+EA_eDIPTFT70-XXX
+TFT-graphical{space}display{space}800x480{space}16-bit{space}colours{space}http://www.lcd-module.com/fileadmin/eng/pdf/grafik/ediptft70-ae.pdf
+TFT-graphical{space}display{space}800x480{space}16-bit{space}colours{space}and{space}touch{space}display
+0
+48
+48
+Display
+HDSM-441B_HDSM-443B
+2{space}Digit{space}7{space}segemnt{space}blue{space}LED,{space}right{space}hand{space}decimal,{space}https://docs.broadcom.com/docs/AV02-1589EN
+2{space}Digit{space}7{space}segment{space}blue{space}LED
+0
+10
+10
+Display
+HDSM-541B_HDSM-543B
+2{space}digit{space}7{space}segement{space}blue{space}LED{space}with{space}right{space}hand{space}decimal,{space}https://docs.broadcom.com/docs/AV02-1588EN
+2{space}digit{space}7{space}segement{space}blue{space}LED{space}with{space}right{space}hand{space}decimal
+0
+10
+10
+Display
+HDSP-48xx
+10-Element{space}Bar{space}Graph{space}Array{space}https://docs.broadcom.com/docs/AV02-1798EN
+10-Element{space}Bar{space}Graph{space}Array
+0
+20
+20
+Display
+HDSP-4830
+10-Element{space}Red{space}Bar{space}Graph{space}Array{space}https://docs.broadcom.com/docs/AV02-1798EN
+10-Element{space}Red{space}Bar{space}Graph{space}Array
+0
+20
+20
+Display
+HDSP-4832
+10-Element{space}Red{space}Yellow{space}Green{space}Bar{space}Graph{space}Array{space}https://docs.broadcom.com/docs/AV02-1798EN
+10-Element{space}Red{space}Yellow{space}Green{space}Bar{space}Graph{space}Array
+0
+20
+20
+Display
+HDSP-4836
+10-Element{space}Red{space}Yellow{space}Green{space}Bar{space}Graph{space}Array{space}https://docs.broadcom.com/docs/AV02-1798EN
+10-Element{space}Red{space}Yellow{space}Green{space}Bar{space}Graph{space}Array
+0
+20
+20
+Display
+HDSP-4840
+10-Element{space}Yellow{space}Bar{space}Graph{space}Array{space}https://docs.broadcom.com/docs/AV02-1798EN
+10-Element{space}Yellow{space}Bar{space}Graph{space}Array
+0
+20
+20
+Display
+HDSP-4850
+10-Element{space}Green{space}Bar{space}Graph{space}Array{space}https://docs.broadcom.com/docs/AV02-1798EN
+10-Element{space}Green{space}Bar{space}Graph{space}Array
+0
+20
+20
+Display
+HLCP-J100
+10-Element{space}Red{space}Bar{space}Graph{space}Array{space}https://docs.broadcom.com/docs/AV02-1798EN
+10-Element{space}Red{space}Bar{space}Graph{space}Array
+0
+20
+20
+Display
+HY1602E
+http://www.icbank.com/data/ICBShop/board/HY1602E.pdf
+LCD{space}16x2{space}Alphanumeric{space}16pin
+0
+20
+16
+Display
+LCD-016N002L
+16{space}x{space}2{space}Character{space}LCD,{space}http://www.vishay.com/product?docid=37299
+LCD-016N002L{space}16{space}x{space}2{space}Character{space}LCD
+0
+24
+20
+Display
+LM16255
+LCD{space}LM16255{space}16x2{space}character{space}http://www.datasheetlib.com/datasheet/259542/lm16255_sharp-electronics.html
+LCD{space}12x2
+0
+14
+14
+Display
+NHD-0420H1Z
+NHD-0420H1Z{space}LCD{space}http://www.newhavendisplay.com/specs/NHD-0420H1Z-FSW-GBW-33V3.pdf
+NHD-0420H1Z{space}LCD
+0
+16
+16
+Display
+RC1602A
+http://www.raystar-optronics.com/down.php?ProID=18
+LCD{space}16x2{space}Alphanumeric{space}16pin
+0
+22
+18
+Display
+WC1602A
+LCD{space}16x2{space}http://www.wincomlcd.com/pdf/WC1602A-SFYLYHTC06.pdf
+LCD{space}16x2{space}Alphanumeric{space}16pin
+0
+20
+16
+Display_7Segment
+7SEGMENT-LED__HDSM531_HDSM533_SMD
+7-Segment{space}Display,{space}HDSM53x,{space}https://docs.broadcom.com/docs/AV02-0713EN
+7segment{space}LED{space}HDSM531{space}HDSM533
+0
+10
+10
+Display_7Segment
+7SegmentLED_LTS6760_LTS6780
+7-Segment{space}Display,{space}LTS67x0,{space}http://optoelectronics.liteon.com/upload/download/DS30-2001-355/S6760jd.pdf
+7Segment{space}LED{space}LTS6760{space}LTS6780
+0
+10
+10
+Display_7Segment
+AD-121F2
+Single{space}Digit{space}7-segment{space}RGB{space}LED{space}Display,{space}1-inch{space}digit{space}height,{space}common{space}anode,{space}http://usasyck.com/products/AD-121F2_cat_e.pdf
+RGB{space}LED{space}digit
+0
+22
+22
+Display_7Segment
+AFF_2x7SEG-DIGIT_10mm
+Afficheur{space}7{space}segments{space}10mm{space}DIGIT
+AFFICHEUR
+0
+16
+16
+Display_7Segment
+CA56-12CGKWA
+4{space}digit{space}7{space}segment{space}green{space}LED,{space}http://www.kingbright.com/attachments/file/psearch/000/00/00/CA56-12CGKWA(Ver.9A).pdf
+4{space}digit{space}7{space}segment{space}green{space}LED
+0
+12
+12
+Display_7Segment
+CA56-12EWA
+4{space}digit{space}7{space}segment{space}green{space}LED,{space}http://www.kingbrightusa.com/images/catalog/SPEC/CA56-12EWA.pdf
+4{space}digit{space}7{space}segment{space}green{space}LED
+0
+12
+12
+Display_7Segment
+CA56-12SEKWA
+4{space}digit{space}7{space}segment{space}green{space}LED,{space}http://www.kingbright.com/attachments/file/psearch/000/00/00/CA56-12SEKWA(Ver.7A).pdf
+4{space}digit{space}7{space}segment{space}green{space}LED
+0
+12
+12
+Display_7Segment
+CA56-12SRWA
+4{space}digit{space}7{space}segment{space}green{space}LED,{space}http://www.kingbrightusa.com/images/catalog/SPEC/CA56-12SRWA.pdf
+4{space}digit{space}7{space}segment{space}green{space}LED
+0
+12
+12
+Display_7Segment
+CA56-12SURKWA
+4{space}digit{space}7{space}segment{space}green{space}LED,{space}http://www.kingbright.com/attachments/file/psearch/000/00/00/CA56-12SURKWA(Ver.8A).pdf
+4{space}digit{space}7{space}segment{space}green{space}LED
+0
+12
+12
+Display_7Segment
+CA56-12SYKWA
+4{space}digit{space}7{space}segment{space}green{space}LED,{space}http://www.kingbright.com/attachments/file/psearch/000/00/00/CA56-12SYKWA(Ver.6A).pdf
+4{space}digit{space}7{space}segment{space}green{space}LED
+0
+12
+12
+Display_7Segment
+CC56-12GWA
+4{space}digit{space}7{space}segment{space}green{space}LED,{space}http://www.kingbrightusa.com/images/catalog/SPEC/CA56-11GWA.pdf
+4{space}digit{space}7{space}segment{space}green{space}LED
+0
+12
+12
+Display_7Segment
+CC56-12YWA
+4{space}digit{space}7{space}segment{space}green{space}LED,{space}http://www.kingbrightusa.com/images/catalog/SPEC/CC56-12YWA.pdf
+4{space}digit{space}7{space}segment{space}green{space}LED
+0
+12
+12
+Display_7Segment
+DA04-11CGKWA
+http://www.kingbright.com/attachments/file/psearch/000/00/00/DA04-11CGKWA(Ver.6A).pdf
+Dubble{space}digit{space}green{space}7{space}segment{space}LED{space}display
+0
+16
+16
+Display_7Segment
+DA04-11SEKWA
+http://www.kingbright.com/attachments/file/psearch/000/00/00/DA04-11SEKWA(Ver.9A).pdf
+Dubble{space}digit{space}super{space}bright{space}orange{space}7{space}segment{space}LED{space}display
+0
+16
+16
+Display_7Segment
+DA04-11SURKWA
+http://www.kingbright.com/attachments/file/psearch/000/00/00/DA04-11SURKWA(Ver.10A).pdf
+Dubble{space}digit{space}hyper{space}red{space}7{space}segment{space}LED{space}display
+0
+16
+16
+Display_7Segment
+DA04-11SYKWA
+http://www.kingbright.com/attachments/file/psearch/000/00/00/DA04-11SYKWA(Ver.6A).pdf
+Dubble{space}digit{space}super{space}bright{space}yellow{space}7{space}segment{space}LED{space}display
+0
+16
+16
+Display_7Segment
+DA56-11CGKWA
+http://www.kingbright.com/attachments/file/psearch/000/00/00/DA56-11CGKWA(Ver.16A).pdf
+Double{space}digit{space}seven{space}segment{space}green{space}LED{space}display
+0
+18
+18
+Display_7Segment
+DA56-11SEKWA
+http://www.kingbright.com/attachments/file/psearch/000/00/00/DA56-11SEKWA(Ver.9A).pdf
+Double{space}digit{space}seven{space}segment{space}super{space}bright{space}orange{space}LED{space}display
+0
+18
+18
+Display_7Segment
+DA56-11SURKWA
+http://www.kingbright.com/attachments/file/psearch/000/00/00/DA56-11SURKWA(Ver.11A).pdf
+Double{space}digit{space}seven{space}segment{space}hyper{space}red{space}LED{space}display
+0
+18
+18
+Display_7Segment
+DA56-11SYKWA
+http://www.kingbright.com/attachments/file/psearch/000/00/00/DA56-11SYKWA(Ver.11A).pdf
+Double{space}digit{space}seven{space}segment{space}super{space}bright{space}yellow{space}LED{space}display
+0
+18
+18
+Display_7Segment
+DE113-XX-XX
+http://www.display-elektronik.de/filter/DE113-RS-20_635.pdf
+3{space}1/5{space}digit{space}LOW{space}BAT{space}+{space}7-Segment{space}LCD
+0
+40
+40
+Display_7Segment
+DE114-RS-20
+http://www.display-elektronik.de/filter/DE113-RS-20_635.pdf
+3{space}1/5{space}digit{space}reflective{space}LCD{space}LOW-BAT{space}+{space}7-Segment
+0
+40
+40
+Display_7Segment
+DE122-XX-XX
+http://www.display-elektronik.de/filter/DE122-RS-20_635.pdf
+6{space}digit{space}7{space}segment{space}LCD{space}
+0
+50
+50
+Display_7Segment
+DE170-XX-XX
+http://www.display-elektronik.de/filter/DE170-RS-20_75.pdf
+3{space}1/5{space}digit{space}reflective{space}arrow{space}bat{space}+{space}7{space}segment{space}LCD{space}
+0
+40
+40
+Display_7Segment
+ELD_426XXXX
+http://www.everlight.com/file/ProductFile/D426SYGWA-S530-E2.pdf
+Double{space}digit{space}7{space}segment{space}brilliant{space}yellow{space}green{space}LED
+0
+10
+10
+Display_7Segment
+HDSP-7401
+One{space}digit{space}7{space}segment{space}yellow,{space}https://docs.broadcom.com/docs/AV02-2553EN
+One{space}digit{space}7{space}segment{space}yellow
+0
+10
+10
+Display_7Segment
+HDSP-7507
++-1{space}overflow{space}7{space}segment{space}high{space}efficiency{space}red,{space}https://docs.broadcom.com/docs/AV02-2553EN
++-1{space}overflow{space}7{space}segment{space}high{space}efficiency{space}red
+0
+10
+10
+Display_7Segment
+HDSP-7801
+One{space}digit{space}7{space}segment{space}green,{space}https://docs.broadcom.com/docs/AV02-2553EN
+One{space}digit{space}7{space}segment{space}green
+0
+10
+10
+Display_7Segment
+HDSP-7807
++-1{space}overflow{space}7{space}segment{space}green,{space}https://docs.broadcom.com/docs/AV02-2553EN
++-1{space}overflow{space}7{space}segment{space}green
+0
+10
+10
+Display_7Segment
+HDSP-A151
+One{space}digit{space}7{space}segment{space}red,{space}https://docs.broadcom.com/docs/AV02-2553EN
+One{space}digit{space}7{space}segment{space}high{space}efficiency{space}red
+0
+10
+10
+Display_7Segment
+HDSP-A401
+One{space}digit{space}7{space}segment{space}orange,{space}common{space}anode,{space}https://docs.broadcom.com/docs/AV02-2553EN
+One{space}digit{space}7{space}segment{space}orange{space}common{space}anode
+0
+10
+10
+Display_7Segment
+KCSC02-105
+http://www.kingbright.com/attachments/file/psearch/000/00/00/KCSC02-105(Ver.9A).pdf
+Single{space}digit{space}7{space}segement{space}hyper{space}red{space}LED
+0
+10
+10
+Display_7Segment
+KCSC02-106
+http://www.kingbright.com/attachments/file/psearch/000/00/00/KCSC02-106(Ver.10A).pdf
+Single{space}digit{space}7{space}segement{space}super{space}bright{space}orange{space}LED
+0
+10
+10
+Display_7Segment
+KCSC02-107
+http://www.kingbright.com/attachments/file/psearch/000/00/00/KCSC02-107(Ver.9A).pdf
+Single{space}digit{space}7{space}segement{space}super{space}bright{space}yellow{space}LED
+0
+10
+10
+Display_7Segment
+KCSC02-123
+http://www.kingbright.com/attachments/file/psearch/000/00/00/KCSC02-123(Ver.10A).pdf
+Single{space}digit{space}7{space}segement{space}super{space}bright{space}yellow{space}LED
+0
+10
+10
+Display_7Segment
+KCSC02-136
+http://www.kingbright.com/attachments/file/psearch/000/00/00/KCSC02-136(Ver.6B).pdf
+Single{space}digit{space}7{space}segement{space}super{space}bright{space}yellow{space}LED
+0
+10
+10
+Display_7Segment
+MAN71A
+https://www.digchip.com/datasheets/parts/datasheet/161/MAN3640A-pdf.php
+One{space}digit{space}7{space}segment{space}red{space}LED{space}with{space}right{space}dot
+0
+14
+14
+Display_7Segment
+MAN72A
+https://www.digchip.com/datasheets/parts/datasheet/161/MAN3640A-pdf.php
+One{space}digit{space}7{space}segment{space}red{space}LED{space}with{space}left{space}dot
+0
+14
+14
+Display_7Segment
+MAN73A
+https://www.digchip.com/datasheets/parts/datasheet/161/MAN3640A-pdf.php
+Overflow{space}+-{space}1{space}red{space}LED
+0
+14
+14
+Display_7Segment
+MAN3410A
+https://www.digchip.com/datasheets/parts/datasheet/161/MAN3640A-pdf.php
+One{space}digit{space}7{space}segment{space}green{space}LED{space}with{space}dot
+0
+14
+14
+Display_7Segment
+MAN3420A
+https://www.digchip.com/datasheets/parts/datasheet/161/MAN3640A-pdf.php
+One{space}digit{space}7{space}segment{space}green{space}LED{space}with{space}left{space}dot
+0
+14
+14
+Display_7Segment
+MAN3610A
+https://www.digchip.com/datasheets/parts/datasheet/161/MAN3640A-pdf.php
+One{space}digit{space}7{space}segment{space}orange{space}LED{space}with{space}right{space}dot
+0
+14
+14
+Display_7Segment
+MAN3620A
+https://www.digchip.com/datasheets/parts/datasheet/161/MAN3640A-pdf.php
+One{space}digit{space}7{space}segment{space}orange{space}LED{space}with{space}left{space}dot
+0
+14
+14
+Display_7Segment
+MAN3630A
+https://www.digchip.com/datasheets/parts/datasheet/161/MAN3640A-pdf.php
+Overflow{space}+-{space}1{space}orange{space}LED
+0
+14
+14
+Display_7Segment
+MAN3810A
+https://www.digchip.com/datasheets/parts/datasheet/161/MAN3640A-pdf.php
+One{space}digit{space}7{space}segment{space}yellow{space}LED{space}with{space}right{space}dot
+0
+14
+14
+Display_7Segment
+MAN3820A
+https://www.digchip.com/datasheets/parts/datasheet/161/MAN3640A-pdf.php
+One{space}digit{space}7{space}segment{space}yellow{space}LED{space}with{space}left{space}dot
+0
+14
+14
+Display_7Segment
+SA15-11xxx
+http://www.kingbrightusa.com/images/catalog/SPEC/SA15-11SRWA.pdf
+SA15-11xxx{space}single{space}digit{space}7{space}segment{space}display{space}38.1mm{space}1.5inch
+0
+10
+10
+Display_7Segment
+SBC18-11SURKCGKWA
+http://www.kingbright.com/attachments/file/psearch/000/00/00/SBC18-11SURKCGKWA(Ver.6A).pdf
+single{space}digit{space}7{space}segemnt{space}red/green{space}LED
+0
+10
+10
+Ferrite_THT
+LairdTech_28C0236-0JW-10
+Ferrite,{space}vertical,{space}LairdTech{space}28C0236-0JW-10,{space}https://assets.lairdtech.com/home/brandworld/files/28C0236-0JW-10.pdf,{space}JW{space}Miller{space}core{space}https://www.bourns.com/products/magnetic-products/j.w.-miller-through-hole-ferrite-beads-emi-filters
+Ferrite{space}vertical{space}LairdTech{space}28C0236-0JW-10
+0
+2
+2
+Fiducial
+Fiducial_0.5mm_Mask1.5mm
+Circular{space}Fiducial,{space}0.5mm{space}bare{space}copper,{space}1.5mm{space}soldermask{space}opening
+fiducial
+0
+1
+0
+Fiducial
+Fiducial_0.5mm_Mask1mm
+Circular{space}Fiducial,{space}0.5mm{space}bare{space}copper,{space}1mm{space}soldermask{space}opening{space}(Level{space}C)
+fiducial
+0
+1
+0
+Fiducial
+Fiducial_0.75mm_Mask1.5mm
+Circular{space}Fiducial,{space}0.75mm{space}bare{space}copper,{space}1.5mm{space}soldermask{space}opening{space}(Level{space}B)
+fiducial
+0
+1
+0
+Fiducial
+Fiducial_0.75mm_Mask2.25mm
+Circular{space}Fiducial,{space}0.75mm{space}bare{space}copper,{space}2.25mm{space}soldermask{space}opening
+fiducial
+0
+1
+0
+Fiducial
+Fiducial_1.5mm_Mask3mm
+Circular{space}Fiducial,{space}1.5mm{space}bare{space}copper,{space}3mm{space}soldermask{space}opening
+fiducial
+0
+1
+0
+Fiducial
+Fiducial_1.5mm_Mask4.5mm
+Circular{space}Fiducial,{space}1.5mm{space}bare{space}copper,{space}4.5mm{space}soldermask{space}opening
+fiducial
+0
+1
+0
+Fiducial
+Fiducial_1mm_Mask2mm
+Circular{space}Fiducial,{space}1mm{space}bare{space}copper,{space}2mm{space}soldermask{space}opening{space}(Level{space}A)
+fiducial
+0
+1
+0
+Fiducial
+Fiducial_1mm_Mask3mm
+Circular{space}Fiducial,{space}1mm{space}bare{space}copper,{space}3mm{space}soldermask{space}opening{space}(recommended)
+fiducial
+0
+1
+0
+Filter
+Filter_1109-5_1.1x0.9mm
+5-pin{space}SAW{space}filter{space}package{space}-{space}1.1x0.9{space}mm{space}Body;{space}(see{space}https://www.murata.com/~/media/webrenewal/support/library/catalog/products/filter/rf/p73e.ashx?la=en-gb)
+Filter{space}5
+0
+5
+5
+Filter
+Filter_1411-5_1.4x1.1mm
+5-pin{space}filter{space}package{space}-{space}1.4x1.1{space}mm{space}Body;{space}(see{space}https://global.kyocera.com/prdct/electro/product/pdf/sf14_tdlte.pdf)
+Filter{space}5
+0
+5
+5
+Filter
+Filter_Bourns_SRF0905_6.0x9.2mm
+https://www.bourns.com/docs/Product-Datasheets/SRF0905.pdf
+Line{space}Filter
+0
+4
+4
+Filter
+Filter_Mini-Circuits_FV1206
+Mini-Circuits{space}Filter{space}SMD{space}1206{space}https://ww2.minicircuits.com/case_style/FV1206.pdf
+Mini-Circuits{space}Filter{space}SMD{space}1206
+0
+6
+4
+Filter
+Filter_Mini-Circuits_FV1206-1
+Mini-Circuits{space}Filter{space}SMD{space}1206{space}https://ww2.minicircuits.com/case_style/FV1206-1.pdf
+Mini-Circuits{space}Filter{space}SMD{space}1206
+0
+6
+6
+Filter
+Filter_Mini-Circuits_FV1206-4
+Mini-Circuits{space}Filter{space}SMD{space}1206{space}https://ww2.minicircuits.com/case_style/FV1206-4.pdf
+Mini-Circuits{space}Filter{space}SMD{space}1206
+0
+8
+4
+Filter
+Filter_Mini-Circuits_FV1206-5
+Mini-Circuits{space}Filter{space}SMD{space}1206{space}https://ww2.minicircuits.com/case_style/FV1206-5.pdf
+Mini-Circuits{space}Filter{space}SMD{space}1206
+0
+8
+4
+Filter
+Filter_Mini-Circuits_FV1206-6
+Mini-Circuits{space}Filter{space}SMD{space}1206{space}https://ww2.minicircuits.com/case_style/FV1206-6.pdf
+Mini-Circuits{space}Filter{space}SMD{space}1206
+0
+14
+8
+Filter
+Filter_Mini-Circuits_FV1206-7
+Mini-Circuits{space}Filter{space}SMD{space}1206{space}https://ww2.minicircuits.com/case_style/FV1206-7.pdf
+Mini-Circuits{space}Filter{space}SMD{space}1206
+0
+5
+3
+Filter
+Filter_Murata_BNX025
+https://www.murata.com/en-us/products/productdata/8796778004510/QNFH9101.pdf?1496719830000
+EMI{space}Filter
+0
+6
+4
+Filter
+Filter_Murata_BNX025_ThermalVias
+https://www.murata.com/en-us/products/productdata/8796778004510/QNFH9101.pdf?1496719830000
+EMI{space}Filter
+0
+19
+4
+Fuse
+Fuse_0201_0603Metric
+Fuse{space}SMD{space}0201{space}(0603{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.vishay.com/docs/20052/crcw0201e3.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+4
+2
+Fuse
+Fuse_0402_1005Metric
+Fuse{space}SMD{space}0402{space}(1005{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Fuse
+Fuse_0603_1608Metric
+Fuse{space}SMD{space}0603{space}(1608{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Fuse
+Fuse_0603_1608Metric_Pad1.05x0.95mm_HandSolder
+Fuse{space}SMD{space}0603{space}(1608{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor{space}handsolder
+0
+2
+2
+Fuse
+Fuse_0805_2012Metric
+Fuse{space}SMD{space}0805{space}(2012{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Fuse
+Fuse_0805_2012Metric_Pad1.15x1.40mm_HandSolder
+Fuse{space}SMD{space}0805{space}(2012{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing),{space}generated{space}with{space}kicad-footprint-generator
+resistor{space}handsolder
+0
+2
+2
+Fuse
+Fuse_01005_0402Metric
+Fuse{space}SMD{space}01005{space}(0402{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.vishay.com/docs/20056/crcw01005e3.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+4
+2
+Fuse
+Fuse_1206_3216Metric
+Fuse{space}SMD{space}1206{space}(3216{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Fuse
+Fuse_1206_3216Metric_Pad1.42x1.75mm_HandSolder
+Fuse{space}SMD{space}1206{space}(3216{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor{space}handsolder
+0
+2
+2
+Fuse
+Fuse_1210_3225Metric
+Fuse{space}SMD{space}1210{space}(3225{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Fuse
+Fuse_1210_3225Metric_Pad1.42x2.65mm_HandSolder
+Fuse{space}SMD{space}1210{space}(3225{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor{space}handsolder
+0
+2
+2
+Fuse
+Fuse_1806_4516Metric
+Fuse{space}SMD{space}1806{space}(4516{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.modelithics.com/models/Vendor/MuRata/BLM41P.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Fuse
+Fuse_1806_4516Metric_Pad1.57x1.80mm_HandSolder
+Fuse{space}SMD{space}1806{space}(4516{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}https://www.modelithics.com/models/Vendor/MuRata/BLM41P.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor{space}handsolder
+0
+2
+2
+Fuse
+Fuse_1812_4532Metric
+Fuse{space}SMD{space}1812{space}(4532{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Fuse
+Fuse_1812_4532Metric_Pad1.30x3.40mm_HandSolder
+Fuse{space}SMD{space}1812{space}(4532{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor{space}handsolder
+0
+2
+2
+Fuse
+Fuse_2010_5025Metric
+Fuse{space}SMD{space}2010{space}(5025{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Fuse
+Fuse_2010_5025Metric_Pad1.52x2.65mm_HandSolder
+Fuse{space}SMD{space}2010{space}(5025{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor{space}handsolder
+0
+2
+2
+Fuse
+Fuse_2512_6332Metric
+Fuse{space}SMD{space}2512{space}(6332{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Fuse
+Fuse_2512_6332Metric_Pad1.52x3.35mm_HandSolder
+Fuse{space}SMD{space}2512{space}(6332{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor{space}handsolder
+0
+2
+2
+Fuse
+Fuse_2816_7142Metric
+Fuse{space}SMD{space}2816{space}(7142{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}https://www.vishay.com/docs/30100/wsl.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Fuse
+Fuse_2816_7142Metric_Pad3.20x4.45mm_HandSolder
+Fuse{space}SMD{space}2816{space}(7142{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}from:{space}https://www.vishay.com/docs/30100/wsl.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor{space}handsolder
+0
+2
+2
+Fuse
+Fuse_2920_7451Metric
+Fuse{space}SMD{space}2920{space}(7451{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}http://www.megastar.com/products/fusetronic/polyswitch/PDF/smd2920.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Fuse
+Fuse_2920_7451Metric_Pad2.10x5.45mm_HandSolder
+Fuse{space}SMD{space}2920{space}(7451{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}from:{space}http://www.megastar.com/products/fusetronic/polyswitch/PDF/smd2920.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor{space}handsolder
+0
+2
+2
+Fuse
+Fuse_BelFuse_0ZRE0005FF_L8.3mm_W3.8mm
+Fuse{space}0ZRE0005FF,{space}BelFuse,{space}Radial{space}Leaded{space}PTC,{space}https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf
+0ZRE{space}BelFuse{space}radial{space}PTC
+0
+2
+2
+Fuse
+Fuse_BelFuse_0ZRE0008FF_L8.3mm_W3.8mm
+Fuse{space}0ZRE0008FF,{space}BelFuse,{space}Radial{space}Leaded{space}PTC,https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf
+0ZRE{space}BelFuse{space}radial{space}PTC
+0
+2
+2
+Fuse
+Fuse_BelFuse_0ZRE0012FF_L8.3mm_W3.8mm
+Fuse{space}0ZRE0012FF,{space}BelFuse,{space}Radial{space}Leaded{space}PTC,https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf
+0ZRE{space}BelFuse{space}radial{space}PTC
+0
+2
+2
+Fuse
+Fuse_BelFuse_0ZRE0016FF_L9.9mm_W3.8mm
+Fuse{space}0ZRE0016FF,{space}BelFuse,{space}Radial{space}Leaded{space}PTC,https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf
+0ZRE{space}BelFuse{space}radial{space}PTC
+0
+2
+2
+Fuse
+Fuse_BelFuse_0ZRE0025FF_L9.6mm_W3.8mm
+Fuse{space}0ZRE0025FF,{space}BelFuse,{space}Radial{space}Leaded{space}PTC,https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf
+0ZRE{space}BelFuse{space}radial{space}PTC
+0
+2
+2
+Fuse
+Fuse_BelFuse_0ZRE0033FF_L11.4mm_W3.8mm
+Fuse{space}0ZRE0033FF,{space}BelFuse,{space}Radial{space}Leaded{space}PTC,https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf
+0ZRE{space}BelFuse{space}radial{space}PTC
+0
+2
+2
+Fuse
+Fuse_BelFuse_0ZRE0040FF_L11.5mm_W3.8mm
+Fuse{space}0ZRE0040FF,{space}BelFuse,{space}Radial{space}Leaded{space}PTC,https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf
+0ZRE{space}BelFuse{space}radial{space}PTC
+0
+2
+2
+Fuse
+Fuse_BelFuse_0ZRE0055FF_L14.0mm_W4.1mm
+Fuse{space}0ZRE0055FF,{space}BelFuse,{space}Radial{space}Leaded{space}PTC,https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf
+0ZRE{space}BelFuse{space}radial{space}PTC
+0
+2
+2
+Fuse
+Fuse_BelFuse_0ZRE0075FF_L11.5mm_W4.8mm
+Fuse{space}0ZRE0075FF,{space}BelFuse,{space}Radial{space}Leaded{space}PTC,https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf
+0ZRE{space}BelFuse{space}radial{space}PTC
+0
+2
+2
+Fuse
+Fuse_BelFuse_0ZRE0100FF_L18.7mm_W5.1mm
+Fuse{space}0ZRE0100FF,{space}BelFuse,{space}Radial{space}Leaded{space}PTC,https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf
+0ZRE{space}BelFuse{space}radial{space}PTC
+0
+2
+2
+Fuse
+Fuse_BelFuse_0ZRE0125FF_L21.2mm_W5.3mm
+Fuse{space}0ZRE0125FF,{space}BelFuse,{space}Radial{space}Leaded{space}PTC,https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf
+0ZRE{space}BelFuse{space}radial{space}PTC
+0
+2
+2
+Fuse
+Fuse_BelFuse_0ZRE0150FF_L23.4mm_W5.3mm
+Fuse{space}0ZRE0150FF,{space}BelFuse,{space}Radial{space}Leaded{space}PTC,https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf
+0ZRE{space}BelFuse{space}radial{space}PTC
+0
+2
+2
+Fuse
+Fuse_BelFuse_0ZRE0200FF_L24.9mm_W6.1mm
+Fuse{space}0ZRE0200FF,{space}BelFuse,{space}Radial{space}Leaded{space}PTC,https://www.belfuse.com/resources/datasheets/circuitprotection/ds-cp-0zre-series.pdf
+0ZRE{space}BelFuse{space}radial{space}PTC
+0
+2
+2
+Fuse
+Fuse_Blade_ATO_directSolder
+car{space}blade{space}fuse{space}direct{space}solder
+car{space}blade{space}fuse
+0
+2
+2
+Fuse
+Fuse_Blade_Mini_directSolder
+car{space}blade{space}fuse{space}mini,{space}direct{space}solder
+car{space}blade{space}fuse{space}mini
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RG300
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}3.0A,{space}Itrip=5.1A,{space}http://www.bourns.com/docs/Product-Datasheets/mfrg.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RG400
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}4.0A,{space}Itrip=6.8A,{space}http://www.bourns.com/docs/Product-Datasheets/mfrg.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RG500
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}5.0A,{space}Itrip=8.5A,{space}http://www.bourns.com/docs/Product-Datasheets/mfrg.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RG600
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}6.0A,{space}Itrip=10.2A,{space}http://www.bourns.com/docs/Product-Datasheets/mfrg.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RG650
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}6.5A,{space}Itrip=11.1A,{space}http://www.bourns.com/docs/Product-Datasheets/mfrg.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RG700
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}7.0A,{space}Itrip=11.9A,{space}http://www.bourns.com/docs/Product-Datasheets/mfrg.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RG800
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}8.0A,{space}Itrip=13.6A,{space}http://www.bourns.com/docs/Product-Datasheets/mfrg.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RG900
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}9.0A,{space}Itrip=15.3A,{space}http://www.bourns.com/docs/Product-Datasheets/mfrg.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RG1000
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}10.0A,{space}Itrip=17.0A,{space}http://www.bourns.com/docs/Product-Datasheets/mfrg.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RG1100
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}11.0A,{space}Itrip=18.7A,{space}http://www.bourns.com/docs/Product-Datasheets/mfrg.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RHT050
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}0.5A,{space}Itrip=0.92A,{space}http://www.bourns.com/docs/product-datasheets/mfrht.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RHT070
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}0.7A,{space}Itrip=1.4A,{space}http://www.bourns.com/docs/product-datasheets/mfrht.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RHT100
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}1.0A,{space}Itrip=1.8A,{space}http://www.bourns.com/docs/product-datasheets/mfrht.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RHT200
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}2.0A,{space}Itrip=3.8A,{space}http://www.bourns.com/docs/product-datasheets/mfrht.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RHT300
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}3.0A,{space}Itrip=6.0A,{space}http://www.bourns.com/docs/product-datasheets/mfrht.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RHT400
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}4.0A,{space}Itrip=7.5A,{space}http://www.bourns.com/docs/product-datasheets/mfrht.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RHT500
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}5.0A,{space}Itrip=9.0A,{space}http://www.bourns.com/docs/product-datasheets/mfrht.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RHT550
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}5.5A,{space}Itrip=10.0A,{space}http://www.bourns.com/docs/product-datasheets/mfrht.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RHT600
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}6.0A,{space}Itrip=10.8A,{space}http://www.bourns.com/docs/product-datasheets/mfrht.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RHT650
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}6.5A,{space}Itrip=12.0A,{space}http://www.bourns.com/docs/product-datasheets/mfrht.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RHT700
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}7.0A,{space}Itrip=13.0A,{space}http://www.bourns.com/docs/product-datasheets/mfrht.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RHT750
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}7.5A,{space}Itrip=13.1A,{space}http://www.bourns.com/docs/product-datasheets/mfrht.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RHT800
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}8.0A,{space}Itrip=15.0A,{space}http://www.bourns.com/docs/product-datasheets/mfrht.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RHT900
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}9.0A,{space}Itrip=16.5A,{space}http://www.bourns.com/docs/product-datasheets/mfrht.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RHT1000
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}10.0A,{space}Itrip=18.5A,{space}http://www.bourns.com/docs/product-datasheets/mfrht.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RHT1100
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}11.0A,{space}Itrip=20.0A,{space}http://www.bourns.com/docs/product-datasheets/mfrht.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-RHT1300
+PTC{space}Resettable{space}Fuse,{space}Ihold{space}={space}13.0A,{space}Itrip=24.0A,{space}http://www.bourns.com/docs/product-datasheets/mfrht.pdf
+ptc{space}resettable{space}fuse{space}polyfuse{space}THT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-SM_7.98x5.44mm
+https://www.bourns.com/docs/Product-Datasheets/mfsm.pdf
+bourns{space}ptc{space}resettable{space}fuse{space}polyfuse{space}MF-SM{space}MF-SMHT
+0
+2
+2
+Fuse
+Fuse_Bourns_MF-SM_9.5x6.71mm
+https://www.bourns.com/docs/Product-Datasheets/mfsm.pdf
+bourns{space}ptc{space}resettable{space}fuse{space}polyfuse{space}MF-SM{space}MF-SMHT
+0
+2
+2
+Fuse
+Fuse_Littelfuse-LVR100
+Littelfuse,{space}resettable{space}fuse,{space}PTC,{space}polyswitch{space}LVR100,{space}Ih{space}1A{space}http://www.littelfuse.com/~/media/electronics/datasheets/resettable_ptcs/littelfuse_ptc_lvr_catalog_datasheet.pdf.pdf
+LVR100{space}PTC{space}resettable{space}polyswitch{space}
+0
+2
+2
+Fuse
+Fuse_Littelfuse-LVR125
+Littelfuse,{space}resettable{space}fuse,{space}PTC,{space}polyswitch{space}LVR125,{space}Ih{space}1.25A,{space}http://www.littelfuse.com/~/media/electronics/datasheets/resettable_ptcs/littelfuse_ptc_lvr_catalog_datasheet.pdf.pdf
+LVR125{space}PTC{space}resettable{space}polyswitch{space}
+0
+2
+2
+Fuse
+Fuse_Littelfuse-LVR200
+Littelfuse,{space}resettable{space}fuse,{space}PTC,{space}polyswitch{space}LVR200,{space}Ih{space}2A,{space}http://www.littelfuse.com/~/media/electronics/datasheets/resettable_ptcs/littelfuse_ptc_lvr_catalog_datasheet.pdf.pdf
+LVR200{space}PTC{space}resettable{space}polyswitch{space}
+0
+2
+2
+Fuse
+Fuse_Littelfuse_395Series
+Fuse,{space}TE5,{space}Littelfuse/Wickmann,{space}No.{space}460,{space}No560,
+Fuse{space}TE5{space}Littelfuse/Wickmann{space}No.{space}460{space}No560{space}
+0
+2
+2
+Fuse
+Fuse_SunFuse-6HP
+SunFuse{space}Ceramic{space}Slow{space}Blow{space}Fuse{space}6H_6HP.PDF
+UL/CSA{space}6x32mm{space}Ceramic{space}Slow{space}Blow{space}Fuse
+0
+2
+2
+Fuse
+Fuseholder_Blade_ATO_Littelfuse_Pudenz_2_Pin
+Fuseholder{space}ATO{space}Blade{space}littelfuse{space}Pudenz{space}2{space}Pin
+Fuseholder{space}ATO{space}Blade{space}littelfuse{space}Pudenz{space}2{space}Pin
+0
+2
+2
+Fuse
+Fuseholder_Blade_Mini_Keystone_3568
+fuse{space}holder,{space}car{space}blade{space}fuse{space}mini,{space}http://www.keyelco.com/product-pdf.cfm?p=306
+car{space}blade{space}fuse{space}mini
+0
+4
+2
+Fuse
+Fuseholder_Cylinder-5x20mm_Bulgin_FX0456_Vertical_Closed
+Fuseholder,{space}5x20,{space}closed,{space}vertical,{space}Bulgin,{space}FX0456,{space}https://www.bulgin.com/products/pub/media/bulgin/data/Fuseholders.pdf
+Fuseholder{space}5x20{space}closed{space}vertical{space}Bulgin{space}FX0456{space}Sicherungshalter{space}
+0
+2
+2
+Fuse
+Fuseholder_Cylinder-5x20mm_Bulgin_FX0457_Horizontal_Closed
+Fuseholder,{space}5x20,{space}closed,{space}horizontal,{space}Bulgin,{space}FX0457,{space}Sicherungshalter,
+Fuseholder{space}5x20{space}closed{space}horizontal{space}Bulgin{space}FX0457{space}Sicherungshalter{space}
+0
+2
+2
+Fuse
+Fuseholder_Cylinder-5x20mm_EATON_H15-V-1_Vertical_Closed
+PCB{space}fuse{space}holders{space}for{space}5{space}mm{space}x{space}20{space}mm{space}fuses;{space}250V;{space}10A{space}(http://www.cooperindustries.com/content/dam/public/bussmann/Electronics/Resources/product-datasheets/bus-elx-ds-4426-h15.pdf)
+fuse{space}holder{space}vertical{space}5x20mm
+0
+2
+2
+Fuse
+Fuseholder_Cylinder-5x20mm_EATON_HBV_Vertical_Closed
+5{space}mm{space}x{space}20{space}mm{space}fuse{space}holders;{space}Vertical{space}w/{space}Stability{space}Pins;{space}250V;{space}6.3-16A{space}(http://www.cooperindustries.com/content/dam/public/bussmann/Electronics/Resources/product-datasheets/Bus_Elx_DS_2118_HB_PCB_Series.pdf)
+fuse{space}holder{space}vertical{space}5x20mm
+0
+2
+2
+Fuse
+Fuseholder_Cylinder-5x20mm_EATON_HBW_Vertical_Closed
+5{space}mm{space}x{space}20{space}mm{space}fuse{space}holders;{space}Vertical{space}w/o{space}Stability{space}Pins;{space}250V;{space}6.3-16A{space}(http://www.cooperindustries.com/content/dam/public/bussmann/Electronics/Resources/product-datasheets/Bus_Elx_DS_2118_HB_PCB_Series.pdf)
+fuse{space}holder{space}vertical{space}5x20mm
+0
+2
+2
+Fuse
+Fuseholder_Cylinder-5x20mm_Schurter_0031_8201_Horizontal_Open
+Fuseholder{space}horizontal{space}open{space}5x20{space}Schurter{space}0031.8201,{space}https://www.schurter.com/en/datasheet/typ_OGN.pdf
+Fuseholder{space}horizontal{space}open{space}5x20{space}Schurter{space}0031.8201
+0
+2
+2
+Fuse
+Fuseholder_Cylinder-5x20mm_Schurter_FAB_0031-355x_Horizontal_Closed
+Fuseholder{space}5x20mm{space}horizontal{space}Shurter{space}model{space}FAB,{space}Suitable{space}for{space}order{space}numbers{space}0031.3551{space}and{space}0031.3558{space}{space}(https://www.schurter.com/bundles/snceschurter/epim/_ProdPool_/newDS/en/typ_FAB.pdf)
+Fuseholder{space}5x20mm{space}closed{space}horizontal
+0
+2
+2
+Fuse
+Fuseholder_Cylinder-5x20mm_Schurter_FPG4_Vertical_Closed
+Shock-Safe{space}Fuseholder,{space}5{space}x{space}20{space}mm,{space}Slotted{space}Cap/Fingergrip,{space}vertical,{space}IEC{space}60335-1;{space}250VAC/10A{space}VDE;{space}500V/16A{space}UL/CSA{space}(https://us.schurter.com/bundles/snceschurter/epim/_ProdPool_/newDS/en/typ_FPG4.pdf)
+fuse{space}holder{space}vertical{space}5x20mm
+0
+4
+2
+Fuse
+Fuseholder_Cylinder-5x20mm_Schurter_FUP_0031.2510_Horizontal_Closed
+Shock-Safe{space}closed{space}Fuseholder,{space}Schurter{space}FUP{space}Series,{space}5.0{space}x{space}20mm,{space}Slotted{space}Cap,{space}horizontal,{space}500{space}VAC{space}4W/16A{space}(VDE),{space}600V{space}30A{space}(UL/CSA),{space}order{space}numbers:{space}0031.2510{space}(0031.2500{space}+{space}0031.2323),{space}http://www.schurter.ch/bundles/snceschurter/epim/_ProdPool_/newDS/en/typ_FUP.pdf
+Fuseholder{space}5x20mm{space}horizontal{space}closed
+0
+3
+2
+Fuse
+Fuseholder_Cylinder-5x20mm_Stelvio-Kontek_PTF78_Horizontal_Open
+https://www.tme.eu/en/Document/3b48dbe2b9714a62652c97b08fcd464b/PTF78.pdf
+Fuseholder{space}horizontal{space}open{space}5x20{space}Stelvio-Kontek{space}PTF/78
+0
+2
+2
+Fuse
+Fuseholder_Cylinder-6.3x32mm_Schurter_0031-8002_Horizontal_Open
+Fuseholder,{space}horizontal,{space}open,{space}6.3x32,{space}Schurter,{space}0031.8002,{space}https://www.schurter.com/en/datasheet/typ_OG__Holder__6.3x32.pdf
+Fuseholder{space}horizontal{space}open{space}6.3x32{space}Schurter{space}0031.8002
+0
+2
+2
+Fuse
+Fuseholder_Cylinder-6.3x32mm_Schurter_FUP_0031.2520_Horizontal_Closed
+Shock-Safe{space}closed{space}Fuseholder,{space}Schurter{space}FUP{space}Series,{space}6.3{space}x{space}32{space}mm,{space}Slotted{space}Cap,{space}horizontal,{space}500{space}VAC{space}4W/16A{space}(VDE),{space}600V{space}30A{space}(UL/CSA),{space}order{space}numbers:{space}0031.2520{space}(0031.2500{space}+{space}0031.2321),{space}http://www.schurter.ch/bundles/snceschurter/epim/_ProdPool_/newDS/en/typ_FUP.pdf
+Fuseholder{space}6.3x32mm{space}horizontal{space}closed
+0
+3
+2
+Fuse
+Fuseholder_TR5_Littelfuse_No560_No460
+Fuse,{space}Fuseholder,{space}TR5,{space}Littelfuse/Wickmann,{space}No.{space}460,{space}No560,{space}https://www.littelfuse.com/~/media/electronics/datasheets/fuse_holders/littelfuse_fuse_holder_559_560_datasheet.pdf.pdf
+Fuse{space}Fuseholder{space}TR5{space}Littelfuse/Wickmann{space}No.{space}460{space}No560{space}
+0
+2
+2
+Heatsink
+Heatsink_35x26mm_1xFixation3mm_Fischer-SK486-35
+Heatsink,{space}35mm{space}x{space}26mm,{space}1x{space}Fixation{space}3mm,{space}Fischer{space}SK486-35
+heatsink
+0
+1
+1
+Heatsink
+Heatsink_38x38mm_SpringFixation
+Heatsink,{space}38x38mm,{space}Spring{space}Fixation,{space}diagonal,
+heatsink
+0
+4
+1
+Heatsink
+Heatsink_62x40mm_2xFixation3mm
+Heatsink,{space}62{space}x{space}40mm,{space}2x{space}3mm{space}Drills,
+heatsink
+0
+2
+1
+Heatsink
+Heatsink_125x35x50mm_3xFixationM3
+Heatsink,{space}125x35x50mm,{space}3{space}fixation{space}holes{space}3.2mm
+heatsink
+0
+0
+0
+Heatsink
+Heatsink_AAVID_573300D00010G_TO-263
+Heatsink,{space}12.70mm{space}x{space}26.16mm{space}x{space}10.16,{space}SMD,{space}18K/W,{space}TO-263,{space}D2{space}Pak,{space}https://www.shopaavid.com/Product/573300D00000G
+Heatsink{space}AAVID{space}TO-263{space}D2{space}Pak
+0
+2
+1
+Heatsink
+Heatsink_Aavid-TV5G_TO220_Horizontal
+Heatsink{space}TV5G{space}TO-220{space}Horizontal,{space}https://www.shopaavid.com/Product/TV-5G
+Heatsink{space}TV5G{space}TO-220{space}Horizontal{space}
+0
+0
+0
+Heatsink
+Heatsink_Fischer_FK24413D2PAK_26x13mm
+26x13{space}mm{space}SMD{space}heatsink{space}for{space}TO-252{space}TO-263{space}TO-268,{space}https://www.fischerelektronik.de/pim/upload/fischerData/cadpdf/base/fk_244_13_d2_pak.pdf
+heatsink{space}TO-252{space}TO-263{space}TO-268
+0
+2
+1
+Heatsink
+Heatsink_Fischer_SK104-STC-STIC_35x13mm_2xDrill2.5mm
+Heatsink,{space}35mm{space}x{space}13mm,{space}2x{space}Fixation{space}2,5mm{space}Drill,{space}Soldering,{space}Fischer{space}SK104-STC-STIC,
+Heatsink{space}fischer{space}TO-220
+0
+2
+1
+Heatsink
+Heatsink_Fischer_SK104-STCB_35x13mm__2xDrill3.5mm_ScrewM3
+Heatsink,{space}35mm{space}x{space}13mm,{space}2x{space}Fixation{space}2,5mm{space}Drill,{space}Soldering,{space}Fischer{space}SK104-STC-STIC,
+Heatsink{space}fischer{space}TO-220
+0
+2
+1
+Heatsink
+Heatsink_Fischer_SK129-STS_42x25mm_2xDrill2.5mm
+Heatsink,{space}Fischer{space}SK129
+heatsink{space}fischer
+0
+2
+1
+Heatsink
+Heatsink_SheetType_50x7mm_2Fixations
+Heatsink,{space}Sheet{space}type,{space}50x7mm,{space}2{space}fixations{space}(solder),
+Heatsink{space}sheet
+0
+2
+1
+Heatsink
+Heatsink_Stonecold_HS-132_32x14mm_2xFixation1.5mm
+Heatsink,{space}StoneCold{space}HS
+heatsink
+0
+2
+1
+Inductor_SMD
+L_6.3x6.3_H3
+Choke,{space}SMD,{space}6.3x6.3mm{space}3mm{space}height
+Choke{space}SMD
+0
+2
+2
+Inductor_SMD
+L_7.3x7.3_H3.5
+Choke,{space}SMD,{space}7.3x7.3mm{space}3.5mm{space}height
+Choke{space}SMD
+0
+2
+2
+Inductor_SMD
+L_7.3x7.3_H4.5
+Choke,{space}SMD,{space}7.3x7.3mm{space}4.5mm{space}height
+Choke{space}SMD
+0
+2
+2
+Inductor_SMD
+L_10.4x10.4_H4.8
+Choke,{space}SMD,{space}10.4x10.4mm{space}4.8mm{space}height
+Choke{space}SMD
+0
+2
+2
+Inductor_SMD
+L_12x12mm_H4.5mm
+Choke,{space}SMD,{space}12x12mm{space}4.5mm{space}height
+Choke{space}SMD
+0
+2
+2
+Inductor_SMD
+L_12x12mm_H6mm
+Choke,{space}SMD,{space}12x12mm{space}6mm{space}height
+Choke{space}SMD
+0
+2
+2
+Inductor_SMD
+L_12x12mm_H8mm
+Choke,{space}SMD,{space}12x12mm{space}8mm{space}height
+Choke{space}SMD
+0
+2
+2
+Inductor_SMD
+L_0201_0603Metric
+Inductor{space}SMD{space}0201{space}(0603{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.vishay.com/docs/20052/crcw0201e3.pdf),{space}generated{space}with{space}kicad-footprint-generator
+inductor
+0
+4
+2
+Inductor_SMD
+L_0402_1005Metric
+Inductor{space}SMD{space}0402{space}(1005{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+inductor
+0
+2
+2
+Inductor_SMD
+L_0603_1608Metric
+Inductor{space}SMD{space}0603{space}(1608{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+inductor
+0
+2
+2
+Inductor_SMD
+L_0603_1608Metric_Pad1.05x0.95mm_HandSolder
+Capacitor{space}SMD{space}0603{space}(1608{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+inductor{space}handsolder
+0
+2
+2
+Inductor_SMD
+L_0805_2012Metric
+Inductor{space}SMD{space}0805{space}(2012{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing),{space}generated{space}with{space}kicad-footprint-generator
+inductor
+0
+2
+2
+Inductor_SMD
+L_0805_2012Metric_Pad1.15x1.40mm_HandSolder
+Capacitor{space}SMD{space}0805{space}(2012{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing),{space}generated{space}with{space}kicad-footprint-generator
+inductor{space}handsolder
+0
+2
+2
+Inductor_SMD
+L_01005_0402Metric
+Inductor{space}SMD{space}01005{space}(0402{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.vishay.com/docs/20056/crcw01005e3.pdf),{space}generated{space}with{space}kicad-footprint-generator
+inductor
+0
+4
+2
+Inductor_SMD
+L_1206_3216Metric
+Inductor{space}SMD{space}1206{space}(3216{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+inductor
+0
+2
+2
+Inductor_SMD
+L_1206_3216Metric_Pad1.42x1.75mm_HandSolder
+Capacitor{space}SMD{space}1206{space}(3216{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+inductor{space}handsolder
+0
+2
+2
+Inductor_SMD
+L_1210_3225Metric
+Inductor{space}SMD{space}1210{space}(3225{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+inductor
+0
+2
+2
+Inductor_SMD
+L_1210_3225Metric_Pad1.42x2.65mm_HandSolder
+Capacitor{space}SMD{space}1210{space}(3225{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+inductor{space}handsolder
+0
+2
+2
+Inductor_SMD
+L_1806_4516Metric
+Inductor{space}SMD{space}1806{space}(4516{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.modelithics.com/models/Vendor/MuRata/BLM41P.pdf),{space}generated{space}with{space}kicad-footprint-generator
+inductor
+0
+2
+2
+Inductor_SMD
+L_1806_4516Metric_Pad1.57x1.80mm_HandSolder
+Capacitor{space}SMD{space}1806{space}(4516{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}https://www.modelithics.com/models/Vendor/MuRata/BLM41P.pdf),{space}generated{space}with{space}kicad-footprint-generator
+inductor{space}handsolder
+0
+2
+2
+Inductor_SMD
+L_1812_4532Metric
+Inductor{space}SMD{space}1812{space}(4532{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf),{space}generated{space}with{space}kicad-footprint-generator
+inductor
+0
+2
+2
+Inductor_SMD
+L_1812_4532Metric_Pad1.30x3.40mm_HandSolder
+Capacitor{space}SMD{space}1812{space}(4532{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf),{space}generated{space}with{space}kicad-footprint-generator
+inductor{space}handsolder
+0
+2
+2
+Inductor_SMD
+L_2010_5025Metric
+Inductor{space}SMD{space}2010{space}(5025{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+inductor
+0
+2
+2
+Inductor_SMD
+L_2010_5025Metric_Pad1.52x2.65mm_HandSolder
+Capacitor{space}SMD{space}2010{space}(5025{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+inductor{space}handsolder
+0
+2
+2
+Inductor_SMD
+L_2512_6332Metric
+Inductor{space}SMD{space}2512{space}(6332{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+inductor
+0
+2
+2
+Inductor_SMD
+L_2512_6332Metric_Pad1.52x3.35mm_HandSolder
+Capacitor{space}SMD{space}2512{space}(6332{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+inductor{space}handsolder
+0
+2
+2
+Inductor_SMD
+L_2816_7142Metric
+Inductor{space}SMD{space}2816{space}(7142{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}https://www.vishay.com/docs/30100/wsl.pdf),{space}generated{space}with{space}kicad-footprint-generator
+inductor
+0
+2
+2
+Inductor_SMD
+L_2816_7142Metric_Pad3.20x4.45mm_HandSolder
+Capacitor{space}SMD{space}2816{space}(7142{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}from:{space}https://www.vishay.com/docs/30100/wsl.pdf),{space}generated{space}with{space}kicad-footprint-generator
+inductor{space}handsolder
+0
+2
+2
+Inductor_SMD
+L_Abracon_ASPI-3012S
+smd{space}shielded{space}power{space}inductor{space}http://www.abracon.com/Magnetics/power/ASPI-3012S.pdf
+inductor{space}abracon{space}smd{space}shielded
+0
+2
+2
+Inductor_SMD
+L_Bourns-SRN1060
+Bourns{space}SRN1060{space}series{space}SMD{space}inductor{space}https://www.bourns.com/docs/Product-Datasheets/SRN1060.pdf
+Bourns{space}SRN1060{space}SMD{space}inductor
+0
+2
+2
+Inductor_SMD
+L_Bourns-SRN4018
+Bourns{space}SRN4018{space}series{space}SMD{space}inductor,{space}https://www.bourns.com/docs/Product-Datasheets/SRN4018.pdf
+Bourns{space}SRN4018{space}SMD{space}inductor
+0
+2
+2
+Inductor_SMD
+L_Bourns-SRN6028
+Bourns{space}SRN6028{space}series{space}SMD{space}inductor
+Bourns{space}SRN6028{space}SMD{space}inductor
+0
+2
+2
+Inductor_SMD
+L_Bourns-SRR1005
+Bourns{space}SRR1005{space}series{space}SMD{space}inductor
+Bourns{space}SRR1005{space}SMD{space}inductor
+0
+2
+2
+Inductor_SMD
+L_Bourns-SRU8043
+Bourns{space}SRU8043{space}series{space}SMD{space}inductor
+Bourns{space}SRU8043{space}SMD{space}inductor
+0
+2
+2
+Inductor_SMD
+L_Bourns_SDR1806
+https://www.bourns.com/docs/Product-Datasheets/SDR1806.pdf
+Bourns{space}SDR1806
+0
+2
+2
+Inductor_SMD
+L_Bourns_SRN6045TA
+http://www.bourns.com/docs/product-datasheets/srn6045ta.pdf
+Semi-shielded{space}Power{space}Inductor
+0
+2
+2
+Inductor_SMD
+L_Bourns_SRP1245A
+Bourns{space}SRP1245A{space}series{space}SMD{space}inductor{space}http://www.bourns.com/docs/Product-Datasheets/SRP1245A.pdf
+Bourns{space}SRP1245A{space}SMD{space}inductor
+0
+2
+2
+Inductor_SMD
+L_Bourns_SRP2313AA
+Bourns{space}SRR1260{space}series{space}SMD{space}inductor{space}http://www.bourns.com/docs/product-datasheets/srp2313aa.pdf
+Bourns{space}SRR1260{space}SMD{space}inductor
+0
+4
+2
+Inductor_SMD
+L_Bourns_SRR1210A
+Bourns{space}SRR1210A{space}series{space}SMD{space}inductor{space}https://www.bourns.com/docs/Product-Datasheets/SRR1210A.pdf
+Bourns{space}SRR1210A{space}SMD{space}inductor
+0
+2
+2
+Inductor_SMD
+L_Bourns_SRR1260
+Bourns{space}SRR1260{space}series{space}SMD{space}inductor{space}http://www.bourns.com/docs/Product-Datasheets/SRR1260.pdf
+Bourns{space}SRR1260{space}SMD{space}inductor
+0
+2
+2
+Inductor_SMD
+L_Coilcraft_LPS4018
+SMD{space}Inductor{space}Coilcraft{space}LPS4018{space}https://www.coilcraft.com/misc/lps4018d.html
+L{space}Coilcraft{space}LPS4018
+0
+14
+2
+Inductor_SMD
+L_Coilcraft_LPS5030
+Shielded{space}Power{space}Inductor{space}SMD,{space}Coilcraft{space}LPS5030,{space}https://www.coilcraft.com/pdfs/lps5030.pdf,{space}StepUp{space}generated{space}footprint
+inductor
+0
+2
+2
+Inductor_SMD
+L_Coilcraft_XAL5030
+L_Coilcraft_XAL5030
+L{space}Coilcraft{space}XAL5030
+0
+2
+2
+Inductor_SMD
+L_Coilcraft_XxL4020
+L_Coilcraft_XxL4020{space}https://www.coilcraft.com/pdfs/xfl4020.pdf
+L{space}Coilcraft{space}XxL4020
+0
+2
+2
+Inductor_SMD
+L_Coilcraft_XxL4030
+L_Coilcraft_XxL4030{space}https://www.coilcraft.com/pdfs/xfl4030.pdf
+L{space}Coilcraft{space}XxL4030
+0
+2
+2
+Inductor_SMD
+L_Coilcraft_XxL4040
+L_Coilcraft_XxL4040{space}https://www.coilcraft.com/pdfs/xal4000.pdf
+L{space}Coilcraft{space}XxL4040
+0
+2
+2
+Inductor_SMD
+L_CommonMode_Wuerth_WE-SL2
+http://katalog.we-online.de/en/pbs/WE-SL2?sid=5fbec16187#vs_t1:c1_ct:1
+Wuerth{space}WE-SL2
+0
+4
+4
+Inductor_SMD
+L_Fastron_PISN
+Choke,{space}Drossel,{space}PISN,{space}SMD,{space}Fastron,
+Choke{space}Drossel{space}PISN{space}SMD{space}Fastron{space}
+0
+2
+2
+Inductor_SMD
+L_Fastron_PISN_Handsoldering
+Choke,{space}Drossel,{space}PISN,{space}SMD,{space}Fastron,
+Choke{space}Drossel{space}PISN{space}SMD{space}Fastron{space}
+0
+2
+2
+Inductor_SMD
+L_Fastron_PISR
+Choke,{space}Drossel,{space}PISR,{space}Fastron,{space}SMD,
+Choke{space}Drossel{space}PISR{space}Fastron{space}SMD{space}
+0
+2
+2
+Inductor_SMD
+L_Fastron_PISR_Handsoldering
+Choke,{space}Drossel,{space}PISR,{space}Fastron,{space}SMD,
+Choke{space}Drossel{space}PISR{space}Fastron{space}SMD{space}
+0
+2
+2
+Inductor_SMD
+L_Murata_DEM35xxC
+https://www.murata.com/~/media/webrenewal/products/inductor/chip/tokoproducts/wirewoundferritetypeforpl/m_dem3518c.ashx
+Inductor{space}SMD{space}DEM35xxC
+0
+2
+2
+Inductor_SMD
+L_Neosid_Air-Coil_SML_1turn_HDM0131A
+Neosid,{space}Air-Coil,{space}SML,{space}1turn,{space}HDM0131A,
+Neosid{space}Air-Coil{space}SML{space}1turn{space}HDM0131A
+0
+2
+2
+Inductor_SMD
+L_Neosid_Air-Coil_SML_2turn_HAM0231A
+Neosid,{space}Air-Coil,{space}SML,{space}2turn,{space}HAM0231A,
+Neosid{space}Air-Coil{space}SML{space}2turn{space}HAM0231A
+0
+2
+2
+Inductor_SMD
+L_Neosid_Air-Coil_SML_2turn_HDM0231A
+Neosid,{space}Air-Coil,{space}SML,{space}2turn,{space}HDM0231A,
+Neosid{space}Air-Coil{space}SML{space}2turn{space}HDM0231A
+0
+2
+2
+Inductor_SMD
+L_Neosid_Air-Coil_SML_3turn_HAM0331A
+Neosid,{space}Air-Coil,{space}SML,{space}2turn,{space}HAM0331A,
+Neosid{space}Air-Coil{space}SML{space}3turn{space}HAM0331A
+0
+2
+2
+Inductor_SMD
+L_Neosid_Air-Coil_SML_3turn_HDM0331A
+Neosid,{space}Air-Coil,{space}SML,{space}3turn,{space}HDM0331A,
+Neosid{space}Air-Coil{space}SML{space}3turn{space}HDM0331A
+0
+2
+2
+Inductor_SMD
+L_Neosid_Air-Coil_SML_4turn_HAM0431A
+Neosid,{space}Air-Coil,{space}SML,{space}4turn,{space}HAM0431A,
+Neosid{space}Air-Coil{space}SML{space}4turn{space}HAM0431A
+0
+2
+2
+Inductor_SMD
+L_Neosid_Air-Coil_SML_4turn_HDM0431A
+Neosid,{space}Air-Coil,{space}SML,{space}4turn,{space}HDM0431A,
+Neosid{space}Air-Coil{space}SML{space}4turn{space}HDM0431A
+0
+2
+2
+Inductor_SMD
+L_Neosid_Air-Coil_SML_5turn_HAM0531A
+Neosid,{space}Air-Coil,{space}SML,{space}5turn,{space}HAM0531A,
+Neosid{space}Air-Coil{space}SML{space}5turn{space}HAM0531A
+0
+2
+2
+Inductor_SMD
+L_Neosid_Air-Coil_SML_5turn_HDM0531A
+Neosid,{space}Air-Coil,{space}SML,{space}5turn,{space}HDM0531A,
+Neosid{space}Air-Coil{space}SML{space}5turn{space}HDM0531A
+0
+2
+2
+Inductor_SMD
+L_Neosid_Air-Coil_SML_6-10turn_HAM0631A-HAM1031A
+Neosid,{space}Air-Coil,{space}SML,{space}6-10turn,{space}HAM0631A-HAM1031A,
+Neosid{space}Air-Coil{space}SML{space}6-10turn{space}HAM0631A-HAM1031A
+0
+2
+2
+Inductor_SMD
+L_Neosid_Air-Coil_SML_6-10turn_HDM0431A-HDM1031A
+Neosid,{space}Air-Coil,{space}SML,{space}6-10turn,{space}HDM0431A-HDM1031A,
+Neosid{space}Air-Coil{space}SML{space}6-10turn{space}HDM0431A-HDM1031A
+0
+2
+2
+Inductor_SMD
+L_Neosid_Air-Coil_SML_6turn_HAM0631A
+Neosid,{space}Air-Coil,{space}SML,{space}6turn,{space}HAM0631A,
+Neosid{space}Air-Coil{space}SML{space}6turn{space}HAM0631A
+0
+2
+2
+Inductor_SMD
+L_Neosid_MicroCoil_Ms36-L
+Neosid,{space}Micro{space}Coil,{space}Inductor,{space}Ms36-L,{space}SMD,{space}Festinduktivitaet,{space}anti{space}clockwise,
+Neosid{space}Micro{space}Coil{space}Inductor{space}Ms36-L{space}SMD{space}Festinduktivitaet{space}anti{space}clockwise
+0
+2
+2
+Inductor_SMD
+L_Neosid_Ms42
+Neosid,{space}Inductor,{space}SMs42,{space}Festinduktivitaet,{space}SMD,{space}magneticaly{space}shielded,
+Neosid{space}Inductor{space}SMs42{space}Festinduktivitaet{space}SMD{space}magneticaly{space}shielded
+0
+2
+2
+Inductor_SMD
+L_Neosid_Ms50
+Neosid,{space}Power{space}Inductor,{space}Ms50,{space}SMD,{space}Festinduktivitaet,
+Neosid{space}Power{space}Inductor{space}Ms50{space}SMD{space}Festinduktivitaet
+0
+2
+2
+Inductor_SMD
+L_Neosid_Ms50T
+Neosid,{space}Power{space}Inductor,{space}Ms50T,{space}SMD,{space}Festinduktivitaet,{space}{space}high{space}temperature,
+Neosid{space}Power{space}Inductor{space}Ms50T{space}SMD{space}Festinduktivitaet{space}high{space}temperature
+0
+2
+2
+Inductor_SMD
+L_Neosid_Ms85
+Neosid,{space}Ms85,{space}Ms85T,{space}SMD{space}Inductor,{space}Festinduktivitaet,{space}SMD,
+Neosid{space}Ms85{space}Ms85T{space}SMD{space}Inductor{space}Festinduktivitaet{space}SMD
+0
+2
+2
+Inductor_SMD
+L_Neosid_Ms85T
+Neosid,{space}Ms85,{space}Ms85T,{space}SMD{space}Inductor,{space}Festinduktivitaet,{space}SMD,
+Neosid{space}Ms85{space}Ms85T{space}SMD{space}Inductor{space}Festinduktivitaet{space}SMD
+0
+2
+2
+Inductor_SMD
+L_Neosid_Ms95
+Neosid,Inductor,Ms95,{space}Ms95a,{space}Ms95T,{space}Festinduktivitaet,{space}SMD,
+NeosidInductorMs95{space}Ms95a{space}Ms95T{space}Festinduktivitaet{space}SMD
+0
+2
+2
+Inductor_SMD
+L_Neosid_Ms95T
+Neosid,Inductor,Ms95,{space}Ms95a,{space}Ms95T,{space}Festinduktivitaet,{space}SMD,
+NeosidInductorMs95{space}Ms95a{space}Ms95T{space}Festinduktivitaet{space}SMD
+0
+2
+2
+Inductor_SMD
+L_Neosid_Ms95a
+Neosid,Inductor,Ms95,{space}Ms95a,{space}Ms95T,{space}Festinduktivitaet,{space}SMD,
+NeosidInductorMs95{space}Ms95a{space}Ms95T{space}Festinduktivitaet{space}SMD
+0
+2
+2
+Inductor_SMD
+L_Neosid_SM-NE95H
+Neosid,{space}Inductor,SM-NE95H,{space}Festinduktivitaet,{space}SMD,
+Neosid{space}Inductor{space}SM-NE95H{space}Festinduktivitaet{space}SMD
+0
+2
+2
+Inductor_SMD
+L_Neosid_SM-NE127
+Neosid,{space}Inductor,{space}SM-NE127,{space}Festinduktivitaet,{space}SMD,
+Neosid{space}Inductor{space}SM-NE127{space}Festinduktivitaet{space}SMD
+0
+2
+2
+Inductor_SMD
+L_Neosid_SM-NE127_HandSoldering
+Neosid,{space}Inductor,{space}SM-NE127,{space}Festinduktivitaet,{space}SMD,
+Neosid{space}Inductor{space}SM-NE127{space}Festinduktivitaet{space}SMD
+0
+2
+2
+Inductor_SMD
+L_Neosid_SM-NE150
+Neosid,{space}Inductor,{space}SM-NE150,{space}Festinduktivitaet,{space}SMD,
+Neosid{space}Inductor{space}SM-NE150{space}Festinduktivitaet{space}SMD
+0
+2
+2
+Inductor_SMD
+L_Neosid_SM-PIC0512H
+Neosid,{space}Inductor,{space}PIC0512H,{space}Power{space}Inductor,{space}Festinduktivitaet,{space}SMD,
+Neosid{space}Inductor{space}PIC0512H{space}Power{space}Inductor{space}Festinduktivitaet{space}SMD
+0
+2
+2
+Inductor_SMD
+L_Neosid_SM-PIC0602H
+Neosid,{space}Power{space}Inductor,{space}SM-PIC0602H,{space}Festinduktivitaet,{space}SMD,
+Neosid{space}Power{space}Inductor{space}SM-PIC0602H{space}Festinduktivitaet{space}SMD
+0
+2
+2
+Inductor_SMD
+L_Neosid_SM-PIC0612H
+Neosid,{space}Power{space}Inductor,{space}SM-PIC0612H,{space}Festinduktivitaet,{space}SMD,
+Neosid{space}Power{space}Inductor{space}SM-PIC0612H{space}Festinduktivitaet{space}SMD
+0
+2
+2
+Inductor_SMD
+L_Neosid_SM-PIC1004H
+Neosid,{space}Inductor,{space}SM-PIC1004H,{space}Festinduktivitaet,{space}SMD,
+Neosid{space}Inductor{space}SM-PIC1004H{space}Festinduktivitaet{space}SMD
+0
+2
+2
+Inductor_SMD
+L_Neosid_SMS-ME3010
+Neosid,{space}Inductor,{space}SMS-ME3010,{space}Festinduktivitaet,{space}SMD,{space}magnetically{space}shielded,
+Neosid{space}Inductor{space}SMS-ME3010{space}Festinduktivitaet{space}SMD{space}magnetically{space}shielded
+0
+2
+2
+Inductor_SMD
+L_Neosid_SMS-ME3015
+Neosid,{space}Power{space}Inductor,{space}SMS-ME3015,{space}Festinduktivitaet,{space}SMD,{space}magnetically{space}shielded,
+Neosid{space}Power{space}Inductor{space}SMS-ME3015{space}Festinduktivitaet{space}SMD{space}magnetically{space}shielded
+0
+2
+2
+Inductor_SMD
+L_Neosid_SMs42
+Neosid,{space}Inductor,{space}SMs42,{space}Festinduktivitaet,{space}SMD,{space}magneticaly{space}shielded,
+Neosid{space}Inductor{space}SMs42{space}Festinduktivitaet{space}SMD{space}magneticaly{space}shielded
+0
+2
+2
+Inductor_SMD
+L_Neosid_SMs50
+Neosid,{space}Inductor,{space}SMs50,{space}Festinduktivitaet,{space}SMD,{space}magneticaly{space}shielded,
+Neosid{space}Inductor{space}SMs50{space}Festinduktivitaet{space}SMD{space}magneticaly{space}shielded
+0
+2
+2
+Inductor_SMD
+L_Neosid_SMs85
+Neosid,{space}Inductor,{space}SMs85,{space}Festinduktivitaet,{space}SMD,{space}magnetically{space}shielded,
+Neosid{space}Inductor{space}SMs85{space}Festinduktivitaet{space}SMD{space}magnetically{space}shielded
+0
+2
+2
+Inductor_SMD
+L_Neosid_SMs95_SMs95p
+Neosid,{space}Inductor,{space}SMs95,{space}Festinduktivitaet,{space}SMD,{space}magnetically{space}shielded,
+Neosid{space}Inductor{space}SMs95{space}Festinduktivitaet{space}SMD{space}magnetically{space}shielded
+0
+2
+2
+Inductor_SMD
+L_Pulse_PA4320
+Inductor{space}SMD{space}Pulse{space}PA4320{space}http://productfinder.pulseeng.com/products/datasheets/P787.pdf
+Inductor{space}SMD{space}Pulse{space}PA4320
+0
+2
+2
+Inductor_SMD
+L_Sagami_CER1242B
+Inductor,{space}Sagami,{space}h=4.5mm,{space}http://www.sagami-elec.co.jp/file/CER1242B-CER1257B-CER1277B.pdf
+inductor{space}sagami{space}cer12xxb{space}smd
+0
+2
+2
+Inductor_SMD
+L_Sagami_CER1257B
+Inductor,{space}Sagami,{space}h=6.0mm,{space}http://www.sagami-elec.co.jp/file/CER1242B-CER1257B-CER1277B.pdf
+inductor{space}sagami{space}cer12xxb{space}smd
+0
+2
+2
+Inductor_SMD
+L_Sagami_CER1277B
+Inductor,{space}Sagami,{space}h=8.0mm,{space}http://www.sagami-elec.co.jp/file/CER1242B-CER1257B-CER1277B.pdf
+inductor{space}sagami{space}cer12xxb{space}smd
+0
+2
+2
+Inductor_SMD
+L_Sagami_CWR1242C
+Sagami{space}power{space}inductor,{space}CWR1242C,{space}H=4.5mm{space}(http://www.sagami-elec.co.jp/file/16Car_SMDCwr.pdf)
+inductor{space}sagami{space}cwr12xx{space}smd
+0
+4
+2
+Inductor_SMD
+L_Sagami_CWR1257C
+Sagami{space}power{space}inductor,{space}CWR1242C,{space}H=6.0mm{space}(http://www.sagami-elec.co.jp/file/16Car_SMDCwr.pdf)
+inductor{space}sagami{space}cwr12xx{space}smd
+0
+4
+2
+Inductor_SMD
+L_Sagami_CWR1277C
+Sagami{space}power{space}inductor,{space}CWR1242C,{space}H=7.7mm{space}(http://www.sagami-elec.co.jp/file/16Car_SMDCwr.pdf)
+inductor{space}sagami{space}cwr12xx{space}smd
+0
+4
+2
+Inductor_SMD
+L_SigTra_SC3316F
+http://www.signaltransformer.com/sites/all/pdf/smd/P080_SC3316F.pdf
+Choke{space}
+0
+2
+2
+Inductor_SMD
+L_TDK_VLF10040
+Inductor,TDK,{space}TDK-VLP-8040,{space}8.6mmx8.6mm
+inductor{space}TDK{space}VLP{space}smd{space}VLF10040
+0
+2
+2
+Inductor_SMD
+L_TDK_VLP8040
+Inductor,TDK,{space}TDK-VLP-8040,{space}8.6mmx8.6mm
+inductor{space}TDK{space}VLP{space}smd{space}VLP8040
+0
+2
+2
+Inductor_SMD
+L_Taiyo-Yuden_MD-1616
+Inductor,{space}Taiyo{space}Yuden,{space}MD{space}series,{space}Taiyo-Yuden_MD-1616,{space}1.6mmx1.6mm
+inductor{space}taiyo-yuden{space}md{space}smd
+0
+2
+2
+Inductor_SMD
+L_Taiyo-Yuden_MD-2020
+Inductor,{space}Taiyo{space}Yuden,{space}MD{space}series,{space}Taiyo-Yuden_MD-2020,{space}2.0mmx2.0mm
+inductor{space}taiyo-yuden{space}md{space}smd
+0
+2
+2
+Inductor_SMD
+L_Taiyo-Yuden_MD-3030
+Inductor,{space}Taiyo{space}Yuden,{space}MD{space}series,{space}Taiyo-Yuden_MD-3030,{space}3.0mmx3.0mm
+inductor{space}taiyo-yuden{space}md{space}smd
+0
+2
+2
+Inductor_SMD
+L_Taiyo-Yuden_MD-4040
+Inductor,{space}Taiyo{space}Yuden,{space}MD{space}series,{space}Taiyo-Yuden_MD-4040,{space}4.0mmx4.0mm
+inductor{space}taiyo-yuden{space}md{space}smd
+0
+2
+2
+Inductor_SMD
+L_Taiyo-Yuden_MD-5050
+Inductor,{space}Taiyo{space}Yuden,{space}MD{space}series,{space}Taiyo-Yuden_MD-5050,{space}5.0mmx5.0mm
+inductor{space}taiyo-yuden{space}md{space}smd
+0
+2
+2
+Inductor_SMD
+L_Taiyo-Yuden_NR-20xx
+Inductor,{space}Taiyo{space}Yuden,{space}NR{space}series,{space}Taiyo-Yuden_NR-20xx,{space}2.0mmx2.0mm
+inductor{space}taiyo-yuden{space}nr{space}smd
+0
+2
+2
+Inductor_SMD
+L_Taiyo-Yuden_NR-20xx_HandSoldering
+Inductor,{space}Taiyo{space}Yuden,{space}NR{space}series,{space}Taiyo-Yuden_NR-20xx,{space}2.0mmx2.0mm
+inductor{space}taiyo-yuden{space}nr{space}smd
+0
+2
+2
+Inductor_SMD
+L_Taiyo-Yuden_NR-24xx
+Inductor,{space}Taiyo{space}Yuden,{space}NR{space}series,{space}Taiyo-Yuden_NR-24xx,{space}2.4mmx2.4mm
+inductor{space}taiyo-yuden{space}nr{space}smd
+0
+2
+2
+Inductor_SMD
+L_Taiyo-Yuden_NR-24xx_HandSoldering
+Inductor,{space}Taiyo{space}Yuden,{space}NR{space}series,{space}Taiyo-Yuden_NR-24xx,{space}2.4mmx2.4mm
+inductor{space}taiyo-yuden{space}nr{space}smd
+0
+2
+2
+Inductor_SMD
+L_Taiyo-Yuden_NR-30xx
+Inductor,{space}Taiyo{space}Yuden,{space}NR{space}series,{space}Taiyo-Yuden_NR-30xx,{space}3.0mmx3.0mm
+inductor{space}taiyo-yuden{space}nr{space}smd
+0
+2
+2
+Inductor_SMD
+L_Taiyo-Yuden_NR-30xx_HandSoldering
+Inductor,{space}Taiyo{space}Yuden,{space}NR{space}series,{space}Taiyo-Yuden_NR-30xx,{space}3.0mmx3.0mm
+inductor{space}taiyo-yuden{space}nr{space}smd
+0
+2
+2
+Inductor_SMD
+L_Taiyo-Yuden_NR-40xx
+Inductor,{space}Taiyo{space}Yuden,{space}NR{space}series,{space}Taiyo-Yuden_NR-40xx,{space}4.0mmx4.0mm
+inductor{space}taiyo-yuden{space}nr{space}smd
+0
+2
+2
+Inductor_SMD
+L_Taiyo-Yuden_NR-40xx_HandSoldering
+Inductor,{space}Taiyo{space}Yuden,{space}NR{space}series,{space}Taiyo-Yuden_NR-40xx,{space}4.0mmx4.0mm
+inductor{space}taiyo-yuden{space}nr{space}smd
+0
+2
+2
+Inductor_SMD
+L_Taiyo-Yuden_NR-50xx
+Inductor,{space}Taiyo{space}Yuden,{space}NR{space}series,{space}Taiyo-Yuden_NR-50xx,{space}4.9mmx4.9mm
+inductor{space}taiyo-yuden{space}nr{space}smd
+0
+2
+2
+Inductor_SMD
+L_Taiyo-Yuden_NR-50xx_HandSoldering
+Inductor,{space}Taiyo{space}Yuden,{space}NR{space}series,{space}Taiyo-Yuden_NR-50xx,{space}4.9mmx4.9mm
+inductor{space}taiyo-yuden{space}nr{space}smd
+0
+2
+2
+Inductor_SMD
+L_Taiyo-Yuden_NR-60xx
+Inductor,{space}Taiyo{space}Yuden,{space}NR{space}series,{space}Taiyo-Yuden_NR-60xx,{space}6.0mmx6.0mm
+inductor{space}taiyo-yuden{space}nr{space}smd
+0
+2
+2
+Inductor_SMD
+L_Taiyo-Yuden_NR-60xx_HandSoldering
+Inductor,{space}Taiyo{space}Yuden,{space}NR{space}series,{space}Taiyo-Yuden_NR-60xx,{space}6.0mmx6.0mm
+inductor{space}taiyo-yuden{space}nr{space}smd
+0
+2
+2
+Inductor_SMD
+L_Taiyo-Yuden_NR-80xx
+Inductor,{space}Taiyo{space}Yuden,{space}NR{space}series,{space}Taiyo-Yuden_NR-80xx,{space}8.0mmx8.0mm
+inductor{space}taiyo-yuden{space}nr{space}smd
+0
+2
+2
+Inductor_SMD
+L_Taiyo-Yuden_NR-80xx_HandSoldering
+Inductor,{space}Taiyo{space}Yuden,{space}NR{space}series,{space}Taiyo-Yuden_NR-80xx,{space}8.0mmx8.0mm
+inductor{space}taiyo-yuden{space}nr{space}smd
+0
+2
+2
+Inductor_SMD
+L_TracoPower_TCK-141
+Choke,{space}SMD,{space}4.0x4.0mm{space}2.1mm{space}height,{space}https://www.tracopower.com/products/tck141.pdf
+Choke{space}SMD
+0
+2
+2
+Inductor_SMD
+L_Vishay_IHLP-1212
+Inductor,{space}Vishay,{space}IHLP{space}series,{space}3.0mmx3.0mm
+inductor{space}vishay{space}ihlp{space}smd
+0
+2
+2
+Inductor_SMD
+L_Vishay_IHLP-1616
+Inductor,{space}Vishay,{space}IHLP{space}series,{space}4.1mmx4.1mm
+inductor{space}vishay{space}ihlp{space}smd
+0
+2
+2
+Inductor_SMD
+L_Vishay_IHLP-2020
+Inductor,{space}Vishay,{space}IHLP{space}series,{space}5.1mmx5.1mm
+inductor{space}vishay{space}ihlp{space}smd
+0
+2
+2
+Inductor_SMD
+L_Vishay_IHLP-2525
+Inductor,{space}Vishay,{space}IHLP{space}series,{space}6.3mmx6.3mm
+inductor{space}vishay{space}ihlp{space}smd
+0
+2
+2
+Inductor_SMD
+L_Vishay_IHLP-4040
+Inductor,{space}Vishay,{space}IHLP{space}series,{space}10.2mmx10.2mm
+inductor{space}vishay{space}ihlp{space}smd
+0
+2
+2
+Inductor_SMD
+L_Vishay_IHLP-5050
+Inductor,{space}Vishay,{space}IHLP{space}series,{space}12.7mmx12.7mm
+inductor{space}vishay{space}ihlp{space}smd
+0
+2
+2
+Inductor_SMD
+L_Vishay_IHLP-6767
+Inductor,{space}Vishay,{space}IHLP{space}series,{space}17.0mmx17.0mm
+inductor{space}vishay{space}ihlp{space}smd
+0
+2
+2
+Inductor_SMD
+L_Vishay_IHSM-3825
+Inductor,{space}Vishay,{space}Vishay_IHSM-3825,{space}http://www.vishay.com/docs/34018/ihsm3825.pdf,{space}11.2mmx6.3mm
+inductor{space}vishay{space}icsm{space}smd
+0
+2
+2
+Inductor_SMD
+L_Vishay_IHSM-4825
+Inductor,{space}Vishay,{space}Vishay_IHSM-4825,{space}http://www.vishay.com/docs/34019/ihsm4825.pdf,{space}13.7mmx6.3mm
+inductor{space}vishay{space}icsm{space}smd
+0
+2
+2
+Inductor_SMD
+L_Vishay_IHSM-5832
+Inductor,{space}Vishay,{space}Vishay_IHSM-5832,{space}http://www.vishay.com/docs/34020/ihsm5832.pdf,{space}16.3mmx8.1mm
+inductor{space}vishay{space}icsm{space}smd
+0
+2
+2
+Inductor_SMD
+L_Vishay_IHSM-7832
+Inductor,{space}Vishay,{space}Vishay_IHSM-7832,{space}http://www.vishay.com/docs/34021/ihsm7832.pdf,{space}19.8mmx8.1mm
+inductor{space}vishay{space}icsm{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_HCI-1030
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_HCI-1030,{space}10.6mmx10.6mm
+inductor{space}Wuerth{space}hci{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_HCI-1040
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_HCI-1040,{space}10.2mmx10.2mm
+inductor{space}Wuerth{space}hci{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_HCI-1050
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_HCI-1050,{space}10.2mmx10.2mm
+inductor{space}Wuerth{space}hci{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_HCI-1335
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_HCI-1335,{space}12.8mmx12.8mm
+inductor{space}Wuerth{space}hci{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_HCI-1350
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_HCI-1350,{space}12.8mmx12.8mm
+inductor{space}Wuerth{space}hci{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_HCI-1365
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_HCI-1365,{space}12.8mmx12.8mm
+inductor{space}Wuerth{space}hci{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_HCI-1890
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_HCI-1890,{space}18.2mmx18.2mm
+inductor{space}Wuerth{space}hci{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_HCI-2212
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_HCI-2212,{space}22.5mmx22.0mm
+inductor{space}Wuerth{space}hci{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_HCI-5040
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_HCI-5040,{space}5.5mmx5.2mm
+inductor{space}Wuerth{space}hci{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_HCI-7030
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_HCI-7030,{space}6.9mmx6.9mm
+inductor{space}Wuerth{space}hci{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_HCI-7040
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_HCI-7040,{space}6.9mmx6.9mm
+inductor{space}Wuerth{space}hci{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_HCI-7050
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_HCI-7050,{space}6.9mmx6.9mm
+inductor{space}Wuerth{space}hci{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_HCM-1050
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_HCM-1050,{space}10.2mmx7.0mm
+inductor{space}Wuerth{space}hcm{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_HCM-1052
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_HCM-1052,{space}10.5mmx10.3mm
+inductor{space}Wuerth{space}hcm{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_HCM-1070
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_HCM-1070,{space}10.1mmx7.0mm
+inductor{space}Wuerth{space}hcm{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_HCM-1078
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_HCM-1078,{space}9.4mmx6.2mm
+inductor{space}Wuerth{space}hcm{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_HCM-1190
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_HCM-1190,{space}10.5mmx11.0mm
+inductor{space}Wuerth{space}hcm{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_HCM-1240
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_HCM-1240,{space}10.0mmx11.8mm
+inductor{space}Wuerth{space}hcm{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_HCM-1350
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_HCM-1350,{space}13.5mmx13.3mm
+inductor{space}Wuerth{space}hcm{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_HCM-1390
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_HCM-1390,{space}12.5mmx13.0mm
+inductor{space}Wuerth{space}hcm{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_HCM-7050
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_HCM-7050,{space}7.2mmx7.0mm
+inductor{space}Wuerth{space}hcm{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_HCM-7070
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_HCM-7070,{space}7.4mmx7.2mm
+inductor{space}Wuerth{space}hcm{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_MAPI-1610
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_MAPI-1610,{space}1.6mmx1.6mm
+inductor{space}Wuerth{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_MAPI-2010
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_MAPI-2010,{space}2.0mmx1.6mm
+inductor{space}Wuerth{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_MAPI-2506
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_MAPI-2506,{space}2.5mmx2.0mm
+inductor{space}Wuerth{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_MAPI-2508
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_MAPI-2508,{space}2.5mmx2.0mm
+inductor{space}Wuerth{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_MAPI-2510
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_MAPI-2510,{space}2.5mmx2.0mm
+inductor{space}Wuerth{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_MAPI-2512
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_MAPI-2512,{space}2.5mmx2.0mm
+inductor{space}Wuerth{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_MAPI-3010
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_MAPI-3010,{space}3.0mmx3.0mm
+inductor{space}Wuerth{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_MAPI-3012
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_MAPI-3012,{space}3.0mmx3.0mm
+inductor{space}Wuerth{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_MAPI-3015
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_MAPI-3015,{space}3.0mmx3.0mm
+inductor{space}Wuerth{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_MAPI-3020
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_MAPI-3020,{space}3.0mmx3.0mm
+inductor{space}Wuerth{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_MAPI-4020
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_MAPI-4020,{space}4.0mmx4.0mm
+inductor{space}Wuerth{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_MAPI-4030
+Inductor,{space}Wuerth{space}Elektronik,{space}Wuerth_MAPI-4030,{space}4.0mmx4.0mm
+inductor{space}Wuerth{space}smd
+0
+2
+2
+Inductor_SMD
+L_Wuerth_WE-DD-Typ-L-Typ-XL-Typ-XXL
+Choke,{space}Double,{space}Doppeldrossel,{space}{space}SMD,{space},{space}Wuerth,{space}WE-DD,{space}Typ{space}L,{space}Typ{space}XL,{space}Typ{space}XXL,
+Choke{space}Double{space}Doppeldrossel{space}SMD{space}{space}Wuerth{space}WE-DD{space}TypL{space}TypXL{space}TypXXL{space}
+0
+4
+4
+Inductor_SMD
+L_Wuerth_WE-DD-Typ-M-Typ-S
+Choke,{space}Double,{space}Doppeldrossel,{space}{space}SMD,{space},{space}Wuerth,{space}WE-DD,{space}Typ{space}M,{space}Typ{space}S,
+Choke{space}Double{space}Doppeldrossel{space}SMD{space}{space}Wuerth{space}WE-DD{space}TypM{space}TypS{space}
+0
+4
+4
+Inductor_SMD
+L_Wuerth_WE-PD-Typ-7345
+Choke,{space}Drossel,{space}WE-PD{space}Typ{space}LS,{space}Wuerth,{space}SMD,
+Choke{space}Drossel{space}WE-PDTypLS{space}Wuerth{space}SMD{space}
+0
+2
+2
+Inductor_SMD
+L_Wuerth_WE-PD-Typ-LS
+Choke,{space}Drossel,{space}WE-PD{space}Typ{space}LS,{space}Wuerth,{space}SMD,
+Choke{space}Drossel{space}WE-PDTypLS{space}Wuerth{space}SMD{space}
+0
+2
+2
+Inductor_SMD
+L_Wuerth_WE-PD-Typ-LS_Handsoldering
+Choke,{space}Drossel,{space}WE-PD{space}Typ{space}LS,{space}Wuerth,{space}SMD,{space}Handsoldering,
+Choke{space}Drossel{space}WE-PDTypLS{space}Wuerth{space}SMD{space}Handsoldering{space}
+0
+2
+2
+Inductor_SMD
+L_Wuerth_WE-PD-Typ-M-Typ-S
+Choke,{space}Drossel,{space}WE-PD,{space}Typ{space}M,{space}Typ{space}S,{space}Wuerth,{space}SMD,
+Choke{space}Drossel{space}WE-PD{space}TypM{space}TypS{space}Wuerth{space}SMD{space}
+0
+2
+2
+Inductor_SMD
+L_Wuerth_WE-PD-Typ-M-Typ-S_Handsoldering
+Choke,{space}Drossel,{space}WE-PD,{space}Typ{space}M,{space}Typ{space}S,{space}Wuerth,{space}SMD,{space}Handsoldering,
+Choke{space}Drossel{space}WE-PD{space}TypM{space}TypS{space}Wuerth{space}SMD{space}Handsoldering{space}
+0
+2
+2
+Inductor_SMD
+L_Wuerth_WE-PD2-Typ-L
+Choke,{space}Drossel,{space}WE-PD2,{space}Typ{space}L,{space}Wuerth,{space}SMD,
+Choke{space}Drossel{space}WE-PD2{space}TypL{space}Wuerth{space}SMD{space}
+0
+2
+2
+Inductor_SMD
+L_Wuerth_WE-PD2-Typ-MS
+Choke,{space}Drossel,{space}WE-PD2,{space}Typ{space}MS,{space}Wuerth,{space}SMD,
+Choke{space}Drossel{space}WE-PD2{space}TypMS{space}Wuerth{space}SMD{space}
+0
+2
+2
+Inductor_SMD
+L_Wuerth_WE-PD2-Typ-XL
+Choke,{space}Drossel,{space}WE-PD2,{space}Typ{space}XL,{space}Wuerth,{space}SMD,
+Choke{space}Drossel{space}WE-PD2{space}TypXL{space}Wuerth{space}SMD{space}
+0
+2
+2
+Inductor_SMD
+L_Wuerth_WE-PD4-Typ-X
+Choke,{space}Drossel,{space}WE-PD4,{space}Typ{space}X,{space}Wuerth,{space}SMD,
+Choke{space}Drossel{space}WE-PD4{space}TypX{space}Wuerth{space}SMD{space}
+0
+2
+2
+Inductor_SMD
+L_Wuerth_WE-PDF
+Choke,{space}Drossel,{space}WE-PDF,{space}Wuerth,{space}SMD,
+Choke{space}Drossel{space}WE-PDF{space}Wuerth{space}SMD{space}
+0
+2
+2
+Inductor_SMD
+L_Wuerth_WE-PDF_Handsoldering
+Choke,{space}Drossel,{space}WE-PDF,{space}Handsoldering,{space}Wuerth,{space}SMD,
+Choke{space}Drossel{space}WE-PDF{space}Handsoldering{space}Wuerth{space}SMD{space}
+0
+2
+2
+Inductor_SMD
+L_Wuerth_WE-TPC-3816
+Inductor,{space}WE-TPC,{space}Wuerth,{space}SMD,{space}http://katalog.we-online.de/pbs/datasheet/744031220.pdf
+Inductor{space}WE-TPC{space}Wuerth{space}SMD
+0
+10
+2
+Inductor_THT
+Choke_EPCOS_B82722A
+Current-Compensated{space}Ring{space}Core{space}Double{space}Chokes,{space}EPCOS,{space}B82722A,{space}22.3mmx22.7mm,{space}https://en.tdk.eu/inf/30/db/ind_2008/b82722a_j.pdf
+chokes{space}epcos{space}tht
+0
+4
+4
+Inductor_THT
+Choke_Schaffner_RN102-04-14.0x14.0mm
+Current-compensated{space}Chokes,{space}Scaffner,{space}RN102-04,{space}14.0mmx14.0mm{space}https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/
+chokes{space}schaffner{space}tht
+0
+4
+4
+Inductor_THT
+Choke_Schaffner_RN112-04-17.7x17.1mm
+Current-compensated{space}Chokes,{space}Scaffner,{space}RN112-04,{space}17.7mmx17.1mm{space}https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/
+chokes{space}schaffner{space}tht
+0
+4
+4
+Inductor_THT
+Choke_Schaffner_RN114-04-22.5x21.5mm
+Current-compensated{space}Chokes,{space}Scaffner,{space}RN114-04,{space}22.5mmx21.5mm{space}https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/
+chokes{space}schaffner{space}tht
+0
+4
+4
+Inductor_THT
+Choke_Schaffner_RN116-04-22.5x21.5mm
+Current-compensated{space}Chokes,{space}Scaffner,{space}RN116-04,{space}22.5mmx21.5mm{space}https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/
+chokes{space}schaffner{space}tht
+0
+4
+4
+Inductor_THT
+Choke_Schaffner_RN122-04-28.0x27.0mm
+Current-compensated{space}Chokes,{space}Scaffner,{space}RN122-04,{space}28.0mmx27.0mm{space}https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/
+chokes{space}schaffner{space}tht
+0
+4
+4
+Inductor_THT
+Choke_Schaffner_RN142-04-33.1x32.5mm
+Current-compensated{space}Chokes,{space}Scaffner,{space}RN142-04,{space}33.1mmx32.5mm{space}https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/
+chokes{space}schaffner{space}tht
+0
+4
+4
+Inductor_THT
+Choke_Schaffner_RN143-04-33.1x32.5mm
+Current-compensated{space}Chokes,{space}Scaffner,{space}RN143-04,{space}33.1mmx32.5mm{space}https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/
+chokes{space}schaffner{space}tht
+0
+4
+4
+Inductor_THT
+Choke_Schaffner_RN152-04-43.0x41.8mm
+Current-compensated{space}Chokes,{space}Scaffner,{space}RN152-04,{space}43.0mmx41.8mm{space}https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/
+chokes{space}schaffner{space}tht
+0
+4
+4
+Inductor_THT
+Choke_Schaffner_RN202-04-8.8x18.2mm
+Current-compensated{space}Chokes,{space}Scaffner,{space}RN202-04,{space}8.8mmx18.2mm{space}https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/
+chokes{space}schaffner{space}tht
+0
+4
+4
+Inductor_THT
+Choke_Schaffner_RN204-04-9.0x14.0mm
+Current-compensated{space}Chokes,{space}Scaffner,{space}RN204-04,{space}9.0mmx14.0mm{space}https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/
+chokes{space}schaffner{space}tht
+0
+4
+4
+Inductor_THT
+Choke_Schaffner_RN212-04-12.5x18.0mm
+Current-compensated{space}Chokes,{space}Scaffner,{space}RN212-04,{space}12.5mmx18.0mm{space}https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/
+chokes{space}schaffner{space}tht
+0
+4
+4
+Inductor_THT
+Choke_Schaffner_RN214-04-15.5x23.0mm
+Current-compensated{space}Chokes,{space}Scaffner,{space}RN214-04,{space}15.5mmx23.0mm{space}https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/
+chokes{space}schaffner{space}tht
+0
+4
+4
+Inductor_THT
+Choke_Schaffner_RN216-04-15.5x23.0mm
+Current-compensated{space}Chokes,{space}Scaffner,{space}RN216-04,{space}15.5mmx23.0mm{space}https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/
+chokes{space}schaffner{space}tht
+0
+4
+4
+Inductor_THT
+Choke_Schaffner_RN222-04-18.0x31.0mm
+Current-compensated{space}Chokes,{space}Scaffner,{space}RN222-04,{space}18.0mmx31.0mm{space}https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/
+chokes{space}schaffner{space}tht
+0
+4
+4
+Inductor_THT
+Choke_Schaffner_RN232-04-18.0x31.0mm
+Current-compensated{space}Chokes,{space}Scaffner,{space}RN232-04,{space}18.0mmx31.0mm{space}https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/
+chokes{space}schaffner{space}tht
+0
+4
+4
+Inductor_THT
+Choke_Schaffner_RN242-04-18.0x31.0mm
+Current-compensated{space}Chokes,{space}Scaffner,{space}RN242-04,{space}18.0mmx31.0mm{space}https://www.schaffner.com/products/download/product/datasheet/rn-series-common-mode-chokes-new/
+chokes{space}schaffner{space}tht
+0
+4
+4
+Inductor_THT
+L_Axial_L5.0mm_D3.6mm_P10.00mm_Horizontal_Murata_BL01RN1A2A2
+Inductor,{space}Murata{space}BL01RN1A2A2,{space}Axial,{space}Horizontal,{space}pin{space}pitch=10.00mm,{space}length*diameter=5*3.6mm,{space}https://www.murata.com/en-global/products/productdetail?partno=BL01RN1A2A2%23
+inductor{space}axial{space}horizontal
+0
+2
+2
+Inductor_THT
+L_Axial_L5.3mm_D2.2mm_P2.54mm_Vertical_Vishay_IM-1
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=2.54mm,{space},{space}length*diameter=5.3*2.2mm^2,{space}Vishay,{space}IM-1,{space}http://www.vishay.com/docs/34030/im.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}2.54mm{space}{space}length{space}5.3mm{space}diameter{space}2.2mm{space}Vishay{space}IM-1
+0
+2
+2
+Inductor_THT
+L_Axial_L5.3mm_D2.2mm_P7.62mm_Horizontal_Vishay_IM-1
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=5.3*2.2mm^2,{space}Vishay,{space}IM-1,{space}http://www.vishay.com/docs/34030/im.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}7.62mm{space}{space}length{space}5.3mm{space}diameter{space}2.2mm{space}Vishay{space}IM-1
+0
+2
+2
+Inductor_THT
+L_Axial_L5.3mm_D2.2mm_P10.16mm_Horizontal_Vishay_IM-1
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=10.16mm,{space},{space}length*diameter=5.3*2.2mm^2,{space}Vishay,{space}IM-1,{space}http://www.vishay.com/docs/34030/im.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}10.16mm{space}{space}length{space}5.3mm{space}diameter{space}2.2mm{space}Vishay{space}IM-1
+0
+2
+2
+Inductor_THT
+L_Axial_L6.6mm_D2.7mm_P2.54mm_Vertical_Vishay_IM-2
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=2.54mm,{space},{space}length*diameter=6.6*2.7mm^2,{space}Vishay,{space}IM-2,{space}http://www.vishay.com/docs/34030/im.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}2.54mm{space}{space}length{space}6.6mm{space}diameter{space}2.7mm{space}Vishay{space}IM-2
+0
+2
+2
+Inductor_THT
+L_Axial_L6.6mm_D2.7mm_P10.16mm_Horizontal_Vishay_IM-2
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=10.16mm,{space},{space}length*diameter=6.6*2.7mm^2,{space}Vishay,{space}IM-2,{space}http://www.vishay.com/docs/34030/im.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}10.16mm{space}{space}length{space}6.6mm{space}diameter{space}2.7mm{space}Vishay{space}IM-2
+0
+2
+2
+Inductor_THT
+L_Axial_L7.0mm_D3.3mm_P2.54mm_Vertical_Fastron_MICC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=2.54mm,{space},{space}length*diameter=7*3.3mm^2,{space}Fastron,{space}MICC,{space}http://www.fastrongroup.com/image-show/70/MICC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}2.54mm{space}{space}length{space}7mm{space}diameter{space}3.3mm{space}Fastron{space}MICC
+0
+2
+2
+Inductor_THT
+L_Axial_L7.0mm_D3.3mm_P5.08mm_Vertical_Fastron_MICC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=7*3.3mm^2,{space}Fastron,{space}MICC,{space}http://www.fastrongroup.com/image-show/70/MICC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}7mm{space}diameter{space}3.3mm{space}Fastron{space}MICC
+0
+2
+2
+Inductor_THT
+L_Axial_L7.0mm_D3.3mm_P10.16mm_Horizontal_Fastron_MICC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=10.16mm,{space},{space}length*diameter=7*3.3mm^2,{space}Fastron,{space}MICC,{space}http://www.fastrongroup.com/image-show/70/MICC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}10.16mm{space}{space}length{space}7mm{space}diameter{space}3.3mm{space}Fastron{space}MICC
+0
+2
+2
+Inductor_THT
+L_Axial_L7.0mm_D3.3mm_P12.70mm_Horizontal_Fastron_MICC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=12.7mm,{space},{space}length*diameter=7*3.3mm^2,{space}Fastron,{space}MICC,{space}http://www.fastrongroup.com/image-show/70/MICC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}12.7mm{space}{space}length{space}7mm{space}diameter{space}3.3mm{space}Fastron{space}MICC
+0
+2
+2
+Inductor_THT
+L_Axial_L9.5mm_D4.0mm_P2.54mm_Vertical_Fastron_SMCC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=2.54mm,{space},{space}length*diameter=9.5*4mm^2,{space}Fastron,{space}SMCC,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_SMCC_NEU.pdf,{space}http://cdn-reichelt.de/documents/datenblatt/B400/LEADEDINDUCTORS.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}2.54mm{space}{space}length{space}9.5mm{space}diameter{space}4mm{space}Fastron{space}SMCC
+0
+2
+2
+Inductor_THT
+L_Axial_L9.5mm_D4.0mm_P5.08mm_Vertical_Fastron_SMCC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=9.5*4mm^2,{space}Fastron,{space}SMCC,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_SMCC_NEU.pdf,{space}http://cdn-reichelt.de/documents/datenblatt/B400/LEADEDINDUCTORS.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}9.5mm{space}diameter{space}4mm{space}Fastron{space}SMCC
+0
+2
+2
+Inductor_THT
+L_Axial_L9.5mm_D4.0mm_P12.70mm_Horizontal_Fastron_SMCC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=12.7mm,{space},{space}length*diameter=9.5*4mm^2,{space}Fastron,{space}SMCC,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_SMCC_NEU.pdf,{space}http://cdn-reichelt.de/documents/datenblatt/B400/LEADEDINDUCTORS.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}12.7mm{space}{space}length{space}9.5mm{space}diameter{space}4mm{space}Fastron{space}SMCC
+0
+2
+2
+Inductor_THT
+L_Axial_L9.5mm_D4.0mm_P15.24mm_Horizontal_Fastron_SMCC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=15.24mm,{space},{space}length*diameter=9.5*4mm^2,{space}Fastron,{space}SMCC,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_SMCC_NEU.pdf,{space}http://cdn-reichelt.de/documents/datenblatt/B400/LEADEDINDUCTORS.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}15.24mm{space}{space}length{space}9.5mm{space}diameter{space}4mm{space}Fastron{space}SMCC
+0
+2
+2
+Inductor_THT
+L_Axial_L11.0mm_D4.5mm_P5.08mm_Vertical_Fastron_MECC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=11*4.5mm^2,{space}Fastron,{space}MECC,{space}http://www.fastrongroup.com/image-show/21/MECC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}11mm{space}diameter{space}4.5mm{space}Fastron{space}MECC
+0
+2
+2
+Inductor_THT
+L_Axial_L11.0mm_D4.5mm_P7.62mm_Vertical_Fastron_MECC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=11*4.5mm^2,{space}Fastron,{space}MECC,{space}http://www.fastrongroup.com/image-show/21/MECC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}11mm{space}diameter{space}4.5mm{space}Fastron{space}MECC
+0
+2
+2
+Inductor_THT
+L_Axial_L11.0mm_D4.5mm_P15.24mm_Horizontal_Fastron_MECC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=15.24mm,{space},{space}length*diameter=11*4.5mm^2,{space}Fastron,{space}MECC,{space}http://www.fastrongroup.com/image-show/21/MECC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}15.24mm{space}{space}length{space}11mm{space}diameter{space}4.5mm{space}Fastron{space}MECC
+0
+2
+2
+Inductor_THT
+L_Axial_L12.0mm_D5.0mm_P5.08mm_Vertical_Fastron_MISC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=12*5mm^2,{space}Fastron,{space}MISC,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_MISC.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}12mm{space}diameter{space}5mm{space}Fastron{space}MISC
+0
+2
+2
+Inductor_THT
+L_Axial_L12.0mm_D5.0mm_P7.62mm_Vertical_Fastron_MISC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=12*5mm^2,{space}Fastron,{space}MISC,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_MISC.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}12mm{space}diameter{space}5mm{space}Fastron{space}MISC
+0
+2
+2
+Inductor_THT
+L_Axial_L12.0mm_D5.0mm_P15.24mm_Horizontal_Fastron_MISC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=15.24mm,{space},{space}length*diameter=12*5mm^2,{space}Fastron,{space}MISC,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_MISC.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}15.24mm{space}{space}length{space}12mm{space}diameter{space}5mm{space}Fastron{space}MISC
+0
+2
+2
+Inductor_THT
+L_Axial_L12.8mm_D5.8mm_P5.08mm_Vertical_Fastron_HBCC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=12.8*5.8mm^2,{space}Fastron,{space}HBCC,{space}http://www.fastrongroup.com/image-show/18/HBCC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}12.8mm{space}diameter{space}5.8mm{space}Fastron{space}HBCC
+0
+2
+2
+Inductor_THT
+L_Axial_L12.8mm_D5.8mm_P7.62mm_Vertical_Fastron_HBCC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=12.8*5.8mm^2,{space}Fastron,{space}HBCC,{space}http://www.fastrongroup.com/image-show/18/HBCC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}12.8mm{space}diameter{space}5.8mm{space}Fastron{space}HBCC
+0
+2
+2
+Inductor_THT
+L_Axial_L12.8mm_D5.8mm_P20.32mm_Horizontal_Fastron_HBCC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=20.32mm,{space},{space}length*diameter=12.8*5.8mm^2,{space}Fastron,{space}HBCC,{space}http://www.fastrongroup.com/image-show/18/HBCC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}20.32mm{space}{space}length{space}12.8mm{space}diameter{space}5.8mm{space}Fastron{space}HBCC
+0
+2
+2
+Inductor_THT
+L_Axial_L12.8mm_D5.8mm_P25.40mm_Horizontal_Fastron_HBCC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=25.4mm,{space},{space}length*diameter=12.8*5.8mm^2,{space}Fastron,{space}HBCC,{space}http://www.fastrongroup.com/image-show/18/HBCC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}25.4mm{space}{space}length{space}12.8mm{space}diameter{space}5.8mm{space}Fastron{space}HBCC
+0
+2
+2
+Inductor_THT
+L_Axial_L13.0mm_D4.5mm_P5.08mm_Vertical_Fastron_HCCC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=13*4.5mm^2,{space}Fastron,{space}HCCC,{space}http://www.fastrongroup.com/image-show/19/HCCC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}13mm{space}diameter{space}4.5mm{space}Fastron{space}HCCC
+0
+2
+2
+Inductor_THT
+L_Axial_L13.0mm_D4.5mm_P7.62mm_Vertical_Fastron_HCCC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=13*4.5mm^2,{space}Fastron,{space}HCCC,{space}http://www.fastrongroup.com/image-show/19/HCCC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}13mm{space}diameter{space}4.5mm{space}Fastron{space}HCCC
+0
+2
+2
+Inductor_THT
+L_Axial_L13.0mm_D4.5mm_P15.24mm_Horizontal_Fastron_HCCC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=15.24mm,{space},{space}length*diameter=13*4.5mm^2,{space}Fastron,{space}HCCC,{space}http://www.fastrongroup.com/image-show/19/HCCC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}15.24mm{space}{space}length{space}13mm{space}diameter{space}4.5mm{space}Fastron{space}HCCC
+0
+2
+2
+Inductor_THT
+L_Axial_L14.0mm_D4.5mm_P5.08mm_Vertical_Fastron_LACC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=14*4.5mm^2,{space}Fastron,{space}LACC,{space}http://www.fastrongroup.com/image-show/20/LACC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}14mm{space}diameter{space}4.5mm{space}Fastron{space}LACC
+0
+2
+2
+Inductor_THT
+L_Axial_L14.0mm_D4.5mm_P7.62mm_Vertical_Fastron_LACC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=14*4.5mm^2,{space}Fastron,{space}LACC,{space}http://www.fastrongroup.com/image-show/20/LACC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}14mm{space}diameter{space}4.5mm{space}Fastron{space}LACC
+0
+2
+2
+Inductor_THT
+L_Axial_L14.0mm_D4.5mm_P15.24mm_Horizontal_Fastron_LACC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=15.24mm,{space},{space}length*diameter=14*4.5mm^2,{space}Fastron,{space}LACC,{space}http://www.fastrongroup.com/image-show/20/LACC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}15.24mm{space}{space}length{space}14mm{space}diameter{space}4.5mm{space}Fastron{space}LACC
+0
+2
+2
+Inductor_THT
+L_Axial_L14.5mm_D5.8mm_P5.08mm_Vertical_Fastron_HBCC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=14.5*5.8mm^2,{space}Fastron,{space}HBCC,{space}http://www.fastrongroup.com/image-show/18/HBCC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}14.5mm{space}diameter{space}5.8mm{space}Fastron{space}HBCC
+0
+2
+2
+Inductor_THT
+L_Axial_L14.5mm_D5.8mm_P7.62mm_Vertical_Fastron_HBCC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=14.5*5.8mm^2,{space}Fastron,{space}HBCC,{space}http://www.fastrongroup.com/image-show/18/HBCC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}14.5mm{space}diameter{space}5.8mm{space}Fastron{space}HBCC
+0
+2
+2
+Inductor_THT
+L_Axial_L14.5mm_D5.8mm_P20.32mm_Horizontal_Fastron_HBCC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=20.32mm,{space},{space}length*diameter=14.5*5.8mm^2,{space}Fastron,{space}HBCC,{space}http://www.fastrongroup.com/image-show/18/HBCC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}20.32mm{space}{space}length{space}14.5mm{space}diameter{space}5.8mm{space}Fastron{space}HBCC
+0
+2
+2
+Inductor_THT
+L_Axial_L14.5mm_D5.8mm_P25.40mm_Horizontal_Fastron_HBCC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=25.4mm,{space},{space}length*diameter=14.5*5.8mm^2,{space}Fastron,{space}HBCC,{space}http://www.fastrongroup.com/image-show/18/HBCC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}25.4mm{space}{space}length{space}14.5mm{space}diameter{space}5.8mm{space}Fastron{space}HBCC
+0
+2
+2
+Inductor_THT
+L_Axial_L16.0mm_D6.3mm_P5.08mm_Vertical_Fastron_VHBCC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=16*6.3mm^2,{space}Fastron,{space}VHBCC,{space}http://www.fastrongroup.com/image-show/25/VHBCC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}16mm{space}diameter{space}6.3mm{space}Fastron{space}VHBCC
+0
+2
+2
+Inductor_THT
+L_Axial_L16.0mm_D6.3mm_P7.62mm_Vertical_Fastron_VHBCC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=16*6.3mm^2,{space}Fastron,{space}VHBCC,{space}http://www.fastrongroup.com/image-show/25/VHBCC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}16mm{space}diameter{space}6.3mm{space}Fastron{space}VHBCC
+0
+2
+2
+Inductor_THT
+L_Axial_L16.0mm_D6.3mm_P20.32mm_Horizontal_Fastron_VHBCC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=20.32mm,{space},{space}length*diameter=16*6.3mm^2,{space}Fastron,{space}VHBCC,{space}http://www.fastrongroup.com/image-show/25/VHBCC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}20.32mm{space}{space}length{space}16mm{space}diameter{space}6.3mm{space}Fastron{space}VHBCC
+0
+2
+2
+Inductor_THT
+L_Axial_L16.0mm_D6.3mm_P25.40mm_Horizontal_Fastron_VHBCC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=25.4mm,{space},{space}length*diameter=16*6.3mm^2,{space}Fastron,{space}VHBCC,{space}http://www.fastrongroup.com/image-show/25/VHBCC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}25.4mm{space}{space}length{space}16mm{space}diameter{space}6.3mm{space}Fastron{space}VHBCC
+0
+2
+2
+Inductor_THT
+L_Axial_L16.0mm_D7.5mm_P5.08mm_Vertical_Fastron_XHBCC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=16*7.5mm^2,{space}Fastron,{space}XHBCC,{space}http://www.fastrongroup.com/image-show/26/XHBCC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}16mm{space}diameter{space}7.5mm{space}Fastron{space}XHBCC
+0
+2
+2
+Inductor_THT
+L_Axial_L16.0mm_D7.5mm_P7.62mm_Vertical_Fastron_XHBCC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=16*7.5mm^2,{space}Fastron,{space}XHBCC,{space}http://www.fastrongroup.com/image-show/26/XHBCC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}16mm{space}diameter{space}7.5mm{space}Fastron{space}XHBCC
+0
+2
+2
+Inductor_THT
+L_Axial_L16.0mm_D7.5mm_P20.32mm_Horizontal_Fastron_XHBCC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=20.32mm,{space},{space}length*diameter=16*7.5mm^2,{space}Fastron,{space}XHBCC,{space}http://www.fastrongroup.com/image-show/26/XHBCC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}20.32mm{space}{space}length{space}16mm{space}diameter{space}7.5mm{space}Fastron{space}XHBCC
+0
+2
+2
+Inductor_THT
+L_Axial_L16.0mm_D7.5mm_P25.40mm_Horizontal_Fastron_XHBCC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=25.4mm,{space},{space}length*diameter=16*7.5mm^2,{space}Fastron,{space}XHBCC,{space}http://www.fastrongroup.com/image-show/26/XHBCC.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}25.4mm{space}{space}length{space}16mm{space}diameter{space}7.5mm{space}Fastron{space}XHBCC
+0
+2
+2
+Inductor_THT
+L_Axial_L16.0mm_D9.5mm_P5.08mm_Vertical_Vishay_IM-10-37
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=16*9.5mm^2,{space}Vishay,{space}IM-10-37,{space}http://www.vishay.com/docs/34030/im10.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}16mm{space}diameter{space}9.5mm{space}Vishay{space}IM-10-37
+0
+2
+2
+Inductor_THT
+L_Axial_L16.0mm_D9.5mm_P20.32mm_Horizontal_Vishay_IM-10-37
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=20.32mm,{space},{space}length*diameter=16*9.5mm^2,{space}Vishay,{space}IM-10-37,{space}http://www.vishay.com/docs/34030/im10.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}20.32mm{space}{space}length{space}16mm{space}diameter{space}9.5mm{space}Vishay{space}IM-10-37
+0
+2
+2
+Inductor_THT
+L_Axial_L17.5mm_D12.0mm_P7.62mm_Vertical_Vishay_IM-10-46
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=17.5*12mm^2,{space}Vishay,{space}IM-10-46,{space}http://www.vishay.com/docs/34030/im10.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}17.5mm{space}diameter{space}12mm{space}Vishay{space}IM-10-46
+0
+2
+2
+Inductor_THT
+L_Axial_L17.5mm_D12.0mm_P20.32mm_Horizontal_Vishay_IM-10-46
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=20.32mm,{space},{space}length*diameter=17.5*12mm^2,{space}Vishay,{space}IM-10-46,{space}http://www.vishay.com/docs/34030/im10.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}20.32mm{space}{space}length{space}17.5mm{space}diameter{space}12mm{space}Vishay{space}IM-10-46
+0
+2
+2
+Inductor_THT
+L_Axial_L20.0mm_D8.0mm_P5.08mm_Vertical
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=20*8mm^2
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}20mm{space}diameter{space}8mm
+0
+2
+2
+Inductor_THT
+L_Axial_L20.0mm_D8.0mm_P7.62mm_Vertical
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=20*8mm^2
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}20mm{space}diameter{space}8mm
+0
+2
+2
+Inductor_THT
+L_Axial_L20.0mm_D8.0mm_P25.40mm_Horizontal
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=25.4mm,{space},{space}length*diameter=20*8mm^2
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}25.4mm{space}{space}length{space}20mm{space}diameter{space}8mm
+0
+2
+2
+Inductor_THT
+L_Axial_L20.3mm_D12.1mm_P7.62mm_Vertical_Vishay_IHA-101
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=20.32*12.07mm^2,{space}Vishay,{space}IHA-101,{space}http://www.vishay.com/docs/34014/iha.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}20.32mm{space}diameter{space}12.07mm{space}Vishay{space}IHA-101
+0
+2
+2
+Inductor_THT
+L_Axial_L20.3mm_D12.1mm_P28.50mm_Horizontal_Vishay_IHA-101
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=28.5mm,{space},{space}length*diameter=20.32*12.07mm^2,{space}Vishay,{space}IHA-101,{space}http://www.vishay.com/docs/34014/iha.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}28.5mm{space}{space}length{space}20.32mm{space}diameter{space}12.07mm{space}Vishay{space}IHA-101
+0
+2
+2
+Inductor_THT
+L_Axial_L20.3mm_D12.7mm_P7.62mm_Vertical_Vishay_IHA-201
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=20.32*12.7mm^2,{space}Vishay,{space}IHA-201,{space}http://www.vishay.com/docs/34014/iha.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}20.32mm{space}diameter{space}12.7mm{space}Vishay{space}IHA-201
+0
+2
+2
+Inductor_THT
+L_Axial_L20.3mm_D12.7mm_P25.40mm_Horizontal_Vishay_IHA-201
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=25.4mm,{space},{space}length*diameter=20.32*12.7mm^2,{space}Vishay,{space}IHA-201,{space}http://www.vishay.com/docs/34014/iha.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}25.4mm{space}{space}length{space}20.32mm{space}diameter{space}12.7mm{space}Vishay{space}IHA-201
+0
+2
+2
+Inductor_THT
+L_Axial_L23.4mm_D12.7mm_P7.62mm_Vertical_Vishay_IHA-203
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=23.37*12.7mm^2,{space}Vishay,{space}IHA-203,{space}http://www.vishay.com/docs/34014/iha.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}23.37mm{space}diameter{space}12.7mm{space}Vishay{space}IHA-203
+0
+2
+2
+Inductor_THT
+L_Axial_L23.4mm_D12.7mm_P32.00mm_Horizontal_Vishay_IHA-203
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=32mm,{space},{space}length*diameter=23.37*12.7mm^2,{space}Vishay,{space}IHA-203,{space}http://www.vishay.com/docs/34014/iha.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}32mm{space}{space}length{space}23.37mm{space}diameter{space}12.7mm{space}Vishay{space}IHA-203
+0
+2
+2
+Inductor_THT
+L_Axial_L24.0mm_D7.1mm_P5.08mm_Vertical_Vishay_IM-10-28
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=24*7.1mm^2,{space}Vishay,{space}IM-10-28,{space}http://www.vishay.com/docs/34035/im10.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}24mm{space}diameter{space}7.1mm{space}Vishay{space}IM-10-28
+0
+2
+2
+Inductor_THT
+L_Axial_L24.0mm_D7.1mm_P30.48mm_Horizontal_Vishay_IM-10-28
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=30.48mm,{space},{space}length*diameter=24*7.1mm^2,{space}Vishay,{space}IM-10-28,{space}http://www.vishay.com/docs/34035/im10.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}30.48mm{space}{space}length{space}24mm{space}diameter{space}7.1mm{space}Vishay{space}IM-10-28
+0
+2
+2
+Inductor_THT
+L_Axial_L24.0mm_D7.5mm_P5.08mm_Vertical_Fastron_MESC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=24*7.5mm^2,{space}Fastron,{space}MESC,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_MESC.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}24mm{space}diameter{space}7.5mm{space}Fastron{space}MESC
+0
+2
+2
+Inductor_THT
+L_Axial_L24.0mm_D7.5mm_P7.62mm_Vertical_Fastron_MESC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=24*7.5mm^2,{space}Fastron,{space}MESC,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_MESC.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}24mm{space}diameter{space}7.5mm{space}Fastron{space}MESC
+0
+2
+2
+Inductor_THT
+L_Axial_L24.0mm_D7.5mm_P27.94mm_Horizontal_Fastron_MESC
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=27.94mm,{space},{space}length*diameter=24*7.5mm^2,{space}Fastron,{space}MESC,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_MESC.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}27.94mm{space}{space}length{space}24mm{space}diameter{space}7.5mm{space}Fastron{space}MESC
+0
+2
+2
+Inductor_THT
+L_Axial_L26.0mm_D9.0mm_P5.08mm_Vertical_Fastron_77A
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=26*9mm^2,{space}Fastron,{space}77A,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_77A.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}26mm{space}diameter{space}9mm{space}Fastron{space}77A
+0
+2
+2
+Inductor_THT
+L_Axial_L26.0mm_D9.0mm_P7.62mm_Vertical_Fastron_77A
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=26*9mm^2,{space}Fastron,{space}77A,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_77A.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}26mm{space}diameter{space}9mm{space}Fastron{space}77A
+0
+2
+2
+Inductor_THT
+L_Axial_L26.0mm_D9.0mm_P30.48mm_Horizontal_Fastron_77A
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=30.48mm,{space},{space}length*diameter=26*9mm^2,{space}Fastron,{space}77A,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_77A.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}30.48mm{space}{space}length{space}26mm{space}diameter{space}9mm{space}Fastron{space}77A
+0
+2
+2
+Inductor_THT
+L_Axial_L26.0mm_D10.0mm_P5.08mm_Vertical_Fastron_77A
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=26*10mm^2,{space}Fastron,{space}77A,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_77A.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}26mm{space}diameter{space}10mm{space}Fastron{space}77A
+0
+2
+2
+Inductor_THT
+L_Axial_L26.0mm_D10.0mm_P7.62mm_Vertical_Fastron_77A
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=26*10mm^2,{space}Fastron,{space}77A,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_77A.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}26mm{space}diameter{space}10mm{space}Fastron{space}77A
+0
+2
+2
+Inductor_THT
+L_Axial_L26.0mm_D10.0mm_P30.48mm_Horizontal_Fastron_77A
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=30.48mm,{space},{space}length*diameter=26*10mm^2,{space}Fastron,{space}77A,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_77A.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}30.48mm{space}{space}length{space}26mm{space}diameter{space}10mm{space}Fastron{space}77A
+0
+2
+2
+Inductor_THT
+L_Axial_L26.0mm_D11.0mm_P5.08mm_Vertical_Fastron_77A
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=26*11mm^2,{space}Fastron,{space}77A,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_77A.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}26mm{space}diameter{space}11mm{space}Fastron{space}77A
+0
+2
+2
+Inductor_THT
+L_Axial_L26.0mm_D11.0mm_P7.62mm_Vertical_Fastron_77A
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=26*11mm^2,{space}Fastron,{space}77A,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_77A.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}26mm{space}diameter{space}11mm{space}Fastron{space}77A
+0
+2
+2
+Inductor_THT
+L_Axial_L26.0mm_D11.0mm_P30.48mm_Horizontal_Fastron_77A
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=30.48mm,{space},{space}length*diameter=26*11mm^2,{space}Fastron,{space}77A,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_77A.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}30.48mm{space}{space}length{space}26mm{space}diameter{space}11mm{space}Fastron{space}77A
+0
+2
+2
+Inductor_THT
+L_Axial_L26.7mm_D12.1mm_P7.62mm_Vertical_Vishay_IHA-103
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=26.67*12.07mm^2,{space}Vishay,{space}IHA-103,{space}http://www.vishay.com/docs/34014/iha.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}26.67mm{space}diameter{space}12.07mm{space}Vishay{space}IHA-103
+0
+2
+2
+Inductor_THT
+L_Axial_L26.7mm_D12.1mm_P35.00mm_Horizontal_Vishay_IHA-103
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=35mm,{space},{space}length*diameter=26.67*12.07mm^2,{space}Vishay,{space}IHA-103,{space}http://www.vishay.com/docs/34014/iha.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}35mm{space}{space}length{space}26.67mm{space}diameter{space}12.07mm{space}Vishay{space}IHA-103
+0
+2
+2
+Inductor_THT
+L_Axial_L26.7mm_D14.0mm_P7.62mm_Vertical_Vishay_IHA-104
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=26.67*13.97mm^2,{space}Vishay,{space}IHA-104,{space}http://www.vishay.com/docs/34014/iha.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}26.67mm{space}diameter{space}13.97mm{space}Vishay{space}IHA-104
+0
+2
+2
+Inductor_THT
+L_Axial_L26.7mm_D14.0mm_P35.00mm_Horizontal_Vishay_IHA-104
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=35mm,{space},{space}length*diameter=26.67*13.97mm^2,{space}Vishay,{space}IHA-104,{space}http://www.vishay.com/docs/34014/iha.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}35mm{space}{space}length{space}26.67mm{space}diameter{space}13.97mm{space}Vishay{space}IHA-104
+0
+2
+2
+Inductor_THT
+L_Axial_L29.9mm_D14.0mm_P7.62mm_Vertical_Vishay_IHA-105
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=29.85*13.97mm^2,{space}Vishay,{space}IHA-105,{space}http://www.vishay.com/docs/34014/iha.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}29.85mm{space}diameter{space}13.97mm{space}Vishay{space}IHA-105
+0
+2
+2
+Inductor_THT
+L_Axial_L29.9mm_D14.0mm_P38.00mm_Horizontal_Vishay_IHA-105
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=38mm,{space},{space}length*diameter=29.85*13.97mm^2,{space}Vishay,{space}IHA-105,{space}http://www.vishay.com/docs/34014/iha.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}38mm{space}{space}length{space}29.85mm{space}diameter{space}13.97mm{space}Vishay{space}IHA-105
+0
+2
+2
+Inductor_THT
+L_Axial_L30.0mm_D8.0mm_P5.08mm_Vertical_Fastron_77A
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space},{space}length*diameter=30*8mm^2,{space}Fastron,{space}77A,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_77A.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}{space}length{space}30mm{space}diameter{space}8mm{space}Fastron{space}77A
+0
+2
+2
+Inductor_THT
+L_Axial_L30.0mm_D8.0mm_P7.62mm_Vertical_Fastron_77A
+Inductor,{space}Axial{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space},{space}length*diameter=30*8mm^2,{space}Fastron,{space}77A,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_77A.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}{space}length{space}30mm{space}diameter{space}8mm{space}Fastron{space}77A
+0
+2
+2
+Inductor_THT
+L_Axial_L30.0mm_D8.0mm_P35.56mm_Horizontal_Fastron_77A
+Inductor,{space}Axial{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=35.56mm,{space},{space}length*diameter=30*8mm^2,{space}Fastron,{space}77A,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_77A.pdf
+Inductor{space}Axial{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}35.56mm{space}{space}length{space}30mm{space}diameter{space}8mm{space}Fastron{space}77A
+0
+2
+2
+Inductor_THT
+L_CommonMode_Toroid_Vertical_L19.3mm_W10.8mm_Px6.35mm_Py15.24mm_Bourns_8100
+L_CommonMode_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=6.35*15.24mm^2,{space},{space}length*width=19.304*10.795mm^2,{space}Bourns,{space}8100,{space}http://datasheet.octopart.com/8120-RC-Bourns-datasheet-10228452.pdf
+L_CommonMode_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}6.35*15.24mm^2{space}{space}length{space}19.304mm{space}width{space}10.795mm{space}Bourns{space}8100
+0
+4
+4
+Inductor_THT
+L_CommonMode_Toroid_Vertical_L21.0mm_W10.0mm_Px5.08mm_Py12.70mm_muRATA_5100
+L_CommonMode_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=5.08*12.70mm^2,{space},{space}length*width=21*10mm^2,{space}muRATA,{space}5100,{space}http://www.murata-ps.com/data/magnetics/kmp_5100.pdf
+L_CommonMode_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}5.08*12.70mm^2{space}{space}length{space}21mm{space}width{space}10mm{space}muRATA{space}5100
+0
+4
+4
+Inductor_THT
+L_CommonMode_Toroid_Vertical_L24.0mm_W16.3mm_Px10.16mm_Py20.32mm_muRATA_5200
+L_CommonMode_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=10.16*20.32mm^2,{space},{space}length*width=24*16.3mm^2,{space}muRATA,{space}5200,{space}http://www.murata-ps.com/data/magnetics/kmp_5200.pdf
+L_CommonMode_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}10.16*20.32mm^2{space}{space}length{space}24mm{space}width{space}16.3mm{space}muRATA{space}5200
+0
+4
+4
+Inductor_THT
+L_CommonMode_Toroid_Vertical_L30.5mm_W15.2mm_Px10.16mm_Py20.32mm_Bourns_8100
+L_CommonMode_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=10.16*20.32mm^2,{space},{space}length*width=30.479999999999997*15.239999999999998mm^2,{space}Bourns,{space}8100,{space}http://datasheet.octopart.com/8120-RC-Bourns-datasheet-10228452.pdf
+L_CommonMode_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}10.16*20.32mm^2{space}{space}length{space}30.479999999999997mm{space}width{space}15.239999999999998mm{space}Bourns{space}8100
+0
+4
+4
+Inductor_THT
+L_CommonMode_Toroid_Vertical_L34.3mm_W20.3mm_Px15.24mm_Py22.86mm_Bourns_8100
+L_CommonMode_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=15.24*22.86mm^2,{space},{space}length*width=34.29*20.32mm^2,{space}Bourns,{space}8100,{space}http://datasheet.octopart.com/8120-RC-Bourns-datasheet-10228452.pdf
+L_CommonMode_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}15.24*22.86mm^2{space}{space}length{space}34.29mm{space}width{space}20.32mm{space}Bourns{space}8100
+0
+4
+4
+Inductor_THT
+L_CommonMode_Toroid_Vertical_L36.8mm_W20.3mm_Px15.24mm_Py22.86mm_Bourns_8100
+L_CommonMode_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=15.24*22.86mm^2,{space},{space}length*width=36.83*20.32mm^2,{space}Bourns,{space}8100,{space}http://datasheet.octopart.com/8120-RC-Bourns-datasheet-10228452.pdf
+L_CommonMode_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}15.24*22.86mm^2{space}{space}length{space}36.83mm{space}width{space}20.32mm{space}Bourns{space}8100
+0
+4
+4
+Inductor_THT
+L_CommonMode_Toroid_Vertical_L38.1mm_W20.3mm_Px15.24mm_Py22.86mm_Bourns_8100
+L_CommonMode_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=15.24*22.86mm^2,{space},{space}length*width=38.099999999999994*20.32mm^2,{space}Bourns,{space}8100,{space}http://datasheet.octopart.com/8120-RC-Bourns-datasheet-10228452.pdf
+L_CommonMode_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}15.24*22.86mm^2{space}{space}length{space}38.099999999999994mm{space}width{space}20.32mm{space}Bourns{space}8100
+0
+4
+4
+Inductor_THT
+L_CommonMode_Toroid_Vertical_L39.4mm_W20.3mm_Px15.24mm_Py22.86mm_Bourns_8100
+L_CommonMode_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=15.24*22.86mm^2,{space},{space}length*width=39.37*20.32mm^2,{space}Bourns,{space}8100,{space}http://datasheet.octopart.com/8120-RC-Bourns-datasheet-10228452.pdf
+L_CommonMode_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}15.24*22.86mm^2{space}{space}length{space}39.37mm{space}width{space}20.32mm{space}Bourns{space}8100
+0
+4
+4
+Inductor_THT
+L_CommonMode_Toroid_Vertical_L41.9mm_W20.3mm_Px15.24mm_Py22.86mm_Bourns_8100
+L_CommonMode_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=15.24*22.86mm^2,{space},{space}length*width=41.91*20.32mm^2,{space}Bourns,{space}8100,{space}http://datasheet.octopart.com/8120-RC-Bourns-datasheet-10228452.pdf
+L_CommonMode_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}15.24*22.86mm^2{space}{space}length{space}41.91mm{space}width{space}20.32mm{space}Bourns{space}8100
+0
+4
+4
+Inductor_THT
+L_CommonMode_Toroid_Vertical_L43.2mm_W22.9mm_Px17.78mm_Py30.48mm_Bourns_8100
+L_CommonMode_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=17.78*30.48mm^2,{space},{space}length*width=43.18*22.86mm^2,{space}Bourns,{space}8100,{space}http://datasheet.octopart.com/8120-RC-Bourns-datasheet-10228452.pdf
+L_CommonMode_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}17.78*30.48mm^2{space}{space}length{space}43.18mm{space}width{space}22.86mm{space}Bourns{space}8100
+0
+4
+4
+Inductor_THT
+L_CommonMode_Wuerth_WE-CMB-L
+Wuerth,{space}WE-CMB,{space}Bauform{space}L,
+CommonModeChoke{space}Gleichtaktdrossel{space}
+0
+4
+4
+Inductor_THT
+L_CommonMode_Wuerth_WE-CMB-M
+Wuerth,{space}WE-CMB,{space}Bauform{space}M,
+CommonModeChoke{space}Gleichtaktdrossel{space}
+0
+4
+4
+Inductor_THT
+L_CommonMode_Wuerth_WE-CMB-S
+Wuerth,{space}WE-CMB,{space}Bauform{space}S,
+CommonModeChoke{space}Gleichtaktdrossel{space}
+0
+4
+4
+Inductor_THT
+L_CommonMode_Wuerth_WE-CMB-XL
+Wuerth,{space}WE-CMB,{space}Bauform{space}XL,
+CommonModeChoke{space}Gleichtaktdrossel{space}
+0
+4
+4
+Inductor_THT
+L_CommonMode_Wuerth_WE-CMB-XS
+Wuerth,{space}WE-CMB,{space}Bauform{space}XS,
+CommonModeChoke{space}Gleichtaktdrossel{space}
+0
+4
+4
+Inductor_THT
+L_CommonMode_Wuerth_WE-CMB-XXL
+Wuerth,{space}WE-CMB,{space}Bauform{space}XXL,
+CommonModeChoke{space}Gleichtaktdrossel{space}
+0
+4
+4
+Inductor_THT
+L_Mount_Lodestone_VTM120
+Lodestone{space}Pacific,{space}30.48mm{space}diameter{space}vertical{space}toroid{space}mount,{space}16AWG/1.27mm{space}holes,{space}http://www.lodestonepacific.com/CatKpdf/VTM_Series.pdf
+vertical{space}inductor{space}toroid{space}mount
+0
+4
+4
+Inductor_THT
+L_Mount_Lodestone_VTM160
+Lodestone{space}Pacific,{space}40.64mm{space}diameter{space}vertical{space}toroid{space}mount,{space}16AWG/1.27mm{space}holes,{space}http://www.lodestonepacific.com/CatKpdf/VTM_Series.pdf
+vertical{space}inductor{space}toroid{space}mount
+0
+4
+4
+Inductor_THT
+L_Mount_Lodestone_VTM254
+Lodestone{space}Pacific,{space}64.51mm{space}diameter{space}vertical{space}toroid{space}mount,{space}16AWG/1.27mm{space}holes,{space}http://www.lodestonepacific.com/CatKpdf/VTM_Series.pdf
+vertical{space}inductor{space}toroid{space}mount
+0
+4
+4
+Inductor_THT
+L_Mount_Lodestone_VTM280
+Lodestone{space}Pacific,{space}71.12mm{space}diameter{space}vertical{space}toroid{space}mount,{space}16AWG/1.27mm{space}holes,{space}http://www.lodestonepacific.com/CatKpdf/VTM_Series.pdf
+vertical{space}inductor{space}toroid{space}mount
+0
+4
+4
+Inductor_THT
+L_Radial_D6.0mm_P4.00mm
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=4.00mm,{space},{space}diameter=6.0mm,{space}http://www.abracon.com/Magnetics/radial/AIUR-07.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}4.00mm{space}{space}diameter{space}6.0mm
+0
+2
+2
+Inductor_THT
+L_Radial_D7.0mm_P3.00mm
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=3.00mm,{space},{space}diameter=7mm,{space}http://www.abracon.com/Magnetics/radial/AIUR-16.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}3.00mm{space}{space}diameter{space}7mm
+0
+2
+2
+Inductor_THT
+L_Radial_D7.2mm_P3.00mm_MuRATA_1700
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=3.00mm,{space},{space}diameter=7.2mm,{space}MuRATA,{space}1700,{space}http://www.murata-ps.com/data/magnetics/kmp_1700.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}3.00mm{space}{space}diameter{space}7.2mm{space}MuRATA{space}1700
+0
+2
+2
+Inductor_THT
+L_Radial_D7.5mm_P3.50mm_Fastron_07P
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=3.50mm,{space},{space}diameter=7.5mm,{space}Fastron,{space}07P,{space}http://www.fastrongroup.com/image-show/39/07P.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}3.50mm{space}{space}diameter{space}7.5mm{space}Fastron{space}07P
+0
+2
+2
+Inductor_THT
+L_Radial_D7.5mm_P5.00mm_Fastron_07P
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter=7.5mm,{space}Fastron,{space}07P,{space}http://www.fastrongroup.com/image-show/39/07P.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}7.5mm{space}Fastron{space}07P
+0
+2
+2
+Inductor_THT
+L_Radial_D7.8mm_P5.00mm_Fastron_07HCP
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter=7.8mm,{space}Fastron,{space}07HCP,{space}http://www.abracon.com/Magnetics/radial/AISR875.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}7.8mm{space}Fastron{space}07HCP
+0
+2
+2
+Inductor_THT
+L_Radial_D8.7mm_P5.00mm_Fastron_07HCP
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter=8.7mm,{space}Fastron,{space}07HCP,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_07HCP.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}8.7mm{space}Fastron{space}07HCP
+0
+2
+2
+Inductor_THT
+L_Radial_D9.5mm_P5.00mm_Fastron_07HVP
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter=9.5mm,{space}Fastron,{space}07HVP,{space}http://www.fastrongroup.com/image-show/107/07HVP%2007HVP_T.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}9.5mm{space}Fastron{space}07HVP
+0
+2
+2
+Inductor_THT
+L_Radial_D10.0mm_P5.00mm_Fastron_07M
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter=10mm,{space}Fastron,{space}07M,{space}http://www.fastrongroup.com/image-show/37/07M.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}10mm{space}Fastron{space}07M
+0
+2
+2
+Inductor_THT
+L_Radial_D10.0mm_P5.00mm_Fastron_07P
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter=10mm,{space}Fastron,{space}07P,{space}http://www.fastrongroup.com/image-show/37/07M.pdf?type=Complete-DataSheet&productType=series
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}10mm{space}Fastron{space}07P
+0
+2
+2
+Inductor_THT
+L_Radial_D10.0mm_P5.00mm_Neosid_SD12_style3
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter=10.0mm,{space}Neosid,{space}SD12,{space}style3,{space}http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_Sd12.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}10.0mm{space}Neosid{space}SD12{space}style3
+0
+2
+2
+Inductor_THT
+L_Radial_D10.0mm_P5.00mm_Neosid_SD12k_style3
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter=10.0mm,{space}Neosid,{space}SD12k,{space}style3,{space}http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_Sd12k.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}10.0mm{space}Neosid{space}SD12k{space}style3
+0
+2
+2
+Inductor_THT
+L_Radial_D10.5mm_P4.00x5.00mm_Murata_1200RS
+Inductor,{space}Radial,{space}Pitch=4.00x5.00mm,{space}Diameter=10.5mm,{space}Murata{space}1200RS,{space}http://www.murata-ps.com/data/magnetics/kmp_1200rs.pdf
+Inductor{space}Radial{space}Murata{space}1200RS
+0
+4
+2
+Inductor_THT
+L_Radial_D10.5mm_P5.00mm_Abacron_AISR-01
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter=10.5mm,{space}Abacron,{space}AISR-01,{space}http://www.abracon.com/Magnetics/radial/AISR-01.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}10.5mm{space}Abacron{space}AISR-01
+0
+2
+2
+Inductor_THT
+L_Radial_D12.0mm_P5.00mm_Fastron_11P
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter=12.0mm,{space}Fastron,{space}11P,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_11P.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}12.0mm{space}Fastron{space}11P
+0
+2
+2
+Inductor_THT
+L_Radial_D12.0mm_P5.00mm_Neosid_SD12_style2
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter=12.0mm,{space}Neosid,{space}SD12,{space}style2,{space}http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_Sd12.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}12.0mm{space}Neosid{space}SD12{space}style2
+0
+2
+2
+Inductor_THT
+L_Radial_D12.0mm_P5.00mm_Neosid_SD12k_style2
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}diameter=12.0mm,{space}Neosid,{space}SD12k,{space}style2,{space}http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_Sd12k.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}diameter{space}12.0mm{space}Neosid{space}SD12k{space}style2
+0
+2
+2
+Inductor_THT
+L_Radial_D12.0mm_P6.00mm_MuRATA_1900R
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=6.00mm,{space},{space}diameter=12.0mm,{space}MuRATA,{space}1900R,{space}http://www.murata-ps.com/data/magnetics/kmp_1900r.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}6.00mm{space}{space}diameter{space}12.0mm{space}MuRATA{space}1900R
+0
+2
+2
+Inductor_THT
+L_Radial_D12.0mm_P10.00mm_Neosid_SD12_style1
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter=12.0mm,{space}Neosid,{space}SD12,{space}style1,{space}http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_Sd12.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}12.0mm{space}Neosid{space}SD12{space}style1
+0
+2
+2
+Inductor_THT
+L_Radial_D12.0mm_P10.00mm_Neosid_SD12k_style1
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter=12.0mm,{space}Neosid,{space}SD12k,{space}style1,{space}http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_Sd12k.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}12.0mm{space}Neosid{space}SD12k{space}style1
+0
+2
+2
+Inductor_THT
+L_Radial_D12.5mm_P7.00mm_Fastron_09HCP
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=7.00mm,{space},{space}diameter=12.5mm,{space}Fastron,{space}09HCP,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_09HCP.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}7.00mm{space}{space}diameter{space}12.5mm{space}Fastron{space}09HCP
+0
+2
+2
+Inductor_THT
+L_Radial_D12.5mm_P9.00mm_Fastron_09HCP
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=9.00mm,{space},{space}diameter=12.5mm,{space}Fastron,{space}09HCP,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_09HCP.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}9.00mm{space}{space}diameter{space}12.5mm{space}Fastron{space}09HCP
+0
+2
+2
+Inductor_THT
+L_Radial_D13.5mm_P7.00mm_Fastron_09HCP
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=7.00mm,{space},{space}diameter=13.5mm,{space}Fastron,{space}09HCP,{space}http://cdn-reichelt.de/documents/datenblatt/B400/DS_09HCP.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}7.00mm{space}{space}diameter{space}13.5mm{space}Fastron{space}09HCP
+0
+2
+2
+Inductor_THT
+L_Radial_D14.2mm_P10.00mm_Neosid_SD14
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter=14.2mm,{space}Neosid,{space}SD14,{space}http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_Sd14.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}14.2mm{space}Neosid{space}SD14
+0
+2
+2
+Inductor_THT
+L_Radial_D16.8mm_P11.43mm_Vishay_IHB-1
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=11.43mm,{space},{space}diameter=16.8mm,{space}Vishay,{space}IHB-1,{space}http://www.vishay.com/docs/34015/ihb.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}11.43mm{space}{space}diameter{space}16.8mm{space}Vishay{space}IHB-1
+0
+2
+2
+Inductor_THT
+L_Radial_D16.8mm_P12.07mm_Vishay_IHB-1
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=12.07mm,{space},{space}diameter=16.8mm,{space}Vishay,{space}IHB-1,{space}http://www.vishay.com/docs/34015/ihb.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}12.07mm{space}{space}diameter{space}16.8mm{space}Vishay{space}IHB-1
+0
+2
+2
+Inductor_THT
+L_Radial_D16.8mm_P12.70mm_Vishay_IHB-1
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=12.70mm,{space},{space}diameter=16.8mm,{space}Vishay,{space}IHB-1,{space}http://www.vishay.com/docs/34015/ihb.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}12.70mm{space}{space}diameter{space}16.8mm{space}Vishay{space}IHB-1
+0
+2
+2
+Inductor_THT
+L_Radial_D18.0mm_P10.00mm
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter=18mm,{space}http://www.abracon.com/Magnetics/radial/AIUR-15.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}18mm
+0
+2
+2
+Inductor_THT
+L_Radial_D21.0mm_P14.61mm_Vishay_IHB-2
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=14.61mm,{space},{space}diameter=21mm,{space}Vishay,{space}IHB-2,{space}http://www.vishay.com/docs/34015/ihb.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}14.61mm{space}{space}diameter{space}21mm{space}Vishay{space}IHB-2
+0
+2
+2
+Inductor_THT
+L_Radial_D21.0mm_P15.00mm_Vishay_IHB-2
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}diameter=21mm,{space}Vishay,{space}IHB-2,{space}http://www.vishay.com/docs/34015/ihb.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}diameter{space}21mm{space}Vishay{space}IHB-2
+0
+2
+2
+Inductor_THT
+L_Radial_D21.0mm_P15.24mm_Vishay_IHB-2
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=15.24mm,{space},{space}diameter=21mm,{space}Vishay,{space}IHB-2,{space}http://www.vishay.com/docs/34015/ihb.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}15.24mm{space}{space}diameter{space}21mm{space}Vishay{space}IHB-2
+0
+2
+2
+Inductor_THT
+L_Radial_D21.0mm_P15.75mm_Vishay_IHB-2
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=15.75mm,{space},{space}diameter=21mm,{space}Vishay,{space}IHB-2,{space}http://www.vishay.com/docs/34015/ihb.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}15.75mm{space}{space}diameter{space}21mm{space}Vishay{space}IHB-2
+0
+2
+2
+Inductor_THT
+L_Radial_D21.0mm_P19.00mm
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=19.00mm,{space},{space}diameter=21mm,{space}http://www.abracon.com/Magnetics/radial/AIRD02.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}19.00mm{space}{space}diameter{space}21mm
+0
+2
+2
+Inductor_THT
+L_Radial_D24.0mm_P24.00mm
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=24.00mm,{space},{space}diameter=24mm
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}24.00mm{space}{space}diameter{space}24mm
+0
+2
+2
+Inductor_THT
+L_Radial_D24.4mm_P22.90mm_muRATA_1400series
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=22.90mm,{space},{space}diameter=24.4mm,{space}muRATA,{space}1400series,{space}http://www.murata-ps.com/data/magnetics/kmp_1400.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}22.90mm{space}{space}diameter{space}24.4mm{space}muRATA{space}1400series
+0
+2
+2
+Inductor_THT
+L_Radial_D24.4mm_P23.10mm_muRATA_1400series
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=23.10mm,{space},{space}diameter=24.4mm,{space}muRATA,{space}1400series,{space}http://www.murata-ps.com/data/magnetics/kmp_1400.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}23.10mm{space}{space}diameter{space}24.4mm{space}muRATA{space}1400series
+0
+2
+2
+Inductor_THT
+L_Radial_D24.4mm_P23.40mm_muRATA_1400series
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=23.40mm,{space},{space}diameter=24.4mm,{space}muRATA,{space}1400series,{space}http://www.murata-ps.com/data/magnetics/kmp_1400.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}23.40mm{space}{space}diameter{space}24.4mm{space}muRATA{space}1400series
+0
+2
+2
+Inductor_THT
+L_Radial_D24.4mm_P23.70mm_muRATA_1400series
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=23.70mm,{space},{space}diameter=24.4mm,{space}muRATA,{space}1400series,{space}http://www.murata-ps.com/data/magnetics/kmp_1400.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}23.70mm{space}{space}diameter{space}24.4mm{space}muRATA{space}1400series
+0
+2
+2
+Inductor_THT
+L_Radial_D24.4mm_P23.90mm_muRATA_1400series
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=23.90mm,{space},{space}diameter=24.4mm,{space}muRATA,{space}1400series,{space}http://www.murata-ps.com/data/magnetics/kmp_1400.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}23.90mm{space}{space}diameter{space}24.4mm{space}muRATA{space}1400series
+0
+2
+2
+Inductor_THT
+L_Radial_D27.9mm_P18.29mm_Vishay_IHB-3
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=18.29mm,{space},{space}diameter=27.9mm,{space}Vishay,{space}IHB-3,{space}http://www.vishay.com/docs/34015/ihb.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}18.29mm{space}{space}diameter{space}27.9mm{space}Vishay{space}IHB-3
+0
+2
+2
+Inductor_THT
+L_Radial_D27.9mm_P19.05mm_Vishay_IHB-3
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=19.05mm,{space},{space}diameter=27.9mm,{space}Vishay,{space}IHB-3,{space}http://www.vishay.com/docs/34015/ihb.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}19.05mm{space}{space}diameter{space}27.9mm{space}Vishay{space}IHB-3
+0
+2
+2
+Inductor_THT
+L_Radial_D27.9mm_P20.07mm_Vishay_IHB-3
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=20.07mm,{space},{space}diameter=27.9mm,{space}Vishay,{space}IHB-3,{space}http://www.vishay.com/docs/34015/ihb.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}20.07mm{space}{space}diameter{space}27.9mm{space}Vishay{space}IHB-3
+0
+2
+2
+Inductor_THT
+L_Radial_D28.0mm_P29.20mm
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=29.20mm,{space},{space}diameter=28mm
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}29.20mm{space}{space}diameter{space}28mm
+0
+2
+2
+Inductor_THT
+L_Radial_D29.8mm_P28.30mm_muRATA_1400series
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=28.30mm,{space},{space}diameter=29.8mm,{space}muRATA,{space}1400series,{space}http://www.murata-ps.com/data/magnetics/kmp_1400.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}28.30mm{space}{space}diameter{space}29.8mm{space}muRATA{space}1400series
+0
+2
+2
+Inductor_THT
+L_Radial_D29.8mm_P28.50mm_muRATA_1400series
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=28.50mm,{space},{space}diameter=29.8mm,{space}muRATA,{space}1400series,{space}http://www.murata-ps.com/data/magnetics/kmp_1400.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}28.50mm{space}{space}diameter{space}29.8mm{space}muRATA{space}1400series
+0
+2
+2
+Inductor_THT
+L_Radial_D29.8mm_P28.80mm_muRATA_1400series
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=28.80mm,{space},{space}diameter=29.8mm,{space}muRATA,{space}1400series,{space}http://www.murata-ps.com/data/magnetics/kmp_1400.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}28.80mm{space}{space}diameter{space}29.8mm{space}muRATA{space}1400series
+0
+2
+2
+Inductor_THT
+L_Radial_D29.8mm_P29.00mm_muRATA_1400series
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=29.00mm,{space},{space}diameter=29.8mm,{space}muRATA,{space}1400series,{space}http://www.murata-ps.com/data/magnetics/kmp_1400.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}29.00mm{space}{space}diameter{space}29.8mm{space}muRATA{space}1400series
+0
+2
+2
+Inductor_THT
+L_Radial_D29.8mm_P29.30mm_muRATA_1400series
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=29.30mm,{space},{space}diameter=29.8mm,{space}muRATA,{space}1400series,{space}http://www.murata-ps.com/data/magnetics/kmp_1400.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}29.30mm{space}{space}diameter{space}29.8mm{space}muRATA{space}1400series
+0
+2
+2
+Inductor_THT
+L_Radial_D40.6mm_P26.16mm_Vishay_IHB-5
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=26.16mm,{space},{space}diameter=40.64mm,{space}Vishay,{space}IHB-5,{space}http://www.vishay.com/docs/34015/ihb.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}26.16mm{space}{space}diameter{space}40.64mm{space}Vishay{space}IHB-5
+0
+2
+2
+Inductor_THT
+L_Radial_D40.6mm_P27.18mm_Vishay_IHB-4
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=27.18mm,{space},{space}diameter=40.64mm,{space}Vishay,{space}IHB-4,{space}http://www.vishay.com/docs/34015/ihb.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}27.18mm{space}{space}diameter{space}40.64mm{space}Vishay{space}IHB-4
+0
+2
+2
+Inductor_THT
+L_Radial_D40.6mm_P27.94mm_Vishay_IHB-4
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=27.94mm,{space},{space}diameter=40.64mm,{space}Vishay,{space}IHB-4,{space}http://www.vishay.com/docs/34015/ihb.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}27.94mm{space}{space}diameter{space}40.64mm{space}Vishay{space}IHB-4
+0
+2
+2
+Inductor_THT
+L_Radial_D40.6mm_P27.94mm_Vishay_IHB-5
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=27.94mm,{space},{space}diameter=40.64mm,{space}Vishay,{space}IHB-5,{space}http://www.vishay.com/docs/34015/ihb.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}27.94mm{space}{space}diameter{space}40.64mm{space}Vishay{space}IHB-5
+0
+2
+2
+Inductor_THT
+L_Radial_D40.6mm_P28.70mm_Vishay_IHB-5
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=28.70mm,{space},{space}diameter=40.64mm,{space}Vishay,{space}IHB-5,{space}http://www.vishay.com/docs/34015/ihb.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}28.70mm{space}{space}diameter{space}40.64mm{space}Vishay{space}IHB-5
+0
+2
+2
+Inductor_THT
+L_Radial_D50.8mm_P33.27mm_Vishay_IHB-6
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=33.27mm,{space},{space}diameter=50.8mm,{space}Vishay,{space}IHB-6,{space}http://www.vishay.com/docs/34015/ihb.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}33.27mm{space}{space}diameter{space}50.8mm{space}Vishay{space}IHB-6
+0
+2
+2
+Inductor_THT
+L_Radial_D50.8mm_P34.29mm_Vishay_IHB-6
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=34.29mm,{space},{space}diameter=50.8mm,{space}Vishay,{space}IHB-6,{space}http://www.vishay.com/docs/34015/ihb.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}34.29mm{space}{space}diameter{space}50.8mm{space}Vishay{space}IHB-6
+0
+2
+2
+Inductor_THT
+L_Radial_D50.8mm_P35.81mm_Vishay_IHB-6
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=35.81mm,{space},{space}diameter=50.8mm,{space}Vishay,{space}IHB-6,{space}http://www.vishay.com/docs/34015/ihb.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}35.81mm{space}{space}diameter{space}50.8mm{space}Vishay{space}IHB-6
+0
+2
+2
+Inductor_THT
+L_Radial_D50.8mm_P36.32mm_Vishay_IHB-6
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=36.32mm,{space},{space}diameter=50.8mm,{space}Vishay,{space}IHB-6,{space}http://www.vishay.com/docs/34015/ihb.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}36.32mm{space}{space}diameter{space}50.8mm{space}Vishay{space}IHB-6
+0
+2
+2
+Inductor_THT
+L_Radial_D50.8mm_P38.86mm_Vishay_IHB-6
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=38.86mm,{space},{space}diameter=50.8mm,{space}Vishay,{space}IHB-6,{space}http://www.vishay.com/docs/34015/ihb.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}38.86mm{space}{space}diameter{space}50.8mm{space}Vishay{space}IHB-6
+0
+2
+2
+Inductor_THT
+L_Radial_L7.5mm_W4.6mm_P5.00mm_Neosid_SD75
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}length*width=7.5*4.6mm^2,{space}Neosid,{space}SD75,{space}http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_Sd75.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}length{space}7.5mm{space}width{space}4.6mm{space}Neosid{space}SD75
+0
+2
+2
+Inductor_THT
+L_Radial_L8.0mm_W8.0mm_P5.00mm_Neosid_NE-CPB-07E
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}length*width=8*8mm^2,{space}Neosid,{space}NE-CPB-07E,{space}http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_NE_CPB07E.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}length{space}8mm{space}width{space}8mm{space}Neosid{space}NE-CPB-07E
+0
+2
+2
+Inductor_THT
+L_Radial_L8.0mm_W8.0mm_P5.00mm_Neosid_SD8
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space},{space}length*width=8*8mm^2,{space}Neosid,{space}SD8,{space}http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_Sd8.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}{space}length{space}8mm{space}width{space}8mm{space}Neosid{space}SD8
+0
+2
+2
+Inductor_THT
+L_Radial_L9.1mm_W9.1mm_Px6.35mm_Py6.35mm_Pulse_LP-25
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=6.35*6.35mm^2,{space},{space}length*width=9.14*9.14mm^2,{space}Pulse,{space}LP-25,{space}http://datasheet.octopart.com/PE-54044NL-Pulse-datasheet-5313493.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}6.35*6.35mm^2{space}{space}length{space}9.14mm{space}width{space}9.14mm{space}Pulse{space}LP-25
+0
+2
+2
+Inductor_THT
+L_Radial_L10.2mm_W10.2mm_Px7.62mm_Py7.62mm_Pulse_LP-30
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=7.62*7.62mm^2,{space},{space}length*width=10.16*10.16mm^2,{space}Pulse,{space}LP-30,{space}http://datasheet.octopart.com/PE-54044NL-Pulse-datasheet-5313493.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}7.62*7.62mm^2{space}{space}length{space}10.16mm{space}width{space}10.16mm{space}Pulse{space}LP-30
+0
+2
+2
+Inductor_THT
+L_Radial_L11.5mm_W11.5mm_Px6.00mm_Py6.00mm_Neosid_NE-CPB-11EN_Drill1.3mm
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=6.00*6.00mm^2,{space},{space}length*width=11.5*11.5mm^2,{space}Neosid,{space}NE-CPB-11EN,{space}Drill1.3mm,{space}http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_NE_CPB11EN.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}6.00*6.00mm^2{space}{space}length{space}11.5mm{space}width{space}11.5mm{space}Neosid{space}NE-CPB-11EN{space}Drill1.3mm
+0
+2
+2
+Inductor_THT
+L_Radial_L11.5mm_W11.5mm_Px6.00mm_Py6.00mm_Neosid_NE-CPB-11EN_Drill1.5mm
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=6.00*6.00mm^2,{space},{space}length*width=11.5*11.5mm^2,{space}Neosid,{space}NE-CPB-11EN,{space}Drill1.5mm,{space}http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_NE_CPB11EN.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}6.00*6.00mm^2{space}{space}length{space}11.5mm{space}width{space}11.5mm{space}Neosid{space}NE-CPB-11EN{space}Drill1.5mm
+0
+2
+2
+Inductor_THT
+L_Radial_L11.5mm_W11.5mm_Px6.00mm_Py6.00mm_Neosid_NE-CPB-11EN_Drill1.7mm
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=6.00*6.00mm^2,{space},{space}length*width=11.5*11.5mm^2,{space}Neosid,{space}NE-CPB-11EN,{space}Drill1.7mm,{space}http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_NE_CPB11EN.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}6.00*6.00mm^2{space}{space}length{space}11.5mm{space}width{space}11.5mm{space}Neosid{space}NE-CPB-11EN{space}Drill1.7mm
+0
+2
+2
+Inductor_THT
+L_Radial_L11.5mm_W11.5mm_Px6.00mm_Py6.00mm_Neosid_NE-CPB-11EN_Drill1.8mm
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=6.00*6.00mm^2,{space},{space}length*width=11.5*11.5mm^2,{space}Neosid,{space}NE-CPB-11EN,{space}Drill1.8mm,{space}http://www.neosid.de/produktblaetter/neosid_Festinduktivitaet_NE_CPB11EN.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}6.00*6.00mm^2{space}{space}length{space}11.5mm{space}width{space}11.5mm{space}Neosid{space}NE-CPB-11EN{space}Drill1.8mm
+0
+2
+2
+Inductor_THT
+L_Radial_L12.6mm_W12.6mm_Px9.52mm_Py9.52mm_Pulse_LP-37
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=9.52*9.52mm^2,{space},{space}length*width=12.57*12.57mm^2,{space}Pulse,{space}LP-37,{space}http://datasheet.octopart.com/PE-54044NL-Pulse-datasheet-5313493.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}9.52*9.52mm^2{space}{space}length{space}12.57mm{space}width{space}12.57mm{space}Pulse{space}LP-37
+0
+2
+2
+Inductor_THT
+L_Radial_L16.1mm_W16.1mm_Px7.62mm_Py12.70mm_Pulse_LP-44
+Inductor,{space}Radial{space}series,{space}Radial,{space}pin{space}pitch=7.62*12.70mm^2,{space},{space}length*width=16.13*16.13mm^2,{space}Pulse,{space}LP-44,{space}http://datasheet.octopart.com/PE-54044NL-Pulse-datasheet-5313493.pdf
+Inductor{space}Radial{space}series{space}Radial{space}pin{space}pitch{space}7.62*12.70mm^2{space}{space}length{space}16.13mm{space}width{space}16.13mm{space}Pulse{space}LP-44
+0
+2
+2
+Inductor_THT
+L_SELF1408
+Self{space}Ferrite{space}14{space}-{space}08
+SELF
+0
+7
+3
+Inductor_THT
+L_SELF1418
+Self{space}Ferrite{space}14{space}-{space}18
+SELF
+0
+10
+4
+Inductor_THT
+L_Toroid_Horizontal_D3.2mm_P6.40mm_Diameter3-5mm_Amidon-T12
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=6.40mm,{space},{space}diameter=3.175mm,{space}Diameter3-5mm,{space}Amidon-T12
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}6.40mm{space}{space}diameter{space}3.175mm{space}Diameter3-5mm{space}Amidon-T12
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D4.1mm_P8.00mm_Diameter4-5mm_Amidon-T16
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=8.00mm,{space},{space}diameter=4.064mm,{space}Diameter4-5mm,{space}Amidon-T16
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}8.00mm{space}{space}diameter{space}4.064mm{space}Diameter4-5mm{space}Amidon-T16
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D5.1mm_P9.00mm_Diameter6-5mm_Amidon-T20
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=9.00mm,{space},{space}diameter=5.08mm,{space}Diameter6-5mm,{space}Amidon-T20
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}9.00mm{space}{space}diameter{space}5.08mm{space}Diameter6-5mm{space}Amidon-T20
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D6.5mm_P10.00mm_Diameter7-5mm_Amidon-T25
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=10.00mm,{space},{space}diameter=6.476999999999999mm,{space}Diameter7-5mm,{space}Amidon-T25
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}10.00mm{space}{space}diameter{space}6.476999999999999mm{space}Diameter7-5mm{space}Amidon-T25
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D7.8mm_P13.00mm_Diameter9-5mm_Amidon-T30
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=13.00mm,{space},{space}diameter=7.7978mm,{space}Diameter9-5mm,{space}Amidon-T30
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}13.00mm{space}{space}diameter{space}7.7978mm{space}Diameter9-5mm{space}Amidon-T30
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D9.5mm_P15.00mm_Diameter10-5mm_Amidon-T37
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=15.00mm,{space},{space}diameter=9.524999999999999mm,{space}Diameter10-5mm,{space}Amidon-T37
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}15.00mm{space}{space}diameter{space}9.524999999999999mm{space}Diameter10-5mm{space}Amidon-T37
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D11.2mm_P17.00mm_Diameter12-5mm_Amidon-T44
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=17.00mm,{space},{space}diameter=11.176mm,{space}Diameter12-5mm,{space}Amidon-T44
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}17.00mm{space}{space}diameter{space}11.176mm{space}Diameter12-5mm{space}Amidon-T44
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D12.7mm_P20.00mm_Diameter14-5mm_Amidon-T50
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=20.00mm,{space},{space}diameter=12.7mm,{space}Diameter14-5mm,{space}Amidon-T50
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}20.00mm{space}{space}diameter{space}12.7mm{space}Diameter14-5mm{space}Amidon-T50
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D16.8mm_P14.70mm_Vishay_TJ3
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=14.70mm,{space},{space}diameter=16.8mm,{space}Vishay,{space}TJ3,{space}http://www.vishay.com/docs/34079/tj.pdf
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}14.70mm{space}{space}diameter{space}16.8mm{space}Vishay{space}TJ3
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D16.8mm_P14.70mm_Vishay_TJ3_BigPads
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=14.70mm,{space},{space}diameter=16.8mm,{space}Vishay,{space}TJ3,{space}BigPads,{space}http://www.vishay.com/docs/34079/tj.pdf
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}14.70mm{space}{space}diameter{space}16.8mm{space}Vishay{space}TJ3{space}BigPads
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D17.3mm_P15.24mm_Bourns_2000
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=15.24mm,{space},{space}diameter=17.3mm,{space}Bourns,{space}2000,{space}http://www.bourns.com/docs/Product-Datasheets/2000_series.pdf?sfvrsn=5
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}15.24mm{space}{space}diameter{space}17.3mm{space}Bourns{space}2000
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D21.8mm_P19.10mm_Bourns_2100
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=19.10mm,{space},{space}diameter=21.8mm,{space}Bourns,{space}2100,{space}http://www.bourns.com/docs/Product-Datasheets/2100_series.pdf?sfvrsn=3
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}19.10mm{space}{space}diameter{space}21.8mm{space}Bourns{space}2100
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D21.8mm_P19.60mm_Bourns_2100
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=19.60mm,{space},{space}diameter=21.8mm,{space}Bourns,{space}2100,{space}http://www.bourns.com/docs/Product-Datasheets/2100_series.pdf?sfvrsn=3
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}19.60mm{space}{space}diameter{space}21.8mm{space}Bourns{space}2100
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D22.4mm_P19.80mm_Vishay_TJ4
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=19.80mm,{space},{space}diameter=22.4mm,{space}Vishay,{space}TJ4,{space}http://www.vishay.com/docs/34079/tj.pdf
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}19.80mm{space}{space}diameter{space}22.4mm{space}Vishay{space}TJ4
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D24.1mm_P21.80mm_Bourns_2200
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=21.80mm,{space},{space}diameter=24.1mm,{space}Bourns,{space}2200,{space}http://www.bourns.com/docs/Product-Datasheets/2100_series.pdf?sfvrsn=3
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}21.80mm{space}{space}diameter{space}24.1mm{space}Bourns{space}2200
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D24.1mm_P23.10mm_Bourns_2200
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=23.10mm,{space},{space}diameter=24.1mm,{space}Bourns,{space}2200,{space}http://www.bourns.com/docs/Product-Datasheets/2100_series.pdf?sfvrsn=3
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}23.10mm{space}{space}diameter{space}24.1mm{space}Bourns{space}2200
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D25.4mm_P22.90mm_Vishay_TJ5
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=22.90mm,{space},{space}diameter=25.4mm,{space}Vishay,{space}TJ5,{space}http://www.vishay.com/docs/34079/tj.pdf
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}22.90mm{space}{space}diameter{space}25.4mm{space}Vishay{space}TJ5
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D25.4mm_P22.90mm_Vishay_TJ5_BigPads
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=22.90mm,{space},{space}diameter=25.4mm,{space}Vishay,{space}TJ5,{space}BigPads,{space}http://www.vishay.com/docs/34079/tj.pdf
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}22.90mm{space}{space}diameter{space}25.4mm{space}Vishay{space}TJ5{space}BigPads
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D26.0mm_P5.08mm
+inductor{space}26mm{space}diameter{space}toroid
+SELF{space}INDUCTOR
+0
+3
+2
+Inductor_THT
+L_Toroid_Horizontal_D28.0mm_P25.10mm_Bourns_2200
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=25.10mm,{space},{space}diameter=28mm,{space}Bourns,{space}2200,{space}http://www.bourns.com/docs/Product-Datasheets/2100_series.pdf?sfvrsn=3
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}25.10mm{space}{space}diameter{space}28mm{space}Bourns{space}2200
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D28.0mm_P26.67mm_Bourns_2200
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=26.67mm,{space},{space}diameter=28mm,{space}Bourns,{space}2200,{space}http://www.bourns.com/docs/Product-Datasheets/2100_series.pdf?sfvrsn=3
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}26.67mm{space}{space}diameter{space}28mm{space}Bourns{space}2200
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D32.5mm_P28.90mm_Bourns_2300
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=28.90mm,{space},{space}diameter=32.5mm,{space}Bourns,{space}2300,{space}http://www.bourns.com/docs/Product-Datasheets/2300_series.pdf?sfvrsn=3
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}28.90mm{space}{space}diameter{space}32.5mm{space}Bourns{space}2300
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D32.5mm_P30.00mm_Bourns_2300
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=30.00mm,{space},{space}diameter=32.5mm,{space}Bourns,{space}2300,{space}http://www.bourns.com/docs/Product-Datasheets/2300_series.pdf?sfvrsn=3
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}30.00mm{space}{space}diameter{space}32.5mm{space}Bourns{space}2300
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D35.1mm_P31.00mm_Vishay_TJ6
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=31.00mm,{space},{space}diameter=35.1mm,{space}Vishay,{space}TJ6,{space}http://www.vishay.com/docs/34079/tj.pdf
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}31.00mm{space}{space}diameter{space}35.1mm{space}Vishay{space}TJ6
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D40.0mm_P48.26mm
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=48.26mm,{space},{space}diameter=40mm
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}48.26mm{space}{space}diameter{space}40mm
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D41.9mm_P37.60mm_Vishay_TJ7
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=37.60mm,{space},{space}diameter=41.9mm,{space}Vishay,{space}TJ7,{space}http://www.vishay.com/docs/34079/tj.pdf
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}37.60mm{space}{space}diameter{space}41.9mm{space}Vishay{space}TJ7
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D49.3mm_P44.60mm_Vishay_TJ8
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=44.60mm,{space},{space}diameter=49.3mm,{space}Vishay,{space}TJ8,{space}http://www.vishay.com/docs/34079/tj.pdf
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}44.60mm{space}{space}diameter{space}49.3mm{space}Vishay{space}TJ8
+0
+2
+2
+Inductor_THT
+L_Toroid_Horizontal_D69.1mm_P63.20mm_Vishay_TJ9
+L_Toroid,{space}Horizontal{space}series,{space}Radial,{space}pin{space}pitch=63.20mm,{space},{space}diameter=69.1mm,{space}Vishay,{space}TJ9,{space}http://www.vishay.com/docs/34079/tj.pdf
+L_Toroid{space}Horizontal{space}series{space}Radial{space}pin{space}pitch{space}63.20mm{space}{space}diameter{space}69.1mm{space}Vishay{space}TJ9
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L10.0mm_W5.0mm_P5.08mm
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=5.08mm,{space},{space}length*width=10*5mm^2
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}5.08mm{space}{space}length{space}10mm{space}width{space}5mm
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L13.0mm_W6.5mm_P5.60mm
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=5.60mm,{space},{space}length*width=13*6.5mm^2
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}5.60mm{space}{space}length{space}13mm{space}width{space}6.5mm
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L14.0mm_W5.6mm_P5.30mm_Bourns_5700
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=5.30mm,{space},{space}length*width=14*5.6mm^2,{space}Bourns,{space}5700,{space}http://www.bourns.com/docs/Product-Datasheets/5700_series.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}5.30mm{space}{space}length{space}14mm{space}width{space}5.6mm{space}Bourns{space}5700
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L14.0mm_W6.3mm_P4.57mm_Pulse_A
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=4.57mm,{space},{space}length*width=13.97*6.35mm^2,{space}Pulse,{space}A,{space}http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}4.57mm{space}{space}length{space}13.97mm{space}width{space}6.35mm{space}Pulse{space}A
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L14.7mm_W8.6mm_P5.58mm_Pulse_KM-1
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=5.58mm,{space},{space}length*width=14.73*8.64mm^2,{space}Pulse,{space}KM-1,{space}http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}5.58mm{space}{space}length{space}14.73mm{space}width{space}8.64mm{space}Pulse{space}KM-1
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L16.0mm_W8.0mm_P7.62mm
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=7.62mm,{space},{space}length*width=16*8mm^2
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}7.62mm{space}{space}length{space}16mm{space}width{space}8mm
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L16.3mm_W7.1mm_P7.11mm_Pulse_H
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=7.11mm,{space},{space}length*width=16.26*7.11mm^2,{space}Pulse,{space}H,{space}http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}7.11mm{space}{space}length{space}16.26mm{space}width{space}7.11mm{space}Pulse{space}H
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L16.4mm_W7.6mm_P6.60mm_Vishay_TJ3
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=6.60mm,{space},{space}length*width=16.4*7.6mm^2,{space}Vishay,{space}TJ3,{space}http://www.vishay.com/docs/34079/tj.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}6.60mm{space}{space}length{space}16.4mm{space}width{space}7.6mm{space}Vishay{space}TJ3
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L16.5mm_W11.4mm_P7.62mm_Pulse_KM-2
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=7.62mm,{space},{space}length*width=16.51*11.43mm^2,{space}Pulse,{space}KM-2,{space}http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}7.62mm{space}{space}length{space}16.51mm{space}width{space}11.43mm{space}Pulse{space}KM-2
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L16.8mm_W9.2mm_P7.10mm_Vishay_TJ3
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=7.10mm,{space},{space}length*width=16.8*9.2mm^2,{space}Vishay,{space}TJ3,{space}http://www.vishay.com/docs/34079/tj.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}7.10mm{space}{space}length{space}16.8mm{space}width{space}9.2mm{space}Vishay{space}TJ3
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L16.8mm_W9.2mm_P7.10mm_Vishay_TJ3_BigPads
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=7.10mm,{space},{space}length*width=16.8*9.2mm^2,{space}Vishay,{space}TJ3,{space}BigPads,{space}http://www.vishay.com/docs/34079/tj.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}7.10mm{space}{space}length{space}16.8mm{space}width{space}9.2mm{space}Vishay{space}TJ3{space}BigPads
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L17.8mm_W8.1mm_P7.62mm_Bourns_5700
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=7.62mm,{space},{space}length*width=17.8*8.1mm^2,{space}Bourns,{space}5700,{space}http://www.bourns.com/docs/Product-Datasheets/5700_series.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}7.62mm{space}{space}length{space}17.8mm{space}width{space}8.1mm{space}Bourns{space}5700
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L17.8mm_W9.7mm_P7.11mm_Pulse_B
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=7.11mm,{space},{space}length*width=17.78*9.65mm^2,{space}Pulse,{space}B,{space}http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}7.11mm{space}{space}length{space}17.78mm{space}width{space}9.65mm{space}Pulse{space}B
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L19.1mm_W8.1mm_P7.10mm_Bourns_5700
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=7.10mm,{space},{space}length*width=19.1*8.1mm^2,{space}Bourns,{space}5700,{space}http://www.bourns.com/docs/Product-Datasheets/5700_series.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}7.10mm{space}{space}length{space}19.1mm{space}width{space}8.1mm{space}Bourns{space}5700
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L21.6mm_W8.4mm_P8.38mm_Pulse_G
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=8.38mm,{space},{space}length*width=21.59*8.38mm^2,{space}Pulse,{space}G,{space}http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}8.38mm{space}{space}length{space}21.59mm{space}width{space}8.38mm{space}Pulse{space}G
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L21.6mm_W9.1mm_P8.40mm_Bourns_5700
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=8.40mm,{space},{space}length*width=21.6*9.1mm^2,{space}Bourns,{space}5700,{space}http://www.bourns.com/docs/Product-Datasheets/5700_series.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}8.40mm{space}{space}length{space}21.6mm{space}width{space}9.1mm{space}Bourns{space}5700
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L21.6mm_W9.5mm_P7.11mm_Pulse_C
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=7.11mm,{space},{space}length*width=21.59*9.53mm^2,{space}Pulse,{space}C,{space}http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}7.11mm{space}{space}length{space}21.59mm{space}width{space}9.53mm{space}Pulse{space}C
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L21.6mm_W11.4mm_P7.62mm_Pulse_KM-3
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=7.62mm,{space},{space}length*width=21.59*11.43mm^2,{space}Pulse,{space}KM-3,{space}http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}7.62mm{space}{space}length{space}21.59mm{space}width{space}11.43mm{space}Pulse{space}KM-3
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L22.4mm_W10.2mm_P7.90mm_Vishay_TJ4
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=7.90mm,{space},{space}length*width=22.4*10.2mm^2,{space}Vishay,{space}TJ4,{space}http://www.vishay.com/docs/34079/tj.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}7.90mm{space}{space}length{space}22.4mm{space}width{space}10.2mm{space}Vishay{space}TJ4
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L24.6mm_W15.5mm_P11.44mm_Pulse_KM-4
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=11.44mm,{space},{space}length*width=24.64*15.5mm^2,{space}Pulse,{space}KM-4,{space}http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}11.44mm{space}{space}length{space}24.64mm{space}width{space}15.5mm{space}Pulse{space}KM-4
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L25.4mm_W14.7mm_P12.20mm_Vishay_TJ5
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=12.20mm,{space},{space}length*width=25.4*14.7mm^2,{space}Vishay,{space}TJ5,{space}http://www.vishay.com/docs/34079/tj.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}12.20mm{space}{space}length{space}25.4mm{space}width{space}14.7mm{space}Vishay{space}TJ5
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L25.4mm_W14.7mm_P12.20mm_Vishay_TJ5_BigPads
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=12.20mm,{space},{space}length*width=25.4*14.7mm^2,{space}Vishay,{space}TJ5,{space}BigPads,{space}http://www.vishay.com/docs/34079/tj.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}12.20mm{space}{space}length{space}25.4mm{space}width{space}14.7mm{space}Vishay{space}TJ5{space}BigPads
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L26.7mm_W14.0mm_P10.16mm_Pulse_D
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=10.16mm,{space},{space}length*width=26.67*13.97mm^2,{space}Pulse,{space}D,{space}http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}10.16mm{space}{space}length{space}26.67mm{space}width{space}13.97mm{space}Pulse{space}D
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L28.6mm_W14.3mm_P11.43mm_Bourns_5700
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=11.43mm,{space},{space}length*width=28.6*14.3mm^2,{space}Bourns,{space}5700,{space}http://www.bourns.com/docs/Product-Datasheets/5700_series.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}11.43mm{space}{space}length{space}28.6mm{space}width{space}14.3mm{space}Bourns{space}5700
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L31.8mm_W15.9mm_P13.50mm_Bourns_5700
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=13.50mm,{space},{space}length*width=31.8*15.9mm^2,{space}Bourns,{space}5700,{space}http://www.bourns.com/docs/Product-Datasheets/5700_series.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}13.50mm{space}{space}length{space}31.8mm{space}width{space}15.9mm{space}Bourns{space}5700
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L33.0mm_W17.8mm_P12.70mm_Pulse_KM-5
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=12.70mm,{space},{space}length*width=33.02*17.78mm^2,{space}Pulse,{space}KM-5,{space}http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}12.70mm{space}{space}length{space}33.02mm{space}width{space}17.78mm{space}Pulse{space}KM-5
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L35.1mm_W21.1mm_P18.50mm_Vishay_TJ6
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=18.50mm,{space},{space}length*width=35.1*21.1mm^2,{space}Vishay,{space}TJ6,{space}http://www.vishay.com/docs/34079/tj.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}18.50mm{space}{space}length{space}35.1mm{space}width{space}21.1mm{space}Vishay{space}TJ6
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L35.6mm_W17.8mm_P12.70mm_Pulse_E
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=12.70mm,{space},{space}length*width=35.56*17.78mm^2,{space}Pulse,{space}E,{space}http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}12.70mm{space}{space}length{space}35.56mm{space}width{space}17.78mm{space}Pulse{space}E
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L41.9mm_W17.8mm_P12.70mm_Pulse_F
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=12.70mm,{space},{space}length*width=41.91*17.78mm^2,{space}Pulse,{space}F,{space}http://datasheet.octopart.com/PE-92112KNL-Pulse-datasheet-17853305.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}12.70mm{space}{space}length{space}41.91mm{space}width{space}17.78mm{space}Pulse{space}F
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L41.9mm_W19.1mm_P15.80mm_Vishay_TJ7
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=15.80mm,{space},{space}length*width=41.9*19.1mm^2,{space}Vishay,{space}TJ7,{space}http://www.vishay.com/docs/34079/tj.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}15.80mm{space}{space}length{space}41.9mm{space}width{space}19.1mm{space}Vishay{space}TJ7
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L46.0mm_W19.1mm_P21.80mm_Bourns_5700
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=21.80mm,{space},{space}length*width=46*19.1mm^2,{space}Bourns,{space}5700,{space}http://www.bourns.com/docs/Product-Datasheets/5700_series.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}21.80mm{space}{space}length{space}46mm{space}width{space}19.1mm{space}Bourns{space}5700
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L48.8mm_W25.4mm_P20.80mm_Vishay_TJ8
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=20.80mm,{space},{space}length*width=48.8*25.4mm^2,{space}Vishay,{space}TJ8,{space}http://www.vishay.com/docs/34079/tj.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}20.80mm{space}{space}length{space}48.8mm{space}width{space}25.4mm{space}Vishay{space}TJ8
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L54.0mm_W23.8mm_P20.10mm_Bourns_5700
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=20.10mm,{space},{space}length*width=54*23.8mm^2,{space}Bourns,{space}5700,{space}http://www.bourns.com/docs/Product-Datasheets/5700_series.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}20.10mm{space}{space}length{space}54mm{space}width{space}23.8mm{space}Bourns{space}5700
+0
+2
+2
+Inductor_THT
+L_Toroid_Vertical_L67.6mm_W36.1mm_P31.80mm_Vishay_TJ9
+L_Toroid,{space}Vertical{space}series,{space}Radial,{space}pin{space}pitch=31.80mm,{space},{space}length*width=67.6*36.1mm^2,{space}Vishay,{space}TJ9,{space}http://www.vishay.com/docs/34079/tj.pdf
+L_Toroid{space}Vertical{space}series{space}Radial{space}pin{space}pitch{space}31.80mm{space}{space}length{space}67.6mm{space}width{space}36.1mm{space}Vishay{space}TJ9
+0
+2
+2
+Jumper
+SolderJumper-2_P1.3mm_Bridged2Bar_Pad1.0x1.5mm
+SMD{space}Solder{space}Jumper,{space}1x1.5mm{space}Pads,{space}0.3mm{space}gap,{space}bridged{space}with{space}2{space}copper{space}strips
+solder{space}jumper{space}open
+0
+2
+2
+Jumper
+SolderJumper-2_P1.3mm_Bridged2Bar_RoundedPad1.0x1.5mm
+SMD{space}Solder{space}Jumper,{space}1x1.5mm,{space}rounded{space}Pads,{space}0.3mm{space}gap,{space}bridged{space}with{space}2{space}copper{space}strips
+solder{space}jumper{space}open
+0
+2
+2
+Jumper
+SolderJumper-2_P1.3mm_Bridged_Pad1.0x1.5mm
+SMD{space}Solder{space}Jumper,{space}1x1.5mm{space}Pads,{space}0.3mm{space}gap,{space}bridged{space}with{space}1{space}copper{space}strip
+solder{space}jumper{space}open
+0
+2
+2
+Jumper
+SolderJumper-2_P1.3mm_Bridged_RoundedPad1.0x1.5mm
+SMD{space}Solder{space}Jumper,{space}1x1.5mm,{space}rounded{space}Pads,{space}0.3mm{space}gap,{space}bridged{space}with{space}1{space}copper{space}strip
+solder{space}jumper{space}open
+0
+2
+2
+Jumper
+SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm
+SMD{space}Solder{space}Jumper,{space}1x1.5mm{space}Pads,{space}0.3mm{space}gap,{space}open
+solder{space}jumper{space}open
+0
+2
+2
+Jumper
+SolderJumper-2_P1.3mm_Open_RoundedPad1.0x1.5mm
+SMD{space}Solder{space}Jumper,{space}1x1.5mm,{space}rounded{space}Pads,{space}0.3mm{space}gap,{space}open
+solder{space}jumper{space}open
+0
+2
+2
+Jumper
+SolderJumper-2_P1.3mm_Open_TrianglePad1.0x1.5mm
+SMD{space}Solder{space}Jumper,{space}1x1.5mm{space}Triangular{space}Pads,{space}0.3mm{space}gap,{space}open
+solder{space}jumper{space}open
+0
+2
+2
+Jumper
+SolderJumper-3_P1.3mm_Bridged2Bar12_Pad1.0x1.5mm
+SMD{space}Solder{space}3-pad{space}Jumper,{space}1x1.5mm{space}Pads,{space}0.3mm{space}gap,{space}pads{space}1-2{space}Bridged2Bar{space}with{space}2{space}copper{space}strip
+solder{space}jumper{space}open
+0
+3
+3
+Jumper
+SolderJumper-3_P1.3mm_Bridged2Bar12_Pad1.0x1.5mm_NumberLabels
+SMD{space}Solder{space}Jumper,{space}1x1.5mm{space}Pads,{space}0.3mm{space}gap,{space}pads{space}1-2{space}Bridged2Bar{space}with{space}2{space}copper{space}strip,{space}labeled{space}with{space}numbers
+solder{space}jumper{space}open
+0
+3
+3
+Jumper
+SolderJumper-3_P1.3mm_Bridged2Bar12_RoundedPad1.0x1.5mm
+SMD{space}Solder{space}3-pad{space}Jumper,{space}1x1.5mm{space}rounded{space}Pads,{space}0.3mm{space}gap,{space}pads{space}1-2{space}Bridged2Bar{space}with{space}2{space}copper{space}strip
+solder{space}jumper{space}open
+0
+3
+3
+Jumper
+SolderJumper-3_P1.3mm_Bridged2Bar12_RoundedPad1.0x1.5mm_NumberLabels
+SMD{space}Solder{space}3-pad{space}Jumper,{space}1x1.5mm{space}rounded{space}Pads,{space}0.3mm{space}gap,{space}pads{space}1-2{space}Bridged2Bar{space}with{space}2{space}copper{space}strip,{space}labeled{space}with{space}numbers
+solder{space}jumper{space}open
+0
+3
+3
+Jumper
+SolderJumper-3_P1.3mm_Bridged12_Pad1.0x1.5mm
+SMD{space}Solder{space}3-pad{space}Jumper,{space}1x1.5mm{space}Pads,{space}0.3mm{space}gap,{space}pads{space}1-2{space}bridged{space}with{space}1{space}copper{space}strip
+solder{space}jumper{space}open
+0
+3
+3
+Jumper
+SolderJumper-3_P1.3mm_Bridged12_Pad1.0x1.5mm_NumberLabels
+SMD{space}Solder{space}Jumper,{space}1x1.5mm{space}Pads,{space}0.3mm{space}gap,{space}pads{space}1-2{space}bridged{space}with{space}1{space}copper{space}strip,{space}labeled{space}with{space}numbers
+solder{space}jumper{space}open
+0
+3
+3
+Jumper
+SolderJumper-3_P1.3mm_Bridged12_RoundedPad1.0x1.5mm
+SMD{space}Solder{space}3-pad{space}Jumper,{space}1x1.5mm{space}rounded{space}Pads,{space}0.3mm{space}gap,{space}pads{space}1-2{space}bridged{space}with{space}1{space}copper{space}strip
+solder{space}jumper{space}open
+0
+3
+3
+Jumper
+SolderJumper-3_P1.3mm_Bridged12_RoundedPad1.0x1.5mm_NumberLabels
+SMD{space}Solder{space}3-pad{space}Jumper,{space}1x1.5mm{space}rounded{space}Pads,{space}0.3mm{space}gap,{space}pads{space}1-2{space}bridged{space}with{space}1{space}copper{space}strip,{space}labeled{space}with{space}numbers
+solder{space}jumper{space}open
+0
+3
+3
+Jumper
+SolderJumper-3_P1.3mm_Open_Pad1.0x1.5mm
+SMD{space}Solder{space}3-pad{space}Jumper,{space}1x1.5mm{space}Pads,{space}0.3mm{space}gap,{space}open
+solder{space}jumper{space}open
+0
+3
+3
+Jumper
+SolderJumper-3_P1.3mm_Open_Pad1.0x1.5mm_NumberLabels
+SMD{space}Solder{space}Jumper,{space}1x1.5mm{space}Pads,{space}0.3mm{space}gap,{space}open,{space}labeled{space}with{space}numbers
+solder{space}jumper{space}open
+0
+3
+3
+Jumper
+SolderJumper-3_P1.3mm_Open_RoundedPad1.0x1.5mm
+SMD{space}Solder{space}3-pad{space}Jumper,{space}1x1.5mm{space}rounded{space}Pads,{space}0.3mm{space}gap,{space}open
+solder{space}jumper{space}open
+0
+3
+3
+Jumper
+SolderJumper-3_P1.3mm_Open_RoundedPad1.0x1.5mm_NumberLabels
+SMD{space}Solder{space}3-pad{space}Jumper,{space}1x1.5mm{space}rounded{space}Pads,{space}0.3mm{space}gap,{space}open,{space}labeled{space}with{space}numbers
+solder{space}jumper{space}open
+0
+3
+3
+Jumper
+SolderJumper-3_P2.0mm_Open_TrianglePad1.0x1.5mm
+SMD{space}Solder{space}Jumper,{space}1x1.5mm{space}Triangular{space}Pads,{space}0.3mm{space}gap,{space}open
+solder{space}jumper{space}open
+0
+5
+3
+Jumper
+SolderJumper-3_P2.0mm_Open_TrianglePad1.0x1.5mm_NumberLabels
+SMD{space}Solder{space}Jumper,{space}1x1.5mm{space}Triangular{space}Pads,{space}0.3mm{space}gap,{space}open,{space}labeled{space}with{space}numbers
+solder{space}jumper{space}open
+0
+5
+3
+LED_SMD
+LED-APA102-2020
+http://www.led-color.com/upload/201604/APA102-2020%20SMD%20LED.pdf
+LED{space}RGB{space}SPI
+0
+8
+6
+LED_SMD
+LED-L1T2_LUMILEDS
+http://www.lumileds.com/uploads/438/DS133-pdf
+LUMILEDS{space}LUXEON{space}TX{space}L1T2{space}LED
+0
+3
+3
+LED_SMD
+LED_1W_3W_R8
+https://www.gme.cz/data/attachments/dsh.518-234.1.pdf
+LED{space}1W{space}3W{space}5W
+0
+3
+3
+LED_SMD
+LED_0201_0603Metric
+LED{space}SMD{space}0201{space}(0603{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.vishay.com/docs/20052/crcw0201e3.pdf),{space}generated{space}with{space}kicad-footprint-generator
+LED
+0
+4
+2
+LED_SMD
+LED_0402_1005Metric
+LED{space}SMD{space}0402{space}(1005{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+LED
+0
+2
+2
+LED_SMD
+LED_0603_1608Metric
+LED{space}SMD{space}0603{space}(1608{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode
+0
+2
+2
+LED_SMD
+LED_0603_1608Metric_Castellated
+LED{space}SMD{space}0603{space}(1608{space}Metric),{space}castellated{space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+LED{space}castellated
+0
+2
+2
+LED_SMD
+LED_0603_1608Metric_Pad1.05x0.95mm_HandSolder
+LED{space}SMD{space}0603{space}(1608{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+LED{space}handsolder
+0
+2
+2
+LED_SMD
+LED_0805_2012Metric
+LED{space}SMD{space}0805{space}(2012{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing),{space}generated{space}with{space}kicad-footprint-generator
+diode
+0
+2
+2
+LED_SMD
+LED_0805_2012Metric_Castellated
+LED{space}SMD{space}0805{space}(2012{space}Metric),{space}castellated{space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing),{space}generated{space}with{space}kicad-footprint-generator
+LED{space}castellated
+0
+2
+2
+LED_SMD
+LED_0805_2012Metric_Pad1.15x1.40mm_HandSolder
+LED{space}SMD{space}0805{space}(2012{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing),{space}generated{space}with{space}kicad-footprint-generator
+LED{space}handsolder
+0
+2
+2
+LED_SMD
+LED_01005_0402Metric
+LED{space}SMD{space}01005{space}(0402{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.vishay.com/docs/20056/crcw01005e3.pdf),{space}generated{space}with{space}kicad-footprint-generator
+LED
+0
+4
+2
+LED_SMD
+LED_1206_3216Metric
+LED{space}SMD{space}1206{space}(3216{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode
+0
+2
+2
+LED_SMD
+LED_1206_3216Metric_Castellated
+LED{space}SMD{space}1206{space}(3216{space}Metric),{space}castellated{space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+LED{space}castellated
+0
+2
+2
+LED_SMD
+LED_1206_3216Metric_Pad1.42x1.75mm_HandSolder
+LED{space}SMD{space}1206{space}(3216{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+LED{space}handsolder
+0
+2
+2
+LED_SMD
+LED_1206_3216Metric_ReverseMount_Hole1.8x2.4mm
+LED{space}SMD{space}1206{space}(3216{space}Metric),{space}reverse{space}mount,{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode{space}reverse
+0
+2
+2
+LED_SMD
+LED_1210_3225Metric
+LED{space}SMD{space}1210{space}(3225{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode
+0
+2
+2
+LED_SMD
+LED_1210_3225Metric_Castellated
+LED{space}SMD{space}1210{space}(3225{space}Metric),{space}castellated{space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+LED{space}castellated
+0
+2
+2
+LED_SMD
+LED_1210_3225Metric_Pad1.42x2.65mm_HandSolder
+LED{space}SMD{space}1210{space}(3225{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+LED{space}handsolder
+0
+2
+2
+LED_SMD
+LED_1806_4516Metric
+LED{space}SMD{space}1806{space}(4516{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.modelithics.com/models/Vendor/MuRata/BLM41P.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode
+0
+2
+2
+LED_SMD
+LED_1806_4516Metric_Castellated
+LED{space}SMD{space}1806{space}(4516{space}Metric),{space}castellated{space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.modelithics.com/models/Vendor/MuRata/BLM41P.pdf),{space}generated{space}with{space}kicad-footprint-generator
+LED{space}castellated
+0
+2
+2
+LED_SMD
+LED_1806_4516Metric_Pad1.57x1.80mm_HandSolder
+LED{space}SMD{space}1806{space}(4516{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.modelithics.com/models/Vendor/MuRata/BLM41P.pdf),{space}generated{space}with{space}kicad-footprint-generator
+LED{space}handsolder
+0
+2
+2
+LED_SMD
+LED_1812_4532Metric
+LED{space}SMD{space}1812{space}(4532{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode
+0
+2
+2
+LED_SMD
+LED_1812_4532Metric_Castellated
+LED{space}SMD{space}1812{space}(4532{space}Metric),{space}castellated{space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf),{space}generated{space}with{space}kicad-footprint-generator
+LED{space}castellated
+0
+2
+2
+LED_SMD
+LED_1812_4532Metric_Pad1.30x3.40mm_HandSolder
+LED{space}SMD{space}1812{space}(4532{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf),{space}generated{space}with{space}kicad-footprint-generator
+LED{space}handsolder
+0
+2
+2
+LED_SMD
+LED_2010_5025Metric
+LED{space}SMD{space}2010{space}(5025{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode
+0
+2
+2
+LED_SMD
+LED_2010_5025Metric_Castellated
+LED{space}SMD{space}2010{space}(5025{space}Metric),{space}castellated{space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+LED{space}castellated
+0
+2
+2
+LED_SMD
+LED_2010_5025Metric_Pad1.52x2.65mm_HandSolder
+LED{space}SMD{space}2010{space}(5025{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+LED{space}handsolder
+0
+2
+2
+LED_SMD
+LED_2512_6332Metric
+LED{space}SMD{space}2512{space}(6332{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode
+0
+2
+2
+LED_SMD
+LED_2512_6332Metric_Castellated
+LED{space}SMD{space}2512{space}(6332{space}Metric),{space}castellated{space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+LED{space}castellated
+0
+2
+2
+LED_SMD
+LED_2512_6332Metric_Pad1.52x3.35mm_HandSolder
+LED{space}SMD{space}2512{space}(6332{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+LED{space}handsolder
+0
+2
+2
+LED_SMD
+LED_2816_7142Metric
+LED{space}SMD{space}2816{space}(7142{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}https://www.vishay.com/docs/30100/wsl.pdf),{space}generated{space}with{space}kicad-footprint-generator
+diode
+0
+2
+2
+LED_SMD
+LED_2816_7142Metric_Castellated
+LED{space}SMD{space}2816{space}(7142{space}Metric),{space}castellated{space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}https://www.vishay.com/docs/30100/wsl.pdf),{space}generated{space}with{space}kicad-footprint-generator
+LED{space}castellated
+0
+2
+2
+LED_SMD
+LED_2816_7142Metric_Pad3.20x4.45mm_HandSolder
+LED{space}SMD{space}2816{space}(7142{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}https://www.vishay.com/docs/30100/wsl.pdf),{space}generated{space}with{space}kicad-footprint-generator
+LED{space}handsolder
+0
+2
+2
+LED_SMD
+LED_Avago_PLCC4_3.2x2.8mm_CW
+https://docs.broadcom.com/docs/AV02-4186EN
+LED{space}Avago{space}PLCC-4{space}ASMB-MTB0-0A3A2
+0
+4
+4
+LED_SMD
+LED_Avago_PLCC6_3x2.8mm
+https://docs.broadcom.com/docs/AV02-3793EN
+LED{space}Avago{space}PLCC-6{space}ASMT-YTB7-0AA02
+0
+6
+6
+LED_SMD
+LED_Cree-PLCC4_2x2mm_CW
+2.0mm{space}x{space}2.0mm{space}PLCC4{space}LED,{space}http://www.cree.com/~/media/Files/Cree/LED-Components-and-Modules/HB/Data-Sheets/CLMVBFKA.pdf
+LED{space}Cree{space}PLCC-4
+0
+4
+4
+LED_SMD
+LED_Cree-PLCC4_3.2x2.8mm_CCW
+3.2mm{space}x{space}2.8mm{space}PLCC4{space}LED,{space}http://www.cree.com/led-components/media/documents/CLV1AFKB(874).pdf
+LED{space}Cree{space}PLCC-4
+0
+4
+4
+LED_SMD
+LED_Cree-PLCC4_5x5mm_CW
+5.0mm{space}x{space}5.0mm{space}PLCC4{space}LED
+LED{space}Cree{space}PLCC-4
+0
+4
+4
+LED_SMD
+LED_Cree-PLCC6_4.7x1.5mm
+4.7mm{space}x{space}1.5mm{space}PLCC6{space}LED,{space}http://www.cree.com/led-components/media/documents/1381-QLS6AFKW.pdf
+LED{space}Cree{space}PLCC-6
+0
+6
+6
+LED_SMD
+LED_Cree-XB
+http://www.cree.com/~/media/Files/Cree/LED-Components-and-Modules/XLamp/Data-and-Binning/XLampXBD.pdf
+LED{space}Cree{space}XB
+0
+5
+3
+LED_SMD
+LED_Cree-XH
+http://www.cree.com/~/media/Files/Cree/LED-Components-and-Modules/XLamp/Data-and-Binning/ds-XHB.pdf
+LED{space}Cree{space}XH
+0
+8
+2
+LED_SMD
+LED_Cree-XHP35
+http://www.cree.com/~/media/Files/Cree/LED-Components-and-Modules/XLamp/Data-and-Binning/ds--XHP35.pdf
+LED{space}Cree{space}XHP35
+0
+6
+3
+LED_SMD
+LED_Cree-XHP50_6V
+Cree{space}XHP50,{space}6V{space}footprint,{space}http://www.cree.com/~/media/Files/Cree/LED%20Components%20and%20Modules/XLamp/Data%20and%20Binning/ds%20XHP50.pdf
+LED{space}Cree{space}XHP50
+0
+17
+3
+LED_SMD
+LED_Cree-XHP50_12V
+Cree{space}XHP50,{space}12V{space}footprint,{space}http://www.cree.com/~/media/Files/Cree/LED%20Components%20and%20Modules/XLamp/Data%20and%20Binning/ds%20XHP50.pdf
+LED{space}XHP50{space}Cree
+0
+15
+3
+LED_SMD
+LED_Cree-XHP70_6V
+Cree{space}XHP70{space}LED,{space}6V{space}version,{space}http://www.cree.com/~/media/Files/Cree/LED%20Components%20and%20Modules/XLamp/Data%20and%20Binning/ds%20XHP70.pdf
+LED{space}Cree{space}XHP70
+0
+17
+3
+LED_SMD
+LED_Cree-XHP70_12V
+Cree{space}XHP70{space}LED,{space}12V{space}version,{space}http://www.cree.com/~/media/Files/Cree/LED%20Components%20and%20Modules/XLamp/Data%20and%20Binning/ds%20XHP70.pdf
+LED{space}Cree{space}XHP70
+0
+15
+3
+LED_SMD
+LED_Cree-XP
+LED{space}Cree-XP{space}http://www.cree.com/~/media/Files/Cree/LED-Components-and-Modules/XLamp/Data-and-Binning/XLampXPE2.pdf
+LED{space}Cree{space}XP
+0
+6
+3
+LED_SMD
+LED_Cree-XP-G
+LED{space}Cree-XP-G{space}http://www.cree.com/~/media/Files/Cree/LED%20Components%20and%20Modules/XLamp/Data%20and%20Binning/XLampXPG.pdf
+LED{space}Cree{space}XP-G
+0
+6
+3
+LED_SMD
+LED_Cree-XQ
+LED{space}Cree-XQ{space}http://www.cree.com/~/media/Files/Cree/LED-Components-and-Modules/XLamp/Data-and-Binning/ds-XQB.pdf
+LED{space}Cree{space}XQ
+0
+2
+2
+LED_SMD
+LED_Cree-XQ_HandSoldering
+LED{space}Cree-XQ{space}handsoldering{space}pads{space}http://www.cree.com/~/media/Files/Cree/LED-Components-and-Modules/XLamp/Data-and-Binning/ds-XQB.pdf
+LED{space}Cree{space}XQ
+0
+2
+2
+LED_SMD
+LED_Inolux_IN-PI554FCH_PLCC4_5.0x5.0mm_P3.2mm
+http://www.inolux-corp.com/datasheet/SMDLED/Addressable%20LED/IN-PI554FCH.pdf
+RGB{space}LED{space}NeoPixel{space}addressable
+0
+4
+4
+LED_SMD
+LED_Kingbright_AAA3528ESGCT
+Kingbright,{space}dual{space}LED,{space}3.5{space}x{space}2.8{space}mm{space}Surface{space}Mount{space}LED{space}Lamp{space}(http://www.kingbrightusa.com/images/catalog/SPEC/AAA3528ESGCT.pdf)
+dual{space}led{space}smd
+0
+4
+4
+LED_SMD
+LED_Kingbright_APFA3010_3x1.5mm_Horizontal
+LED{space}RGB,{space}APFA3010,{space}http://www.kingbrightusa.com/images/catalog/SPEC/APFA3010LSEEZGKQBKC.pdf
+LED{space}RGB{space}APFA3010{space}KINGBRIGHT{space}3x1.5mm
+0
+4
+4
+LED_SMD
+LED_PLCC-2
+LED{space}PLCC-2{space}SMD{space}package
+LED{space}PLCC-2{space}SMD
+0
+2
+2
+LED_SMD
+LED_PLCC_2835
+https://www.luckylight.cn/media/component/data-sheet/R2835BC-B2M-M10.pdf
+LED
+0
+2
+2
+LED_SMD
+LED_PLCC_2835_Handsoldering
+https://www.luckylight.cn/media/component/data-sheet/R2835BC-B2M-M10.pdf
+LED
+0
+2
+2
+LED_SMD
+LED_RGB_1210
+RGB{space}LED{space}3.2x2.7mm{space}http://www.avagotech.com/docs/AV02-0610EN
+LED{space}3227
+0
+4
+4
+LED_SMD
+LED_RGB_5050-6
+http://cdn.sparkfun.com/datasheets/Components/LED/5060BRG4.pdf
+RGB{space}LED{space}5050-6
+0
+6
+6
+LED_SMD
+LED_RGB_Cree-PLCC-6_6x5mm_P2.1mm
+http://www.farnell.com/datasheets/2003905.pdf
+LED{space}RGB{space}PLCC-6{space}CLP6C-FBK
+0
+6
+6
+LED_SMD
+LED_RGB_Getian_GT-P6PRGB4303
+https://www.gme.sk/img/cache/doc/518/177/vykonova-led-getian-gt-p6prgb4303-datasheet-1.pdf
+LED{space}RGB{space}
+0
+7
+7
+LED_SMD
+LED_RGB_PLCC-6
+RGB{space}LED{space}PLCC-6
+RGB{space}LED{space}PLCC-6
+0
+6
+6
+LED_SMD
+LED_ROHM_SMLVN6
+https://www.rohm.com/datasheet/SMLVN6RGB1U
+LED{space}ROHM{space}SMLVN6
+0
+6
+6
+LED_SMD
+LED_SK6805_PLCC4_2.4x2.7mm_P1.3mm
+https://cdn-shop.adafruit.com/product-files/3484/3484_Datasheet.pdf
+LED{space}RGB{space}NeoPixel{space}Nano
+0
+4
+4
+LED_SMD
+LED_SK6812MINI_PLCC4_3.5x3.5mm_P1.75mm
+https://cdn-shop.adafruit.com/product-files/2686/SK6812MINI_REV.01-1-2.pdf
+LED{space}RGB{space}NeoPixel{space}Mini
+0
+4
+4
+LED_SMD
+LED_SK6812_PLCC4_5.0x5.0mm_P3.2mm
+https://cdn-shop.adafruit.com/product-files/1138/SK6812+LED+datasheet+.pdf
+LED{space}RGB{space}NeoPixel
+0
+4
+4
+LED_SMD
+LED_WS2812B_PLCC4_5.0x5.0mm_P3.2mm
+https://cdn-shop.adafruit.com/datasheets/WS2812B.pdf
+LED{space}RGB{space}NeoPixel
+0
+4
+4
+LED_SMD
+LED_WS2812_PLCC6_5.0x5.0mm_P1.6mm
+https://cdn-shop.adafruit.com/datasheets/WS2812.pdf
+LED{space}RGB{space}NeoPixel
+0
+6
+6
+LED_SMD
+LED_miniPLCC_2315
+https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DAV02-2205EN_DS_ASMT-TxBM_2014-05-09.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430858274704&ssbinary=true
+LED
+0
+2
+2
+LED_SMD
+LED_miniPLCC_2315_Handsoldering
+https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DAV02-2205EN_DS_ASMT-TxBM_2014-05-09.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430858274704&ssbinary=true
+LED
+0
+2
+2
+LED_THT
+LED_BL-FL7680RGB
+'Piranha'{space}RGB{space}LED,{space}through{space}hole,{space}common{space}anode,{space}7.62x7.62mm,{space}BGRA{space}pin{space}order,{space}https://cdn-shop.adafruit.com/datasheets/BL-FL7680RGB.pdf
+RGB{space}LED{space}Piranha{space}Super-Flux{space}BetLux
+0
+4
+4
+LED_THT
+LED_D1.8mm_W1.8mm_H2.4mm_Horizontal_O1.27mm_Z1.6mm
+LED,{space},{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm,{space}2{space}pins
+LED{space}{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D1.8mm_W1.8mm_H2.4mm_Horizontal_O1.27mm_Z4.9mm
+LED,{space},{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm,{space}2{space}pins
+LED{space}{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D1.8mm_W1.8mm_H2.4mm_Horizontal_O1.27mm_Z8.2mm
+LED,{space},{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}8.2mm,{space}2{space}pins
+LED{space}{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}8.2mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D1.8mm_W1.8mm_H2.4mm_Horizontal_O3.81mm_Z1.6mm
+LED,{space},{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm,{space}2{space}pins
+LED{space}{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D1.8mm_W1.8mm_H2.4mm_Horizontal_O3.81mm_Z4.9mm
+LED,{space},{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm,{space}2{space}pins
+LED{space}{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D1.8mm_W1.8mm_H2.4mm_Horizontal_O3.81mm_Z8.2mm
+LED,{space},{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}8.2mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}8.2mm,{space}2{space}pins
+LED{space}{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}8.2mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}8.2mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D1.8mm_W1.8mm_H2.4mm_Horizontal_O6.35mm_Z1.6mm
+LED,{space},{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm,{space}2{space}pins
+LED{space}{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D1.8mm_W1.8mm_H2.4mm_Horizontal_O6.35mm_Z4.9mm
+LED,{space},{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm,{space}2{space}pins
+LED{space}{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D1.8mm_W1.8mm_H2.4mm_Horizontal_O6.35mm_Z8.2mm
+LED,{space},{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}8.2mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}8.2mm,{space}2{space}pins,{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}8.2mm,{space}2{space}pins
+LED{space}{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}1.6mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}4.9mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}8.2mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}8.2mm{space}2{space}pins{space}{space}diameter{space}1.8mm{space}size{space}1.8x2.4mm^2{space}z-position{space}of{space}LED{space}center{space}8.2mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D1.8mm_W3.3mm_H2.4mm
+LED,{space}Round,{space}{space}Rectangular{space}size{space}3.3x2.4mm^2{space}diameter{space}1.8mm,{space}2{space}pins
+LED{space}Round{space}{space}Rectangular{space}size{space}3.3x2.4mm^2{space}diameter{space}1.8mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D2.0mm_W4.0mm_H2.8mm_FlatTop
+LED,{space}Round,{space}FlatTop,{space}{space}Rectangular{space}size{space}4.0x2.8mm^2{space}diameter{space}2.0mm,{space}2{space}pins,{space}http://www.kingbright.com/attachments/file/psearch/000/00/00/L-1034IDT(Ver.9A).pdf
+LED{space}Round{space}FlatTop{space}{space}Rectangular{space}size{space}4.0x2.8mm^2{space}diameter{space}2.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D2.0mm_W4.8mm_H2.5mm_FlatTop
+LED,{space}Round,{space}FlatTop,{space}{space}Rectangular{space}size{space}4.8x2.5mm^2{space}diameter{space}2.0mm,{space}2{space}pins,{space}http://www.kingbright.com/attachments/file/psearch/000/00/00/L-13GD(Ver.11B).pdf
+LED{space}Round{space}FlatTop{space}{space}Rectangular{space}size{space}4.8x2.5mm^2{space}diameter{space}2.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D3.0mm
+LED,{space}diameter{space}3.0mm,{space}2{space}pins
+LED{space}diameter{space}3.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D3.0mm-3
+LED,{space}diameter{space}3.0mm,{space}2{space}pins,{space}diameter{space}3.0mm,{space}3{space}pins,{space}http://www.kingbright.com/attachments/file/psearch/000/00/00/L-3VSURKCGKC(Ver.8A).pdf
+LED{space}diameter{space}3.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}3{space}pins
+0
+3
+3
+LED_THT
+LED_D3.0mm_Clear
+IR-LED,{space}diameter{space}3.0mm,{space}2{space}pins,{space}color:{space}clear
+IR{space}infrared{space}LED{space}diameter{space}3.0mm{space}2{space}pins{space}clear
+0
+2
+2
+LED_THT
+LED_D3.0mm_FlatTop
+LED,{space}Round,{space}FlatTop,{space}diameter{space}3.0mm,{space}2{space}pins,{space}http://www.kingbright.com/attachments/file/psearch/000/00/00/L-47XEC(Ver.9A).pdf
+LED{space}Round{space}FlatTop{space}diameter{space}3.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D3.0mm_Horizontal_O1.27mm_Z2.0mm
+LED,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins
+LED{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D3.0mm_Horizontal_O1.27mm_Z2.0mm_Clear
+LED,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins
+LED{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D3.0mm_Horizontal_O1.27mm_Z2.0mm_IRBlack
+LED,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins
+LED{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D3.0mm_Horizontal_O1.27mm_Z2.0mm_IRGrey
+LED,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins
+LED{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D3.0mm_Horizontal_O1.27mm_Z6.0mm
+LED,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm,{space}2{space}pins
+LED{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D3.0mm_Horizontal_O1.27mm_Z10.0mm
+LED,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}10.0mm,{space}2{space}pins
+LED{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}10.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D3.0mm_Horizontal_O3.81mm_Z2.0mm
+LED,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins
+LED{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D3.0mm_Horizontal_O3.81mm_Z6.0mm
+LED,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm,{space}2{space}pins
+LED{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D3.0mm_Horizontal_O3.81mm_Z10.0mm
+LED,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}10.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}10.0mm,{space}2{space}pins
+LED{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}10.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}10.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D3.0mm_Horizontal_O6.35mm_Z2.0mm
+LED,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins
+LED{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D3.0mm_Horizontal_O6.35mm_Z6.0mm
+LED,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm,{space}2{space}pins
+LED{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D3.0mm_Horizontal_O6.35mm_Z10.0mm
+LED,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}10.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}10.0mm,{space}2{space}pins,{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}10.0mm,{space}2{space}pins
+LED{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}2.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}6.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}10.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}10.0mm{space}2{space}pins{space}diameter{space}3.0mm{space}z-position{space}of{space}LED{space}center{space}10.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D3.0mm_IRBlack
+IR-ED,{space}diameter{space}3.0mm,{space}2{space}pins,{space}color:{space}black
+IR{space}infrared{space}LED{space}diameter{space}3.0mm{space}2{space}pins{space}black
+0
+2
+2
+LED_THT
+LED_D3.0mm_IRGrey
+IR-LED,{space}diameter{space}3.0mm,{space}2{space}pins,{space}color:{space}grey
+IR{space}infrared{space}LED{space}diameter{space}3.0mm{space}2{space}pins{space}grey
+0
+2
+2
+LED_THT
+LED_D4.0mm
+LED,{space}diameter{space}4.0mm,{space}2{space}pins,{space}http://www.kingbright.com/attachments/file/psearch/000/00/00/L-43GD(Ver.12B).pdf
+LED{space}diameter{space}4.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D5.0mm
+LED,{space}diameter{space}5.0mm,{space}2{space}pins,{space}http://cdn-reichelt.de/documents/datenblatt/A500/LL-504BC2E-009.pdf
+LED{space}diameter{space}5.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D5.0mm-3
+LED,{space}diameter{space}5.0mm,{space}2{space}pins,{space}diameter{space}5.0mm,{space}3{space}pins,{space}http://www.kingbright.com/attachments/file/psearch/000/00/00/L-59EGC(Ver.17A).pdf
+LED{space}diameter{space}5.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}3{space}pins
+0
+3
+3
+LED_THT
+LED_D5.0mm-3_Horizontal_O3.81mm_Z3.0mm
+LED,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}3{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins
+LED{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}3{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins
+0
+3
+3
+LED_THT
+LED_D5.0mm-4_RGB
+LED,{space}diameter{space}5.0mm,{space}2{space}pins,{space}diameter{space}5.0mm,{space}3{space}pins,{space}diameter{space}5.0mm,{space}4{space}pins,{space}http://www.kingbright.com/attachments/file/psearch/000/00/00/L-154A4SUREQBFZGEW(Ver.9A).pdf
+LED{space}diameter{space}5.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}3{space}pins{space}diameter{space}5.0mm{space}4{space}pins{space}RGB{space}RGBLED
+0
+4
+4
+LED_THT
+LED_D5.0mm-4_RGB_Staggered_Pins
+LED,{space}diameter{space}5.0mm,{space}2{space}pins,{space}diameter{space}5.0mm,{space}3{space}pins,{space}diameter{space}5.0mm,{space}4{space}pins,{space}http://www.kingbright.com/attachments/file/psearch/000/00/00/L-154A4SUREQBFZGEW(Ver.9A).pdf
+LED{space}diameter{space}5.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}3{space}pins{space}diameter{space}5.0mm{space}4{space}pins{space}RGB{space}RGBLED
+0
+4
+4
+LED_THT
+LED_D5.0mm-4_RGB_Wide_Pins
+LED,{space}diameter{space}5.0mm,{space}2{space}pins,{space}diameter{space}5.0mm,{space}3{space}pins,{space}diameter{space}5.0mm,{space}4{space}pins,{space}http://www.kingbright.com/attachments/file/psearch/000/00/00/L-154A4SUREQBFZGEW(Ver.9A).pdf
+LED{space}diameter{space}5.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}3{space}pins{space}diameter{space}5.0mm{space}4{space}pins{space}RGB{space}RGBLED
+0
+4
+4
+LED_THT
+LED_D5.0mm_Clear
+LED,{space}diameter{space}5.0mm,{space}2{space}pins,{space}http://cdn-reichelt.de/documents/datenblatt/A500/LL-504BC2E-009.pdf
+LED{space}diameter{space}5.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D5.0mm_FlatTop
+LED,{space}Round,{space}FlatTop,{space}diameter{space}5.0mm,{space}2{space}pins,{space}http://www.kingbright.com/attachments/file/psearch/000/00/00/L-483GDT(Ver.15B).pdf
+LED{space}Round{space}FlatTop{space}diameter{space}5.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D5.0mm_Horizontal_O1.27mm_Z3.0mm
+LED,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins
+LED{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D5.0mm_Horizontal_O1.27mm_Z3.0mm_Clear
+LED,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins
+LED{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D5.0mm_Horizontal_O1.27mm_Z3.0mm_IRBlack
+LED,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins
+LED{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D5.0mm_Horizontal_O1.27mm_Z3.0mm_IRGrey
+LED,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins
+LED{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D5.0mm_Horizontal_O1.27mm_Z9.0mm
+LED,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm,{space}2{space}pins
+LED{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D5.0mm_Horizontal_O1.27mm_Z15.0mm
+LED,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}15.0mm,{space}2{space}pins
+LED{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}15.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D5.0mm_Horizontal_O3.81mm_Z3.0mm
+LED,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins
+LED{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D5.0mm_Horizontal_O3.81mm_Z9.0mm
+LED,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm,{space}2{space}pins
+LED{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D5.0mm_Horizontal_O3.81mm_Z15.0mm
+LED,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}15.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}15.0mm,{space}2{space}pins
+LED{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}15.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}15.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D5.0mm_Horizontal_O6.35mm_Z3.0mm
+LED,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins
+LED{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D5.0mm_Horizontal_O6.35mm_Z9.0mm
+LED,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm,{space}2{space}pins
+LED{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D5.0mm_Horizontal_O6.35mm_Z15.0mm
+LED,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}15.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}15.0mm,{space}2{space}pins,{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}15.0mm,{space}2{space}pins
+LED{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}9.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}15.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}15.0mm{space}2{space}pins{space}diameter{space}5.0mm{space}z-position{space}of{space}LED{space}center{space}15.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D5.0mm_IRBlack
+LED,{space}diameter{space}5.0mm,{space}2{space}pins,{space}http://cdn-reichelt.de/documents/datenblatt/A500/LL-504BC2E-009.pdf
+LED{space}diameter{space}5.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D5.0mm_IRGrey
+LED,{space}diameter{space}5.0mm,{space}2{space}pins,{space}http://cdn-reichelt.de/documents/datenblatt/A500/LL-504BC2E-009.pdf
+LED{space}diameter{space}5.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D8.0mm
+LED,{space}diameter{space}8.0mm,{space}2{space}pins,{space}http://cdn-reichelt.de/documents/datenblatt/A500/LED8MMGE_LED8MMGN_LED8MMRT%23KIN.pdf
+LED{space}diameter{space}8.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D8.0mm-3
+LED,{space}diameter{space}8.0mm,{space}2{space}pins,{space}diameter{space}8.0mm,{space}3{space}pins
+LED{space}diameter{space}8.0mm{space}2{space}pins{space}diameter{space}8.0mm{space}3{space}pins
+0
+3
+3
+LED_THT
+LED_D10.0mm
+LED,{space}diameter{space}10.0mm,{space}2{space}pins,{space}http://cdn-reichelt.de/documents/datenblatt/A500/LED10-4500RT%23KIN.pdf
+LED{space}diameter{space}10.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_D10.0mm-3
+LED,{space}diameter{space}10.0mm,{space}2{space}pins,{space}diameter{space}10.0mm,{space}3{space}pins,{space}http://www.kingbright.com/attachments/file/psearch/000/00/00/L-819EGW(Ver.14A).pdf
+LED{space}diameter{space}10.0mm{space}2{space}pins{space}diameter{space}10.0mm{space}3{space}pins
+0
+3
+3
+LED_THT
+LED_D20.0mm
+LED,{space}diameter{space}20.0mm,{space}2{space}pins,{space}http://cdn-reichelt.de/documents/datenblatt/A500/DLC2-6GD%28V6%29.pdf
+LED{space}diameter{space}20.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_Oval_W5.2mm_H3.8mm
+LED_Oval,{space}Oval,{space}{space}Oval{space}size{space}5.2x3.8mm^2,{space}2{space}pins,{space}http://www.kingbright.com/attachments/file/psearch/000/00/00/L-5603QBC-D(Ver.12B).pdf
+LED_Oval{space}Oval{space}{space}Oval{space}size{space}5.2x3.8mm^2{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_Rectangular_W3.0mm_H2.0mm
+LED_Rectangular,{space}Rectangular,{space}{space}Rectangular{space}size{space}3.0x2.0mm^2,{space}2{space}pins,{space}http://www.kingbright.com/attachments/file/psearch/000/00/00/L-169XCGDK(Ver.9B).pdf
+LED_Rectangular{space}Rectangular{space}{space}Rectangular{space}size{space}3.0x2.0mm^2{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_Rectangular_W3.9mm_H1.8mm
+LED_Rectangular,{space}Rectangular,{space}{space}Rectangular{space}size{space}3.9x1.8mm^2,{space}2{space}pins,{space}http://www.kingbright.com/attachments/file/psearch/000/00/00/L-2774GD(Ver.7B).pdf
+LED_Rectangular{space}Rectangular{space}{space}Rectangular{space}size{space}3.9x1.8mm^2{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_Rectangular_W3.9mm_H1.8mm_FlatTop
+LED_Rectangular,{space}Rectangular,{space}{space}Rectangular{space}size{space}3.9x1.8mm^2,{space}2{space}pins,{space}http://www.kingbright.com/attachments/file/psearch/000/00/00/L-2774GD(Ver.7B).pdf
+LED_Rectangular{space}Rectangular{space}{space}Rectangular{space}size{space}3.9x1.8mm^2{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_Rectangular_W3.9mm_H1.9mm
+LED_Rectangular,{space}Rectangular,{space}{space}Rectangular{space}size{space}3.9x1.9mm^2,{space}2{space}pins,{space}http://www.kingbright.com/attachments/file/psearch/000/00/00/L-144GDT(Ver.14B).pdf
+LED_Rectangular{space}Rectangular{space}{space}Rectangular{space}size{space}3.9x1.9mm^2{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_Rectangular_W5.0mm_H2.0mm
+LED_Rectangular,{space}Rectangular,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2,{space}2{space}pins,{space}http://www.kingbright.com/attachments/file/psearch/000/00/00/L-169XCGDK(Ver.9B).pdf
+LED_Rectangular{space}Rectangular{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_Rectangular_W5.0mm_H2.0mm-3Pins
+LED_Rectangular,{space}Rectangular,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2,{space}3{space}pins,{space}http://www.kingbright.com/attachments/file/psearch/000/00/00/L-169XCGDK(Ver.9B).pdf
+LED_Rectangular{space}Rectangular{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}3{space}pins
+0
+3
+3
+LED_THT
+LED_Rectangular_W5.0mm_H2.0mm_Horizontal_O1.27mm_Z1.0mm
+LED_Rectangular,{space}Rectangular,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm,{space}2{space}pins
+LED_Rectangular{space}Rectangular{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_Rectangular_W5.0mm_H2.0mm_Horizontal_O1.27mm_Z3.0mm
+LED_Rectangular,{space}Rectangular,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins
+LED_Rectangular{space}Rectangular{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_Rectangular_W5.0mm_H2.0mm_Horizontal_O1.27mm_Z5.0mm
+LED_Rectangular,{space}Rectangular,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}5.0mm,{space}2{space}pins
+LED_Rectangular{space}Rectangular{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}5.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_Rectangular_W5.0mm_H2.0mm_Horizontal_O3.81mm_Z1.0mm
+LED_Rectangular,{space}Rectangular,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm,{space}2{space}pins
+LED_Rectangular{space}Rectangular{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_Rectangular_W5.0mm_H2.0mm_Horizontal_O3.81mm_Z3.0mm
+LED_Rectangular,{space}Rectangular,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins
+LED_Rectangular{space}Rectangular{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_Rectangular_W5.0mm_H2.0mm_Horizontal_O3.81mm_Z5.0mm
+LED_Rectangular,{space}Rectangular,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}5.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}5.0mm,{space}2{space}pins
+LED_Rectangular{space}Rectangular{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}5.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}5.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_Rectangular_W5.0mm_H2.0mm_Horizontal_O6.35mm_Z1.0mm
+LED_Rectangular,{space}Rectangular,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm,{space}2{space}pins
+LED_Rectangular{space}Rectangular{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_Rectangular_W5.0mm_H2.0mm_Horizontal_O6.35mm_Z3.0mm
+LED_Rectangular,{space}Rectangular,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins
+LED_Rectangular{space}Rectangular{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_Rectangular_W5.0mm_H2.0mm_Horizontal_O6.35mm_Z5.0mm
+LED_Rectangular,{space}Rectangular,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}5.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}5.0mm,{space}2{space}pins,{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}5.0mm,{space}2{space}pins
+LED_Rectangular{space}Rectangular{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}1.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}3.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}5.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}5.0mm{space}2{space}pins{space}{space}Rectangular{space}size{space}5.0x2.0mm^2{space}z-position{space}of{space}LED{space}center{space}5.0mm{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_Rectangular_W5.0mm_H5.0mm
+LED_Rectangular,{space}Rectangular,{space}{space}Rectangular{space}size{space}5.0x5.0mm^2,{space}2{space}pins,{space}http://www.kingbright.com/attachments/file/psearch/000/00/00/L-169XCGDK(Ver.9B).pdf
+LED_Rectangular{space}Rectangular{space}{space}Rectangular{space}size{space}5.0x5.0mm^2{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_Rectangular_W7.62mm_H4.55mm_P5.08mm_R3
+Datasheet{space}can{space}be{space}found{space}at{space}https://www.gme.cz/data/attachments/dsh.511-795.1.pdf
+LED{space}automotive{space}super{space}flux{space}7.62mm
+0
+4
+2
+LED_THT
+LED_SideEmitter_Rectangular_W4.5mm_H1.6mm
+LED_SideEmitter_Rectangular,{space}Rectangular,{space}SideEmitter,{space}{space}Rectangular{space}size{space}4.5x1.6mm^2,{space}2{space}pins,{space}http://cdn-reichelt.de/documents/datenblatt/A500/LED15MMGE_LED15MMGN%23KIN.pdf
+LED_SideEmitter_Rectangular{space}Rectangular{space}SideEmitter{space}{space}Rectangular{space}size{space}4.5x1.6mm^2{space}2{space}pins
+0
+2
+2
+LED_THT
+LED_VCCLite_5381H1_6.35x6.35mm
+Red{space}5381{space}Series{space}LED{space}VCCLite{space}https://vcclite.com/wp-content/uploads/wpallimport/files/files/5381Series.pdf{space}http://static.vcclite.com/pdf/Mounting%20Hole%20Pattern%202.pdf
+Red{space}5381{space}Series{space}LED
+0
+2
+2
+LED_THT
+LED_VCCLite_5381H3_6.35x6.35mm
+Amber{space}5381{space}Series{space}LED{space}VCCLite{space}https://vcclite.com/wp-content/uploads/wpallimport/files/files/5381Series.pdf{space}http://static.vcclite.com/pdf/Mounting%20Hole%20Pattern%202.pdf
+Amber{space}5381{space}Series{space}LED
+0
+2
+2
+LED_THT
+LED_VCCLite_5381H5_6.35x6.35mm
+Green{space}5381{space}Series{space}LED{space}VCCLite{space}https://vcclite.com/wp-content/uploads/wpallimport/files/files/5381Series.pdf{space}http://static.vcclite.com/pdf/Mounting%20Hole%20Pattern%202.pdf
+Green{space}5381{space}Series{space}LED
+0
+2
+2
+LED_THT
+LED_VCCLite_5381H7_6.35x6.35mm
+Yellow{space}5381{space}Series{space}LED{space}VCCLite{space}https://vcclite.com/wp-content/uploads/wpallimport/files/files/5381Series.pdf{space}http://static.vcclite.com/pdf/Mounting%20Hole%20Pattern%202.pdf
+Yellow{space}5381{space}Series{space}LED
+0
+2
+2
+Module
+A20_OLINUXINO_LIME2
+A20{space}Olinuxino{space}LIME2,{space}1.2GHz,{space}512-1024MB{space}RAM,{space}Micro-SD,{space}NAND{space}or{space}eMMC,{space}1000Mbit{space}Ethernet
+A20{space}Olimex{space}Olinuxino{space}LIME2{space}development{space}board
+0
+180
+180
+Module
+Adafruit_HUZZAH_ESP8266_breakout
+32-bit{space}microcontroller{space}module{space}with{space}WiFi,{space}https://www.adafruit.com/product/2471
+ESP8266{space}WiFi{space}microcontroller
+0
+20
+20
+Module
+Adafruit_HUZZAH_ESP8266_breakout_WithMountingHoles
+32-bit{space}microcontroller{space}module{space}with{space}WiFi,{space}https://www.adafruit.com/product/2471
+ESP8266{space}WiFi{space}microcontroller
+0
+20
+20
+Module
+Arduino_Nano
+Arduino{space}Nano,{space}http://www.mouser.com/pdfdocs/Gravitech_Arduino_Nano3_0.pdf
+Arduino{space}Nano
+0
+30
+30
+Module
+Arduino_Nano_WithMountingHoles
+Arduino{space}Nano,{space}http://www.mouser.com/pdfdocs/Gravitech_Arduino_Nano3_0.pdf
+Arduino{space}Nano
+0
+30
+30
+Module
+Arduino_UNO_R2
+Arduino{space}UNO{space}R2,{space}http://www.mouser.com/pdfdocs/Gravitech_Arduino_Nano3_0.pdf
+Arduino{space}UNO{space}R2
+0
+30
+30
+Module
+Arduino_UNO_R2_WithMountingHoles
+Arduino{space}UNO{space}R2,{space}http://www.mouser.com/pdfdocs/Gravitech_Arduino_Nano3_0.pdf
+Arduino{space}UNO{space}R2
+0
+30
+30
+Module
+Arduino_UNO_R3
+Arduino{space}UNO{space}R3,{space}http://www.mouser.com/pdfdocs/Gravitech_Arduino_Nano3_0.pdf
+Arduino{space}UNO{space}R3
+0
+32
+32
+Module
+Arduino_UNO_R3_WithMountingHoles
+Arduino{space}UNO{space}R3,{space}http://www.mouser.com/pdfdocs/Gravitech_Arduino_Nano3_0.pdf
+Arduino{space}UNO{space}R3
+0
+32
+32
+Module
+BeagleBoard_PocketBeagle
+PocketBeagle,{space}https://github.com/beagleboard/pocketbeagle/wiki/System-Reference-Manual#71_Expansion_Header_Connectors
+PocketBeagle
+0
+72
+72
+Module
+Maple_Mini
+Maple{space}Mini,{space}http://docs.leaflabs.com/static.leaflabs.com/pub/leaflabs/maple-docs/0.0.12/hardware/maple-mini.html
+Maple{space}Mini
+0
+40
+40
+Module
+Onion_Omega2+
+https://onion.io/omega2/
+Omega{space}Onion{space}module
+0
+32
+32
+Module
+Onion_Omega2S
+https://github.com/OnionIoT/Omega2/raw/master/Documents/Omega2S%20Datasheet.pdf
+onion{space}omega{space}module
+0
+89
+64
+Module
+Pololu_Breakout-16_15.2x20.3mm
+Pololu{space}Breakout{space}16-pin{space}15.2x20.3mm{space}0.6x0.8\
+Pololu{space}Breakout
+0
+16
+16
+Module
+Raspberry_Pi_Zero_Socketed_THT_FaceDown_MountingHoles
+Raspberry{space}Pi{space}Zero{space}using{space}through{space}hole{space}straight{space}pin{space}socket,{space}2x20,{space}2.54mm{space}pitch,{space}https://www.raspberrypi.org/documentation/hardware/raspberrypi/mechanical/rpi_MECH_Zero_1p2.pdf
+raspberry{space}pi{space}zero{space}through{space}hole
+0
+40
+40
+Module
+ST_Morpho_Connector_144_STLink
+ST{space}Morpho{space}Connector{space}144{space}With{space}STLink
+ST{space}Morpho{space}Connector{space}144{space}STLink
+0
+148
+148
+Module
+ST_Morpho_Connector_144_STLink_MountingHoles
+ST{space}Morpho{space}Connector{space}144{space}With{space}STLink
+ST{space}Morpho{space}Connector{space}144{space}STLink
+0
+148
+148
+Module
+WEMOS_D1_mini_light
+16-pin{space}module,{space}column{space}spacing{space}22.86{space}mm{space}(900{space}mils),{space}https://wiki.wemos.cc/products:d1:d1_mini,{space}https://c1.staticflickr.com/1/734/31400410271_f278b087db_z.jpg
+ESP8266{space}WiFi{space}microcontroller
+0
+16
+16
+MountingEquipment
+DINRailAdapter_3xM3_PhoenixContact_1201578
+https://www.phoenixcontact.com/online/portal/us?uri=pxc-oc-itemdetail:pid=1201578&library=usen&tab=1
+DIN{space}rail{space}adapter{space}universal{space}three{space}M3{space}clearance{space}holes
+0
+0
+0
+MountingHole
+MountingHole_2.1mm
+Mounting{space}Hole{space}2.1mm,{space}no{space}annular
+mounting{space}hole{space}2.1mm{space}no{space}annular
+0
+0
+0
+MountingHole
+MountingHole_2.2mm_M2
+Mounting{space}Hole{space}2.2mm,{space}no{space}annular,{space}M2
+mounting{space}hole{space}2.2mm{space}no{space}annular{space}m2
+0
+0
+0
+MountingHole
+MountingHole_2.2mm_M2_DIN965
+Mounting{space}Hole{space}2.2mm,{space}no{space}annular,{space}M2,{space}DIN965
+mounting{space}hole{space}2.2mm{space}no{space}annular{space}m2{space}din965
+0
+0
+0
+MountingHole
+MountingHole_2.2mm_M2_DIN965_Pad
+Mounting{space}Hole{space}2.2mm,{space}M2,{space}DIN965
+mounting{space}hole{space}2.2mm{space}m2{space}din965
+0
+1
+1
+MountingHole
+MountingHole_2.2mm_M2_ISO7380
+Mounting{space}Hole{space}2.2mm,{space}no{space}annular,{space}M2,{space}ISO7380
+mounting{space}hole{space}2.2mm{space}no{space}annular{space}m2{space}iso7380
+0
+0
+0
+MountingHole
+MountingHole_2.2mm_M2_ISO7380_Pad
+Mounting{space}Hole{space}2.2mm,{space}M2,{space}ISO7380
+mounting{space}hole{space}2.2mm{space}m2{space}iso7380
+0
+1
+1
+MountingHole
+MountingHole_2.2mm_M2_ISO14580
+Mounting{space}Hole{space}2.2mm,{space}no{space}annular,{space}M2,{space}ISO14580
+mounting{space}hole{space}2.2mm{space}no{space}annular{space}m2{space}iso14580
+0
+0
+0
+MountingHole
+MountingHole_2.2mm_M2_ISO14580_Pad
+Mounting{space}Hole{space}2.2mm,{space}M2,{space}ISO14580
+mounting{space}hole{space}2.2mm{space}m2{space}iso14580
+0
+1
+1
+MountingHole
+MountingHole_2.2mm_M2_Pad
+Mounting{space}Hole{space}2.2mm,{space}M2
+mounting{space}hole{space}2.2mm{space}m2
+0
+1
+1
+MountingHole
+MountingHole_2.2mm_M2_Pad_Via
+Mounting{space}Hole{space}2.2mm,{space}M2
+mounting{space}hole{space}2.2mm{space}m2
+0
+9
+1
+MountingHole
+MountingHole_2.5mm
+Mounting{space}Hole{space}2.5mm,{space}no{space}annular
+mounting{space}hole{space}2.5mm{space}no{space}annular
+0
+0
+0
+MountingHole
+MountingHole_2.5mm_Pad
+Mounting{space}Hole{space}2.5mm
+mounting{space}hole{space}2.5mm
+0
+1
+1
+MountingHole
+MountingHole_2.5mm_Pad_Via
+Mounting{space}Hole{space}2.5mm
+mounting{space}hole{space}2.5mm
+0
+9
+1
+MountingHole
+MountingHole_2.7mm
+Mounting{space}Hole{space}2.7mm,{space}no{space}annular
+mounting{space}hole{space}2.7mm{space}no{space}annular
+0
+0
+0
+MountingHole
+MountingHole_2.7mm_M2.5
+Mounting{space}Hole{space}2.7mm,{space}no{space}annular,{space}M2.5
+mounting{space}hole{space}2.7mm{space}no{space}annular{space}m2.5
+0
+0
+0
+MountingHole
+MountingHole_2.7mm_M2.5_DIN965
+Mounting{space}Hole{space}2.7mm,{space}no{space}annular,{space}M2.5,{space}DIN965
+mounting{space}hole{space}2.7mm{space}no{space}annular{space}m2.5{space}din965
+0
+0
+0
+MountingHole
+MountingHole_2.7mm_M2.5_DIN965_Pad
+Mounting{space}Hole{space}2.7mm,{space}M2.5,{space}DIN965
+mounting{space}hole{space}2.7mm{space}m2.5{space}din965
+0
+1
+1
+MountingHole
+MountingHole_2.7mm_M2.5_ISO7380
+Mounting{space}Hole{space}2.7mm,{space}no{space}annular,{space}M2.5,{space}ISO7380
+mounting{space}hole{space}2.7mm{space}no{space}annular{space}m2.5{space}iso7380
+0
+0
+0
+MountingHole
+MountingHole_2.7mm_M2.5_ISO7380_Pad
+Mounting{space}Hole{space}2.7mm,{space}M2.5,{space}ISO7380
+mounting{space}hole{space}2.7mm{space}m2.5{space}iso7380
+0
+1
+1
+MountingHole
+MountingHole_2.7mm_M2.5_ISO14580
+Mounting{space}Hole{space}2.7mm,{space}no{space}annular,{space}M2.5,{space}ISO14580
+mounting{space}hole{space}2.7mm{space}no{space}annular{space}m2.5{space}iso14580
+0
+0
+0
+MountingHole
+MountingHole_2.7mm_M2.5_ISO14580_Pad
+Mounting{space}Hole{space}2.7mm,{space}M2.5,{space}ISO14580
+mounting{space}hole{space}2.7mm{space}m2.5{space}iso14580
+0
+1
+1
+MountingHole
+MountingHole_2.7mm_M2.5_Pad
+Mounting{space}Hole{space}2.7mm,{space}M2.5
+mounting{space}hole{space}2.7mm{space}m2.5
+0
+1
+1
+MountingHole
+MountingHole_2.7mm_M2.5_Pad_Via
+Mounting{space}Hole{space}2.7mm
+mounting{space}hole{space}2.7mm
+0
+9
+1
+MountingHole
+MountingHole_2.7mm_Pad
+Mounting{space}Hole{space}2.7mm
+mounting{space}hole{space}2.7mm
+0
+1
+1
+MountingHole
+MountingHole_2.7mm_Pad_Via
+Mounting{space}Hole{space}2.7mm
+mounting{space}hole{space}2.7mm
+0
+9
+1
+MountingHole
+MountingHole_2mm
+Mounting{space}Hole{space}2mm,{space}no{space}annular
+mounting{space}hole{space}2mm{space}no{space}annular
+0
+0
+0
+MountingHole
+MountingHole_3.2mm_M3
+Mounting{space}Hole{space}3.2mm,{space}no{space}annular,{space}M3
+mounting{space}hole{space}3.2mm{space}no{space}annular{space}m3
+0
+0
+0
+MountingHole
+MountingHole_3.2mm_M3_DIN965
+Mounting{space}Hole{space}3.2mm,{space}no{space}annular,{space}M3,{space}DIN965
+mounting{space}hole{space}3.2mm{space}no{space}annular{space}m3{space}din965
+0
+0
+0
+MountingHole
+MountingHole_3.2mm_M3_DIN965_Pad
+Mounting{space}Hole{space}3.2mm,{space}M3,{space}DIN965
+mounting{space}hole{space}3.2mm{space}m3{space}din965
+0
+1
+1
+MountingHole
+MountingHole_3.2mm_M3_ISO7380
+Mounting{space}Hole{space}3.2mm,{space}no{space}annular,{space}M3,{space}ISO7380
+mounting{space}hole{space}3.2mm{space}no{space}annular{space}m3{space}iso7380
+0
+0
+0
+MountingHole
+MountingHole_3.2mm_M3_ISO7380_Pad
+Mounting{space}Hole{space}3.2mm,{space}M3,{space}ISO7380
+mounting{space}hole{space}3.2mm{space}m3{space}iso7380
+0
+1
+1
+MountingHole
+MountingHole_3.2mm_M3_ISO14580
+Mounting{space}Hole{space}3.2mm,{space}no{space}annular,{space}M3,{space}ISO14580
+mounting{space}hole{space}3.2mm{space}no{space}annular{space}m3{space}iso14580
+0
+0
+0
+MountingHole
+MountingHole_3.2mm_M3_ISO14580_Pad
+Mounting{space}Hole{space}3.2mm,{space}M3,{space}ISO14580
+mounting{space}hole{space}3.2mm{space}m3{space}iso14580
+0
+1
+1
+MountingHole
+MountingHole_3.2mm_M3_Pad
+Mounting{space}Hole{space}3.2mm,{space}M3
+mounting{space}hole{space}3.2mm{space}m3
+0
+1
+1
+MountingHole
+MountingHole_3.2mm_M3_Pad_Via
+Mounting{space}Hole{space}3.2mm,{space}M3
+mounting{space}hole{space}3.2mm{space}m3
+0
+9
+1
+MountingHole
+MountingHole_3.5mm
+Mounting{space}Hole{space}3.5mm,{space}no{space}annular
+mounting{space}hole{space}3.5mm{space}no{space}annular
+0
+0
+0
+MountingHole
+MountingHole_3.5mm_Pad
+Mounting{space}Hole{space}3.5mm
+mounting{space}hole{space}3.5mm
+0
+1
+1
+MountingHole
+MountingHole_3.5mm_Pad_Via
+Mounting{space}Hole{space}3.5mm
+mounting{space}hole{space}3.5mm
+0
+9
+1
+MountingHole
+MountingHole_3.7mm
+Mounting{space}Hole{space}3.7mm,{space}no{space}annular
+mounting{space}hole{space}3.7mm{space}no{space}annular
+0
+0
+0
+MountingHole
+MountingHole_3.7mm_Pad
+Mounting{space}Hole{space}3.7mm
+mounting{space}hole{space}3.7mm
+0
+1
+1
+MountingHole
+MountingHole_3.7mm_Pad_Via
+Mounting{space}Hole{space}3.7mm
+mounting{space}hole{space}3.7mm
+0
+9
+1
+MountingHole
+MountingHole_3mm
+Mounting{space}Hole{space}3mm,{space}no{space}annular
+mounting{space}hole{space}3mm{space}no{space}annular
+0
+0
+0
+MountingHole
+MountingHole_3mm_Pad
+Mounting{space}Hole{space}3mm
+mounting{space}hole{space}3mm
+0
+1
+1
+MountingHole
+MountingHole_3mm_Pad_Via
+Mounting{space}Hole{space}3mm
+mounting{space}hole{space}3mm
+0
+9
+1
+MountingHole
+MountingHole_4.3mm_M4
+Mounting{space}Hole{space}4.3mm,{space}no{space}annular,{space}M4
+mounting{space}hole{space}4.3mm{space}no{space}annular{space}m4
+0
+0
+0
+MountingHole
+MountingHole_4.3mm_M4_DIN965
+Mounting{space}Hole{space}4.3mm,{space}no{space}annular,{space}M4,{space}DIN965
+mounting{space}hole{space}4.3mm{space}no{space}annular{space}m4{space}din965
+0
+0
+0
+MountingHole
+MountingHole_4.3mm_M4_DIN965_Pad
+Mounting{space}Hole{space}4.3mm,{space}M4,{space}DIN965
+mounting{space}hole{space}4.3mm{space}m4{space}din965
+0
+1
+1
+MountingHole
+MountingHole_4.3mm_M4_ISO7380
+Mounting{space}Hole{space}4.3mm,{space}no{space}annular,{space}M4,{space}ISO7380
+mounting{space}hole{space}4.3mm{space}no{space}annular{space}m4{space}iso7380
+0
+0
+0
+MountingHole
+MountingHole_4.3mm_M4_ISO7380_Pad
+Mounting{space}Hole{space}4.3mm,{space}M4,{space}ISO7380
+mounting{space}hole{space}4.3mm{space}m4{space}iso7380
+0
+1
+1
+MountingHole
+MountingHole_4.3mm_M4_ISO14580
+Mounting{space}Hole{space}4.3mm,{space}no{space}annular,{space}M4,{space}ISO14580
+mounting{space}hole{space}4.3mm{space}no{space}annular{space}m4{space}iso14580
+0
+0
+0
+MountingHole
+MountingHole_4.3mm_M4_ISO14580_Pad
+Mounting{space}Hole{space}4.3mm,{space}M4,{space}ISO14580
+mounting{space}hole{space}4.3mm{space}m4{space}iso14580
+0
+1
+1
+MountingHole
+MountingHole_4.3mm_M4_Pad
+Mounting{space}Hole{space}4.3mm,{space}M4
+mounting{space}hole{space}4.3mm{space}m4
+0
+1
+1
+MountingHole
+MountingHole_4.3mm_M4_Pad_Via
+Mounting{space}Hole{space}4.3mm,{space}M4
+mounting{space}hole{space}4.3mm{space}m4
+0
+9
+1
+MountingHole
+MountingHole_4.3x6.2mm_M4_Pad
+Mounting{space}Hole{space}4.3x6.2mm,{space}M4
+mounting{space}hole{space}4.3x6.2mm{space}m4
+0
+1
+1
+MountingHole
+MountingHole_4.3x6.2mm_M4_Pad_Via
+Mounting{space}Hole{space}4.3x6.2mm,{space}M4
+mounting{space}hole{space}4.3x6.2mm{space}m4
+0
+17
+1
+MountingHole
+MountingHole_4.5mm
+Mounting{space}Hole{space}4.5mm,{space}no{space}annular
+mounting{space}hole{space}4.5mm{space}no{space}annular
+0
+0
+0
+MountingHole
+MountingHole_4.5mm_Pad
+Mounting{space}Hole{space}4.5mm
+mounting{space}hole{space}4.5mm
+0
+1
+1
+MountingHole
+MountingHole_4.5mm_Pad_Via
+Mounting{space}Hole{space}4.5mm
+mounting{space}hole{space}4.5mm
+0
+9
+1
+MountingHole
+MountingHole_4mm
+Mounting{space}Hole{space}4mm,{space}no{space}annular
+mounting{space}hole{space}4mm{space}no{space}annular
+0
+0
+0
+MountingHole
+MountingHole_4mm_Pad
+Mounting{space}Hole{space}4mm
+mounting{space}hole{space}4mm
+0
+1
+1
+MountingHole
+MountingHole_4mm_Pad_Via
+Mounting{space}Hole{space}4mm
+mounting{space}hole{space}4mm
+0
+9
+1
+MountingHole
+MountingHole_5.3mm_M5
+Mounting{space}Hole{space}5.3mm,{space}no{space}annular,{space}M5
+mounting{space}hole{space}5.3mm{space}no{space}annular{space}m5
+0
+0
+0
+MountingHole
+MountingHole_5.3mm_M5_DIN965
+Mounting{space}Hole{space}5.3mm,{space}no{space}annular,{space}M5,{space}DIN965
+mounting{space}hole{space}5.3mm{space}no{space}annular{space}m5{space}din965
+0
+0
+0
+MountingHole
+MountingHole_5.3mm_M5_DIN965_Pad
+Mounting{space}Hole{space}5.3mm,{space}M5,{space}DIN965
+mounting{space}hole{space}5.3mm{space}m5{space}din965
+0
+1
+1
+MountingHole
+MountingHole_5.3mm_M5_ISO7380
+Mounting{space}Hole{space}5.3mm,{space}no{space}annular,{space}M5,{space}ISO7380
+mounting{space}hole{space}5.3mm{space}no{space}annular{space}m5{space}iso7380
+0
+0
+0
+MountingHole
+MountingHole_5.3mm_M5_ISO7380_Pad
+Mounting{space}Hole{space}5.3mm,{space}M5,{space}ISO7380
+mounting{space}hole{space}5.3mm{space}m5{space}iso7380
+0
+1
+1
+MountingHole
+MountingHole_5.3mm_M5_ISO14580
+Mounting{space}Hole{space}5.3mm,{space}no{space}annular,{space}M5,{space}ISO14580
+mounting{space}hole{space}5.3mm{space}no{space}annular{space}m5{space}iso14580
+0
+0
+0
+MountingHole
+MountingHole_5.3mm_M5_ISO14580_Pad
+Mounting{space}Hole{space}5.3mm,{space}M5,{space}ISO14580
+mounting{space}hole{space}5.3mm{space}m5{space}iso14580
+0
+1
+1
+MountingHole
+MountingHole_5.3mm_M5_Pad
+Mounting{space}Hole{space}5.3mm,{space}M5
+mounting{space}hole{space}5.3mm{space}m5
+0
+1
+1
+MountingHole
+MountingHole_5.3mm_M5_Pad_Via
+Mounting{space}Hole{space}5.3mm,{space}M5
+mounting{space}hole{space}5.3mm{space}m5
+0
+9
+1
+MountingHole
+MountingHole_5.5mm
+Mounting{space}Hole{space}5.5mm,{space}no{space}annular
+mounting{space}hole{space}5.5mm{space}no{space}annular
+0
+0
+0
+MountingHole
+MountingHole_5.5mm_Pad
+Mounting{space}Hole{space}5.5mm
+mounting{space}hole{space}5.5mm
+0
+1
+1
+MountingHole
+MountingHole_5.5mm_Pad_Via
+Mounting{space}Hole{space}5.5mm
+mounting{space}hole{space}5.5mm
+0
+9
+1
+MountingHole
+MountingHole_5mm
+Mounting{space}Hole{space}5mm,{space}no{space}annular
+mounting{space}hole{space}5mm{space}no{space}annular
+0
+0
+0
+MountingHole
+MountingHole_5mm_Pad
+Mounting{space}Hole{space}5mm
+mounting{space}hole{space}5mm
+0
+1
+1
+MountingHole
+MountingHole_5mm_Pad_Via
+Mounting{space}Hole{space}5mm
+mounting{space}hole{space}5mm
+0
+9
+1
+MountingHole
+MountingHole_6.4mm_M6
+Mounting{space}Hole{space}6.4mm,{space}no{space}annular,{space}M6
+mounting{space}hole{space}6.4mm{space}no{space}annular{space}m6
+0
+0
+0
+MountingHole
+MountingHole_6.4mm_M6_DIN965
+Mounting{space}Hole{space}6.4mm,{space}no{space}annular,{space}M6,{space}DIN965
+mounting{space}hole{space}6.4mm{space}no{space}annular{space}m6{space}din965
+0
+0
+0
+MountingHole
+MountingHole_6.4mm_M6_DIN965_Pad
+Mounting{space}Hole{space}6.4mm,{space}M6,{space}DIN965
+mounting{space}hole{space}6.4mm{space}m6{space}din965
+0
+1
+1
+MountingHole
+MountingHole_6.4mm_M6_ISO7380
+Mounting{space}Hole{space}6.4mm,{space}no{space}annular,{space}M6,{space}ISO7380
+mounting{space}hole{space}6.4mm{space}no{space}annular{space}m6{space}iso7380
+0
+0
+0
+MountingHole
+MountingHole_6.4mm_M6_ISO7380_Pad
+Mounting{space}Hole{space}6.4mm,{space}M6,{space}ISO7380
+mounting{space}hole{space}6.4mm{space}m6{space}iso7380
+0
+1
+1
+MountingHole
+MountingHole_6.4mm_M6_ISO14580
+Mounting{space}Hole{space}6.4mm,{space}no{space}annular,{space}M6,{space}ISO14580
+mounting{space}hole{space}6.4mm{space}no{space}annular{space}m6{space}iso14580
+0
+0
+0
+MountingHole
+MountingHole_6.4mm_M6_ISO14580_Pad
+Mounting{space}Hole{space}6.4mm,{space}M6,{space}ISO14580
+mounting{space}hole{space}6.4mm{space}m6{space}iso14580
+0
+1
+1
+MountingHole
+MountingHole_6.4mm_M6_Pad
+Mounting{space}Hole{space}6.4mm,{space}M6
+mounting{space}hole{space}6.4mm{space}m6
+0
+1
+1
+MountingHole
+MountingHole_6.4mm_M6_Pad_Via
+Mounting{space}Hole{space}6.4mm,{space}M6
+mounting{space}hole{space}6.4mm{space}m6
+0
+9
+1
+MountingHole
+MountingHole_6.5mm
+Mounting{space}Hole{space}6.5mm,{space}no{space}annular
+mounting{space}hole{space}6.5mm{space}no{space}annular
+0
+0
+0
+MountingHole
+MountingHole_6.5mm_Pad
+Mounting{space}Hole{space}6.5mm
+mounting{space}hole{space}6.5mm
+0
+1
+1
+MountingHole
+MountingHole_6.5mm_Pad_Via
+Mounting{space}Hole{space}6.5mm
+mounting{space}hole{space}6.5mm
+0
+9
+1
+MountingHole
+MountingHole_6mm
+Mounting{space}Hole{space}6mm,{space}no{space}annular
+mounting{space}hole{space}6mm{space}no{space}annular
+0
+0
+0
+MountingHole
+MountingHole_6mm_Pad
+Mounting{space}Hole{space}6mm
+mounting{space}hole{space}6mm
+0
+1
+1
+MountingHole
+MountingHole_6mm_Pad_Via
+Mounting{space}Hole{space}6mm
+mounting{space}hole{space}6mm
+0
+9
+1
+MountingHole
+MountingHole_8.4mm_M8
+Mounting{space}Hole{space}8.4mm,{space}no{space}annular,{space}M8
+mounting{space}hole{space}8.4mm{space}no{space}annular{space}m8
+0
+0
+0
+MountingHole
+MountingHole_8.4mm_M8_Pad
+Mounting{space}Hole{space}8.4mm,{space}M8
+mounting{space}hole{space}8.4mm{space}m8
+0
+1
+1
+MountingHole
+MountingHole_8.4mm_M8_Pad_Via
+Mounting{space}Hole{space}8.4mm,{space}M8
+mounting{space}hole{space}8.4mm{space}m8
+0
+9
+1
+NetTie
+NetTie-2_SMD_Pad0.5mm
+Net{space}tie,{space}2{space}pin,{space}0.5mm{space}square{space}SMD{space}pads
+net{space}tie
+0
+2
+2
+NetTie
+NetTie-2_SMD_Pad2.0mm
+Net{space}tie,{space}2{space}pin,{space}2.0mm{space}square{space}SMD{space}pads
+net{space}tie
+0
+2
+2
+NetTie
+NetTie-2_THT_Pad0.3mm
+Net{space}tie,{space}2{space}pin,{space}0.3mm{space}round{space}THT{space}pads
+net{space}tie
+0
+2
+2
+NetTie
+NetTie-2_THT_Pad1.0mm
+Net{space}tie,{space}2{space}pin,{space}1.0mm{space}round{space}THT{space}pads
+net{space}tie
+0
+2
+2
+NetTie
+NetTie-3_SMD_Pad0.5mm
+Net{space}tie,{space}3{space}pin,{space}0.5mm{space}square{space}SMD{space}pads
+net{space}tie
+0
+3
+3
+NetTie
+NetTie-3_SMD_Pad2.0mm
+Net{space}tie,{space}3{space}pin,{space}2.0mm{space}square{space}SMD{space}pads
+net{space}tie
+0
+3
+3
+NetTie
+NetTie-3_THT_Pad0.3mm
+Net{space}tie,{space}3{space}pin,{space}0.3mm{space}round{space}THT{space}pads
+net{space}tie
+0
+3
+3
+NetTie
+NetTie-3_THT_Pad1.0mm
+Net{space}tie,{space}3{space}pin,{space}1.0mm{space}round{space}THT{space}pads
+net{space}tie
+0
+3
+3
+NetTie
+NetTie-4_SMD_Pad0.5mm
+Net{space}tie,{space}4{space}pin,{space}0.5mm{space}square{space}SMD{space}pads
+net{space}tie
+0
+4
+4
+NetTie
+NetTie-4_SMD_Pad2.0mm
+Net{space}tie,{space}4{space}pin,{space}2.0mm{space}square{space}SMD{space}pads
+net{space}tie
+0
+4
+4
+NetTie
+NetTie-4_THT_Pad0.3mm
+Net{space}tie,{space}4{space}pin,{space}0.3mm{space}round{space}THT{space}pads
+net{space}tie
+0
+4
+4
+NetTie
+NetTie-4_THT_Pad1.0mm
+Net{space}tie,{space}4{space}pin,{space}1.0mm{space}round{space}THT{space}pads
+net{space}tie
+0
+4
+4
+OptoDevice
+ADNS-9800
+Laser{space}Gaming{space}Sensor{space}ADNS-9800
+MOUSE{space}MOUSE_SENSOR{space}LASER_GAMING_SENSOR
+0
+16
+16
+OptoDevice
+AGILENT_HFBR-152x
+Fiberoptic{space}Transmitter{space}TX,{space}HFBR{space}series{space}(https://docs.broadcom.com/docs/AV02-3283EN)
+Fiberoptic{space}Transmitter
+0
+6
+6
+OptoDevice
+AGILENT_HFBR-252x
+Fiberoptic{space}Receiver{space}RX,{space}HFBR{space}series{space}(https://docs.broadcom.com/docs/AV02-3283EN)
+Fiberoptic{space}Transmitter
+0
+6
+6
+OptoDevice
+AMS_TSL2550_SMD
+http://ams.com/eng/content/download/250130/975613/142977
+TSL2550{space}ambient{space}light{space}sensor
+0
+4
+4
+OptoDevice
+Broadcom_AFBR-16xxZ_Horizontal
+Fiber{space}Optic{space}Transmitter{space}and{space}Receiver,{space}https://docs.broadcom.com/docs/AV02-4369EN
+Fiber{space}Optic{space}Transmitter{space}and{space}Receiver
+0
+6
+6
+OptoDevice
+Broadcom_AFBR-16xxZ_Tilted
+Fiber{space}Optic{space}Transmitter{space}and{space}Receiver,{space}https://docs.broadcom.com/docs/AV02-4369EN
+Fiber{space}Optic{space}Transmitter{space}and{space}Receiver
+0
+6
+6
+OptoDevice
+Broadcom_AFBR-16xxZ_Vertical
+Fiber{space}Optic{space}Transmitter{space}and{space}Receiver,{space}https://docs.broadcom.com/docs/AV02-4369EN
+Fiber{space}Optic{space}Transmitter{space}and{space}Receiver
+0
+6
+6
+OptoDevice
+Broadcom_APDS-9301
+ambient{space}light{space}sensor,{space}i2c{space}interface,{space}6-pin{space}chipled{space}package,{space}https://docs.broadcom.com/docs/AV02-2315EN
+ambient{space}light{space}sensor{space}chipled
+0
+6
+6
+OptoDevice
+Broadcom_DFN-6_2x2mm_P0.65mm
+Broadcom{space}{space}DFN,{space}6{space}Pin{space}(https://docs.broadcom.com/docs/AV02-4755EN),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+Broadcom{space}DFN{space}NoLead
+0
+6
+6
+OptoDevice
+Broadcom_LGA-8_2x2mm_P0.53mm
+Broadcom{space}{space}LGA,{space}8{space}Pin{space}(https://docs.broadcom.com/docs/AV02-4755EN),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+Broadcom{space}LGA{space}NoLead
+0
+8
+8
+OptoDevice
+Everlight_ITR8307
+package{space}for{space}Everlight{space}ITR8307{space}with{space}PCB{space}cutout,{space}light-direction{space}upwards,{space}see{space}http://www.everlight.com/file/ProductFile/ITR8307.pdf
+refective{space}opto{space}couple{space}photo{space}coupler
+0
+4
+4
+OptoDevice
+Everlight_ITR8307F43
+package{space}for{space}Everlight{space}ITR8307/F43,{space}see{space}https://everlighteurope.com/index.php?controller=attachment&id_attachment=5385
+refective{space}opto{space}couple{space}photo{space}coupler
+0
+4
+4
+OptoDevice
+Everlight_ITR8307_Reverse
+package{space}for{space}Everlight{space}ITR8307{space}with{space}PCB{space}cutout,{space}light-direction{space}downwards,{space}see{space}http://www.everlight.com/file/ProductFile/ITR8307.pdf
+refective{space}opto{space}couple{space}photo{space}coupler
+0
+4
+4
+OptoDevice
+Finder_34.81
+Relay{space}SPST,{space}Finder{space}Type{space}34.81{space}(opto{space}relays/coupler),{space}vertical/standing{space}form,{space}see{space}https://gfinder.findernet.com/public/attachments/34/EN/S34USAEN.pdf
+Relay{space}SPST{space}Finder
+0
+4
+4
+OptoDevice
+Hamamatsu_C12880
+Hamamatsu{space}spectrometer,{space}see{space}http://www.hamamatsu.com/resources/pdf/ssd/c12880ma_kacc1226e.pdf
+opto{space}spectrometer{space}Hamamatsu
+0
+10
+10
+OptoDevice
+Hamamatsu_S13360-30CS
+SiPM,{space}2pin
+Hamamatsu{space}SiPM
+0
+2
+2
+OptoDevice
+Kingbright_KPS-5130
+http://www.kingbright.com/attachments/file/psearch/000/00/00/KPS-5130PD7C(Ver.14).pdf
+KPS-5130{space}photodiode{space}RGB{space}sensor
+0
+4
+4
+OptoDevice
+Kingbright_KRC011_Horizontal
+Subminiature{space}Reflective{space}Optical{space}Sensor,{space}http://www.kingbright.com/attachments/file/psearch/000/00/00/KRC011(Ver.15).pdf
+Subminiature{space}Reflective{space}Optical{space}Sensor
+0
+4
+4
+OptoDevice
+Kingbright_KRC011_Vertical
+Subminiature{space}Reflective{space}Optical{space}Sensor,{space}http://www.kingbright.com/attachments/file/psearch/000/00/00/KRC011(Ver.15).pdf
+Subminiature{space}Reflective{space}Optical{space}Sensor
+0
+4
+4
+OptoDevice
+Kodenshi_LG206D
+http://kodenshi.co.jp/products/pdf/sensor/photointerrupter_ic/LG206D.pdf
+Photointerrupter{space}{space}infrared{space}LED{space}with{space}photo{space}IC
+0
+5
+5
+OptoDevice
+Kodenshi_LG206L
+http://kodenshi.co.jp/products/pdf/sensor/photointerrupter_ic/LG205L.pdf
+Photointerrupter{space}{space}infrared{space}LED{space}with{space}photo{space}IC
+0
+5
+5
+OptoDevice
+Kodenshi_SG105
+package{space}for{space}Kodenshi{space}SG-105{space}with{space}PCB{space}cutout,{space}light-direction{space}upwards,{space}see{space}http://www.kodenshi.co.jp/products/pdf/sensor/photointerrupter_ref/SG-105.pdf
+refective{space}opto{space}couple{space}photo{space}coupler
+0
+4
+4
+OptoDevice
+Kodenshi_SG105F
+package{space}for{space}Kodenshi{space}SG-105F,{space}see{space}http://www.kodenshi.co.jp/products/pdf/sensor/photointerrupter_ref/SG-105F.pdf
+refective{space}opto{space}couple{space}photo{space}coupler
+0
+4
+4
+OptoDevice
+Kodenshi_SG105_Reverse
+package{space}for{space}Kodenshi{space}SG-105{space}with{space}PCB{space}cutout,{space}light-direction{space}downwards,{space}see{space}http://www.kodenshi.co.jp/products/pdf/sensor/photointerrupter_ref/SG-105.pdf
+refective{space}opto{space}couple{space}photo{space}coupler
+0
+4
+4
+OptoDevice
+LaserDiode_TO3.3-D3.3-3
+Laser{space}Diode,{space}TO-3.3mm,{space}3pin
+Laser{space}Diode{space}TO3.3
+0
+3
+3
+OptoDevice
+LaserDiode_TO5-D9-3
+Laser{space}Diode,{space}TO5-like{space}(D=9mm),{space}3pin
+Laser{space}Diode{space}TO5-like
+0
+3
+3
+OptoDevice
+LaserDiode_TO18-D5.6-3
+Laser{space}Diode,{space}TO18-like{space}(D=5.6mm),{space}3pin
+Laser{space}Diode{space}TO18-like
+0
+3
+3
+OptoDevice
+LaserDiode_TO38ICut-3
+Laser{space}Diode,{space}TO-38-ICut,{space}3pin
+Laser{space}Diode{space}TO38-ICut
+0
+3
+3
+OptoDevice
+LaserDiode_TO56-3
+Laser{space}Diode,{space}TO-56,{space}3pin
+Laser{space}Diode{space}TO56
+0
+3
+3
+OptoDevice
+Lite-On_LTR-303ALS-01
+ambient{space}light{space}sensor,{space}i2c{space}interface,{space}6-pin{space}chipled{space}package,{space}http://optoelectronics.liteon.com/upload/download/DS86-2013-0004/LTR-303ALS-01_DS_V1.pdf
+ambient{space}light{space}sensor{space}chipled
+0
+6
+6
+OptoDevice
+Luna_NSL-32
+Optoisolator{space}with{space}LED{space}and{space}photoresistor
+optoisolator
+0
+4
+4
+OptoDevice
+Maxim_OLGA-14_3.3x5.6mm_P0.8mm
+https://pdfserv.maximintegrated.com/land_patterns/90-0602.PDF
+OLGA-14{space}OESIP-14
+0
+14
+14
+OptoDevice
+ONSemi_QSE15x
+3{space}Lead{space}Plastic{space}Package
+ONSemi{space}QSE158{space}QSE159
+0
+3
+3
+OptoDevice
+OnSemi_CASE100CY
+OnSemi{space}CASE{space}100CY,{space}light-direction{space}upwards,{space}see{space}http://www.onsemi.com/pub/Collateral/QRE1113-D.PDF
+refective{space}opto{space}couple{space}photo{space}coupler
+0
+4
+4
+OptoDevice
+Osram_BPW82
+PhotoDiode,{space}BPW82,{space}RM2.54
+PhotoDiode{space}BPW82{space}RM2.54
+0
+2
+2
+OptoDevice
+Osram_DIL2_4.3x4.65mm_P5.08mm
+PhotoDiode,{space}plastic{space}DIL,{space}4.3x4.65mm²,{space}RM5.08
+PhotoDiode{space}plastic{space}DIL{space}RM5.08
+0
+2
+2
+OptoDevice
+Osram_LPT80A
+PhotoTransistor,{space}sidelooker{space}package,{space}RM2.54
+PhotoTransistor{space}sidelooker{space}package{space}RM2.54
+0
+2
+2
+OptoDevice
+Osram_SFH9x0x
+package{space}for{space}Osram{space}SFH9x0x{space}series{space}of{space}reflective{space}photo{space}interrupters/couplers,{space}see{space}http://www.osram-os.com/Graphics/XPic6/00200860_0.pdf
+reflective{space}photo{space}interrupter{space}SMD
+0
+6
+6
+OptoDevice
+Osram_SFH205
+PhotoDiode,{space}SFH205,{space}RM2.54
+PhotoDiode{space}SFH205{space}RM2.54
+0
+2
+2
+OptoDevice
+Osram_SFH225
+PhotoDiode,{space}SFH225,{space}RM2.54
+PhotoDiode{space}SFH225{space}RM2.54
+0
+2
+2
+OptoDevice
+Osram_SMD-DIL2_4.5x4.0mm
+PhotoDiode,{space}plastic{space}SMD{space}DIL,{space}4.5x4mm²
+PhotoDiode{space}plastic{space}SMD{space}DIL
+0
+2
+2
+OptoDevice
+Osram_SMD-SmartDIL
+PhotoDiode,{space}plastic{space}SMD{space}SmatDIL
+PhotoDiode{space}plastic{space}SMD{space}SmatDIL
+0
+3
+3
+OptoDevice
+Panasonic_APV-AQY_SSOP-4_4.45x2.65mm_P1.27mm
+https://www.panasonic-electric-works.com/cps/rde/xbcr/pew_eu_en/technical_information_photomos_en.pdf
+SSOP4{space}APV21{space}AQY22
+0
+4
+4
+OptoDevice
+PerkinElmer_VTL5C
+Axial{space}Vactrol{space}(http://www.qsl.net/wa1ion/vactrol/vactrol.pdf)
+vactrol
+0
+4
+4
+OptoDevice
+PerkinElmer_VTL5Cx2
+Axial{space}Vactrol{space}(http://www.qsl.net/wa1ion/vactrol/vactrol.pdf)
+vactrol
+0
+5
+5
+OptoDevice
+R_LDR_4.9x4.2mm_P2.54mm_Vertical
+Resistor,{space}LDR{space}4.9x4.2mm
+Resistor{space}LDR4.9x4.2
+0
+2
+2
+OptoDevice
+R_LDR_5.0x4.1mm_P3mm_Vertical
+Resistor,{space}LDR{space}5x4.1mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/A500/A90xxxx%23PE.pdf
+Resistor{space}LDR5x4.1mm
+0
+2
+2
+OptoDevice
+R_LDR_5.1x4.3mm_P3.4mm_Vertical
+Resistor,{space}LDR{space}5.1x3.4mm,{space}see{space}http://yourduino.com/docs/Photoresistor-5516-datasheet.pdf
+Resistor{space}LDR5.1x3.4mm
+0
+2
+2
+OptoDevice
+R_LDR_5.2x5.2mm_P3.5mm_Horizontal
+Resistor,{space}LDR{space}5.2x5.2,{space}upright,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/A500/M996011A.pdf
+Resistor{space}LDR5.2x5.2{space}
+0
+2
+2
+OptoDevice
+R_LDR_7x6mm_P5.1mm_Vertical
+Resistor,{space}LDR{space}7x6mm
+Resistor{space}LDR7x6mm
+0
+2
+2
+OptoDevice
+R_LDR_10x8.5mm_P7.6mm_Vertical
+Resistor,{space}LDR{space}10x8.5mm
+Resistor{space}LDR10.8.5mm
+0
+2
+2
+OptoDevice
+R_LDR_11x9.4mm_P8.2mm_Vertical
+Resistor,{space}LDR{space}11x9.4mm
+Resistor{space}LDR11x9.4mm
+0
+2
+2
+OptoDevice
+R_LDR_12x10.8mm_P9.0mm_Vertical
+Resistor,{space}LDR{space}12x10.8mm,{space}see{space}http://yourduino.com/docs/Photoresistor-5516-datasheet.pdf
+Resistor{space}LDR12x10.8mm
+0
+2
+2
+OptoDevice
+R_LDR_D6.4mm_P3.4mm_Vertical
+Resistor,{space}LDR{space}D=6.4mm,{space}see{space}http://yourduino.com/docs/Photoresistor-5516-datasheet.pdf
+Resistor{space}LDRD=6.4mm
+0
+2
+2
+OptoDevice
+R_LDR_D13.8mm_P9.0mm_Vertical
+Resistor,{space}diameter{space}13.8mm{space}pitch{space}9mm,{space}see{space}http://yourduino.com/docs/Photoresistor-5516-datasheet.pdf
+Resistor{space}LDR{space}
+0
+2
+2
+OptoDevice
+R_LDR_D20mm_P17.5mm_Vertical
+Resistor,{space}LDR{space}20mm{space}diameter,{space}pin{space}pitch{space}17.5mm,{space}see{space}http://yourduino.com/docs/Photoresistor-5516-datasheet.pdf
+Resistor{space}LDR
+0
+2
+2
+OptoDevice
+Sharp_GP2Y0A41SK0F
+http://www.sharp-world.com/products/device/lineup/data/pdf/datasheet/gp2y0a41sk_e.pdf
+Distance{space}Sensor{space}Sharp
+0
+2
+2
+OptoDevice
+Sharp_IS471F
+Sharp{space}OPIC{space}IS471F,{space}see{space}http://pdf.datasheetcatalog.com/datasheet/Sharp/mXvrzty.pdf
+Sharp{space}OPIC{space}IS471F
+0
+4
+4
+OptoDevice
+Sharp_IS485
+Sharp{space}OPIC,{space}IS485,{space}IS486,{space}see{space}http://microrato.ua.pt/main/Actividades/Estagios/Docs/IS485_6.pdf
+Sharp{space}OPIC{space}IS485{space}IS486
+0
+3
+3
+OptoDevice
+Siemens_SFH900
+package{space}for{space}Siemens{space}SFH900{space}reflex{space}photo{space}interrupter/coupler/object{space}detector,{space}see{space}https://www.batronix.com/pdf/sfh900.pdf
+Siemens{space}SFH900{space}reflex{space}photo{space}interrupter{space}coupler{space}object{space}detector
+0
+3
+3
+OptoDevice
+Toshiba_TORX170_TORX173_TORX193_TORX194
+Fiberoptic{space}Reciver,{space}RX,{space}Toshiba,{space}Toslink,{space}TORX170,{space}TORX173,{space}TORX193,{space}TORX194
+Fiberoptic{space}Reciver{space}RX{space}Toshiba{space}Toslink{space}TORX170{space}TORX173{space}TORX193{space}TORX194
+0
+6
+6
+OptoDevice
+Toshiba_TOTX170_TOTX173_TOTX193_TOTX194
+Fiberoptic{space}Reciver,{space}RX,{space}Toshiba,{space}Toslink,{space}TORX170,{space}TORX173,{space}TORX193,{space}TORX194
+Fiberoptic{space}Reciver{space}RX{space}Toshiba{space}Toslink{space}TORX170{space}TORX173{space}TORX193{space}TORX194
+0
+6
+6
+OptoDevice
+Vishay_CAST-3Pin
+IR{space}Receiver{space}Vishay{space}TSOP-xxxx,{space}CAST{space}package,{space}see{space}https://www.vishay.com/docs/82493/tsop311.pdf
+IRReceiverVishayTSOP-xxxx{space}CAST
+0
+3
+3
+OptoDevice
+Vishay_CNY70
+package{space}for{space}Vishay{space}CNY70{space}refective{space}photo{space}coupler/interrupter
+Vishay{space}CNY70{space}refective{space}photo{space}coupler
+0
+4
+4
+OptoDevice
+Vishay_MINICAST-3Pin
+IR{space}Receiver{space}Vishay{space}TSOP-xxxx,{space}MINICAST{space}package,{space}see{space}https://www.vishay.com/docs/82669/tsop32s40f.pdf
+IR{space}Receiver{space}Vishay{space}TSOP-xxxx{space}MINICAST
+0
+3
+3
+OptoDevice
+Vishay_MINIMOLD-3Pin
+IR{space}Receiver{space}Vishay{space}TSOP-xxxx,{space}MINIMOLD{space}package,{space}see{space}https://www.vishay.com/docs/82742/tsop331.pdf
+IR{space}Receiver{space}Vishay{space}TSOP-xxxx{space}MINIMOLD
+0
+3
+3
+OptoDevice
+Vishay_MOLD-3Pin
+IR{space}Receiver{space}Vishay{space}TSOP-xxxx,{space}MOLD{space}package,{space}see{space}https://www.vishay.com/docs/82669/tsop32s40f.pdf
+IR{space}Receiver{space}Vishay{space}TSOP-xxxx{space}MOLD
+0
+3
+3
+Oscillator
+Oscillator_DIP-8
+Oscillator,{space}DIP8,http://cdn-reichelt.de/documents/datenblatt/B400/OSZI.pdf
+oscillator
+0
+4
+4
+Oscillator
+Oscillator_DIP-8_LargePads
+Oscillator,{space}DIP8,{space}Large{space}Pads,{space}http://cdn-reichelt.de/documents/datenblatt/B400/OSZI.pdf
+oscillator
+0
+4
+4
+Oscillator
+Oscillator_DIP-14
+Oscillator,{space}DIP14,{space}http://cdn-reichelt.de/documents/datenblatt/B400/OSZI.pdf
+oscillator
+0
+4
+4
+Oscillator
+Oscillator_DIP-14_LargePads
+Oscillator,{space}DIP14,{space}Large{space}Pads,{space}http://cdn-reichelt.de/documents/datenblatt/B400/OSZI.pdf
+oscillator
+0
+4
+4
+Oscillator
+Oscillator_OCXO_Morion_MV267
+http://www.morion.com.ru/catalog_pdf/MV267.pdf
+OCXO
+0
+5
+5
+Oscillator
+Oscillator_OCXO_Morion_MV317
+https://www.morion-us.com/catalog_pdf/mv317.pdf
+OCXO
+0
+5
+5
+Oscillator
+Oscillator_SMD_Abracon_ABLNO
+https://abracon.com/Precisiontiming/ABLNO.pdf
+VCXO{space}XO
+0
+4
+4
+Oscillator
+Oscillator_SMD_Abracon_ASCO-4Pin_1.6x1.2mm
+Miniature{space}Crystal{space}Clock{space}Oscillator{space}Abracon{space}ASCO{space}series,{space}https://abracon.com/Oscillators/ASCO.pdf,{space}1.6x1.2mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator
+0
+4
+4
+Oscillator
+Oscillator_SMD_Abracon_ASDMB-4Pin_2.5x2.0mm
+Miniature{space}Crystal{space}Clock{space}Oscillator{space}Abracon{space}ASDMB{space}series,{space}2.5x2.0mm{space}package,{space}http://www.abracon.com/Oscillators/ASDMB.pdf
+SMD{space}SMT{space}crystal{space}oscillator
+0
+4
+4
+Oscillator
+Oscillator_SMD_Abracon_ASE-4Pin_3.2x2.5mm
+Miniature{space}Crystal{space}Clock{space}Oscillator{space}Abracon{space}ASE{space}series,{space}http://www.abracon.com/Oscillators/ASEseries.pdf,{space}3.2x2.5mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator
+0
+4
+4
+Oscillator
+Oscillator_SMD_Abracon_ASE-4Pin_3.2x2.5mm_HandSoldering
+Miniature{space}Crystal{space}Clock{space}Oscillator{space}Abracon{space}ASE{space}series,{space}http://www.abracon.com/Oscillators/ASEseries.pdf,{space}hand-soldering,{space}3.2x2.5mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator{space}hand-soldering
+0
+4
+4
+Oscillator
+Oscillator_SMD_Abracon_ASV-4Pin_7.0x5.1mm
+Miniature{space}Crystal{space}Clock{space}Oscillator{space}Abracon{space}ASV{space}series,{space}http://www.abracon.com/Oscillators/ASV.pdf,{space}7.0x5.1mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator
+0
+4
+4
+Oscillator
+Oscillator_SMD_Abracon_ASV-4Pin_7.0x5.1mm_HandSoldering
+Miniature{space}Crystal{space}Clock{space}Oscillator{space}Abracon{space}ASV{space}series,{space}http://www.abracon.com/Oscillators/ASV.pdf,{space}hand-soldering,{space}7.0x5.1mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator{space}hand-soldering
+0
+4
+4
+Oscillator
+Oscillator_SMD_Diodes_FN-4Pin_7.0x5.0mm
+FN{space}Series{space}Crystal{space}Clock{space}Oscillator{space}(XO){space}(https://www.diodes.com/assets/Datasheets/FN_3-3V.pdf)
+Oscillator{space}Crystal{space}SMD{space}SMT
+0
+4
+4
+Oscillator
+Oscillator_SMD_ECS_2520MV-xxx-xx-4Pin_2.5x2.0mm
+Miniature{space}Crystal{space}Clock{space}Oscillator{space}ECS{space}2520MV{space}series,{space}https://www.ecsxtal.com/store/pdf/ECS-2520MV.pdf
+Miniature{space}Crystal{space}Clock{space}Oscillator{space}ECS{space}2520MV{space}series{space}SMD{space}SMT{space}HCMOS
+0
+4
+4
+Oscillator
+Oscillator_SMD_EuroQuartz_XO32-4Pin_3.2x2.5mm
+Miniature{space}Crystal{space}Clock{space}Oscillator{space}EuroQuartz{space}XO32{space}series,{space}http://cdn-reichelt.de/documents/datenblatt/B400/XO32.pdf,{space}3.2x2.5mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator
+0
+4
+4
+Oscillator
+Oscillator_SMD_EuroQuartz_XO32-4Pin_3.2x2.5mm_HandSoldering
+Miniature{space}Crystal{space}Clock{space}Oscillator{space}EuroQuartz{space}XO32{space}series,{space}http://cdn-reichelt.de/documents/datenblatt/B400/XO32.pdf,{space}hand-soldering,{space}3.2x2.5mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator{space}hand-soldering
+0
+4
+4
+Oscillator
+Oscillator_SMD_EuroQuartz_XO53-4Pin_5.0x3.2mm
+Miniature{space}Crystal{space}Clock{space}Oscillator{space}EuroQuartz{space}XO53{space}series,{space}http://cdn-reichelt.de/documents/datenblatt/B400/XO53.pdf,{space}5.0x3.2mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator
+0
+4
+4
+Oscillator
+Oscillator_SMD_EuroQuartz_XO53-4Pin_5.0x3.2mm_HandSoldering
+Miniature{space}Crystal{space}Clock{space}Oscillator{space}EuroQuartz{space}XO53{space}series,{space}http://cdn-reichelt.de/documents/datenblatt/B400/XO53.pdf,{space}hand-soldering,{space}5.0x3.2mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator{space}hand-soldering
+0
+4
+4
+Oscillator
+Oscillator_SMD_EuroQuartz_XO91-4Pin_7.0x5.0mm
+Miniature{space}Crystal{space}Clock{space}Oscillator{space}EuroQuartz{space}XO91{space}series,{space}http://cdn-reichelt.de/documents/datenblatt/B400/XO91.pdf,{space}7.0x5.0mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator
+0
+4
+4
+Oscillator
+Oscillator_SMD_EuroQuartz_XO91-4Pin_7.0x5.0mm_HandSoldering
+Miniature{space}Crystal{space}Clock{space}Oscillator{space}EuroQuartz{space}XO91{space}series,{space}http://cdn-reichelt.de/documents/datenblatt/B400/XO91.pdf,{space}hand-soldering,{space}7.0x5.0mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator{space}hand-soldering
+0
+4
+4
+Oscillator
+Oscillator_SMD_Fordahl_DFAS1-6Pin_14.8x9.1mm
+Miniature{space}Crystal{space}Clock{space}Oscillator{space}TXCO{space}Fordahl{space}DFA{space}S1-KHZ/LHZ,{space}http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf,{space}14.8x9.1mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator
+0
+6
+6
+Oscillator
+Oscillator_SMD_Fordahl_DFAS2-4Pin_7.3x5.1mm
+Mminiature{space}Crystal{space}Clock{space}Oscillator{space}TXCO{space}Fordahl{space}DFA{space}S2-KS/LS/US,{space}http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf,{space}7.3x5.1mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator
+0
+4
+4
+Oscillator
+Oscillator_SMD_Fordahl_DFAS2-4Pin_7.3x5.1mm_HandSoldering
+Mminiature{space}Crystal{space}Clock{space}Oscillator{space}TXCO{space}Fordahl{space}DFA{space}S2-KS/LS/US,{space}http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf,{space}hand-soldering,{space}7.3x5.1mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator{space}hand-soldering
+0
+4
+4
+Oscillator
+Oscillator_SMD_Fordahl_DFAS3-4Pin_9.1x7.2mm
+Miniature{space}Crystal{space}Clock{space}Oscillator{space}TXCO{space}Fordahl{space}DFA{space}S3-KS/LS/US,{space}http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf,{space}9.1x7.2mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator
+0
+4
+4
+Oscillator
+Oscillator_SMD_Fordahl_DFAS3-4Pin_9.1x7.2mm_HandSoldering
+Miniature{space}Crystal{space}Clock{space}Oscillator{space}TXCO{space}Fordahl{space}DFA{space}S3-KS/LS/US,{space}http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf,{space}hand-soldering,{space}9.1x7.2mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator{space}hand-soldering
+0
+4
+4
+Oscillator
+Oscillator_SMD_Fordahl_DFAS7-4Pin_19.9x12.9mm
+Miniature{space}Crystal{space}Clock{space}Oscillator{space}TXCO{space}Fordahl{space}DFA{space}S7-K/L,{space}http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf,{space}19.9x12.9mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator
+0
+4
+4
+Oscillator
+Oscillator_SMD_Fordahl_DFAS7-4Pin_19.9x12.9mm_HandSoldering
+Miniature{space}Crystal{space}Clock{space}Oscillator{space}TXCO{space}Fordahl{space}DFA{space}S7-K/L,{space}http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf,{space}hand-soldering,{space}19.9x12.9mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator{space}hand-soldering
+0
+4
+4
+Oscillator
+Oscillator_SMD_Fordahl_DFAS11-4Pin_7.0x5.0mm
+Miniature{space}Crystal{space}Clock{space}Oscillator{space}TXCO{space}Fordahl{space}DFA{space}S11-OV/UOV,{space}http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf,{space}7.0x5.0mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator
+0
+4
+4
+Oscillator
+Oscillator_SMD_Fordahl_DFAS11-4Pin_7.0x5.0mm_HandSoldering
+Miniature{space}Crystal{space}Clock{space}Oscillator{space}TXCO{space}Fordahl{space}DFA{space}S11-OV/UOV,{space}http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf,{space}hand-soldering,{space}7.0x5.0mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator{space}hand-soldering
+0
+4
+4
+Oscillator
+Oscillator_SMD_Fordahl_DFAS15-4Pin_5.0x3.2mm
+Ultraminiature{space}Crystal{space}Clock{space}Oscillator{space}TXCO{space}Fordahl{space}DFA{space}S15-OV/UOV,{space}http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf,{space}5.0x3.2mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator
+0
+4
+4
+Oscillator
+Oscillator_SMD_Fordahl_DFAS15-4Pin_5.0x3.2mm_HandSoldering
+Ultraminiature{space}Crystal{space}Clock{space}Oscillator{space}TXCO{space}Fordahl{space}DFA{space}S15-OV/UOV,{space}http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf,{space}hand-soldering,{space}5.0x3.2mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator{space}hand-soldering
+0
+4
+4
+Oscillator
+Oscillator_SMD_IQD_IQXO70-4Pin_7.5x5.0mm
+IQD{space}Crystal{space}Clock{space}Oscillator{space}IQXO-70,{space}http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf,{space}7.5x5.0mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator
+0
+4
+4
+Oscillator
+Oscillator_SMD_IQD_IQXO70-4Pin_7.5x5.0mm_HandSoldering
+IQD{space}Crystal{space}Clock{space}Oscillator{space}IQXO-70,{space}http://www.iqdfrequencyproducts.com/products/details/iqxo-70-11-30.pdf,{space}hand-soldering,{space}7.5x5.0mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator{space}hand-soldering
+0
+4
+4
+Oscillator
+Oscillator_SMD_Kyocera_2520-6Pin_2.5x2.0mm
+https://global.kyocera.com/prdct/electro/product/pdf/kt2520_e.pdf
+2.5mm{space}2mm{space}SMD
+0
+6
+6
+Oscillator
+Oscillator_SMD_OCXO_ConnorWinfield_OH300
+http://www.conwin.com/datasheets/cx/cx282.pdf
+OCXO
+0
+7
+7
+Oscillator
+Oscillator_SMD_SI570_SI571_HandSoldering
+SI570,{space}SI571,{space}Programmable{space}oscillator,{space}Standard
+SI570{space}SI571{space}Programmable{space}oscillator{space}Standard
+0
+8
+8
+Oscillator
+Oscillator_SMD_SI570_SI571_Standard
+SI570,{space}SI571,{space}Programmable{space}oscillator,{space}Standard
+SI570{space}SI571{space}Programmable{space}oscillator{space}Standard
+0
+8
+8
+Oscillator
+Oscillator_SMD_SeikoEpson_SG210-4Pin_2.5x2.0mm
+SMD{space}Crystal{space}Oscillator{space}Seiko{space}Epson{space}SG-210{space}https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-210SED,{space}2.5x2.0mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator
+0
+4
+4
+Oscillator
+Oscillator_SMD_SeikoEpson_SG210-4Pin_2.5x2.0mm_HandSoldering
+SMD{space}Crystal{space}Oscillator{space}Seiko{space}Epson{space}SG-210{space}https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-210SED,{space}hand-soldering,{space}2.5x2.0mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator{space}hand-soldering
+0
+4
+4
+Oscillator
+Oscillator_SMD_SeikoEpson_SG3030CM
+SMD{space}Crystal{space}Oscillator{space}Seiko{space}Epson{space}SG-3030CM{space}package
+SMD{space}SMT{space}crystal{space}oscillator
+0
+4
+4
+Oscillator
+Oscillator_SMD_SeikoEpson_SG8002CA-4Pin_7.0x5.0mm
+SMD{space}Crystal{space}Oscillator{space}Seiko{space}Epson{space}SG-8002CA{space}https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-8002DC,{space}7.0x5.0mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator
+0
+4
+4
+Oscillator
+Oscillator_SMD_SeikoEpson_SG8002CA-4Pin_7.0x5.0mm_HandSoldering
+SMD{space}Crystal{space}Oscillator{space}Seiko{space}Epson{space}SG-8002CA{space}https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-8002DC,{space}hand-soldering,{space}7.0x5.0mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator{space}hand-soldering
+0
+4
+4
+Oscillator
+Oscillator_SMD_SeikoEpson_SG8002CE-4Pin_3.2x2.5mm
+SMD{space}Crystal{space}Oscillator{space}Seiko{space}Epson{space}SG-8002CE{space}https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-8002DC,{space}3.2x2.5mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator
+0
+4
+4
+Oscillator
+Oscillator_SMD_SeikoEpson_SG8002CE-4Pin_3.2x2.5mm_HandSoldering
+SMD{space}Crystal{space}Oscillator{space}Seiko{space}Epson{space}SG-8002CE{space}https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-8002DC,{space}hand-soldering,{space}3.2x2.5mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator{space}hand-soldering
+0
+4
+4
+Oscillator
+Oscillator_SMD_SeikoEpson_SG8002JA-4Pin_14.0x8.7mm
+SMD{space}Crystal{space}Oscillator{space}Seiko{space}Epson{space}SG-8002JA{space}https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-8002DC,{space}14.0x8.7mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator
+0
+4
+4
+Oscillator
+Oscillator_SMD_SeikoEpson_SG8002JA-4Pin_14.0x8.7mm_HandSoldering
+SMD{space}Crystal{space}Oscillator{space}Seiko{space}Epson{space}SG-8002JA{space}https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-8002DC,{space}hand-soldering,{space}14.0x8.7mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator{space}hand-soldering
+0
+4
+4
+Oscillator
+Oscillator_SMD_SeikoEpson_SG8002JC-4Pin_10.5x5.0mm
+SMD{space}Crystal{space}Oscillator{space}Seiko{space}Epson{space}SG-8002JC{space}https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-8002DC,{space}10.5x5.0mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator
+0
+4
+4
+Oscillator
+Oscillator_SMD_SeikoEpson_SG8002JC-4Pin_10.5x5.0mm_HandSoldering
+SMD{space}Crystal{space}Oscillator{space}Seiko{space}Epson{space}SG-8002JC{space}https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-8002DC,{space}hand-soldering,{space}10.5x5.0mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator{space}hand-soldering
+0
+4
+4
+Oscillator
+Oscillator_SMD_SeikoEpson_SG8002LB-4Pin_5.0x3.2mm
+SMD{space}Crystal{space}Oscillator{space}Seiko{space}Epson{space}SG-8002LB{space}https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-8002DC,{space}5.0x3.2mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator
+0
+4
+4
+Oscillator
+Oscillator_SMD_SeikoEpson_SG8002LB-4Pin_5.0x3.2mm_HandSoldering
+SMD{space}Crystal{space}Oscillator{space}Seiko{space}Epson{space}SG-8002LB{space}https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-8002DC,{space}hand-soldering,{space}5.0x3.2mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator{space}hand-soldering
+0
+4
+4
+Oscillator
+Oscillator_SMD_Silicon_Labs_LGA-6_2.5x3.2mm_P1.25mm
+Silicon_Labs{space}{space}LGA,{space}6{space}Pin{space}(https://www.silabs.com/documents/public/data-sheets/si512-13.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+Silicon_Labs{space}LGA{space}NoLead
+0
+6
+6
+Oscillator
+Oscillator_SMD_TCXO_G158
+TCXO
+TCXO
+0
+4
+4
+Oscillator
+Oscillator_SMD_TXC_7C-4Pin_5.0x3.2mm
+Miniature{space}Crystal{space}Clock{space}Oscillator{space}TXC{space}7C{space}series,{space}http://www.txccorp.com/download/products/osc/7C_o.pdf,{space}5.0x3.2mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator
+0
+4
+4
+Oscillator
+Oscillator_SMD_TXC_7C-4Pin_5.0x3.2mm_HandSoldering
+Miniature{space}Crystal{space}Clock{space}Oscillator{space}TXC{space}7C{space}series,{space}http://www.txccorp.com/download/products/osc/7C_o.pdf,{space}hand-soldering,{space}5.0x3.2mm^2{space}package
+SMD{space}SMT{space}crystal{space}oscillator{space}hand-soldering
+0
+4
+4
+Oscillator
+Oscillator_SeikoEpson_SG-8002DB
+14-lead{space}dip{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+DIL{space}DIP{space}PDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+4
+4
+Oscillator
+Oscillator_SeikoEpson_SG-8002DC
+8-lead{space}dip{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+DIL{space}DIP{space}PDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+4
+4
+Package_BGA
+Analog_BGA-28_4.0x6.25mm_Layout4x7_P0.8mm_Ball0.45mm_Pad0.4
+Analog{space}BGA-28{space}4.0mm{space}x{space}6.25mm{space}package,{space}pitch{space}0.4mm{space}pad,{space}based{space}on{space}https://www.analog.com/media/en/technical-documentation/data-sheets/8063fa.pdf
+BGA{space}28{space}0.8
+0
+28
+28
+Package_BGA
+BGA-9_1.6x1.6mm_Layout3x3_P0.5mm
+BGA-9,{space}http://www.ti.com/lit/ds/symlink/bq27421-g1.pdf
+BGA-9
+0
+9
+9
+Package_BGA
+BGA-16_1.92x1.92mm_Layout4x4_P0.5mm
+BGA-16,{space}http://www.st.com/content/ccc/resource/technical/document/datasheet/group2/bc/cd/62/9e/8f/30/47/69/CD00151267/files/CD00151267.pdf/jcr:content/translations/en.CD00151267.pdf
+BGA-16
+0
+16
+16
+Package_BGA
+BGA-25_6.35x6.35mm_Layout5x5_P1.27mm
+BGA-25,{space}http://cds.linear.com/docs/en/datasheet/4624fc.pdf
+BGA-25{space}uModule
+0
+25
+25
+Package_BGA
+BGA-36_3.396x3.466mm_Layout6x6_P0.4mm_Ball0.25mm_Pad0.2mm_NSMD
+Altera{space}V36,{space}https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/packaging/04r00486-00.pdf
+Altera{space}BGA-36{space}V36{space}VBGA
+0
+36
+36
+Package_BGA
+BGA-48_8.0x9.0mm_Layout6x8_P0.8mm
+BGA-48{space}-{space}pitch{space}0.8{space}mm
+BGA-48
+0
+48
+48
+Package_BGA
+BGA-64_9.0x9.0mm_Layout10x10_P0.8mm
+BGA-64,{space}10x10{space}raster,{space}9x9mm{space}package,{space}pitch{space}0.8mm
+BGA-64
+0
+64
+64
+Package_BGA
+BGA-68_5.0x5.0mm_Layout9x9_P0.5mm_Ball0.3mm_Pad0.25mm_NSMD
+Altera{space}MBGA-68,{space}https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/packaging/04r00344-01.pdf
+Altera{space}BGA-68{space}M68{space}MBGA
+0
+68
+68
+Package_BGA
+BGA-81_4.496x4.377mm_Layout9x9_P0.4mm_Ball0.25mm_Pad0.2mm_NSMD
+Altera{space}V81,{space}https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/packaging/04r00478-01.pdf
+Altera{space}VBGA{space}V81{space}BGA-81
+0
+81
+81
+Package_BGA
+BGA-90_8.0x13.0mm_Layout2x3x15_P0.8mm
+BGA-90,{space}http://www.issi.com/WW/pdf/42-45S32800J.pdf
+BGA-90
+0
+90
+90
+Package_BGA
+BGA-96_9.0x13.0mm_Layout2x3x16_P0.8mm
+BGA-96,{space}http://www.mouser.com/ds/2/198/43-46TR16640B-81280BL-706483.pdf
+BGA-96
+0
+96
+96
+Package_BGA
+BGA-100_6.0x6.0mm_Layout11x11_P0.5mm_Ball0.3mm_Pad0.25mm_NSMD
+Altera{space}MBGA-100,{space}https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/packaging/04r00345-01.pdf
+Altera{space}BGA-100{space}M100{space}MBGA
+0
+100
+100
+Package_BGA
+BGA-100_11.0x11.0mm_Layout10x10_P1.0mm_Ball0.5mm_Pad0.4mm_NSMD
+BGA-100,{space}https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/packaging/04r00223-02.pdf
+BGA-100
+0
+100
+100
+Package_BGA
+BGA-121_9.0x9.0mm_Layout11x11_P0.8mm_Ball0.4mm_Pad0.35mm_NSMD
+121-ball,{space}0.8mm{space}BGA{space}(based{space}on{space}http://www.latticesemi.com/view_document?document_id=213)
+BGA{space}0.8mm{space}9mm{space}121
+0
+121
+121
+Package_BGA
+BGA-121_12.0x12.0mm_Layout11x11_P1.0mm
+BGA-121,{space}http://cds.linear.com/docs/en/packaging/05081891_A_bga121.pdf
+BGA-121
+0
+121
+121
+Package_BGA
+BGA-132_12x18mm_Layout11x17_P0.5mm
+BGA-132{space}11x17{space}12x18mm{space}0.5pitch
+BGA-132
+0
+132
+132
+Package_BGA
+BGA-144_7.0x7.0mm_Layout13x13_P0.5mm_Ball0.3mm_Pad0.25mm_NSMD
+Altera{space}MBGA-144,{space}https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/packaging/04r00346-00.pdf
+Altera{space}BGA-144{space}M144{space}MBGA
+0
+144
+144
+Package_BGA
+BGA-144_13.0x13.0mm_Layout12x12_P1.0mm
+BGA-144,{space}http://www.topline.tv/drawings/pdf/BGA%201,0mm%20pitch/LBGA144T1.0-DC128.pdf
+BGA-144
+0
+144
+144
+Package_BGA
+BGA-152_14x18mm_Layout13x17_P0.5mm
+BGA-152_14x18mm_Layout13x17_P0.5mm
+VBGA-152
+0
+152
+152
+Package_BGA
+BGA-153_8.0x8.0mm_Layout15x15_P0.5mm_Ball0.3mm_Pad0.25mm_NSMD
+Altera{space}MBGA-153,{space}https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/packaging/04r00471-00.pdf
+Altera{space}BGA-153{space}M153{space}MBGA
+0
+153
+153
+Package_BGA
+BGA-169_11.0x11.0mm_Layout13x13_P0.8mm_Ball0.5mm_Pad0.4mm_NSMD
+Altera{space}U169,{space}https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/packaging/04r00470-01.pdf
+Altera{space}UBGA{space}U169{space}BGA-169
+0
+169
+169
+Package_BGA
+BGA-256_11.0x11.0mm_Layout20x20_P0.5mm_Ball0.3mm_Pad0.25mm_NSMD
+Altera{space}MBGA-256,{space}https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/packaging/04r00348-01.pdf
+Altera{space}BGA-256{space}M256{space}MBGA
+0
+256
+256
+Package_BGA
+BGA-256_14.0x14.0mm_Layout16x16_P0.8mm_Ball0.45mm_Pad0.32mm_NSMD
+BGA-256,{space}dimensions:{space}https://www.xilinx.com/support/documentation/package_specs/ft256.pdf,{space}design{space}rules:{space}https://www.xilinx.com/support/documentation/user_guides/ug1099-bga-device-design-rules.pdf
+BGA-256
+0
+256
+256
+Package_BGA
+BGA-256_17.0x17.0mm_Layout16x16_P1.0mm_Ball0.5mm_Pad0.4mm_NSMD
+BGA-256,{space}dimensions:{space}https://www.xilinx.com/support/documentation/package_specs/ft256.pdf,{space}design{space}rules:{space}https://www.xilinx.com/support/documentation/user_guides/ug1099-bga-device-design-rules.pdf
+BGA-256
+0
+256
+256
+Package_BGA
+BGA-324_15.0x15.0mm_Layout18x18_P0.8mm_Ball0.5mm_Pad0.4mm_NSMD
+Altera{space}U324,{space}https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/packaging/04r00474-02.pdf
+Altera{space}UBGA{space}U324{space}BGA-324
+0
+324
+324
+Package_BGA
+BGA-324_19.0x19.0mm_Layout18x18_P1.0mm_Ball0.5mm_Pad0.4mm_NSMD
+BGA-324,{space}https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/packaging/04r00233-03.pdf
+BGA-324
+0
+324
+324
+Package_BGA
+BGA-352_35.0x35.0mm_Layout26x26_P1.27mm
+BGA-352,{space}https://www.fujitsu.com/downloads/MICRO/fma/pdfmcu/b352p05.pdf
+BGA-352
+0
+352
+352
+Package_BGA
+BGA-400_21.0x21.0mm_Layout20x20_P1.0mm
+BGA-400,{space}https://www.xilinx.com/support/documentation/package_specs/fg400.pdf
+BGA-400
+0
+400
+400
+Package_BGA
+BGA-484_23.0x23.0mm_Layout22x22_P1.0mm
+BGA-484,{space}https://www.xilinx.com/support/documentation/package_specs/fg484.pdf
+BGA-484
+0
+484
+484
+Package_BGA
+BGA-624_21.0x21.0mm_Layout25x25_P0.8mm
+BGA-624,{space}25x25{space}grid,{space}21x21mm{space}package,{space}pitch{space}0.8mm;{space}https://www.nxp.com/docs/en/package-information/SOT1529-1.pdf
+BGA{space}624{space}0.8
+0
+624
+624
+Package_BGA
+BGA-625_21.0x21.0mm_Layout25x25_P0.8mm
+BGA-625
+BGA-625
+0
+625
+625
+Package_BGA
+BGA-672_27.0x27.0mm_Layout26x26_P1.0mm_Ball0.6mm_Pad0.5mm_NSMD
+Altera{space}BGA-672,{space}https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/packaging/04r00472-00.pdf
+Altera{space}BGA-672{space}F672{space}FBGA
+0
+672
+672
+Package_BGA
+BGA-676_27.0x27.0mm_Layout26x26_P1.0mm_Ball0.6mm_Pad0.5mm_NSMD
+XILINX{space}BGA-676,{space}https://www.xilinx.com/support/documentation/package_specs/fg676.pdf
+XILINX{space}BGA-676{space}FG676/FGG676
+0
+676
+676
+Package_BGA
+BGA-1023_33.0x33.0mm_Layout32x32_P1.0mm
+BGA-1023
+BGA-1023
+0
+1023
+1023
+Package_BGA
+BGA-1156_35.0x35.0mm_Layout34x34_P1.0mm
+BGA-1156
+BGA-1156
+0
+1156
+1156
+Package_BGA
+BGA-1295_37.5x37.5mm_Layout36x36_P1.0mm
+BGA-1295
+BGA-1295
+0
+1295
+1295
+Package_BGA
+FB-BGA-484_23.0x23.0mm_Layout22x22_P1.0mm
+Xilinx{space}FB-484,{space}https://www.xilinx.com/support/documentation/user_guides/ug1099-bga-device-design-rules.pdf
+FB-BGA-484
+0
+484
+484
+Package_BGA
+FBGA-78_7.5x11mm_Layout2x3x13_P0.8mm
+FBGA-78,{space}https://www.skhynix.com/product/filedata/fileDownload.do?seq=7687
+FBGA-78
+0
+78
+78
+Package_BGA
+Fujitsu_WLP-15_2.28x3.092mm_Layout3x5_P0.4mm
+WLP-15,{space}3x5{space}raster,{space}2.28x3.092mm{space}package,{space}pitch{space}0.4mm;{space}http://www.fujitsu.com/global/documents/products/devices/semiconductor/fram/lineup/MB85RS1MT-DS501-00022-7v0-E.pdf
+BGA{space}8{space}0.4
+0
+8
+8
+Package_BGA
+LFBGA-100_10x10mm_Layout10x10_P0.8mm
+LFBGA-100,{space}10x10{space}raster,{space}10x10mm{space}package,{space}pitch{space}0.8mm;{space}see{space}section{space}6.3{space}of{space}http://www.st.com/resource/en/datasheet/stm32f103tb.pdf
+BGA{space}100{space}0.8
+0
+100
+100
+Package_BGA
+LFBGA-144_10x10mm_Layout12x12_P0.8mm
+LFBGA-144,{space}12x12{space}raster,{space}10x10mm{space}package,{space}pitch{space}0.8mm;{space}see{space}section{space}6.1{space}of{space}http://www.st.com/resource/en/datasheet/stm32f103ze.pdf
+BGA{space}144{space}0.8
+0
+144
+144
+Package_BGA
+LFBGA-169_16x12mm_Layout28x14_P0.5mm_Ball0.3_Pad0.3mm_NSMD
+https://4donline.ihs.com/images/VipMasterIC/IC/SGST/SGSTS20279/SGSTS20279-1.pdf?hkey=EF798316E3902B6ED9A73243A3159BB0
+eMMC{space}Flash{space}LFBGA169
+0
+169
+169
+Package_BGA
+Lattice_caBGA-381_17.0x17.0mm_Layout20x20_P0.8mm_Ball0.4mm_Pad0.4mm_NSMD
+Lattice{space}caBGA-381{space}footprint{space}for{space}ECP5{space}FPGAs,{space}based{space}on{space}http://www.latticesemi.com/view_document?document_id=213
+BGA{space}381{space}0.8
+0
+381
+381
+Package_BGA
+Lattice_caBGA-381_17.0x17.0mm_Layout20x20_P0.8mm_Ball0.4mm_Pad0.6mm_SMD
+Lattice{space}caBGA-381{space}footprint{space}for{space}ECP5{space}FPGAs,{space}based{space}on{space}http://www.latticesemi.com/view_document?document_id=213
+BGA{space}381{space}0.8
+0
+381
+381
+Package_BGA
+Linear_BGA-133_15.0x15.0_Layout12x12_P1.27mm
+Analog{space}Devices{space}(Linear{space}Tech),{space}133-pin{space}BGA{space}uModule,{space}15.0x15.0x4.92mm,{space}https://www.analog.com/media/en/technical-documentation/data-sheets/4637fc.pdf
+133{space}pin{space}bga
+0
+134
+134
+Package_BGA
+Maxim_WLP-12
+Maxim_WLP-12{space}W121B2+1{space}http://pdfserv.maximintegrated.com/package_dwgs/21-0009.PDF
+Maxim_WLP-12
+0
+12
+12
+Package_BGA
+TFBGA-64_5x5mm_Layout8x8_P0.5mm
+TFBGA-64,{space}8x8{space}raster,{space}5x5mm{space}package,{space}pitch{space}0.5mm;{space}see{space}section{space}6.3{space}of{space}http://www.st.com/resource/en/datasheet/stm32f100v8.pdf
+BGA{space}64{space}0.5
+0
+64
+64
+Package_BGA
+TFBGA-100_8x8mm_Layout10x10_P0.8mm
+TFBGA-100,{space}10x10{space}raster,{space}8x8mm{space}package,{space}pitch{space}0.8mm;{space}see{space}section{space}6.2{space}of{space}http://www.st.com/resource/en/datasheet/stm32f746zg.pdf
+BGA{space}100{space}0.8
+0
+100
+100
+Package_BGA
+TFBGA-100_9.0x9.0mm_Layout10x10_P0.8mm
+TFBGA-100,{space}10x10,{space}9x9mm{space}package,{space}pitch{space}0.8mm
+TFBGA-100
+0
+100
+100
+Package_BGA
+TFBGA-121_10x10mm_Layout11x11_P0.8mm
+TFBGA-121,{space}11x11{space}raster,{space}10x10mm{space}package,{space}pitch{space}0.8mm;{space}http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#p495
+BGA{space}121{space}0.8
+0
+121
+121
+Package_BGA
+TFBGA-216_13x13mm_Layout15x15_P0.8mm
+TFBGA-216,{space}15x15{space}raster,{space}13x13mm{space}package,{space}pitch{space}0.8mm;{space}see{space}section{space}6.8{space}of{space}http://www.st.com/resource/en/datasheet/stm32f746zg.pdf
+BGA{space}216{space}0.8
+0
+216
+216
+Package_BGA
+TFBGA-265_14x14mm_Layout17x17_P0.8mm
+TFBGA-265,{space}17x17{space}raster,{space}14x14mm{space}package,{space}pitch{space}0.8mm;{space}see{space}section{space}7.8{space}of{space}http://www.st.com/resource/en/datasheet/DM00387108.pdf
+BGA{space}265{space}0.8
+0
+265
+265
+Package_BGA
+Texas_DSBGA-5_0.822x1.116mm_Layout2x1x2_P0.4mm
+Texas{space}Instruments,{space}DSBGA,{space}0.822x1.116mm,{space}5{space}bump{space}2x1x2{space}array,{space}NSMD{space}pad{space}definition{space}(http://www.ti.com/lit/ds/symlink/opa330.pdf,{space}http://www.ti.com/lit/an/snva009ag/snva009ag.pdf)
+Texas{space}Instruments{space}DSBGA{space}BGA{space}YFF{space}S-XBGA-N5
+0
+10
+5
+Package_BGA
+Texas_DSBGA-6_0.9x1.4mm_Layout2x3_P0.5mm
+Texas{space}Instruments,{space}DSBGA,{space}0.9x1.4mm,{space}6{space}bump{space}2x3{space}(perimeter){space}array,{space}NSMD{space}pad{space}definition{space}(http://www.ti.com/lit/ds/symlink/ts5a3159a.pdf)
+Texas{space}Instruments{space}DSBGA{space}BGA{space}YZP{space}R-XBGA-N6
+0
+12
+6
+Package_BGA
+Texas_DSBGA-8_0.9x1.9mm_Layout2x4_P0.5mm
+Texas{space}Instruments,{space}DSBGA,{space}0.9x1.9mm,{space}8{space}bump{space}2x4{space}(perimeter){space}array,{space}NSMD{space}pad{space}definition{space}(http://www.ti.com/lit/ds/symlink/txb0102.pdf,{space}http://www.ti.com/lit/an/snva009ag/snva009ag.pdf)
+Texas{space}Instruments{space}DSBGA{space}BGA{space}YZP{space}R-XBGA-N8
+0
+16
+8
+Package_BGA
+Texas_DSBGA-8_1.43x1.41mm_Layout3x3_P0.5mm
+Texas{space}Instruments,{space}DSBGA,{space}1.43x1.41mm,{space}8{space}bump{space}3x3{space}(perimeter){space}array,{space}NSMD{space}pad{space}definition{space}(http://www.ti.com/lit/ds/symlink/lmc555.pdf,{space}http://www.ti.com/lit/an/snva009ag/snva009ag.pdf)
+Texas{space}Instruments{space}DSBGA{space}BGA{space}YZP{space}R-XBGA-N8
+0
+16
+8
+Package_BGA
+Texas_DSBGA-8_1.5195x1.5195mm_Layout3x3_P0.5mm
+Texas{space}Instruments,{space}DSBGA,{space}1.5195x1.5195x0.600mm,{space}8{space}ball{space}3x3{space}area{space}grid,{space}YZR{space}pad{space}definition{space}(http://www.ti.com/lit/ml/mxbg270/mxbg270.pdf)
+BGA{space}8{space}0.5
+0
+8
+8
+Package_BGA
+Texas_DSBGA-9_1.4715x1.4715mm_Layout3x3_P0.5mm
+Texas{space}Instruments,{space}DSBGA,{space}1.4715x1.4715mm,{space}9{space}bump{space}3x3{space}array,{space}NSMD{space}pad{space}definition{space}(http://www.ti.com/lit/ds/symlink/lm4990.pdf,{space}http://www.ti.com/lit/an/snva009ag/snva009ag.pdf)
+Texas{space}Instruments{space}DSBGA{space}BGA{space}YZR0009
+0
+18
+9
+Package_BGA
+Texas_DSBGA-10_1.36x1.86mm_Layout3x4_P0.5mm
+Texas{space}Instruments,{space}DSBGA,{space}1.36x1.86mm,{space}10{space}bump{space}3x4{space}(perimeter){space}array,{space}NSMD{space}pad{space}definition{space}(http://www.ti.com/lit/ds/symlink/txs0104e.pdf,{space}http://www.ti.com/lit/an/snva009ag/snva009ag.pdf)
+Texas{space}Instruments{space}DSBGA{space}BGA
+0
+20
+10
+Package_BGA
+Texas_DSBGA-12_1.36x1.86mm_Layout3x4_P0.5mm
+Texas{space}Instruments,{space}DSBGA,{space}1.36x1.86mm,{space}12{space}bump{space}3x4{space}(area){space}array,{space}NSMD{space}pad{space}definition{space}(http://www.ti.com/lit/ds/symlink/txs0104e.pdf,{space}http://www.ti.com/lit/an/snva009ag/snva009ag.pdf)
+Texas{space}Instruments{space}DSBGA{space}BGA
+0
+24
+12
+Package_BGA
+Texas_DSBGA-28_1.9x3.0mm_Layout4x7_P0.4mm
+Texas{space}Instruments,{space}DSBGA,{space}3.0x1.9x0.625mm,{space}28{space}ball{space}7x4{space}area{space}grid,{space}NSMD{space}pad{space}definition{space}(http://www.ti.com/lit/ds/symlink/bq51050b.pdf,{space}http://www.ti.com/lit/an/snva009ag/snva009ag.pdf)
+BGA{space}28{space}0.4
+0
+28
+28
+Package_BGA
+Texas_DSBGA-49_3.33x3.488mm_Layout7x7_P0.4mm
+Texas{space}Instruments,{space}DSBGA,{space}3.33x3.488x0.625mm,{space}49{space}ball{space}7x7{space}area{space}grid,{space}NSMD{space}pad{space}definition{space}(http://www.ti.com/lit/ds/symlink/msp430f2234.pdf,{space}http://www.ti.com/lit/an/snva009ag/snva009ag.pdf)
+texas{space}dsbga{space}49
+0
+49
+49
+Package_BGA
+Texas_DSBGA-64_3.415x3.535mm_Layout8x8_P0.4mm
+Texas{space}Instruments,{space}DSBGA,{space}3.415x3.535x0.625mm,{space}64{space}ball{space}8x8{space}area{space}grid,{space}NSMD{space}pad{space}definition{space}(http://www.ti.com/lit/ds/slas718g/slas718g.pdf,{space}http://www.ti.com/lit/an/snva009ag/snva009ag.pdf)
+texas{space}dsbga{space}64
+0
+64
+64
+Package_BGA
+Texas_MicroStar_Junior_BGA-12_2.0x2.5mm_Layout4x3_P0.5mm
+Texas{space}Instruments,{space}BGA{space}Microstar{space}Junior,{space}2x2.5mm,{space}12{space}bump{space}4x3{space}grid,{space}NSMD{space}pad{space}definition{space}(http://www.ti.com/lit/ds/symlink/txb0104.pdf,{space}http://www.ti.com/lit/wp/ssyz015b/ssyz015b.pdf)
+Texas_Junior_BGA-12
+0
+12
+12
+Package_BGA
+Texas_MicroStar_Junior_BGA-80_5.0x5.0mm_Layout9x9_P0.5mm
+Texas{space}Instruments,{space}BGA{space}Microstar{space}Junior,{space}5x5mm,{space}80{space}ball{space}9x9{space}grid,{space}NSMD{space}pad{space}definition{space}(http://www.ti.com/lit/ds/symlink/tlv320aic23b.pdf,{space}http://www.ti.com/lit/wp/ssyz015b/ssyz015b.pdf)
+Texas_Junior_BGA-80
+0
+80
+80
+Package_BGA
+Texas_MicroStar_Junior_BGA-113_7.0x7.0mm_Layout12x12_P0.5mm
+Texas{space}Instruments,{space}BGA{space}Microstar{space}Junior,{space}7x7mm,{space}113{space}ball{space}12x12{space}grid,{space}NSMD{space}pad{space}definition{space}(http://www.ti.com/lit/ml/mpbg674/mpbg674.pdf,{space}http://www.ti.com/lit/wp/ssyz015b/ssyz015b.pdf)
+Texas_Junior_BGA-113
+0
+113
+113
+Package_BGA
+UCBGA-36_2.5x2.5mm_Layout6x6_P0.4mm
+UCBGA-36,{space}6x6{space}raster,{space}2.5x2.5mm{space}package,{space}pitch{space}0.4mm;{space}https://www.latticesemi.com/view_document?document_id=213
+BGA{space}36{space}0.4
+0
+36
+36
+Package_BGA
+UCBGA-49_3x3mm_Layout7x7_P0.4mm
+UCBGA-49,{space}7x7{space}raster,{space}3x3mm{space}package,{space}pitch{space}0.4mm;{space}https://www.latticesemi.com/view_document?document_id=213
+BGA{space}49{space}0.4
+0
+49
+49
+Package_BGA
+UCBGA-81_4x4mm_Layout9x9_P0.4mm
+UCBGA-81,{space}9x9{space}raster,{space}4x4mm{space}package,{space}pitch{space}0.4mm;{space}https://www.latticesemi.com/view_document?document_id=213
+BGA{space}81{space}0.4
+0
+81
+81
+Package_BGA
+UFBGA-15_3.0x3.0mm_Layout4x4_P0.65mm
+UFBGA-15,{space}4x4,{space}3x3mm{space}package,{space}pitch{space}0.65mm
+UFBGA-15
+0
+15
+15
+Package_BGA
+UFBGA-32_4.0x4.0mm_Layout6x6_P0.5mm
+UFBGA-32,{space}6x6,{space}4x4mm{space}package,{space}pitch{space}0.5mm
+UFBGA-32
+0
+32
+32
+Package_BGA
+UFBGA-64_5x5mm_Layout8x8_P0.5mm
+UFBGA-64,{space}8x8{space}raster,{space}5x5mm{space}package,{space}pitch{space}0.5mm;{space}see{space}section{space}7.1{space}of{space}http://www.st.com/resource/en/datasheet/stm32f051t8.pdf
+BGA{space}64{space}0.5
+0
+64
+64
+Package_BGA
+UFBGA-100_7x7mm_Layout12x12_P0.5mm
+UFBGA-100,{space}12x12{space}raster,{space}7x7mm{space}package,{space}pitch{space}0.5mm;{space}see{space}section{space}7.1{space}of{space}http://www.st.com/resource/en/datasheet/stm32f103tb.pdf
+BGA{space}100{space}0.5
+0
+100
+100
+Package_BGA
+UFBGA-132_7x7mm_Layout12x12_P0.5mm
+UFBGA-132,{space}12x12{space}raster,{space}7x7mm{space}package,{space}pitch{space}0.5mm;{space}see{space}section{space}7.4{space}of{space}http://www.st.com/resource/en/datasheet/stm32l152zc.pdf
+BGA{space}132{space}0.5
+0
+132
+132
+Package_BGA
+UFBGA-132_7x7mm_P0.5mm
+UFBGA{space}132{space}Pins,{space}0.5mm{space}Pitch,{space}0.3mm{space}Ball,{space}http://www.st.com/resource/en/datasheet/stm32l486qg.pdf
+ufbga{space}bga{space}small-pitch
+0
+132
+132
+Package_BGA
+UFBGA-144_7x7mm_Layout12x12_P0.5mm
+UFBGA-144,{space}12x12{space}raster,{space}7x7mm{space}package,{space}pitch{space}0.5mm;{space}see{space}section{space}7.4{space}of{space}http://www.st.com/resource/en/datasheet/stm32f446ze.pdf
+BGA{space}144{space}0.5
+0
+144
+144
+Package_BGA
+UFBGA-144_10x10mm_Layout12x12_P0.8mm
+UFBGA-144,{space}12x12{space}raster,{space}10x10mm{space}package,{space}pitch{space}0.8mm;{space}see{space}section{space}7.5{space}of{space}http://www.st.com/resource/en/datasheet/stm32f446ze.pdf
+BGA{space}144{space}0.8
+0
+144
+144
+Package_BGA
+UFBGA-169_7x7mm_Layout13x13_P0.5mm
+UFBGA-169,{space}13x13{space}raster,{space}7x7mm{space}package,{space}pitch{space}0.5mm;{space}see{space}section{space}7.6{space}of{space}http://www.st.com/resource/en/datasheet/stm32f429ng.pdf
+BGA{space}169{space}0.5
+0
+169
+169
+Package_BGA
+UFBGA-201_10x10mm_Layout15x15_P0.65mm
+UFBGA-201,{space}15x15{space}raster,{space}10x10mm{space}package,{space}pitch{space}0.65mm;{space}see{space}section{space}7.6{space}of{space}http://www.st.com/resource/en/datasheet/stm32f207vg.pdf
+BGA{space}201{space}0.65
+0
+201
+201
+Package_BGA
+VFBGA-49_5.0x5.0mm_Layout7x7_P0.65mm
+VFBGA-49,{space}7x7,{space}5x5mm{space}package,{space}pitch{space}0.65mm
+VFBGA-49
+0
+49
+49
+Package_BGA
+VFBGA-100_7.0x7.0mm_Layout10x10_P0.65mm
+VFBGA-100,{space}10x10,{space}7x7mm{space}package,{space}pitch{space}0.65mm
+VFBGA-100
+0
+100
+100
+Package_BGA
+WLP-4_0.73x0.73mm_Layout2x2_P0.35mm_Ball0.22mm_Pad0.2mm_NSMD
+WLP-4,{space}2x2{space}raster,{space}0.73x0.73mm{space}package,{space}pitch{space}0.35mm;{space}https://datasheets.maximintegrated.com/en/ds/MAX40200.pdf
+BGA{space}4{space}0.35
+0
+4
+4
+Package_BGA
+WLP-4_0.83x0.83mm_P0.4mm
+WLP-4_0.83x0.83mm_P0.4mm{space}https://pdfserv.maximintegrated.com/package_dwgs/21-100107.PDF,{space}https://www.maximintegrated.com/en/app-notes/index.mvp/id/1891
+WLP-4
+0
+4
+4
+Package_BGA
+WLP-4_0.86x0.86mm_P0.4mm
+WLP-4_0.86x0.86mm_P0.4mm{space}https://pdfserv.maximintegrated.com/package_dwgs/21-0612.PDF,{space}https://www.maximintegrated.com/en/app-notes/index.mvp/id/1891
+WLP-4
+0
+4
+4
+Package_BGA
+XBGA-121_10x10mm_Layout11x11_P0.8mm
+XBGA-121,{space}11x11{space}raster,{space}10x10mm{space}package,{space}pitch{space}0.6mm;{space}http://ww1.microchip.com/downloads/en/DeviceDoc/39969b.pdf
+BGA{space}121{space}0.8
+0
+121
+121
+Package_BGA
+XFBGA-36_3.5x3.5mm_Layout6x6_P0.5mm
+XFBGA-36,{space}https://www.nxp.com/docs/en/package-information/SOT1555-1.pdf
+XFBGA-36
+0
+36
+36
+Package_BGA
+XFBGA-64_5.0x5.0mm_Layout8x8_P0.5mm
+XFBGA-64,{space}https://www.nxp.com/docs/en/package-information/SOT1555-1.pdf
+XFBGA-64
+0
+64
+64
+Package_BGA
+XFBGA-121_8x8mm_Layout11x11_P0.65mm
+XFBGA-121,{space}https://www.nxp.com/docs/en/package-information/SOT1533-1.pdf
+XFBGA-121
+0
+121
+121
+Package_BGA
+Xilinx_CLG225
+Zynq-7000{space}BGA,{space}15x15{space}grid,{space}13x13mm{space}package,{space}0.8mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=77,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}225{space}0.8{space}CLG225
+0
+225
+225
+Package_BGA
+Xilinx_CLG400
+Zynq-7000{space}BGA,{space}20x20{space}grid,{space}17x17mm{space}package,{space}0.8mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=78,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}400{space}0.8{space}CLG400{space}CL400
+0
+400
+400
+Package_BGA
+Xilinx_CLG484_CLG485
+Zynq-7000{space}BGA,{space}22x22{space}grid,{space}19x19mm{space}package,{space}0.8mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=79,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}484{space}0.8{space}CLG484{space}CL484{space}CLG485{space}CL485
+0
+484
+484
+Package_BGA
+Xilinx_CPG236
+Artix-7{space}BGA,{space}19x19{space}grid,{space}10x10mm{space}package,{space}0.5mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=266,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}238{space}0.5{space}CP236{space}CPG236
+0
+238
+238
+Package_BGA
+Xilinx_CPG238
+Artix-7{space}BGA,{space}19x19{space}grid,{space}10x10mm{space}package,{space}0.5mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=267,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}238{space}0.5{space}CPG238
+0
+238
+238
+Package_BGA
+Xilinx_CPGA196
+Spartan-7{space}BGA,{space}14x14{space}grid,{space}8x8mm{space}package,{space}0.5mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=260,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}196{space}0.5{space}CPGA196
+0
+196
+196
+Package_BGA
+Xilinx_CSG324
+Artix-7{space}BGA,{space}18x18{space}grid,{space}15x15mm{space}package,{space}0.8mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=268,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}324{space}0.8{space}CS324{space}CSG324
+0
+324
+324
+Package_BGA
+Xilinx_CSG325
+Artix-7{space}BGA,{space}18x18{space}grid,{space}15x15mm{space}package,{space}0.8mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=268,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}324{space}0.8{space}CS325{space}CSG235
+0
+324
+324
+Package_BGA
+Xilinx_CSGA225
+Spartan-7{space}BGA,{space}15x15{space}grid,{space}13x13mm{space}package,{space}0.8mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=262,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}225{space}0.8{space}CSGA225
+0
+225
+225
+Package_BGA
+Xilinx_CSGA324
+Spartan-7{space}BGA,{space}18x18{space}grid,{space}15x15mm{space}package,{space}0.8mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=263,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}324{space}0.8{space}CSGA324
+0
+324
+324
+Package_BGA
+Xilinx_FBG484
+Artix-7,{space}Kintex-7{space}and{space}Zynq-7000{space}BGA,{space}22x22{space}grid,{space}23x23mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=271,{space}ttps://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=281,{space}https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=82,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}484{space}1{space}FB484{space}FBG484{space}FBV484
+0
+484
+484
+Package_BGA
+Xilinx_FBG676
+Artix-7,{space}Kintex-7{space}and{space}Zynq-7000{space}BGA,{space}26x26{space}grid,{space}27x27mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=273,{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=284,{space}https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=84,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}676{space}1{space}FB676{space}FBG676{space}FBV676
+0
+676
+676
+Package_BGA
+Xilinx_FBG900
+Kintex-7{space}BGA,{space}30x30{space}grid,{space}31x31mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=289,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}900{space}1{space}FB900{space}FBG900{space}FBV900
+0
+900
+900
+Package_BGA
+Xilinx_FFG676
+Kintex-7{space}and{space}Zynq-7000{space}BGA,{space}26x26{space}grid,{space}27x27mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=292,{space}https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=88,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}676{space}1{space}FF676{space}FFG676{space}FFV676
+0
+676
+676
+Package_BGA
+Xilinx_FFG900_FFG901
+Kintex-7{space}and{space}Zynq-7000{space}BGA,{space}30x30{space}grid,{space}31x31mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=294,{space}https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=90,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}900{space}1{space}FF900{space}FFG900{space}FFV900{space}FF901{space}FFG901{space}FFV901
+0
+900
+900
+Package_BGA
+Xilinx_FFG1156
+Artix-7,{space}Kintex-7{space}and{space}Zynq-7000{space}BGA,{space}34x34{space}grid,{space}35x35mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=277,{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=296,{space}https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=91,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}1156{space}1{space}FF1156{space}FFG1156{space}FFV1156
+0
+1156
+1156
+Package_BGA
+Xilinx_FFG1157_FFG1158
+Virtex-7{space}BGA,{space}34x34{space}grid,{space}35x35mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=299,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}1156{space}1{space}FF1157{space}FFG1157{space}FFV1157{space}FF1158{space}FFG1158{space}FFV1158
+0
+1156
+1156
+Package_BGA
+Xilinx_FFG1761
+Virtex-7{space}BGA,{space}42x42{space}grid,{space}42.5x42.5mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=300,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}1760{space}1{space}FF1761{space}FFG1761
+0
+1760
+1760
+Package_BGA
+Xilinx_FFG1926_FFG1927_FFG1928_FFG1930
+Virtex-7{space}BGA,{space}44x44{space}grid,{space}45x45mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=303,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}1924{space}1{space}FF1926{space}FFG1926{space}FF1927{space}FFG1927{space}FFV1927{space}FF1928{space}FFG1928{space}FF1930{space}FFG1930
+0
+1924
+1924
+Package_BGA
+Xilinx_FFV1761
+Virtex-7{space}BGA,{space}42x42{space}grid,{space}42.5x42.5mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=301,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}1760{space}1{space}FFV1761
+0
+1760
+1760
+Package_BGA
+Xilinx_FGG484
+Artix-7{space}BGA,{space}22x22{space}grid,{space}23x23mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=275,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}484{space}1{space}FG484{space}FGG484
+0
+484
+484
+Package_BGA
+Xilinx_FGG676
+Artix-7{space}BGA,{space}26x26{space}grid,{space}27x27mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=276,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}676{space}1{space}FG676{space}FGG676
+0
+676
+676
+Package_BGA
+Xilinx_FGGA484
+Spartan-7{space}BGA,{space}22x22{space}grid,{space}23x23mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=264,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}484{space}1{space}FGGA484
+0
+484
+484
+Package_BGA
+Xilinx_FGGA676
+Spartan-7{space}BGA,{space}26x26{space}grid,{space}27x27mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=265,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}676{space}1{space}FGGA676
+0
+676
+676
+Package_BGA
+Xilinx_FHG1761
+Virtex-7{space}BGA,{space}42x42{space}grid,{space}45x45mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=302,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}1760{space}1{space}FH1761{space}FHG1761
+0
+1760
+1760
+Package_BGA
+Xilinx_FLG1925_FLG1926_FLG1928_FLG1930
+Virtex-7{space}BGA,{space}44x44{space}grid,{space}45x45mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=304,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}1924{space}1{space}FL1925{space}FLG1925{space}FL1926{space}FLG1926{space}FL1928{space}FLG1928{space}FL1930{space}FLG1930
+0
+1924
+1924
+Package_BGA
+Xilinx_FTG256
+Artix-7{space}BGA,{space}16x16{space}grid,{space}17x17mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=269,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}256{space}1{space}FT256{space}FTG256
+0
+256
+256
+Package_BGA
+Xilinx_FTGB196
+Spartan-7{space}BGA,{space}14x14{space}grid,{space}15x15mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=261,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}196{space}1{space}FTGB196
+0
+196
+196
+Package_BGA
+Xilinx_RB484
+Artix-7{space}and{space}Zynq-7000{space}BGA,{space}22x22{space}grid,{space}23x23mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=278,{space}https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=92,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}484{space}1{space}RB484
+0
+484
+484
+Package_BGA
+Xilinx_RB676
+Artix-7{space}BGA,{space}26x26{space}grid,{space}27x27mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=280,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}676{space}1{space}RB676
+0
+676
+676
+Package_BGA
+Xilinx_RF676
+Kintex-7{space}BGA,{space}26x26{space}grid,{space}27x27mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=297,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}676{space}1{space}RF676
+0
+676
+676
+Package_BGA
+Xilinx_RF900
+Kintex-7{space}and{space}Zynq-7000{space}BGA,{space}30x30{space}grid,{space}31x31mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=298,{space}https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=94,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}900{space}1{space}RF900
+0
+900
+900
+Package_BGA
+Xilinx_RF1156
+Zynq-7000{space}BGA,{space}34x34{space}grid,{space}35x35mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=95,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}1156{space}1{space}RF1156
+0
+1156
+1156
+Package_BGA
+Xilinx_RF1157_RF1158
+Virtex-7{space}BGA,{space}34x34{space}grid,{space}35x35mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=305,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}1156{space}1{space}RF1157{space}RF1158
+0
+1156
+1156
+Package_BGA
+Xilinx_RF1761
+Virtex-7{space}BGA,{space}42x42{space}grid,{space}42.5x42.5mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=306,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}1760{space}1{space}RF1761
+0
+1760
+1760
+Package_BGA
+Xilinx_RF1930
+Virtex-7{space}BGA,{space}44x44{space}grid,{space}45x45mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=307,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}1924{space}1{space}RF1930
+0
+1924
+1924
+Package_BGA
+Xilinx_RFG676
+Zynq-7000{space}BGA,{space}26x26{space}grid,{space}27x27mm{space}package,{space}1mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=93,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}676{space}1{space}RF676{space}RFG676
+0
+676
+676
+Package_BGA
+Xilinx_RS484
+Artix-7{space}BGA,{space}22x22{space}grid,{space}19x19mm{space}package,{space}0.8mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=279,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}484{space}0.8{space}RS484
+0
+484
+484
+Package_BGA
+Xilinx_SBG484
+Artix-7{space}BGA,{space}22x22{space}grid,{space}19x19mm{space}package,{space}0.8mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf#page=270,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}484{space}0.8{space}SB484{space}SBG484{space}SBV484
+0
+484
+484
+Package_BGA
+Xilinx_SBG485
+Zynq-7000{space}BGA,{space}22x22{space}grid,{space}19x19mm{space}package,{space}0.8mm{space}pitch;{space}https://www.xilinx.com/support/documentation/user_guides/ug865-Zynq-7000-Pkg-Pinout.pdf#page=80,{space}NSMD{space}pad{space}definition{space}Appendix{space}A
+BGA{space}484{space}0.8{space}SBG485{space}SBV485
+0
+484
+484
+Package_CSP
+Analog_LFCSP-8-1EP_3x3mm_P0.5mm_EP1.53x1.85mm
+LFCSP,{space}exposed{space}pad,{space}Analog{space}Devices{space}(http://www.analog.com/media/en/technical-documentation/data-sheets/ADL5542.pdf)
+LFCSP{space}8{space}0.5
+0
+12
+5
+Package_CSP
+LFCSP-8-1EP_3x2mm_P0.5mm_EP1.6x1.65mm
+LFCSP{space}8pin{space}Pitch{space}0.5mm,{space}http://www.analog.com/media/en/package-pcb-resources/package/57080735642908cp_8_4.pdf
+LFCSP{space}8pin{space}thermal{space}pad{space}3x2mm{space}Pitch{space}0.5mm
+0
+9
+9
+Package_CSP
+LFCSP-8-1EP_3x3mm_P0.5mm_EP1.45x1.74mm
+LFCSP,{space}8{space}Pin{space}(https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp-8/CP_8_13.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+LFCSP{space}DFN_QFN
+0
+13
+9
+Package_CSP
+LFCSP-8_2x2mm_P0.5mm
+LFCSP{space}8pin{space}Pitch{space}0.5mm,{space}http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_8_6.pdf
+LFCSP{space}8pin{space}2x2mm{space}Pitch{space}0.5mm
+0
+8
+8
+Package_CSP
+LFCSP-16-1EP_3x3mm_P0.5mm_EP1.6x1.6mm
+LFCSP,{space}16{space}Pin{space}(https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp-16/CP_16_22.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+LFCSP{space}NoLead
+0
+21
+17
+Package_CSP
+LFCSP-16-1EP_3x3mm_P0.5mm_EP1.6x1.6mm_ThermalVias
+LFCSP,{space}16{space}Pin{space}(https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp-16/CP_16_22.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+LFCSP{space}NoLead
+0
+26
+17
+Package_CSP
+LFCSP-16-1EP_3x3mm_P0.5mm_EP1.7x1.7mm
+LFCSP,{space}16{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/HMC7992.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+LFCSP{space}NoLead
+0
+21
+17
+Package_CSP
+LFCSP-16-1EP_3x3mm_P0.5mm_EP1.7x1.7mm_ThermalVias
+LFCSP,{space}16{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/HMC7992.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+LFCSP{space}NoLead
+0
+26
+17
+Package_CSP
+LFCSP-16-1EP_3x3mm_P0.5mm_EP1.854x1.854mm
+16-Lead{space}Lead{space}Frame{space}Chip{space}Scale{space}Package,{space}3x3mm,{space}0.5mm{space}pitch,{space}1.854mm{space}thermal{space}pad{space}(CP-16-22,{space}http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_16_22.pdf)
+LFCSP{space}16{space}0.5
+0
+21
+17
+Package_CSP
+LFCSP-16-1EP_4x4mm_P0.65mm_EP2.1x2.1mm
+LFCSP,{space}16{space}pin,{space}4x4mm,{space}2.1mm{space}sq{space}pad{space}(http://www.analog.com/media/en/technical-documentation/data-sheets/ADG633.pdf)
+LFCSP{space}16{space}0.65
+0
+21
+17
+Package_CSP
+LFCSP-20-1EP_4x4mm_P0.5mm_EP2.1x2.1mm
+20-Lead{space}Frame{space}Chip{space}Scale{space}Package{space}-{space}4x4x0.9{space}mm{space}Body{space}[LFCSP],{space}(see{space}http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_20_6.pdf)
+LFCSP{space}0.5
+0
+25
+21
+Package_CSP
+LFCSP-20-1EP_4x4mm_P0.5mm_EP2.5x2.5mm
+LFCSP,{space}20{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/AD7682_7689.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+LFCSP{space}DFN_QFN
+0
+25
+21
+Package_CSP
+LFCSP-20-1EP_4x4mm_P0.5mm_EP2.5x2.5mm_ThermalVias
+LFCSP,{space}20{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/AD7682_7689.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+LFCSP{space}DFN_QFN
+0
+35
+21
+Package_CSP
+LFCSP-24-1EP_4x4mm_P0.5mm_EP2.5x2.5mm
+LFCSP,{space}24{space}Pin{space}(https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_24_7.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+LFCSP{space}DFN_QFN
+0
+29
+25
+Package_CSP
+LFCSP-24-1EP_4x4mm_P0.5mm_EP2.5x2.5mm_ThermalVias
+LFCSP,{space}24{space}Pin{space}(https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_24_7.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+LFCSP{space}DFN_QFN
+0
+39
+25
+Package_CSP
+LFCSP-32-1EP_5x5mm_P0.5mm_EP3.25x3.25mm
+32-Lead{space}Frame{space}Chip{space}Scale{space}Package{space}LFCSP{space}(5mm{space}x{space}5mm);{space}(see{space}http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp-32/CP_32_27.pdf
+LFCSP{space}0.5
+0
+37
+33
+Package_CSP
+LFCSP-64-1EP_9x9mm_P0.5mm_EP5.21x5.21mm
+LFCSP,{space}64{space}Pin{space}(https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_64_7.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+LFCSP{space}NoLead
+0
+69
+65
+Package_CSP
+LFCSP-64-1EP_9x9mm_P0.5mm_EP5.21x5.21mm_ThermalVias
+LFCSP,{space}64{space}Pin{space}(https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/lfcspcp/cp_64_7.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+LFCSP{space}NoLead
+0
+86
+65
+Package_CSP
+LFCSP-72-1EP_10x10mm_P0.5mm_EP5.3x5.3mm
+LFCSP,{space}72{space}Pin{space}(http://www.analog.com/media/en/technical-documentation/data-sheets/ADAU1452_1451_1450.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+LFCSP{space}DFN_QFN
+0
+82
+73
+Package_CSP
+LFCSP-72-1EP_10x10mm_P0.5mm_EP5.3x5.3mm_ThermalVias
+LFCSP,{space}72{space}Pin{space}(http://www.analog.com/media/en/technical-documentation/data-sheets/ADAU1452_1451_1450.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+LFCSP{space}DFN_QFN
+0
+99
+73
+Package_CSP
+LFCSP-72-1EP_10x10mm_P0.5mm_EP6.15x6.15mm
+72-Lead{space}Frame{space}Chip{space}Scale{space}Package{space}-{space}10x10x0.9{space}mm{space}Body{space}[LFCSP];{space}(see{space}https://www.intersil.com/content/dam/Intersil/documents/l72_/l72.10x10c.pdf)
+LFCSP{space}0.5
+0
+77
+73
+Package_CSP
+LFCSP-VQ-24-1EP_4x4mm_P0.5mm_EP2.642x2.642mm
+LFCSP{space}VQ,{space}24{space}pin,{space}exposed{space}pad,{space}4x4mm{space}body,{space}pitch{space}0.5mm{space}(http://www.analog.com/media/en/package-pcb-resources/package/56702234806764cp_24_3.pdf,{space}http://www.analog.com/media/en/technical-documentation/data-sheets/ADL5801.pdf)
+LFCSP{space}0.5
+0
+29
+25
+Package_CSP
+LFCSP-VQ-48-1EP_7x7mm_P0.5mm
+LFCSP{space}VQ,{space}48{space}pin,{space}exposed{space}pad,{space}7x7mm{space}body{space}(http://www.analog.com/media/en/technical-documentation/data-sheets/AD7951.pdf,{space}http://www.analog.com/en/design-center/packaging-quality-symbols-footprints/symbols-and-footprints/AD7951.html)
+LFCSP{space}48
+0
+49
+49
+Package_CSP
+ST_WLCSP-25_Die425
+WLCSP-25,{space}5x5{space}raster,{space}2.097x2.493mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.6{space}of{space}http://www.st.com/resource/en/datasheet/stm32l031f6.pdf
+BGA{space}25{space}0.4
+0
+25
+25
+Package_CSP
+ST_WLCSP-25_Die444
+WLCSP-25,{space}5x5{space}raster,{space}2.423x2.325mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.5{space}of{space}http://www.st.com/resource/en/datasheet/stm32f031k6.pdf
+BGA{space}25{space}0.4
+0
+25
+25
+Package_CSP
+ST_WLCSP-25_Die457
+WLCSP-25,{space}5x5{space}raster,{space}2.133x2.070mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.3{space}of{space}http://www.st.com/resource/en/datasheet/stm32l011k3.pdf
+BGA{space}25{space}0.4
+0
+25
+25
+Package_CSP
+ST_WLCSP-36_Die417
+WLCSP-36,{space}6x6{space}raster,{space}2.61x2.88mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.4{space}of{space}http://www.st.com/resource/en/datasheet/stm32l052t8.pdf
+BGA{space}36{space}0.4
+0
+36
+36
+Package_CSP
+ST_WLCSP-36_Die440
+WLCSP-36,{space}6x6{space}raster,{space}2.605x2.703mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.5{space}of{space}http://www.st.com/resource/en/datasheet/stm32f051t8.pdf
+BGA{space}36{space}0.4
+0
+36
+36
+Package_CSP
+ST_WLCSP-36_Die445
+WLCSP-36,{space}6x6{space}raster,{space}2.605x2.703mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.3{space}of{space}http://www.st.com/resource/en/datasheet/stm32f042k6.pdf
+BGA{space}36{space}0.4
+0
+36
+36
+Package_CSP
+ST_WLCSP-36_Die458
+WLCSP-36,{space}6x6{space}raster,{space}2.553x2.579mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.1{space}of{space}http://www.st.com/resource/en/datasheet/stm32f410t8.pdf
+BGA{space}36{space}0.4
+0
+36
+36
+Package_CSP
+ST_WLCSP-49_Die423
+WLCSP-49,{space}7x7{space}raster,{space}2.965x2.965mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.1{space}of{space}http://www.st.com/resource/en/datasheet/stm32f401vc.pdf
+BGA{space}49{space}0.4
+0
+49
+49
+Package_CSP
+ST_WLCSP-49_Die431
+WLCSP-49,{space}7x7{space}raster,{space}2.999x3.185mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.1{space}of{space}http://www.st.com/resource/en/datasheet/stm32f411vc.pdf
+BGA{space}49{space}0.4
+0
+49
+49
+Package_CSP
+ST_WLCSP-49_Die433
+WLCSP-49,{space}7x7{space}raster,{space}3.029x3.029mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.1.1{space}of{space}http://www.st.com/resource/en/datasheet/stm32f401ce.pdf
+BGA{space}49{space}0.4
+0
+49
+49
+Package_CSP
+ST_WLCSP-49_Die435
+WLCSP-49,{space}7x7{space}raster,{space}3.141x3.127mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.6{space}of{space}http://www.st.com/resource/en/datasheet/DM00257211.pdf
+BGA{space}49{space}0.4
+0
+49
+49
+Package_CSP
+ST_WLCSP-49_Die438
+WLCSP-49,{space}7x7{space}raster,{space}3.89x3.74mm{space}package,{space}pitch{space}0.5mm;{space}see{space}section{space}7.5{space}of{space}http://www.st.com/resource/en/datasheet/stm32f303r8.pdf
+BGA{space}49{space}0.5
+0
+49
+49
+Package_CSP
+ST_WLCSP-49_Die439
+WLCSP-49,{space}7x7{space}raster,{space}3.417x3.151mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.1{space}of{space}http://www.st.com/resource/en/datasheet/stm32f301r8.pdf
+BGA{space}49{space}0.4
+0
+49
+49
+Package_CSP
+ST_WLCSP-49_Die447
+WLCSP-49,{space}7x7{space}raster,{space}3.294x3.258mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.6{space}of{space}http://www.st.com/resource/en/datasheet/stm32l072kz.pdf
+BGA{space}49{space}0.4
+0
+49
+49
+Package_CSP
+ST_WLCSP-49_Die448
+WLCSP-49,{space}7x7{space}raster,{space}3.277x3.109mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.4{space}of{space}http://www.st.com/resource/en/datasheet/stm32f071v8.pdf
+BGA{space}49{space}0.4
+0
+49
+49
+Package_CSP
+ST_WLCSP-63_Die427
+WLCSP-63,{space}7x9{space}raster,{space}3.228x4.164mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.6{space}of{space}http://www.st.com/resource/en/datasheet/stm32l151cc.pdf
+BGA{space}63{space}0.4
+0
+63
+63
+Package_CSP
+ST_WLCSP-64_Die414
+WLCSP-64,{space}8x8{space}raster,{space}4.466x4.395mm{space}package,{space}pitch{space}0.5mm;{space}see{space}section{space}6.3{space}of{space}http://www.st.com/resource/en/datasheet/stm32f103ze.pdf
+BGA{space}64{space}0.5
+0
+64
+64
+Package_CSP
+ST_WLCSP-64_Die427
+WLCSP-64,{space}8x8{space}raster,{space}4.539x4.911mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.5{space}of{space}http://www.st.com/resource/en/datasheet/stm32l152zc.pdf
+BGA{space}64{space}0.4
+0
+64
+64
+Package_CSP
+ST_WLCSP-64_Die435
+WLCSP-64,{space}8x8{space}raster,{space}3.141x3.127mm{space}package,{space}pitch{space}0.35mm;{space}see{space}section{space}7.5{space}of{space}http://www.st.com/resource/en/datasheet/DM00257211.pdf
+BGA{space}64{space}0.35
+0
+64
+64
+Package_CSP
+ST_WLCSP-64_Die436
+WLCSP-64,{space}8x8{space}raster,{space}4.539x4.911mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.5{space}of{space}http://www.st.com/resource/en/datasheet/stm32l152zd.pdf
+BGA{space}64{space}0.4
+0
+64
+64
+Package_CSP
+ST_WLCSP-64_Die441
+WLCSP-64,{space}8x8{space}raster,{space}3.623x3.651mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.1{space}of{space}http://www.st.com/resource/en/datasheet/DM00213872.pdf
+BGA{space}64{space}0.4
+0
+64
+64
+Package_CSP
+ST_WLCSP-64_Die442
+WLCSP-64,{space}8x8{space}raster,{space}3.347x3.585mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.4{space}of{space}http://www.st.com/resource/en/datasheet/stm32f091vb.pdf
+BGA{space}64{space}0.4
+0
+64
+64
+Package_CSP
+ST_WLCSP-64_Die462
+WLCSP-64,{space}8x8{space}raster,{space}3.357x3.657mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.5{space}of{space}http://www.st.com/resource/en/datasheet/DM00340475.pdf
+BGA{space}64{space}0.4
+0
+64
+64
+Package_CSP
+ST_WLCSP-66_Die411
+WLCSP-66,{space}9x9{space}raster,{space}3.639x3.971mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.2{space}of{space}http://www.st.com/resource/en/datasheet/stm32f207vg.pdf
+BGA{space}66{space}0.4
+0
+66
+66
+Package_CSP
+ST_WLCSP-66_Die432
+WLCSP-66,{space}8x9{space}raster,{space}3.767x4.229mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.2{space}of{space}http://www.st.com/resource/en/datasheet/stm32f378vc.pdf
+BGA{space}66{space}0.4
+0
+66
+66
+Package_CSP
+ST_WLCSP-72_Die415
+WLCSP-72,{space}9x9{space}raster,{space}4.4084x3.7594mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.5{space}of{space}http://www.st.com/resource/en/datasheet/stm32l476me.pdf
+BGA{space}72{space}0.4
+0
+72
+72
+Package_CSP
+ST_WLCSP-81_Die415
+WLCSP-81,{space}9x9{space}raster,{space}4.4084x3.7594mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.4{space}of{space}http://www.st.com/resource/en/datasheet/stm32l476me.pdf
+BGA{space}81{space}0.4
+0
+81
+81
+Package_CSP
+ST_WLCSP-81_Die421
+WLCSP-81,{space}9x9{space}raster,{space}3.693x3.815mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.6{space}of{space}http://www.st.com/resource/en/datasheet/stm32f446ze.pdf
+BGA{space}81{space}0.4
+0
+81
+81
+Package_CSP
+ST_WLCSP-81_Die463
+WLCSP-81,{space}9x9{space}raster,{space}4.039x3.951mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.1{space}of{space}http://www.st.com/resource/en/datasheet/DM00282249.pdf
+BGA{space}81{space}0.4
+0
+81
+81
+Package_CSP
+ST_WLCSP-90_Die413
+WLCSP-90,{space}10x9{space}raster,{space}4.223x3.969mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}6.1{space}of{space}http://www.st.com/resource/en/datasheet/stm32f405og.pdf
+BGA{space}90{space}0.4
+0
+90
+90
+Package_CSP
+ST_WLCSP-100_Die422
+WLCSP-100,{space}10x10{space}raster,{space}4.201x4.663mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.4{space}of{space}http://www.st.com/resource/en/datasheet/stm32f302vc.pdf
+BGA{space}100{space}0.4
+0
+100
+100
+Package_CSP
+ST_WLCSP-100_Die446
+WLCSP-100,{space}10x10{space}raster,{space}4.775x5.041mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.5{space}of{space}http://www.st.com/resource/en/datasheet/stm32f303zd.pdf
+BGA{space}100{space}0.4
+0
+100
+100
+Package_CSP
+ST_WLCSP-100_Die452
+WLCSP-100,{space}10x10{space}raster,{space}4.201x4.663mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.7{space}of{space}http://www.st.com/resource/en/datasheet/DM00330506.pdf
+BGA{space}100{space}0.4
+0
+100
+100
+Package_CSP
+ST_WLCSP-100_Die461
+WLCSP-100,{space}10x10{space}raster,{space}4.618x4.142mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.5{space}of{space}http://www.st.com/resource/en/datasheet/DM00284211.pdf
+BGA{space}100{space}0.4
+0
+100
+100
+Package_CSP
+ST_WLCSP-104_Die437
+WLCSP-104,{space}9x12{space}raster,{space}4.095x5.094mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.5{space}of{space}http://www.st.com/resource/en/datasheet/stm32l152ze.pdf
+BGA{space}104{space}0.4
+0
+104
+104
+Package_CSP
+ST_WLCSP-143_Die419
+WLCSP-143,{space}11x13{space}raster,{space}4.521x5.547mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.2{space}of{space}http://www.st.com/resource/en/datasheet/stm32f429ng.pdf
+BGA{space}143{space}0.4
+0
+143
+143
+Package_CSP
+ST_WLCSP-143_Die449
+WLCSP-143,{space}11x13{space}raster,{space}4.539x5.849mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}6.3{space}of{space}http://www.st.com/resource/en/datasheet/stm32f746zg.pdf
+BGA{space}143{space}0.4
+0
+143
+143
+Package_CSP
+ST_WLCSP-144_Die470
+WLCSP-144,{space}12x12{space}raster,{space}5.24x5.24mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}7.4{space}of{space}http://www.st.com/resource/en/datasheet/DM00366448.pdf
+BGA{space}144{space}0.4
+0
+144
+144
+Package_CSP
+ST_WLCSP-168_Die434
+WLCSP-168,{space}12x14{space}raster,{space}4.891x5.692mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}6.3{space}of{space}http://www.st.com/resource/en/datasheet/stm32f469ni.pdf
+BGA{space}168{space}0.4
+0
+168
+168
+Package_CSP
+ST_WLCSP-180_Die451
+WLCSP-180,{space}13x14{space}raster,{space}5.537x6.095mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}6.6{space}of{space}http://www.st.com/resource/en/datasheet/DM00273119.pdf
+BGA{space}180{space}0.4
+0
+180
+180
+Package_CSP
+WLCSP-6_1.4x1.0mm_P0.4mm
+6pin{space}Pitch{space}0.4mm
+6pin{space}Pitch{space}0.4mm{space}WLCSP
+0
+6
+6
+Package_CSP
+WLCSP-8_1.58x1.63x0.35mm_Layout3x5_P0.35x0.4mm_Ball0.25mm_Pad0.25mm_NSMD
+WLCSP/XFBGA{space}8-pin{space}package,{space}staggered{space}pins,{space}http://www.adestotech.com/wp-content/uploads/DS-AT25DF041B_040.pdf
+WLCSP{space}WLCSP-8{space}XFBGA{space}XFBGA-8{space}{space}CSP{space}BGA{space}Chip-Scale{space}Glass-Top
+0
+8
+8
+Package_CSP
+WLCSP-12_1.56x1.56mm_P0.4mm
+WLCSP{space}12{space}1.56x1.56{space}https://ae-bst.resource.bosch.com/media/_tech/media/datasheets/BST-BMM150-DS001-01.pdf
+BMM150{space}WLCSP
+0
+12
+12
+Package_CSP
+WLCSP-12_1.403x1.555mm_P0.4mm_Stagger
+WLCSP-12,{space}6x4{space}raster{space}staggered{space}array,{space}1.403x1.555mm{space}package,{space}pitch{space}0.4mm;{space}http://ww1.microchip.com/downloads/en/devicedoc/atmel-8235-8-bit-avr-microcontroller-attiny20_datasheet.pdf#page=208
+CSP{space}12{space}0.2x0.346333
+0
+12
+12
+Package_CSP
+WLCSP-16_4x4_B2.17x2.32mm_P0.5mm
+WLCSP-16,{space}http://www.nxp.com/documents/data_sheet/LPC1102_1104.pdf,{space}http://www.nxp.com/assets/documents/data/en/application-notes/AN3846.pdf
+WLCSP-16{space}NXP
+0
+16
+16
+Package_CSP
+WLCSP-20_1.934x2.434mm_Layout4x5_P0.4mm
+WLCSP-20,{space}4x5{space}raster,{space}1.934x2.434mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}36.2.3{space}of{space}http://ww1.microchip.com/downloads/en/DeviceDoc/Atmel-42363-SAM-D11_Datasheet.pdf
+BGA{space}20{space}0.4
+0
+20
+20
+Package_CSP
+WLCSP-20_1.994x1.94mm_Layout4x5_P0.4mm
+WLCSP-20,{space}https://www.nxp.com/docs/en/package-information/98ASA00539D.pdf
+WLCSP-20
+0
+20
+20
+Package_CSP
+WLCSP-20_1.994x1.609mm_Layout5x4_P0.4mm
+WLCSP-20,{space}https://www.nxp.com/docs/en/package-information/98ASA00676D.pdf
+WLCSP-20
+0
+20
+20
+Package_CSP
+WLCSP-36_2.82x2.67mm_Layout6x6_P0.4mm
+WLCSP-36,{space}https://www.nxp.com/docs/en/package-information/98ASA00949D.pdf
+WLCSP-36
+0
+36
+36
+Package_CSP
+WLCSP-36_2.374x2.459mm_Layout6x6_P0.35mm
+WLCSP-36,{space}https://www.nxp.com/docs/en/package-information/98ASA00604D.pdf
+WLCSP-36
+0
+36
+36
+Package_CSP
+WLCSP-56_3.170x3.444mm_Layout7x8_P0.4mm
+WLCSP-56,{space}7x8{space}raster,{space}3.170x3.444mm{space}package,{space}pitch{space}0.4mm;{space}see{space}section{space}48.2.4{space}of{space}http://ww1.microchip.com/downloads/en/DeviceDoc/DS60001479B.pdf
+BGA{space}56{space}0.4
+0
+56
+56
+Package_CSP
+WLCSP-81_4.41x3.76mm_P0.4mm
+WLCSP-81,{space}9x9,{space}0.4mm{space}Pitch,{space}http://www.st.com/content/ccc/resource/technical/document/technical_note/92/30/3c/a1/4c/bb/43/6f/DM00103228.pdf/files/DM00103228.pdf/jcr:content/translations/en.DM00103228.pdf
+WLCSP{space}ST
+0
+81
+81
+Package_CSP
+pSemi_CSP-16_1.64x2.04mm_P0.4mm
+pSemi{space}CSP-16{space}1.64x2.04x0.285mm{space}(http://www.psemi.com/pdf/datasheets/pe29101ds.pdf,{space}http://www.psemi.com/pdf/app_notes/an77.pdf)
+psemi{space}csp{space}16
+0
+16
+16
+Package_CSP
+pSemi_CSP-16_1.64x2.04mm_P0.4mm_Pad0.18mm
+pSemi{space}CSP-16{space}1.64x2.04x0.285mm{space}(http://www.psemi.com/pdf/datasheets/pe29101ds.pdf,{space}http://www.psemi.com/pdf/app_notes/an77.pdf)
+psemi{space}csp{space}16
+0
+16
+16
+Package_DFN_QFN
+AMS_QFN-4-1EP_2x2mm_P0.95mm_EP0.7x1.6mm
+UFD{space}Package,{space}4-Lead{space}Plastic{space}QFN{space}(2mm{space}x{space}2mm),{space}http://ams.com/eng/content/download/950231/2267959/483138
+QFN{space}0.95
+0
+6
+5
+Package_DFN_QFN
+Cypress_QFN-56-1EP_8x8mm_P0.5mm_EP6.22x6.22mm_ThermalVias
+56-Lead{space}Plastic{space}Quad{space}Flat,{space}No{space}Lead{space}Package{space}(ML){space}-{space}8x8x0.9{space}mm{space}Body{space}[QFN]{space}(see{space}datasheet{space}at{space}http://www.cypress.com/file/138911/download{space}and{space}app{space}note{space}at{space}http://www.cypress.com/file/140006/download)
+QFN{space}0.5
+0
+87
+57
+Package_DFN_QFN
+DFN-6-1EP_1.2x1.2mm_P0.4mm_EP0.3x0.94mm_PullBack
+DFN,{space}6{space}Pin{space}(http://www.onsemi.com/pub/Collateral/NCP133-D.PDF),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+DFN{space}DFN_QFN
+0
+9
+7
+Package_DFN_QFN
+DFN-6-1EP_2x1.8mm_P0.5mm_EP1.2x1.6mm
+DFN,{space}6{space}Pin{space}(https://www.diodes.com/assets/Package-Files/U-DFN2018-6.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+DFN{space}DFN_QFN
+0
+11
+7
+Package_DFN_QFN
+DFN-6-1EP_2x2mm_P0.5mm_EP0.61x1.42mm
+DC6{space}Package;{space}6-Lead{space}Plastic{space}DFN{space}(2mm{space}x{space}2mm){space}(see{space}Linear{space}Technology{space}DFN_6_05-08-1703.pdf)
+DFN{space}0.5
+0
+9
+7
+Package_DFN_QFN
+DFN-6-1EP_2x2mm_P0.65mm_EP1x1.6mm
+6-Lead{space}Plastic{space}Dual{space}Flat,{space}No{space}Lead{space}Package{space}(MA){space}-{space}2x2x0.9{space}mm{space}Body{space}[DFN]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+DFN{space}0.65
+0
+9
+7
+Package_DFN_QFN
+DFN-6-1EP_3x2mm_P0.5mm_EP1.65x1.35mm
+DFN,{space}6{space}Pin{space}(https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-dfn/(DCB6)%20DFN%2005-08-1715%20Rev%20A.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+DFN{space}DFN_QFN
+0
+11
+7
+Package_DFN_QFN
+DFN-6-1EP_3x3mm_P0.95mm_EP1.7x2.6mm
+DFN6{space}3*3{space}MM,{space}0.95{space}PITCH;{space}CASE{space}506AH-01{space}(see{space}ON{space}Semiconductor{space}506AH.PDF)
+DFN{space}0.95
+0
+13
+7
+Package_DFN_QFN
+DFN-6-1EP_3x3mm_P1mm_EP1.5x2.4mm
+DFN,{space}6{space}Pin{space}(https://www.silabs.com/documents/public/data-sheets/Si7020-A20.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+DFN{space}DFN_QFN
+0
+11
+7
+Package_DFN_QFN
+DFN-6_1.3x1.2mm_P0.4mm
+6-Lead{space}Plastic{space}DFN{space}(1.3mm{space}x{space}1.2mm){space}
+DFN{space}0.4
+0
+6
+6
+Package_DFN_QFN
+DFN-8-1EP_2x2mm_P0.5mm_EP0.9x1.3mm
+DFN,{space}8{space}Pin{space}(https://www.onsemi.com/pub/Collateral/NB3N551-D.PDF#page=7),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+DFN{space}NoLead
+0
+13
+9
+Package_DFN_QFN
+DFN-8-1EP_2x2mm_P0.5mm_EP0.9x1.5mm
+DFN,{space}8{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/Atmel-8127-AVR-8-bit-Microcontroller-ATtiny4-ATtiny5-ATtiny9-ATtiny10_Datasheet.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+DFN{space}DFN_QFN
+0
+13
+9
+Package_DFN_QFN
+DFN-8-1EP_2x2mm_P0.5mm_EP1.05x1.75mm
+DFN8{space}2x2,{space}0.5P;{space}CASE{space}506CN{space}(see{space}ON{space}Semiconductor{space}506CN.PDF)
+DFN{space}0.5
+0
+11
+9
+Package_DFN_QFN
+DFN-8-1EP_2x2mm_P0.45mm_EP0.64x1.38mm
+DC8{space}Package{space}8-Lead{space}Plastic{space}DFN{space}(2mm{space}x{space}2mm){space}(see{space}Linear{space}Technology{space}DFN_8_05-08-1719.pdf)
+DFN{space}0.45
+0
+11
+9
+Package_DFN_QFN
+DFN-8-1EP_2x3mm_P0.5mm_EP0.61x2.2mm
+DDB{space}Package;{space}8-Lead{space}Plastic{space}DFN{space}(3mm{space}x{space}2mm){space}(see{space}Linear{space}Technology{space}DFN_8_05-08-1702.pdf)
+DFN{space}0.5
+0
+12
+9
+Package_DFN_QFN
+DFN-8-1EP_3x2mm_P0.5mm_EP1.3x1.5mm
+8-Lead{space}Plastic{space}Dual{space}Flat,{space}No{space}Lead{space}Package{space}(8MA2){space}-{space}2x3x0.6{space}mm{space}Body{space}[UDFN]{space}(see{space}Atmel-8815-SEEPROM-AT24CS01-02-Datasheet.pdf)
+DFN{space}0.5
+0
+13
+9
+Package_DFN_QFN
+DFN-8-1EP_3x2mm_P0.5mm_EP1.36x1.46mm
+8-Lead{space}Plastic{space}Dual{space}Flat,{space}No{space}Lead{space}Package{space}(8MA2){space}-{space}2x3x0.6{space}mm{space}Body{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/20005010F.pdf)
+DFN{space}0.5
+0
+13
+9
+Package_DFN_QFN
+DFN-8-1EP_3x2mm_P0.5mm_EP1.75x1.45mm
+8-Lead{space}Plastic{space}Dual{space}Flat,{space}No{space}Lead{space}Package{space}(MC){space}-{space}2x3x0.9{space}mm{space}Body{space}[DFN]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+DFN{space}0.5
+0
+13
+9
+Package_DFN_QFN
+DFN-8-1EP_3x2mm_P0.45mm_EP1.66x1.36mm
+DCB{space}Package{space}8-Lead{space}Plastic{space}DFN{space}(2mm{space}x{space}3mm){space}(see{space}Linear{space}Technology{space}DFN_8_05-08-1718.pdf)
+DFN{space}0.45
+0
+13
+9
+Package_DFN_QFN
+DFN-8-1EP_3x3mm_P0.5mm_EP1.66x2.38mm
+DD{space}Package;{space}8-Lead{space}Plastic{space}DFN{space}(3mm{space}x{space}3mm){space}(see{space}Linear{space}Technology{space}DFN_8_05-08-1698.pdf)
+DFN{space}0.5
+0
+13
+9
+Package_DFN_QFN
+DFN-8-1EP_3x3mm_P0.65mm_EP1.7x2.05mm
+DFN,{space}8{space}Pin{space}(http://www.ixysic.com/home/pdfs.nsf/www/IX4426-27-28.pdf/$file/IX4426-27-28.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+DFN{space}DFN_QFN
+0
+13
+9
+Package_DFN_QFN
+DFN-8-1EP_3x3mm_P0.65mm_EP1.55x2.4mm
+8-Lead{space}Plastic{space}Dual{space}Flat,{space}No{space}Lead{space}Package{space}(MF){space}-{space}3x3x0.9{space}mm{space}Body{space}[DFN]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+DFN{space}0.65
+0
+13
+9
+Package_DFN_QFN
+DFN-8-1EP_4x4mm_P0.8mm_EP2.5x3.6mm
+8-Lead{space}Plastic{space}Dual{space}Flat,{space}No{space}Lead{space}Package{space}(MD){space}-{space}4x4x0.9{space}mm{space}Body{space}[DFN]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+DFN{space}0.8
+0
+15
+9
+Package_DFN_QFN
+DFN-8-1EP_4x4mm_P0.8mm_EP2.39x2.21mm
+8-Lead{space}Plastic{space}Dual{space}Flat,{space}No{space}Lead{space}Package{space}(MD){space}-{space}4x4x0.9{space}mm{space}Body{space}[DFN]{space}(http://www.onsemi.com/pub/Collateral/NCP4308-D.PDF)
+DFN{space}0.8
+0
+13
+9
+Package_DFN_QFN
+DFN-8-1EP_6x5mm_P1.27mm_EP2x2mm
+DD{space}Package;{space}8-Lead{space}Plastic{space}DFN{space}(6mm{space}x{space}5mm){space}(see{space}http://www.everspin.com/file/236/download)
+dfn{space}
+0
+13
+9
+Package_DFN_QFN
+DFN-8-1EP_6x5mm_P1.27mm_EP4x4mm
+DD{space}Package;{space}8-Lead{space}Plastic{space}DFN{space}(6mm{space}x{space}5mm){space}(see{space}http://www.everspin.com/file/236/download)
+dfn{space}
+0
+25
+9
+Package_DFN_QFN
+DFN-8_2x2mm_P0.5mm
+DFN8{space}2x2,{space}0.5P;{space}No{space}exposed{space}pad{space}-{space}Ref{space}http://pdfserv.maximintegrated.com/land_patterns/90-0349.PDF
+DFN{space}0.5
+0
+8
+8
+Package_DFN_QFN
+DFN-10-1EP_2x3mm_P0.5mm_EP0.64x2.4mm
+DDB{space}Package;{space}10-Lead{space}Plastic{space}DFN{space}(3mm{space}x{space}2mm){space}(see{space}Linear{space}Technology{space}DFN_10_05-08-1722.pdf)
+DFN{space}0.5
+0
+13
+11
+Package_DFN_QFN
+DFN-10-1EP_3x3mm_P0.5mm_EP1.7x2.5mm
+DFN,{space}10{space}Pin{space}(https://www.monolithicpower.com/pub/media/document/MPQ2483_r1.05.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+DFN{space}NoLead
+0
+15
+11
+Package_DFN_QFN
+DFN-10-1EP_3x3mm_P0.5mm_EP1.55x2.48mm
+10-Lead{space}Plastic{space}Dual{space}Flat,{space}No{space}Lead{space}Package{space}(MF){space}-{space}3x3x0.9{space}mm{space}Body{space}[DFN]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+DFN{space}0.5
+0
+15
+11
+Package_DFN_QFN
+DFN-10-1EP_3x3mm_P0.5mm_EP1.75x2.7mm
+10-Lead{space}Plastic{space}Dual{space}Flat{space}No-Lead{space}Package,{space}3x3mm{space}Body{space}(see{space}Atmel{space}Appnote{space}8826)
+DFN{space}0.5
+0
+15
+11
+Package_DFN_QFN
+DFN-10_2x2mm_P0.4mm
+10-Lead{space}Plastic{space}DFN{space}(2mm{space}x{space}2mm){space}{space}0.40mm{space}pitch
+DFN{space}10{space}0.4mm
+0
+10
+10
+Package_DFN_QFN
+DFN-12-1EP_2x3mm_P0.45mm_EP0.64x2.4mm
+DDB{space}Package;{space}12-Lead{space}Plastic{space}DFN{space}(3mm{space}x{space}2mm){space}(see{space}Linear{space}Technology{space}DFN_12_05-08-1723.pdf)
+DFN{space}0.45
+0
+15
+13
+Package_DFN_QFN
+DFN-12-1EP_3x3mm_P0.5mm_EP2.05x2.86mm
+10-Lead{space}Plastic{space}Dual{space}Flat,{space}No{space}Lead{space}Package{space}(MF){space}-{space}3x3x0.9{space}mm{space}Body{space}[DFN]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+DFN{space}0.5
+0
+17
+13
+Package_DFN_QFN
+DFN-12-1EP_3x3mm_P0.45mm_EP1.66x2.38mm
+DD{space}Package;{space}12-Lead{space}Plastic{space}DFN{space}(3mm{space}x{space}3mm){space}(see{space}Linear{space}Technology{space}DFN_12_05-08-1725.pdf)
+DFN{space}0.45
+0
+17
+13
+Package_DFN_QFN
+DFN-12-1EP_3x4mm_P0.5mm_EP1.7x3.3mm
+DE/UE{space}Package;{space}12-Lead{space}Plastic{space}DFN{space}(4mm{space}x{space}3mm){space}(see{space}Linear{space}Technology{space}DFN_12_05-08-1695.pdf)
+DFN{space}0.5
+0
+21
+13
+Package_DFN_QFN
+DFN-12-1EP_4x4mm_P0.5mm_EP2.66x3.38mm
+DF{space}Package;{space}12-Lead{space}Plastic{space}DFN{space}(4mm{space}x{space}4mm){space}(see{space}Linear{space}Technology{space}05081733_A_DF12.pdf)
+DFN{space}0.5
+0
+21
+13
+Package_DFN_QFN
+DFN-12-1EP_4x4mm_P0.65mm_EP2.64x3.54mm
+DFN12,{space}4x4,{space}0.65P;{space}CASE{space}506CE{space}(see{space}ON{space}Semiconductor{space}506CE.PDF)
+DFN{space}0.65
+0
+21
+13
+Package_DFN_QFN
+DFN-14-1EP_3x3mm_P0.4mm_EP1.78x2.35mm
+DD{space}Package;{space}14-Lead{space}Plastic{space}DFN{space}(3mm{space}x{space}3mm){space}(http://pdfserv.maximintegrated.com/land_patterns/90-0063.PDF)
+DFN{space}0.40
+0
+19
+15
+Package_DFN_QFN
+DFN-14-1EP_3x4.5mm_P0.65mm_EP1.65x4.25mm
+14-lead{space}very{space}thin{space}plastic{space}quad{space}flat,{space}3.0x4.5mm{space}size,{space}0.65mm{space}pitch{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/14L_VDFN_4_5x3_0mm_JHA_C041198A.pdf)
+VDFN{space}DFN{space}0.65mm
+0
+23
+15
+Package_DFN_QFN
+DFN-14-1EP_3x4.5mm_P0.65mm_EP1.65x4.25mm_ThermalVias
+14-lead{space}very{space}thin{space}plastic{space}quad{space}flat,{space}3.0x4.5mm{space}size,{space}0.65mm{space}pitch{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/14L_VDFN_4_5x3_0mm_JHA_C041198A.pdf)
+VDFN{space}DFN{space}0.65mm
+0
+33
+15
+Package_DFN_QFN
+DFN-14-1EP_3x4mm_P0.5mm_EP1.7x3.3mm
+DE{space}Package;{space}14-Lead{space}Plastic{space}DFN{space}(4mm{space}x{space}3mm){space}(see{space}Linear{space}Technology{space}DFN_14_05-08-1708.pdf)
+DFN{space}0.5
+0
+23
+15
+Package_DFN_QFN
+DFN-14-1EP_4x4mm_P0.5mm_EP2.86x3.6mm
+DFN14,{space}4x4,{space}0.5P;{space}CASE{space}506CM{space}(see{space}ON{space}Semiconductor{space}506CM.PDF)
+DFN{space}0.5
+0
+23
+15
+Package_DFN_QFN
+DFN-16-1EP_3x4mm_P0.45mm_EP1.7x3.3mm
+DE{space}Package;{space}16-Lead{space}Plastic{space}DFN{space}(4mm{space}x{space}3mm){space}(see{space}Linear{space}Technology{space}DFN_16_05-08-1732.pdf)
+DFN{space}0.45
+0
+25
+17
+Package_DFN_QFN
+DFN-16-1EP_3x5mm_P0.5mm_EP1.66x4.4mm
+DHC{space}Package;{space}16-Lead{space}Plastic{space}DFN{space}(5mm{space}x{space}3mm){space}(see{space}Linear{space}Technology{space}DFN_16_05-08-1706.pdf)
+DFN{space}0.5
+0
+27
+17
+Package_DFN_QFN
+DFN-16-1EP_4x5mm_P0.5mm_EP2.44x4.34mm
+DHD{space}Package;{space}16-Lead{space}Plastic{space}DFN{space}(5mm{space}x{space}4mm){space}(see{space}Linear{space}Technology{space}05081707_A_DHD16.pdf)
+DFN{space}0.5
+0
+25
+17
+Package_DFN_QFN
+DFN-16-1EP_5x5mm_P0.5mm_EP3.46x4mm
+DH{space}Package;{space}16-Lead{space}Plastic{space}DFN{space}(5mm{space}x{space}5mm){space}(see{space}Linear{space}Technology{space}DFN_16_05-08-1709.pdf)
+DFN{space}0.5
+0
+26
+17
+Package_DFN_QFN
+DFN-18-1EP_3x5mm_P0.5mm_EP1.66x4.4mm
+DHC{space}Package;{space}18-Lead{space}Plastic{space}DFN{space}(5mm{space}x{space}3mm){space}(see{space}Linear{space}Technology{space}05081955_0_DHC18.pdf)
+DFN{space}0.5
+0
+29
+19
+Package_DFN_QFN
+DFN-18-1EP_4x5mm_P0.5mm_EP2.44x4.34mm
+DHD{space}Package;{space}18-Lead{space}Plastic{space}DFN{space}(5mm{space}x{space}4mm){space}(see{space}Linear{space}Technology{space}DFN_18_05-08-1778.pdf)
+DFN{space}0.5
+0
+27
+19
+Package_DFN_QFN
+DFN-20-1EP_5x6mm_P0.5mm_EP3.24x4.24mm
+DFN20,{space}6x5,{space}0.5P;{space}CASE{space}505AB{space}(see{space}ON{space}Semiconductor{space}505AB.PDF)
+DFN{space}0.5
+0
+33
+21
+Package_DFN_QFN
+DFN-22-1EP_5x6mm_P0.5mm_EP3.14x4.3mm
+DFN22{space}6*5*0.9{space}MM,{space}0.5{space}P;{space}CASE{space}506AF\xe2\x88\x9201{space}(see{space}ON{space}Semiconductor{space}506AF.PDF)
+DFN{space}0.5
+0
+35
+23
+Package_DFN_QFN
+DFN-24-1EP_4x7mm_P0.5mm_EP2.64x6.44mm
+DKD{space}Package;{space}24-Lead{space}Plastic{space}DFN{space}(7mm{space}x{space}4mm){space}(see{space}Linear{space}Technology{space}DFN_24_05-08-1864.pdf)
+DFN{space}0.5
+0
+35
+25
+Package_DFN_QFN
+DFN-32-1EP_4x7mm_P0.4mm_EP2.64x6.44mm
+DKD{space}Package;{space}32-Lead{space}Plastic{space}DFN{space}(7mm{space}x{space}4mm){space}(see{space}Linear{space}Technology{space}DFN_32_05-08-1734.pdf)
+DFN{space}0.4
+0
+43
+33
+Package_DFN_QFN
+DFN-44-1EP_5x8.9mm_P0.4mm_EP3.7x8.4mm
+DFN44{space}8.9x5,{space}0.4P;{space}CASE{space}506BU-01{space}(see{space}ON{space}Semiconductor{space}506BU.PDF)
+DFN{space}0.4
+0
+63
+45
+Package_DFN_QFN
+DFN-S-8-1EP_6x5mm_P1.27mm
+8-Lead{space}Plastic{space}Dual{space}Flat,{space}No{space}Lead{space}Package{space}(MF){space}-{space}6x5{space}mm{space}Body{space}[DFN-S]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+DFN{space}1.27
+0
+16
+9
+Package_DFN_QFN
+Diodes_DFN1006-3
+DFN{space}package{space}size{space}1006{space}3{space}pins
+DFN{space}package{space}size{space}1006{space}3{space}pins
+0
+3
+3
+Package_DFN_QFN
+Infineon_MLPQ-16-14-1EP_4x4mm_P0.5mm
+MLPQ{space}32{space}leads,{space}7x7mm,{space}0.127mm{space}stencil{space}(https://www.infineon.com/dgdl/Infineon-AN1170-AN-v05_00-EN.pdf?fileId=5546d462533600a40153559ac3e51134)
+mlpq{space}32{space}7x7mm
+0
+19
+15
+Package_DFN_QFN
+Infineon_MLPQ-40-32-1EP_7x7mm_P0.5mm
+MLPQ{space}32{space}leads,{space}7x7mm,{space}0.127mm{space}stencil{space}(https://www.infineon.com/dgdl/Infineon-AN1170-AN-v05_00-EN.pdf?fileId=5546d462533600a40153559ac3e51134)
+mlpq{space}32{space}7x7mm
+0
+76
+33
+Package_DFN_QFN
+Infineon_MLPQ-48-1EP_7x7mm_P0.5mm_EP5.55x5.55mm
+MLPQ{space}48{space}leads,{space}7x7mm{space}(https://www.infineon.com/dgdl/irs2093mpbf.pdf?fileId=5546d462533600a401535675fb892793)
+mlpq{space}32{space}7x7mm
+0
+113
+49
+Package_DFN_QFN
+Infineon_MLPQ-48-1EP_7x7mm_P0.5mm_Pad5.15x5.15mm
+MLPQ{space}48{space}leads,{space}7x7mm{space}(https://www.infineon.com/dgdl/irs2052mpbf.pdf?fileId=5546d462533600a401535675d3b32788)
+mlpq{space}32{space}7x7mm
+0
+117
+49
+Package_DFN_QFN
+Infineon_MLPQ-48-1EP_7x7mm_P0.5mm_Pad5.55x5.55mm
+MLPQ{space}48{space}leads,{space}7x7mm{space}(https://www.infineon.com/dgdl/irs2093mpbf.pdf?fileId=5546d462533600a401535675fb892793)
+mlpq{space}32{space}7x7mm
+0
+117
+49
+Package_DFN_QFN
+Infineon_PQFN-22-15-4EP_6x5mm_P0.65mm
+PQFN{space}22{space}leads,{space}5x6mm,{space}0.127mm{space}stencil{space}(https://www.infineon.com/dgdl/ir4301.pdf?fileId=5546d462533600a4015355d5fc691819,{space}https://www.infineon.com/dgdl/Infineon-AN1170-AN-v05_00-EN.pdf?fileId=5546d462533600a40153559ac3e51134)
+pqfn{space}22{space}5x6mm
+0
+56
+15
+Package_DFN_QFN
+Infineon_PQFN-44-31-5EP_7x7mm_P0.5mm
+PQFN{space}44{space}leads,{space}7x7mm,{space}0.127mm{space}stencil{space}(https://www.infineon.com/dgdl/ir4302.pdf?fileId=5546d462533600a4015355d602a9181d,{space}https://www.infineon.com/dgdl/Infineon-AN1170-AN-v05_00-EN.pdf?fileId=5546d462533600a40153559ac3e51134)
+pqfn{space}44{space}7x7mm
+0
+125
+27
+Package_DFN_QFN
+Linear_DE14MA
+14-Lead{space}Plastic{space}DFN,{space}4mm{space}x{space}3mm{space}(http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-dfn/05081731_C_DE14MA.pdf)
+DFN{space}0.5
+0
+14
+14
+Package_DFN_QFN
+Linear_UGK52_QFN-46-52
+Linear{space}UKG52(46){space}package,{space}QFN-52-1EP{space}variant{space}(see{space}http://cds.linear.com/docs/en/datasheet/3886fe.pdf)
+QFN{space}0.5
+0
+62
+47
+Package_DFN_QFN
+MLF-6-1EP_1.6x1.6mm_P0.5mm_EP0.5x1.26mm
+MLF,{space}6{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/mic5353.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+MLF{space}DFN_QFN
+0
+9
+7
+Package_DFN_QFN
+MLF-8-1EP_3x3mm_P0.65mm_EP1.55x2.3mm
+8-Pin{space}ePad{space}3mm{space}x{space}3mm{space}MLF{space}-{space}3x3x0.85{space}mm{space}Body{space}(see{space}Microchip{space}datasheet{space}http://ww1.microchip.com/downloads/en/DeviceDoc/mic5355_6.pdf)
+DFN{space}MLF{space}0.65
+0
+12
+9
+Package_DFN_QFN
+MLF-8-1EP_3x3mm_P0.65mm_EP1.55x2.3mm_ThermalVias
+8-Pin{space}ePad{space}3mm{space}x{space}3mm{space}MLF{space}-{space}3x3x0.85{space}mm{space}Body{space}(see{space}Microchip{space}datasheet{space}http://ww1.microchip.com/downloads/en/DeviceDoc/mic5355_6.pdf)
+DFN{space}MLF{space}0.65
+0
+15
+9
+Package_DFN_QFN
+MLF-20-1EP_4x4mm_P0.5mm_EP2.6x2.6mm
+MLF,{space}20{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/doc8246.pdf#page=263),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+MLF{space}DFN_QFN
+0
+25
+21
+Package_DFN_QFN
+MLF-20-1EP_4x4mm_P0.5mm_EP2.6x2.6mm_ThermalVias
+MLF,{space}20{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/doc8246.pdf#page=263),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+MLF{space}DFN_QFN
+0
+30
+21
+Package_DFN_QFN
+MLPQ-16-1EP_4x4mm_P0.65mm_EP2.8x2.8mm
+Micro{space}Leadframe{space}Package,{space}16{space}pin{space}with{space}exposed{space}pad
+MLPQ-{space}0.65
+0
+21
+17
+Package_DFN_QFN
+Micrel_MLF-8-1EP_2x2mm_P0.5mm_EP0.8x1.3mm_ThermalVias
+http://ww1.microchip.com/downloads/en/DeviceDoc/mic2290.pdf
+mlf{space}8{space}2x2{space}mm
+0
+14
+9
+Package_DFN_QFN
+Microchip_8E-16
+16-Lead{space}Quad{space}Flat,{space}No{space}Lead{space}Package{space}(8E){space}-{space}4x4x0.9{space}mm{space}Body{space}[UQFN];{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+QFN{space}Microchip{space}8E{space}16
+0
+20
+17
+Package_DFN_QFN
+Microchip_DRQFN-44-1EP_5x5mm_P0.7mm_EP2.65x2.65mm
+QFN,{space}44{space}Pin,{space}dual{space}row{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/44L_VQFN_5x5mm_Dual_Row_%5BS3B%5D_C04-21399a.pdf)
+QFN{space}dual{space}row
+0
+49
+45
+Package_DFN_QFN
+Microchip_DRQFN-44-1EP_5x5mm_P0.7mm_EP2.65x2.65mm_ThermalVias
+QFN,{space}44{space}Pin,{space}dual{space}row{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/44L_VQFN_5x5mm_Dual_Row_%5BS3B%5D_C04-21399a.pdf)
+QFN{space}dual{space}row
+0
+59
+45
+Package_DFN_QFN
+Microchip_DRQFN-64-1EP_7x7mm_P0.65mm_EP4.1x4.1mm
+QFN,{space}64{space}Pin,{space}dual{space}row{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/64L_VQFN_7x7_Dual_Row_%5BSVB%5D_C04-21420a.pdf)
+QFN{space}dual{space}row
+0
+74
+65
+Package_DFN_QFN
+Microchip_DRQFN-64-1EP_7x7mm_P0.65mm_EP4.1x4.1mm_ThermalVias
+QFN,{space}64{space}Pin,{space}dual{space}row{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/64L_VQFN_7x7_Dual_Row_%5BSVB%5D_C04-21420a.pdf)
+QFN{space}dual{space}row
+0
+91
+65
+Package_DFN_QFN
+Microsemi_QFN-40-32-2EP_6x8mm_P0.5mm
+40-Lead{space}(32-Lead{space}Populated){space}Plastic{space}Quad{space}Flat,{space}No{space}Lead{space}Package{space}-{space}6x8x0.9mm{space}Body{space}(https://www.microsemi.com/document-portal/doc_download/131677-pd70224-data-sheet)
+QFN{space}0.5
+0
+92
+34
+Package_DFN_QFN
+Mini-Circuits_DL805
+https://ww2.minicircuits.com/case_style/DL805.pdf
+RF{space}Switch
+0
+11
+9
+Package_DFN_QFN
+Mini-Circuits_FG873-4_3x3mm
+Mini{space}Circuits{space}Case{space}style{space}FG{space}(https://ww2.minicircuits.com/case_style/FG873.pdf)
+FG873
+0
+4
+4
+Package_DFN_QFN
+Nordic_AQFN-73-1EP_7x7mm_P0.5mm
+http://infocenter.nordicsemi.com/index.jsp?topic=%2Fcom.nordic.infocenter.nrf52%2Fdita%2Fnrf52%2Fchips%2Fnrf52840.html
+AQFN{space}7mm{space}
+0
+78
+74
+Package_DFN_QFN
+OnSemi_DFN-8_2x2mm_P0.5mm
+DFN8{space}2x2,{space}0.5P{space}(https://www.onsemi.com/pub/Collateral/511AT.PDF)
+DFN{space}0.5
+0
+8
+8
+Package_DFN_QFN
+OnSemi_UDFN-8_1.2x1.8mm_P0.4mm
+8-Lead{space}Plastic{space}Dual{space}Flat,{space}No{space}Lead{space}Package,{space}1.2x1.8x1.55{space}mm{space}Body{space}[UDFN]{space}(See{space}http://www.onsemi.com/pub/Collateral/NLSV2T244-D.PDF)
+dfn{space}udfn{space}dual{space}flat
+0
+8
+8
+Package_DFN_QFN
+OnSemi_VCT-28_3.5x3.5mm_P0.4mm
+OnSemi{space}{space}VCT,{space}28{space}Pin{space}(http://www.onsemi.com/pub/Collateral/601AE.PDF),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+OnSemi{space}VCT{space}DFN_QFN
+0
+28
+28
+Package_DFN_QFN
+Panasonic_HQFN-16-1EP_4x4mm_P0.65mm_EP2.9x2.9mm
+Panasonic{space}HQFN-16,{space}4x4x0.85mm{space}(https://industrial.panasonic.com/content/data/SC/ds/ds7/c0/PKG_HQFN016-A-0404XZL_EN.pdf)
+panasonic{space}hqfn
+0
+37
+17
+Package_DFN_QFN
+Panasonic_HSON-8_8x8mm_P2.00mm
+Panasonic{space}HSON-8,{space}8x8x1.25mm{space}(https://industrial.panasonic.com/content/data/SC/ds/ds7/c0/PKG_HSON008-A-0808XXI_EN.pdf)
+panasonic{space}hson
+0
+27
+9
+Package_DFN_QFN
+QFN-12-1EP_3x3mm_P0.5mm_EP1.65x1.65mm
+QFN,{space}12{space}Pin{space}(http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_12_%2005-08-1855.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+17
+13
+Package_DFN_QFN
+QFN-12-1EP_3x3mm_P0.5mm_EP1.65x1.65mm_ThermalVias
+QFN,{space}12{space}Pin{space}(http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_12_%2005-08-1855.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+22
+13
+Package_DFN_QFN
+QFN-12-1EP_3x3mm_P0.51mm_EP1.45x1.45mm
+QFN,{space}12{space}Pin{space}(https://ww2.minicircuits.com/case_style/DQ1225.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+17
+13
+Package_DFN_QFN
+QFN-16-1EP_3x3mm_P0.5mm_EP1.7x1.7mm
+QFN,{space}16{space}Pin{space}(https://www.st.com/content/ccc/resource/technical/document/datasheet/4a/50/94/16/69/af/4b/58/DM00047334.pdf/files/DM00047334.pdf/jcr:content/translations/en.DM00047334.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+21
+17
+Package_DFN_QFN
+QFN-16-1EP_3x3mm_P0.5mm_EP1.7x1.7mm_ThermalVias
+QFN,{space}16{space}Pin{space}(http://www.cypress.com/file/46236/download),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+31
+17
+Package_DFN_QFN
+QFN-16-1EP_3x3mm_P0.5mm_EP1.9x1.9mm
+QFN,{space}16{space}Pin{space}(https://www.nxp.com/docs/en/package-information/98ASA00525D.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+21
+17
+Package_DFN_QFN
+QFN-16-1EP_3x3mm_P0.5mm_EP1.9x1.9mm_ThermalVias
+QFN,{space}16{space}Pin{space}(https://www.nxp.com/docs/en/package-information/98ASA00525D.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+26
+17
+Package_DFN_QFN
+QFN-16-1EP_3x3mm_P0.5mm_EP1.45x1.45mm
+QFN,{space}16{space}Pin{space}(http://cds.linear.com/docs/en/datasheet/37551fd.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+21
+17
+Package_DFN_QFN
+QFN-16-1EP_3x3mm_P0.5mm_EP1.45x1.45mm_ThermalVias
+QFN,{space}16{space}Pin{space}(http://cds.linear.com/docs/en/datasheet/37551fd.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+26
+17
+Package_DFN_QFN
+QFN-16-1EP_3x3mm_P0.5mm_EP1.75x1.75mm
+QFN,{space}16{space}Pin{space}(https://www.onsemi.com/pub/Collateral/NCN4555-D.PDF),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+21
+17
+Package_DFN_QFN
+QFN-16-1EP_3x3mm_P0.5mm_EP1.75x1.75mm_ThermalVias
+QFN,{space}16{space}Pin{space}(https://www.onsemi.com/pub/Collateral/NCN4555-D.PDF),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+26
+17
+Package_DFN_QFN
+QFN-16-1EP_4x4mm_P0.5mm_EP2.45x2.45mm
+QFN,{space}16{space}Pin{space}(https://www.renesas.com/eu/en/www/doc/datasheet/isl8117.pdf#page=22),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+21
+17
+Package_DFN_QFN
+QFN-16-1EP_4x4mm_P0.5mm_EP2.45x2.45mm_ThermalVias
+QFN,{space}16{space}Pin{space}(https://www.renesas.com/eu/en/www/doc/datasheet/isl8117.pdf#page=22),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+31
+17
+Package_DFN_QFN
+QFN-16-1EP_4x4mm_P0.65mm_EP2.1x2.1mm
+QFN,{space}16{space}Pin{space}(http://www.thatcorp.com/datashts/THAT_1580_Datasheet.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+21
+17
+Package_DFN_QFN
+QFN-16-1EP_4x4mm_P0.65mm_EP2.1x2.1mm_ThermalVias
+QFN,{space}16{space}Pin{space}(http://www.thatcorp.com/datashts/THAT_1580_Datasheet.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+31
+17
+Package_DFN_QFN
+QFN-16-1EP_4x4mm_P0.65mm_EP2.7x2.7mm
+QFN,{space}16{space}Pin{space}(https://www.allegromicro.com/~/media/Files/Datasheets/A4403-Datasheet.ashx),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+21
+17
+Package_DFN_QFN
+QFN-16-1EP_4x4mm_P0.65mm_EP2.7x2.7mm_PullBack
+QFN,{space}16{space}Pin{space}(https://ams.com/documents/20143/36005/AS5055A_DS000304_2-00.pdf#page=24),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+21
+17
+Package_DFN_QFN
+QFN-16-1EP_4x4mm_P0.65mm_EP2.7x2.7mm_PullBack_ThermalVias
+QFN,{space}16{space}Pin{space}(https://ams.com/documents/20143/36005/AS5055A_DS000304_2-00.pdf#page=24),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+31
+17
+Package_DFN_QFN
+QFN-16-1EP_4x4mm_P0.65mm_EP2.7x2.7mm_ThermalVias
+QFN,{space}16{space}Pin{space}(https://www.allegromicro.com/~/media/Files/Datasheets/A4403-Datasheet.ashx),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+31
+17
+Package_DFN_QFN
+QFN-16-1EP_4x4mm_P0.65mm_EP2.15x2.15mm
+QFN,{space}16{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/4001f.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+21
+17
+Package_DFN_QFN
+QFN-16-1EP_4x4mm_P0.65mm_EP2.15x2.15mm_ThermalVias
+QFN,{space}16{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/4001f.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+31
+17
+Package_DFN_QFN
+QFN-16-1EP_5x5mm_P0.8mm_EP2.7x2.7mm
+QFN,{space}16{space}Pin{space}(http://www.intersil.com/content/dam/Intersil/documents/l16_/l16.5x5.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+21
+17
+Package_DFN_QFN
+QFN-16-1EP_5x5mm_P0.8mm_EP2.7x2.7mm_ThermalVias
+QFN,{space}16{space}Pin{space}(http://www.intersil.com/content/dam/Intersil/documents/l16_/l16.5x5.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+31
+17
+Package_DFN_QFN
+QFN-20-1EP_3.5x3.5mm_P0.5mm_EP2x2mm
+QFN,{space}20{space}Pin{space}(http://www.ti.com/lit/ml/mpqf239/mpqf239.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+25
+21
+Package_DFN_QFN
+QFN-20-1EP_3.5x3.5mm_P0.5mm_EP2x2mm_ThermalVias
+QFN,{space}20{space}Pin{space}(http://www.ti.com/lit/ml/mpqf239/mpqf239.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+30
+21
+Package_DFN_QFN
+QFN-20-1EP_3x3mm_P0.4mm_EP1.65x1.65mm
+QFN,{space}20{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/3553fc.pdf#page=34),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+25
+21
+Package_DFN_QFN
+QFN-20-1EP_3x3mm_P0.4mm_EP1.65x1.65mm_ThermalVias
+QFN,{space}20{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/3553fc.pdf#page=34),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+30
+21
+Package_DFN_QFN
+QFN-20-1EP_3x3mm_P0.45mm_EP1.6x1.6mm
+QFN,{space}20{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/atmel-8235-8-bit-avr-microcontroller-attiny20_datasheet.pdf#page=212),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+25
+21
+Package_DFN_QFN
+QFN-20-1EP_3x3mm_P0.45mm_EP1.6x1.6mm_ThermalVias
+QFN,{space}20{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/atmel-8235-8-bit-avr-microcontroller-attiny20_datasheet.pdf#page=212),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+30
+21
+Package_DFN_QFN
+QFN-20-1EP_3x4mm_P0.5mm_EP1.65x2.65mm
+QFN,{space}20{space}Pin{space}(http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_20_05-08-1742.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+25
+21
+Package_DFN_QFN
+QFN-20-1EP_3x4mm_P0.5mm_EP1.65x2.65mm_ThermalVias
+QFN,{space}20{space}Pin{space}(http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_20_05-08-1742.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+32
+21
+Package_DFN_QFN
+QFN-20-1EP_4x4mm_P0.5mm_EP2.5x2.5mm
+QFN,{space}20{space}Pin{space}(http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=274),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+25
+21
+Package_DFN_QFN
+QFN-20-1EP_4x4mm_P0.5mm_EP2.5x2.5mm_ThermalVias
+QFN,{space}20{space}Pin{space}(http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=274),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+30
+21
+Package_DFN_QFN
+QFN-20-1EP_4x4mm_P0.5mm_EP2.6x2.6mm
+QFN,{space}20{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/doc2535.pdf#page=164),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+25
+21
+Package_DFN_QFN
+QFN-20-1EP_4x4mm_P0.5mm_EP2.6x2.6mm_ThermalVias
+QFN,{space}20{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/doc2535.pdf#page=164),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+30
+21
+Package_DFN_QFN
+QFN-20-1EP_4x4mm_P0.5mm_EP2.7x2.7mm
+QFN,{space}20{space}Pin{space}(https://www.silabs.com/documents/public/data-sheets/Si5351-B.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+25
+21
+Package_DFN_QFN
+QFN-20-1EP_4x4mm_P0.5mm_EP2.7x2.7mm_ThermalVias
+QFN,{space}20{space}Pin{space}(https://www.silabs.com/documents/public/data-sheets/Si5351-B.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+30
+21
+Package_DFN_QFN
+QFN-20-1EP_4x5mm_P0.5mm_EP2.65x3.65mm
+QFN,{space}20{space}Pin{space}(http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_20_05-08-1711.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+27
+21
+Package_DFN_QFN
+QFN-20-1EP_4x5mm_P0.5mm_EP2.65x3.65mm_ThermalVias
+QFN,{space}20{space}Pin{space}(http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_20_05-08-1711.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+40
+21
+Package_DFN_QFN
+QFN-20-1EP_5x5mm_P0.65mm_EP3.35x3.35mm
+QFN,{space}20{space}Pin{space}(http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=276),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+25
+21
+Package_DFN_QFN
+QFN-20-1EP_5x5mm_P0.65mm_EP3.35x3.35mm_ThermalVias
+QFN,{space}20{space}Pin{space}(http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=276),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+35
+21
+Package_DFN_QFN
+QFN-24-1EP_3x3mm_P0.4mm_EP1.75x1.6mm
+QFN,{space}24{space}Pin{space}(https://www.invensense.com/wp-content/uploads/2015/02/PS-MPU-9250A-01-v1.1.pdf#page=39),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+29
+25
+Package_DFN_QFN
+QFN-24-1EP_3x3mm_P0.4mm_EP1.75x1.6mm_ThermalVias
+QFN,{space}24{space}Pin{space}(https://www.invensense.com/wp-content/uploads/2015/02/PS-MPU-9250A-01-v1.1.pdf#page=39),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+34
+25
+Package_DFN_QFN
+QFN-24-1EP_3x4mm_P0.4mm_EP1.65x2.65mm
+QFN,{space}24{space}Pin{space}(http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_20_05-08-1742.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+31
+25
+Package_DFN_QFN
+QFN-24-1EP_3x4mm_P0.4mm_EP1.65x2.65mm_ThermalVias
+QFN,{space}24{space}Pin{space}(http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_20_05-08-1742.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+38
+25
+Package_DFN_QFN
+QFN-24-1EP_4x4mm_P0.5mm_EP2.6x2.6mm
+QFN,{space}24{space}Pin{space}(http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=278),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+29
+25
+Package_DFN_QFN
+QFN-24-1EP_4x4mm_P0.5mm_EP2.6x2.6mm_ThermalVias
+QFN,{space}24{space}Pin{space}(http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=278),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+39
+25
+Package_DFN_QFN
+QFN-24-1EP_4x4mm_P0.5mm_EP2.7x2.6mm
+QFN,{space}24{space}Pin{space}(https://store.invensense.com/datasheets/invensense/MPU-6050_DataSheet_V3%204.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+29
+25
+Package_DFN_QFN
+QFN-24-1EP_4x4mm_P0.5mm_EP2.7x2.6mm_ThermalVias
+QFN,{space}24{space}Pin{space}(https://store.invensense.com/datasheets/invensense/MPU-6050_DataSheet_V3%204.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+39
+25
+Package_DFN_QFN
+QFN-24-1EP_4x4mm_P0.5mm_EP2.7x2.7mm
+QFN,{space}24{space}Pin{space}(http://www.alfarzpp.lv/eng/sc/AS3330.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+29
+25
+Package_DFN_QFN
+QFN-24-1EP_4x4mm_P0.5mm_EP2.7x2.7mm_ThermalVias
+QFN,{space}24{space}Pin{space}(http://www.alfarzpp.lv/eng/sc/AS3330.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+39
+25
+Package_DFN_QFN
+QFN-24-1EP_4x4mm_P0.5mm_EP2.8x2.8mm
+QFN,{space}24{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/hmc431.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+29
+25
+Package_DFN_QFN
+QFN-24-1EP_4x4mm_P0.5mm_EP2.8x2.8mm_ThermalVias
+QFN,{space}24{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/hmc431.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+39
+25
+Package_DFN_QFN
+QFN-24-1EP_4x4mm_P0.5mm_EP2.65x2.65mm
+QFN,{space}24{space}Pin{space}(http://www.cypress.com/file/46236/download),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+29
+25
+Package_DFN_QFN
+QFN-24-1EP_4x4mm_P0.5mm_EP2.65x2.65mm_ThermalVias
+QFN,{space}24{space}Pin{space}(http://www.cypress.com/file/46236/download),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+39
+25
+Package_DFN_QFN
+QFN-24-1EP_4x5mm_P0.5mm_EP2.65x3.65mm
+QFN,{space}24{space}Pin{space}(https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_24_05-08-1696.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+31
+25
+Package_DFN_QFN
+QFN-24-1EP_4x5mm_P0.5mm_EP2.65x3.65mm_ThermalVias
+QFN,{space}24{space}Pin{space}(https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_24_05-08-1696.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+44
+25
+Package_DFN_QFN
+QFN-24-1EP_5x5mm_P0.65mm_EP3.2x3.2mm
+QFN,{space}24{space}Pin{space}(https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/(UH24)%20QFN%2005-08-1747%20Rev%20A.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+29
+25
+Package_DFN_QFN
+QFN-24-1EP_5x5mm_P0.65mm_EP3.2x3.2mm_ThermalVias
+QFN,{space}24{space}Pin{space}(https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/(UH24)%20QFN%2005-08-1747%20Rev%20A.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+51
+25
+Package_DFN_QFN
+QFN-24-1EP_5x5mm_P0.65mm_EP3.4x3.4mm
+QFN,{space}24{space}Pin{space}(http://www.thatcorp.com/datashts/THAT_5173_Datasheet.pdf#page=17),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+29
+25
+Package_DFN_QFN
+QFN-24-1EP_5x5mm_P0.65mm_EP3.4x3.4mm_ThermalVias
+QFN,{space}24{space}Pin{space}(http://www.thatcorp.com/datashts/THAT_5173_Datasheet.pdf#page=17),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+39
+25
+Package_DFN_QFN
+QFN-24-1EP_5x5mm_P0.65mm_EP3.6x3.6mm
+QFN,{space}24{space}Pin{space}(https://www.nxp.com/docs/en/package-information/98ASA00734D.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+34
+25
+Package_DFN_QFN
+QFN-24-1EP_5x5mm_P0.65mm_EP3.6x3.6mm_ThermalVias
+QFN,{space}24{space}Pin{space}(https://www.nxp.com/docs/en/package-information/98ASA00734D.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+67
+25
+Package_DFN_QFN
+QFN-28-1EP_3x6mm_P0.5mm_EP1.7x4.75mm
+QFN,{space}28{space}Pin{space}(http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/05081926_0_UDE28.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+37
+29
+Package_DFN_QFN
+QFN-28-1EP_3x6mm_P0.5mm_EP1.7x4.75mm_ThermalVias
+QFN,{space}28{space}Pin{space}(http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/05081926_0_UDE28.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+53
+29
+Package_DFN_QFN
+QFN-28-1EP_4x4mm_P0.4mm_EP2.4x2.4mm
+QFN,{space}28{space}Pin{space}(http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=280),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+33
+29
+Package_DFN_QFN
+QFN-28-1EP_4x4mm_P0.4mm_EP2.4x2.4mm_ThermalVias
+QFN,{space}28{space}Pin{space}(http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=280),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+43
+29
+Package_DFN_QFN
+QFN-28-1EP_4x4mm_P0.45mm_EP2.4x2.4mm
+QFN,{space}28{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/8008S.pdf#page=16),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+33
+29
+Package_DFN_QFN
+QFN-28-1EP_4x4mm_P0.45mm_EP2.4x2.4mm_ThermalVias
+QFN,{space}28{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/8008S.pdf#page=16),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+43
+29
+Package_DFN_QFN
+QFN-28-1EP_4x5mm_P0.5mm_EP2.65x3.65mm
+QFN,{space}28{space}Pin{space}(http://www.analog.com/media/en/technical-documentation/data-sheets/3555fe.pdf#page=32),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+35
+29
+Package_DFN_QFN
+QFN-28-1EP_4x5mm_P0.5mm_EP2.65x3.65mm_ThermalVias
+QFN,{space}28{space}Pin{space}(http://www.analog.com/media/en/technical-documentation/data-sheets/3555fe.pdf#page=32),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+48
+29
+Package_DFN_QFN
+QFN-28-1EP_5x5mm_P0.5mm_EP3.35x3.35mm
+QFN,{space}28{space}Pin{space}(http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=283),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+38
+29
+Package_DFN_QFN
+QFN-28-1EP_5x5mm_P0.5mm_EP3.35x3.35mm_ThermalVias
+QFN,{space}28{space}Pin{space}(http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=283),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+55
+29
+Package_DFN_QFN
+QFN-28-1EP_5x6mm_P0.5mm_EP3.65x4.65mm
+QFN,{space}28{space}Pin{space}(http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/05081932_0_UHE28.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+41
+29
+Package_DFN_QFN
+QFN-28-1EP_5x6mm_P0.5mm_EP3.65x4.65mm_ThermalVias
+QFN,{space}28{space}Pin{space}(http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/05081932_0_UHE28.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+62
+29
+Package_DFN_QFN
+QFN-28-1EP_6x6mm_P0.65mm_EP4.8x4.8mm
+QFN,{space}28{space}Pin{space}(https://www.semtech.com/uploads/documents/sx1272.pdf#page=125),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+38
+29
+Package_DFN_QFN
+QFN-28-1EP_6x6mm_P0.65mm_EP4.8x4.8mm_ThermalVias
+QFN,{space}28{space}Pin{space}(https://www.semtech.com/uploads/documents/sx1272.pdf#page=125),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+71
+29
+Package_DFN_QFN
+QFN-28-1EP_6x6mm_P0.65mm_EP4.25x4.25mm
+QFN,{space}28{space}Pin{space}(http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=289),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+38
+29
+Package_DFN_QFN
+QFN-28-1EP_6x6mm_P0.65mm_EP4.25x4.25mm_ThermalVias
+QFN,{space}28{space}Pin{space}(http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=289),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+71
+29
+Package_DFN_QFN
+QFN-28_4x4mm_P0.5mm
+QFN,{space}28{space}Pin{space}(http://www.st.com/resource/en/datasheet/stm32f031k6.pdf#page=90),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+28
+28
+Package_DFN_QFN
+QFN-32-1EP_4x4mm_P0.4mm_EP2.9x2.9mm
+QFN,{space}32{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/atmel-8153-8-and-16-bit-avr-microcontroller-xmega-e-atxmega8e5-atxmega16e5-atxmega32e5_datasheet.pdf{space}(Page{space}70)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+37
+33
+Package_DFN_QFN
+QFN-32-1EP_4x4mm_P0.4mm_EP2.9x2.9mm_ThermalVias
+QFN,{space}32{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/atmel-8153-8-and-16-bit-avr-microcontroller-xmega-e-atxmega8e5-atxmega16e5-atxmega32e5_datasheet.pdf{space}(Page{space}70)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+47
+33
+Package_DFN_QFN
+QFN-32-1EP_4x4mm_P0.4mm_EP2.65x2.65mm
+QFN,{space}32{space}Pin{space}(https://www.renesas.com/eu/en/package-image/pdf/outdrawing/l32.4x4a.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+37
+33
+Package_DFN_QFN
+QFN-32-1EP_4x4mm_P0.4mm_EP2.65x2.65mm_ThermalVias
+QFN,{space}32{space}Pin{space}(https://www.renesas.com/eu/en/package-image/pdf/outdrawing/l32.4x4a.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+59
+33
+Package_DFN_QFN
+QFN-32-1EP_5x5mm_P0.5mm_EP3.1x3.1mm
+QFN,{space}32{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/8008S.pdf{space}(Page{space}20)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+42
+33
+Package_DFN_QFN
+QFN-32-1EP_5x5mm_P0.5mm_EP3.1x3.1mm_ThermalVias
+QFN,{space}32{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/8008S.pdf{space}(Page{space}20)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+59
+33
+Package_DFN_QFN
+QFN-32-1EP_5x5mm_P0.5mm_EP3.6x3.6mm
+QFN,{space}32{space}Pin{space}(http://infocenter.nordicsemi.com/pdf/nRF52810_PS_v1.1.pdf{space}(Page{space}468)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+42
+33
+Package_DFN_QFN
+QFN-32-1EP_5x5mm_P0.5mm_EP3.6x3.6mm_ThermalVias
+QFN,{space}32{space}Pin{space}(http://infocenter.nordicsemi.com/pdf/nRF52810_PS_v1.1.pdf{space}(Page{space}468)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+59
+33
+Package_DFN_QFN
+QFN-32-1EP_5x5mm_P0.5mm_EP3.7x3.7mm
+QFN,{space}32{space}Pin{space}(https://www.espressif.com/sites/default/files/documentation/0a-esp8285_datasheet_en.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+37
+33
+Package_DFN_QFN
+QFN-32-1EP_5x5mm_P0.5mm_EP3.7x3.7mm_ThermalVias
+QFN,{space}32{space}Pin{space}(https://www.espressif.com/sites/default/files/documentation/0a-esp8285_datasheet_en.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+59
+33
+Package_DFN_QFN
+QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm
+QFN,{space}32{space}Pin{space}(http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_32_05-08-1693.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+42
+33
+Package_DFN_QFN
+QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm_ThermalVias
+QFN,{space}32{space}Pin{space}(http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_32_05-08-1693.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+59
+33
+Package_DFN_QFN
+QFN-32-1EP_5x5mm_P0.5mm_EP3.65x3.65mm
+QFN,{space}32{space}Pin{space}(https://www.exar.com/ds/mxl7704.pdf{space}(Page{space}35)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+42
+33
+Package_DFN_QFN
+QFN-32-1EP_5x5mm_P0.5mm_EP3.65x3.65mm_ThermalVias
+QFN,{space}32{space}Pin{space}(https://www.exar.com/ds/mxl7704.pdf{space}(Page{space}35)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+59
+33
+Package_DFN_QFN
+QFN-32-1EP_7x7mm_P0.65mm_EP4.7x4.7mm
+QFN,{space}32{space}Pin{space}(https://www.nxp.com/docs/en/data-sheet/LPC111X.pdf{space}(Page{space}108)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+49
+33
+Package_DFN_QFN
+QFN-32-1EP_7x7mm_P0.65mm_EP4.7x4.7mm_ThermalVias
+QFN,{space}32{space}Pin{space}(https://www.nxp.com/docs/en/data-sheet/LPC111X.pdf{space}(Page{space}108)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+75
+33
+Package_DFN_QFN
+QFN-32-1EP_7x7mm_P0.65mm_EP4.65x4.65mm
+QFN,{space}32{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/Atmel-8209-8-bit%20AVR%20ATmega16M1-32M1-64M1_Datasheet.pdf{space}(Page{space}426)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+49
+33
+Package_DFN_QFN
+QFN-32-1EP_7x7mm_P0.65mm_EP4.65x4.65mm_ThermalVias
+QFN,{space}32{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/Atmel-8209-8-bit%20AVR%20ATmega16M1-32M1-64M1_Datasheet.pdf{space}(Page{space}426)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+75
+33
+Package_DFN_QFN
+QFN-32-1EP_7x7mm_P0.65mm_EP5.4x5.4mm
+QFN,{space}32{space}Pin{space}(http://www.thatcorp.com/datashts/THAT_5171_Datasheet.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+49
+33
+Package_DFN_QFN
+QFN-32-1EP_7x7mm_P0.65mm_EP5.4x5.4mm_ThermalVias
+QFN,{space}32{space}Pin{space}(http://www.thatcorp.com/datashts/THAT_5171_Datasheet.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+75
+33
+Package_DFN_QFN
+QFN-36-1EP_5x6mm_P0.5mm_EP3.6x4.1mm
+QFN,{space}36{space}Pin{space}(https://www.trinamic.com/fileadmin/assets/Products/ICs_Documents/TMC2100_datasheet_Rev1.08.pdf{space}(page{space}43)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+46
+37
+Package_DFN_QFN
+QFN-36-1EP_5x6mm_P0.5mm_EP3.6x4.1mm_ThermalVias
+QFN,{space}36{space}Pin{space}(https://www.trinamic.com/fileadmin/assets/Products/ICs_Documents/TMC2100_datasheet_Rev1.08.pdf{space}(page{space}43)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+56
+37
+Package_DFN_QFN
+QFN-36-1EP_5x6mm_P0.5mm_EP3.6x4.6mm
+QFN,{space}36{space}Pin{space}(https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/(UHE36)%20QFN%2005-08-1876%20Rev%20%C3%98.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+49
+37
+Package_DFN_QFN
+QFN-36-1EP_5x6mm_P0.5mm_EP3.6x4.6mm_ThermalVias
+QFN,{space}36{space}Pin{space}(https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/(UHE36)%20QFN%2005-08-1876%20Rev%20%C3%98.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+56
+37
+Package_DFN_QFN
+QFN-36-1EP_6x6mm_P0.5mm_EP3.7x3.7mm
+QFN,{space}36{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/36L_QFN_6x6_with_3_7x3_7_EP_Punch_Dimpled_4E_C04-0241A.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+46
+37
+Package_DFN_QFN
+QFN-36-1EP_6x6mm_P0.5mm_EP3.7x3.7mm_ThermalVias
+QFN,{space}36{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/36L_QFN_6x6_with_3_7x3_7_EP_Punch_Dimpled_4E_C04-0241A.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+63
+37
+Package_DFN_QFN
+QFN-36-1EP_6x6mm_P0.5mm_EP4.1x4.1mm
+QFN,{space}36{space}Pin{space}(www.st.com/resource/en/datasheet/stm32f101t6.pdf{space}(page{space}72)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+46
+37
+Package_DFN_QFN
+QFN-36-1EP_6x6mm_P0.5mm_EP4.1x4.1mm_ThermalVias
+QFN,{space}36{space}Pin{space}(www.st.com/resource/en/datasheet/stm32f101t6.pdf{space}(page{space}72)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+63
+37
+Package_DFN_QFN
+QFN-38-1EP_4x6mm_P0.4mm_EP2.65x4.65mm
+QFN,{space}38{space}Pin{space}(http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_38_05-08-1750.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+47
+39
+Package_DFN_QFN
+QFN-38-1EP_4x6mm_P0.4mm_EP2.65x4.65mm_ThermalVias
+QFN,{space}38{space}Pin{space}(http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_38_05-08-1750.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+56
+39
+Package_DFN_QFN
+QFN-38-1EP_5x7mm_P0.5mm_EP3.15x5.15mm
+QFN,{space}38{space}Pin{space}(http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_38_05-08-1701.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+54
+39
+Package_DFN_QFN
+QFN-38-1EP_5x7mm_P0.5mm_EP3.15x5.15mm_ThermalVias
+QFN,{space}38{space}Pin{space}(http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_38_05-08-1701.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+63
+39
+Package_DFN_QFN
+QFN-40-1EP_5x5mm_P0.4mm_EP3.6x3.6mm
+QFN,{space}40{space}Pin{space}(http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=297),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+50
+41
+Package_DFN_QFN
+QFN-40-1EP_5x5mm_P0.4mm_EP3.6x3.6mm_ThermalVias
+QFN,{space}40{space}Pin{space}(http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=297),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+67
+41
+Package_DFN_QFN
+QFN-40-1EP_6x6mm_P0.5mm_EP4.6x4.6mm
+QFN,{space}40{space}Pin{space}(http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=295),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+57
+41
+Package_DFN_QFN
+QFN-40-1EP_6x6mm_P0.5mm_EP4.6x4.6mm_ThermalVias
+QFN,{space}40{space}Pin{space}(http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=295),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+83
+41
+Package_DFN_QFN
+QFN-42-1EP_5x6mm_P0.4mm_EP3.7x4.7mm
+QFN,{space}42{space}Pin{space}(https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/05081875_0_UHE42.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+55
+43
+Package_DFN_QFN
+QFN-42-1EP_5x6mm_P0.4mm_EP3.7x4.7mm_ThermalVias
+QFN,{space}42{space}Pin{space}(https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/05081875_0_UHE42.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+76
+43
+Package_DFN_QFN
+QFN-44-1EP_7x7mm_P0.5mm_EP5.2x5.2mm
+QFN,{space}44{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/2512S.pdf#page=17),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+61
+45
+Package_DFN_QFN
+QFN-44-1EP_7x7mm_P0.5mm_EP5.2x5.2mm_ThermalVias
+QFN,{space}44{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/2512S.pdf#page=17),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+87
+45
+Package_DFN_QFN
+QFN-44-1EP_7x7mm_P0.5mm_EP5.15x5.15mm
+QFN,{space}44{space}Pin{space}(http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_44_05-08-1763.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+61
+45
+Package_DFN_QFN
+QFN-44-1EP_7x7mm_P0.5mm_EP5.15x5.15mm_ThermalVias
+QFN,{space}44{space}Pin{space}(http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_44_05-08-1763.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+87
+45
+Package_DFN_QFN
+QFN-44-1EP_8x8mm_P0.65mm_EP6.45x6.45mm
+QFN,{space}44{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/39935c.pdf#page=152),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+70
+45
+Package_DFN_QFN
+QFN-44-1EP_8x8mm_P0.65mm_EP6.45x6.45mm_ThermalVias
+QFN,{space}44{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/39935c.pdf#page=152),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+107
+45
+Package_DFN_QFN
+QFN-44-1EP_9x9mm_P0.65mm_EP7.5x7.5mm
+44-Lead{space}Plastic{space}Quad{space}Flat,{space}No{space}Lead{space}Package{space}-{space}9x9{space}mm{space}Body{space}[QFN];{space}see{space}section{space}10.3{space}of{space}https://www.parallax.com/sites/default/files/downloads/P8X32A-Propeller-Datasheet-v1.4.0_0.pdf
+QFN{space}0.65
+0
+49
+45
+Package_DFN_QFN
+QFN-44-1EP_9x9mm_P0.65mm_EP7.5x7.5mm_ThermalVias
+44-Lead{space}Plastic{space}Quad{space}Flat,{space}No{space}Lead{space}Package{space}-{space}9x9{space}mm{space}Body{space}[QFN]{space}with{space}thermal{space}vias;{space}see{space}section{space}10.3{space}of{space}https://www.parallax.com/sites/default/files/downloads/P8X32A-Propeller-Datasheet-v1.4.0_0.pdf
+QFN{space}0.65
+0
+55
+45
+Package_DFN_QFN
+QFN-48-1EP_5x5mm_P0.35mm_EP3.7x3.7mm
+QFN,{space}48{space}Pin{space}(https://www.espressif.com/sites/default/files/documentation/esp32_datasheet_en.pdf#page=38),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+58
+49
+Package_DFN_QFN
+QFN-48-1EP_5x5mm_P0.35mm_EP3.7x3.7mm_ThermalVias
+QFN,{space}48{space}Pin{space}(https://www.espressif.com/sites/default/files/documentation/esp32_datasheet_en.pdf#page=38),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+75
+49
+Package_DFN_QFN
+QFN-48-1EP_6x6mm_P0.4mm_EP4.2x4.2mm
+QFN,{space}48{space}Pin{space}(https://static.dev.sifive.com/SiFive-FE310-G000-datasheet-v1p5.pdf#page=20),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+58
+49
+Package_DFN_QFN
+QFN-48-1EP_6x6mm_P0.4mm_EP4.2x4.2mm_ThermalVias
+QFN,{space}48{space}Pin{space}(https://static.dev.sifive.com/SiFive-FE310-G000-datasheet-v1p5.pdf#page=20),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+91
+49
+Package_DFN_QFN
+QFN-48-1EP_6x6mm_P0.4mm_EP4.3x4.3mm
+QFN,{space}48{space}Pin{space}(https://www.espressif.com/sites/default/files/documentation/esp32_datasheet_en.pdf#page=38),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+58
+49
+Package_DFN_QFN
+QFN-48-1EP_6x6mm_P0.4mm_EP4.3x4.3mm_ThermalVias
+QFN,{space}48{space}Pin{space}(https://www.espressif.com/sites/default/files/documentation/esp32_datasheet_en.pdf#page=38),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+75
+49
+Package_DFN_QFN
+QFN-48-1EP_6x6mm_P0.4mm_EP4.6x4.6mm
+QFN,{space}48{space}Pin{space}(http://infocenter.nordicsemi.com/pdf/nRF51822_PS_v3.3.pdf#page=67),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+58
+49
+Package_DFN_QFN
+QFN-48-1EP_6x6mm_P0.4mm_EP4.6x4.6mm_ThermalVias
+QFN,{space}48{space}Pin{space}(http://infocenter.nordicsemi.com/pdf/nRF51822_PS_v3.3.pdf#page=67),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+91
+49
+Package_DFN_QFN
+QFN-48-1EP_6x6mm_P0.4mm_EP4.66x4.66mm
+QFN,{space}48{space}Pin{space}(https://www.onsemi.com/pub/Collateral/485BA.PDF),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+58
+49
+Package_DFN_QFN
+QFN-48-1EP_6x6mm_P0.4mm_EP4.66x4.66mm_ThermalVias
+QFN,{space}48{space}Pin{space}(https://www.onsemi.com/pub/Collateral/485BA.PDF),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+91
+49
+Package_DFN_QFN
+QFN-48-1EP_7x7mm_P0.5mm_EP5.3x5.3mm
+QFN,{space}48{space}Pin{space}(https://www.trinamic.com/fileadmin/assets/Products/ICs_Documents/TMC2041_datasheet.pdf#page=62),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+65
+49
+Package_DFN_QFN
+QFN-48-1EP_7x7mm_P0.5mm_EP5.3x5.3mm_ThermalVias
+QFN,{space}48{space}Pin{space}(https://www.trinamic.com/fileadmin/assets/Products/ICs_Documents/TMC2041_datasheet.pdf#page=62),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+91
+49
+Package_DFN_QFN
+QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm
+QFN,{space}48{space}Pin{space}(http://www.st.com/resource/en/datasheet/stm32f042k6.pdf#page=94),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+65
+49
+Package_DFN_QFN
+QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm_ThermalVias
+QFN,{space}48{space}Pin{space}(http://www.st.com/resource/en/datasheet/stm32f042k6.pdf#page=94),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+91
+49
+Package_DFN_QFN
+QFN-48-1EP_7x7mm_P0.5mm_EP5.15x5.15mm
+QFN,{space}48{space}Pin{space}(http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_48_05-08-1704.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+65
+49
+Package_DFN_QFN
+QFN-48-1EP_7x7mm_P0.5mm_EP5.15x5.15mm_ThermalVias
+QFN,{space}48{space}Pin{space}(http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_48_05-08-1704.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+91
+49
+Package_DFN_QFN
+QFN-48-1EP_7x7mm_P0.5mm_EP5.45x5.45mm
+QFN,{space}48{space}Pin{space}(http://www.thatcorp.com/datashts/THAT_626x_Datasheet.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+65
+49
+Package_DFN_QFN
+QFN-48-1EP_7x7mm_P0.5mm_EP5.45x5.45mm_ThermalVias
+QFN,{space}48{space}Pin{space}(http://www.thatcorp.com/datashts/THAT_626x_Datasheet.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+91
+49
+Package_DFN_QFN
+QFN-52-1EP_7x8mm_P0.5mm_EP5.41x6.45mm
+QFN,{space}52{space}Pin{space}(https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_52_05-08-1729.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+73
+53
+Package_DFN_QFN
+QFN-52-1EP_7x8mm_P0.5mm_EP5.41x6.45mm_ThermalVias
+QFN,{space}52{space}Pin{space}(https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_52_05-08-1729.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+104
+53
+Package_DFN_QFN
+QFN-56-1EP_7x7mm_P0.4mm_EP5.6x5.6mm
+QFN,{space}56{space}Pin{space}(http://www.cypress.com/file/416486/download#page=40),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+73
+57
+Package_DFN_QFN
+QFN-56-1EP_7x7mm_P0.4mm_EP5.6x5.6mm_ThermalVias
+QFN,{space}56{space}Pin{space}(http://www.cypress.com/file/416486/download#page=40),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+99
+57
+Package_DFN_QFN
+QFN-56-1EP_8x8mm_P0.5mm_EP4.5x5.2mm
+QFN,{space}56{space}Pin{space}(http://www.ti.com/lit/an/scea032/scea032.pdf#page=4),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+73
+57
+Package_DFN_QFN
+QFN-56-1EP_8x8mm_P0.5mm_EP4.5x5.2mm_ThermalVias
+QFN,{space}56{space}Pin{space}(http://www.ti.com/lit/an/scea032/scea032.pdf#page=4),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+99
+57
+Package_DFN_QFN
+QFN-56-1EP_8x8mm_P0.5mm_EP4.5x5.2mm_ThermalVias_TopTented
+QFN,{space}56{space}Pin{space}top{space}tented{space}version{space}(manually{space}modified).{space}For{space}information{space}see:{space}http://www.cypress.com/file/138911/download
+QFN{space}DFN_QFN
+0
+115
+57
+Package_DFN_QFN
+QFN-56-1EP_8x8mm_P0.5mm_EP5.6x5.6mm
+QFN,{space}56{space}Pin{space}(http://www.ti.com/lit/ds/symlink/tlc5957.pdf#page=23),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+73
+57
+Package_DFN_QFN
+QFN-56-1EP_8x8mm_P0.5mm_EP5.6x5.6mm_ThermalVias
+QFN,{space}56{space}Pin{space}(http://www.ti.com/lit/ds/symlink/tlc5957.pdf#page=23),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+99
+57
+Package_DFN_QFN
+QFN-64-1EP_8x8mm_P0.4mm_EP6.5x6.5mm
+QFN,{space}64{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/64L_VQFN_8x8_with%206_5x6_5%20EP_JXX_C04-0437A.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+90
+65
+Package_DFN_QFN
+QFN-64-1EP_8x8mm_P0.4mm_EP6.5x6.5mm_ThermalVias
+QFN,{space}64{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/64L_VQFN_8x8_with%206_5x6_5%20EP_JXX_C04-0437A.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+127
+65
+Package_DFN_QFN
+QFN-64-1EP_9x9mm_P0.5mm_EP4.7x4.7mm
+QFN,{space}64{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/60001477A.pdf{space}(page{space}1083)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+74
+65
+Package_DFN_QFN
+QFN-64-1EP_9x9mm_P0.5mm_EP4.7x4.7mm_ThermalVias
+QFN,{space}64{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/60001477A.pdf{space}(page{space}1083)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+91
+65
+Package_DFN_QFN
+QFN-64-1EP_9x9mm_P0.5mm_EP5.4x5.4mm
+QFN,{space}64{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/70593d.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+81
+65
+Package_DFN_QFN
+QFN-64-1EP_9x9mm_P0.5mm_EP5.4x5.4mm_ThermalVias
+QFN,{space}64{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/70593d.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+107
+65
+Package_DFN_QFN
+QFN-64-1EP_9x9mm_P0.5mm_EP6x6mm
+QFN,{space}64{space}Pin{space}(http://www.ti.com/lit/ds/symlink/tusb8041.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+81
+65
+Package_DFN_QFN
+QFN-64-1EP_9x9mm_P0.5mm_EP6x6mm_ThermalVias
+QFN,{space}64{space}Pin{space}(http://www.ti.com/lit/ds/symlink/tusb8041.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+107
+65
+Package_DFN_QFN
+QFN-64-1EP_9x9mm_P0.5mm_EP7.3x7.3mm
+QFN,{space}64{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/00002304A.pdf{space}(page{space}43)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+90
+65
+Package_DFN_QFN
+QFN-64-1EP_9x9mm_P0.5mm_EP7.3x7.3mm_ThermalVias
+QFN,{space}64{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/00002304A.pdf{space}(page{space}43)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+127
+65
+Package_DFN_QFN
+QFN-64-1EP_9x9mm_P0.5mm_EP7.5x7.5mm
+QFN,{space}64{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/doc7593.pdf{space}(page{space}432)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+101
+65
+Package_DFN_QFN
+QFN-64-1EP_9x9mm_P0.5mm_EP7.5x7.5mm_ThermalVias
+QFN,{space}64{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/doc7593.pdf{space}(page{space}432)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+151
+65
+Package_DFN_QFN
+QFN-64-1EP_9x9mm_P0.5mm_EP7.15x7.15mm
+QFN,{space}64{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/229321fa.pdf#page=27),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+90
+65
+Package_DFN_QFN
+QFN-64-1EP_9x9mm_P0.5mm_EP7.15x7.15mm_ThermalVias
+QFN,{space}64{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/229321fa.pdf#page=27),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+127
+65
+Package_DFN_QFN
+QFN-64-1EP_9x9mm_P0.5mm_EP7.25x7.25mm
+64-Lead{space}Plastic{space}Quad{space}Flat{space}No-Lead{space}Package,{space}9x9mm{space}Body{space}(see{space}Atmel{space}Appnote{space}8826)
+QFN{space}0.5
+0
+90
+65
+Package_DFN_QFN
+QFN-64-1EP_9x9mm_P0.5mm_EP7.35x7.35mm
+64-Lead{space}Plastic{space}Quad{space}Flat,{space}No{space}Lead{space}Package{space}(MR){space}-{space}9x9x0.9{space}mm{space}Body{space}[QFN];{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+QFN{space}0.5
+0
+90
+65
+Package_DFN_QFN
+QFN-64-1EP_9x9mm_P0.5mm_EP7.65x7.65mm
+QFN,{space}64{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/Atmel-2549-8-bit-AVR-Microcontroller-ATmega640-1280-1281-2560-2561_datasheet.pdf{space}(page{space}415)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+101
+65
+Package_DFN_QFN
+QFN-64-1EP_9x9mm_P0.5mm_EP7.65x7.65mm_ThermalVias
+QFN,{space}64{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/Atmel-2549-8-bit-AVR-Microcontroller-ATmega640-1280-1281-2560-2561_datasheet.pdf{space}(page{space}415)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+151
+65
+Package_DFN_QFN
+QFN-68-1EP_8x8mm_P0.4mm_EP5.2x5.2mm
+QFN,{space}68{space}Pin{space}(https://cdn.microsemi.com/documents/1bf6886f-5919-4508-a50b-b1dbf3fdf0f4/download/#page=98),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+85
+69
+Package_DFN_QFN
+QFN-68-1EP_8x8mm_P0.4mm_EP5.2x5.2mm_ThermalVias
+QFN,{space}68{space}Pin{space}(https://cdn.microsemi.com/documents/1bf6886f-5919-4508-a50b-b1dbf3fdf0f4/download/#page=98),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+111
+69
+Package_DFN_QFN
+QFN-76-1EP_9x9mm_P0.4mm_EP3.8x3.8mm
+QFN,{space}76{space}Pin{space}(https://www.marvell.com/documents/bqcwxsoiqfjkcjdjhkvc/#page=19),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+86
+77
+Package_DFN_QFN
+QFN-76-1EP_9x9mm_P0.4mm_EP3.8x3.8mm_ThermalVias
+QFN,{space}76{space}Pin{space}(https://www.marvell.com/documents/bqcwxsoiqfjkcjdjhkvc/#page=19),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+QFN{space}DFN_QFN
+0
+103
+77
+Package_DFN_QFN
+Qorvo_DFN-8-1EP_2x2mm_P0.5mm
+DFN{space}8{space}2x2mm,{space}0.5mm{space}http://www.qorvo.com/products/d/da000896
+DFN{space}0.5{space}Qorvo{space}2x2mm
+0
+9
+9
+Package_DFN_QFN
+ROHM_DFN0604-3
+DFN{space}package{space}size{space}0604{space}3{space}pins
+DFN{space}package{space}size{space}0604{space}3{space}pins
+0
+3
+3
+Package_DFN_QFN
+ST_UFQFPN-20_3x3mm_P0.5mm
+UFQFPN{space}20-lead,{space}3{space}x{space}3{space}mm,{space}0.5{space}mm{space}pitch,{space}ultra{space}thin{space}fine{space}pitch{space}quad{space}flat{space}package{space}(http://www.st.com/resource/en/datasheet/stm8s003f3.pdf)
+UFQFPN{space}0.5
+0
+40
+20
+Package_DFN_QFN
+ST_UQFN-6L_1.5x1.7mm_Pitch0.5mm
+ST{space}UQFN{space}6{space}pin{space}0.5mm{space}Pitch{space}http://www.st.com/resource/en/datasheet/ecmf02-2amx6.pdf
+UQFN{space}DFN{space}0.5{space}ST
+0
+6
+6
+Package_DFN_QFN
+SiliconLabs_QFN-20-1EP_3x3mm_P0.5mm
+20-Lead{space}Plastic{space}Quad{space}Flat,{space}No{space}Lead{space}Package{space}-{space}3x3{space}mm{space}Body{space}[QFN]{space}with{space}corner{space}pads;{space}see{space}figure{space}8.2{space}of{space}https://www.silabs.com/documents/public/data-sheets/efm8bb1-datasheet.pdf
+QFN{space}0.5
+0
+25
+21
+Package_DFN_QFN
+SiliconLabs_QFN-20-1EP_3x3mm_P0.5mm_ThermalVias
+20-Lead{space}Plastic{space}Quad{space}Flat,{space}No{space}Lead{space}Package{space}-{space}3x3{space}mm{space}Body{space}[QFN]{space}with{space}corner{space}pads{space}and{space}thermal{space}vias;{space}see{space}figure{space}8.2{space}of{space}https://www.silabs.com/documents/public/data-sheets/efm8bb1-datasheet.pdf
+QFN{space}0.5
+0
+31
+21
+Package_DFN_QFN
+TDFN-8-1EP_3x2mm_P0.5mm_EP1.80x1.65mm
+8-lead{space}plastic{space}dual{space}flat,{space}2x3x0.75mm{space}size,{space}0.5mm{space}pitch{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/8L_TDFN_2x3_MN_C04-0129E-MN.pdf)
+TDFN{space}DFN{space}0.5mm
+0
+12
+9
+Package_DFN_QFN
+TDFN-8-1EP_3x2mm_P0.5mm_EP1.80x1.65mm_ThermalVias
+8-lead{space}plastic{space}dual{space}flat,{space}2x3x0.75mm{space}size,{space}0.5mm{space}pitch{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/8L_TDFN_2x3_MN_C04-0129E-MN.pdf)
+TDFN{space}DFN{space}0.5mm
+0
+17
+9
+Package_DFN_QFN
+TDFN-12_2x3mm_P0.5mm
+TDFN,{space}12{space}Pads,{space}No{space}exposed,{space}http://www.st.com/resource/en/datasheet/stm6600.pdf
+DFN
+0
+12
+12
+Package_DFN_QFN
+TQFN-16-1EP_3x3mm_P0.5mm_EP1.23x1.23mm
+TQFN,{space}16{space}Pin{space}(https://pdfserv.maximintegrated.com/package_dwgs/21-0136.PDF{space}(T1633-5),{space}https://pdfserv.maximintegrated.com/land_patterns/90-0032.PDF),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+TQFN{space}DFN_QFN
+0
+21
+17
+Package_DFN_QFN
+TQFN-16-1EP_5x5mm_P0.8mm_EP3.1x3.1mm
+TQFN,{space}16{space}Pin{space}(https://pdfserv.maximintegrated.com/package_dwgs/21-0140.PDF),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+TQFN{space}NoLead
+0
+21
+17
+Package_DFN_QFN
+TQFN-24-1EP_4x4mm_P0.5mm_EP2.8x2.8mm_PullBack
+TQFN,{space}24{space}Pin{space}(https://ams.com/documents/20143/36005/AS1115_DS000206_1-00.pdf/3d3e6d35-b184-1329-adf9-2d769eb2404f),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+TQFN{space}DFN_QFN
+0
+29
+25
+Package_DFN_QFN
+TQFN-24-1EP_4x4mm_P0.5mm_EP2.8x2.8mm_PullBack_ThermalVias
+TQFN,{space}24{space}Pin{space}(https://ams.com/documents/20143/36005/AS1115_DS000206_1-00.pdf/3d3e6d35-b184-1329-adf9-2d769eb2404f),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+TQFN{space}DFN_QFN
+0
+39
+25
+Package_DFN_QFN
+Texas_MOF0009A
+Texas{space}Instruments,{space}QFM{space}MOF0009A,{space}6x8x2mm{space}(http://www.ti.com/lit/ml/mpsi063a/mpsi063a.pdf)
+ti{space}qfm{space}mof0009a
+0
+24
+9
+Package_DFN_QFN
+Texas_R-PUQFN-N10
+http://www.ti.com/general/docs/lit/getliterature.tsp?baseLiteratureNumber=MPQF186&fileType=pdf
+Texas_R-PUQFN-N10
+0
+10
+10
+Package_DFN_QFN
+Texas_R-PWQFN-N28_EP2.1x3.1mm
+QFN,{space}28{space}Pin{space}(http://www.ti.com/lit/ds/symlink/tps51363.pdf#page=29),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+33
+29
+Package_DFN_QFN
+Texas_R-PWQFN-N28_EP2.1x3.1mm_ThermalVias
+QFN,{space}28{space}Pin{space}(http://www.ti.com/lit/ds/symlink/tps51363.pdf#page=29),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+43
+29
+Package_DFN_QFN
+Texas_RGE0024C_EP2.1x2.1mm
+Texas{space}{space}QFN,{space}24{space}Pin{space}(http://www.ti.com/lit/ds/symlink/pca9548a.pdf#page=34),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+Texas{space}QFN{space}NoLead
+0
+29
+25
+Package_DFN_QFN
+Texas_RGE0024C_EP2.1x2.1mm_ThermalVias
+Texas{space}{space}QFN,{space}24{space}Pin{space}(http://www.ti.com/lit/ds/symlink/pca9548a.pdf#page=34),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+Texas{space}QFN{space}NoLead
+0
+39
+25
+Package_DFN_QFN
+Texas_RGE0024H_EP2.7x2.7mm
+Texas{space}{space}QFN,{space}24{space}Pin{space}(http://www.ti.com/lit/ds/symlink/tlc5971.pdf#page=43),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+Texas{space}QFN{space}NoLead
+0
+29
+25
+Package_DFN_QFN
+Texas_RGE0024H_EP2.7x2.7mm_ThermalVias
+Texas{space}{space}QFN,{space}24{space}Pin{space}(http://www.ti.com/lit/ds/symlink/tlc5971.pdf#page=43),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+Texas{space}QFN{space}NoLead
+0
+39
+25
+Package_DFN_QFN
+Texas_RNN0018A
+Texas{space}Instruments,{space}VQFN-HR{space}RNN0018A{space}(http://www.ti.com/lit/ds/symlink/tps568215.pdf)
+ti{space}vqfn-hr{space}rnn0018a
+0
+26
+18
+Package_DFN_QFN
+Texas_RUM0016A_EP2.6x2.6mm
+QFN,{space}16{space}Pin{space}(http://www.ti.com/lit/ds/symlink/lmh0074.pdf#page=13),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+21
+17
+Package_DFN_QFN
+Texas_RUM0016A_EP2.6x2.6mm_ThermalVias
+QFN,{space}16{space}Pin{space}(http://www.ti.com/lit/ds/symlink/lmh0074.pdf#page=13),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+31
+17
+Package_DFN_QFN
+Texas_RWH0032A
+Texas{space}Instruments,{space}RWH0032A,{space}8x8x0.9mm{space}(http://www.ti.com/lit/ds/snosd10c/snosd10c.pdf)
+ti{space}rwh0032a
+0
+71
+33
+Package_DFN_QFN
+Texas_RWH0032A_ThermalVias
+Texas{space}Instruments,{space}RWH0032A,{space}8x8x0.9mm{space}(http://www.ti.com/lit/ds/snosd10c/snosd10c.pdf)
+ti{space}rwh0032a
+0
+94
+33
+Package_DFN_QFN
+Texas_R_PUQFN-N12
+Texas_R_PUQFN-N12{space}http://www.ti.com/lit/ds/symlink/txb0104.pdf
+Texas_R_PUQFN-N12
+0
+13
+12
+Package_DFN_QFN
+Texas_S-PDSO-N10_EP1.2x2mm
+DFN,{space}10{space}Pin{space}(http://www.ti.com/lit/ds/symlink/tps7a91.pdf#page=30),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+DFN{space}NoLead
+0
+15
+11
+Package_DFN_QFN
+Texas_S-PDSO-N10_EP1.2x2mm_ThermalVias
+DFN,{space}10{space}Pin{space}(http://www.ti.com/lit/ds/symlink/tps7a91.pdf#page=30),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+DFN{space}NoLead
+0
+22
+11
+Package_DFN_QFN
+Texas_S-PVQFN-N14
+Texas_S-PVQFN-N14{space}{space}http://www.ti.com/lit/ds/symlink/txb0104.pdf
+Texas_S-PVQFN-N14_4.3x4.3_Pitch0.5mm_ThermalPad
+0
+19
+15
+Package_DFN_QFN
+Texas_S-PVQFN-N14_ThermalVias
+Texas_S-PVQFN-N14_ThermalVias{space}{space}http://www.ti.com/lit/ds/symlink/txb0104.pdf
+Texas_S-PVQFN-N14_ThermalVias
+0
+24
+15
+Package_DFN_QFN
+Texas_S-PVQFN-N16_EP2.7x2.7mm
+QFN,{space}16{space}Pin{space}(http://www.ti.com/lit/ds/symlink/msp430g2001.pdf#page=43),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+26
+17
+Package_DFN_QFN
+Texas_S-PVQFN-N16_EP2.7x2.7mm_ThermalVias
+QFN,{space}16{space}Pin{space}(http://www.ti.com/lit/ds/symlink/msp430g2001.pdf#page=43),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+31
+17
+Package_DFN_QFN
+Texas_S-PVQFN-N20_EP2.4x2.4mm
+QFN,{space}20{space}Pin{space}(http://www.ti.com/lit/ds/symlink/cc1101.pdf#page=101),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+25
+21
+Package_DFN_QFN
+Texas_S-PVQFN-N20_EP2.4x2.4mm_ThermalVias
+QFN,{space}20{space}Pin{space}(http://www.ti.com/lit/ds/symlink/cc1101.pdf#page=101),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+35
+21
+Package_DFN_QFN
+Texas_S-PVQFN-N20_EP2.7x2.7mm
+QFN,{space}20{space}Pin{space}(http://www.ti.com/lit/ds/symlink/drv8662.pdf#page=23),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+25
+21
+Package_DFN_QFN
+Texas_S-PVQFN-N20_EP2.7x2.7mm_ThermalVias
+QFN,{space}20{space}Pin{space}(http://www.ti.com/lit/ds/symlink/drv8662.pdf#page=23),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+35
+21
+Package_DFN_QFN
+Texas_S-PVQFN-N20_EP3.15x3.15mm
+QFN,{space}20{space}Pin{space}(www.ti.com/lit/ds/symlink/tps7a7200.pdf#page=36),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+25
+21
+Package_DFN_QFN
+Texas_S-PVQFN-N20_EP3.15x3.15mm_ThermalVias
+QFN,{space}20{space}Pin{space}(www.ti.com/lit/ds/symlink/tps7a7200.pdf#page=36),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+47
+21
+Package_DFN_QFN
+Texas_S-PVQFN-N24_EP2.1x2.1mm
+QFN,{space}24{space}Pin{space}(http://www.ti.com/lit/ds/symlink/msp430fr5720.pdf#page=111),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+29
+25
+Package_DFN_QFN
+Texas_S-PVQFN-N24_EP2.1x2.1mm_ThermalVias
+QFN,{space}24{space}Pin{space}(http://www.ti.com/lit/ds/symlink/msp430fr5720.pdf#page=111),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+39
+25
+Package_DFN_QFN
+Texas_S-PVQFN-N32_EP3.45x3.45mm
+QFN,{space}32{space}Pin{space}(http://www.ti.com/lit/ds/symlink/msp430f1122.pdf#page=46),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+42
+33
+Package_DFN_QFN
+Texas_S-PVQFN-N32_EP3.45x3.45mm_ThermalVias
+QFN,{space}32{space}Pin{space}(http://www.ti.com/lit/ds/symlink/msp430f1122.pdf#page=46),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+59
+33
+Package_DFN_QFN
+Texas_S-PVQFN-N36_EP4.4x4.4mm
+QFN,{space}36{space}Pin{space}(http://www.ti.com/lit/ds/slvsba5d/slvsba5d.pdf#page=31),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+46
+37
+Package_DFN_QFN
+Texas_S-PVQFN-N36_EP4.4x4.4mm_ThermalVias
+QFN,{space}36{space}Pin{space}(http://www.ti.com/lit/ds/slvsba5d/slvsba5d.pdf#page=31),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+79
+37
+Package_DFN_QFN
+Texas_S-PVQFN-N40_EP2.9x2.9mm
+QFN,{space}40{space}Pin{space}(http://www.ti.com/lit/ds/symlink/msp430fr5731.pdf#page=114),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+45
+41
+Package_DFN_QFN
+Texas_S-PVQFN-N40_EP2.9x2.9mm_ThermalVias
+QFN,{space}40{space}Pin{space}(http://www.ti.com/lit/ds/symlink/msp430fr5731.pdf#page=114),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+55
+41
+Package_DFN_QFN
+Texas_S-PVQFN-N40_EP3.52x2.62mm
+QFN,{space}40{space}Pin{space}(http://www.ti.com/lit/ds/symlink/drv8308.pdf#page=56),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+47
+41
+Package_DFN_QFN
+Texas_S-PVQFN-N40_EP3.52x2.62mm_ThermalVias
+QFN,{space}40{space}Pin{space}(http://www.ti.com/lit/ds/symlink/drv8308.pdf#page=56),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+60
+41
+Package_DFN_QFN
+Texas_S-PVQFN-N40_EP4.6x4.6mm
+QFN,{space}40{space}Pin{space}(http://www.ti.com/lit/ds/symlink/dac7750.pdf#page=55),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+50
+41
+Package_DFN_QFN
+Texas_S-PVQFN-N40_EP4.6x4.6mm_ThermalVias
+QFN,{space}40{space}Pin{space}(http://www.ti.com/lit/ds/symlink/dac7750.pdf#page=55),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+83
+41
+Package_DFN_QFN
+Texas_S-PVQFN-N40_EP4.15x4.15mm
+QFN,{space}40{space}Pin{space}(http://www.ti.com/lit/ds/symlink/msp430g2755.pdf#page=70),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+50
+41
+Package_DFN_QFN
+Texas_S-PVQFN-N40_EP4.15x4.15mm_ThermalVias
+QFN,{space}40{space}Pin{space}(http://www.ti.com/lit/ds/symlink/msp430g2755.pdf#page=70),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+83
+41
+Package_DFN_QFN
+Texas_S-PVQFN-N48_EP5.15x5.15mm
+QFN,{space}48{space}Pin{space}(http://www.ti.com/lit/ds/symlink/msp430f5232.pdf#page=112),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+65
+49
+Package_DFN_QFN
+Texas_S-PVQFN-N48_EP5.15x5.15mm_ThermalVias
+QFN,{space}48{space}Pin{space}(http://www.ti.com/lit/ds/symlink/msp430f5232.pdf#page=112),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+111
+49
+Package_DFN_QFN
+Texas_S-PVQFN-N64_EP4.25x4.25mm
+QFN,{space}64{space}Pin{space}(http://www.ti.com/lit/ds/symlink/msp430f5217.pdf#page=117),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+69
+65
+Package_DFN_QFN
+Texas_S-PVQFN-N64_EP4.25x4.25mm_ThermalVias
+QFN,{space}64{space}Pin{space}(http://www.ti.com/lit/ds/symlink/msp430f5217.pdf#page=117),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+127
+65
+Package_DFN_QFN
+Texas_S-PWQFN-N16_EP2.1x2.1mm
+QFN,{space}16{space}Pin{space}(http://www.ti.com/lit/ds/symlink/drv8801.pdf#page=31),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+21
+17
+Package_DFN_QFN
+Texas_S-PWQFN-N16_EP2.1x2.1mm_ThermalVias
+QFN,{space}16{space}Pin{space}(http://www.ti.com/lit/ds/symlink/drv8801.pdf#page=31),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+31
+17
+Package_DFN_QFN
+Texas_S-PWQFN-N20
+20-Pin{space}Plastic{space}Quad{space}Flatpack{space}No-Lead{space}Package,{space}Body{space}3.0x3.0x0.8mm,{space}Texas{space}Instruments{space}(http://www.ti.com/lit/ds/symlink/tps22993.pdf)
+QFN{space}0.4
+0
+24
+20
+Package_DFN_QFN
+Texas_S-PWQFN-N24_EP2.7x2.7mm
+QFN,{space}24{space}Pin{space}(http://www.ti.com/lit/ds/symlink/bq25601.pdf#page=54),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+29
+25
+Package_DFN_QFN
+Texas_S-PWQFN-N24_EP2.7x2.7mm_ThermalVias
+QFN,{space}24{space}Pin{space}(http://www.ti.com/lit/ds/symlink/bq25601.pdf#page=54),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+39
+25
+Package_DFN_QFN
+Texas_S-PWQFN-N32_EP2.8x2.8mm
+QFN,{space}32{space}Pin{space}(https://www.ti.com/lit/ds/symlink/bq25703a.pdf#page=91),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+37
+33
+Package_DFN_QFN
+Texas_S-PWQFN-N32_EP2.8x2.8mm_ThermalVias
+QFN,{space}32{space}Pin{space}(https://www.ti.com/lit/ds/symlink/bq25703a.pdf#page=91),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+QFN{space}NoLead
+0
+47
+33
+Package_DFN_QFN
+Texas_S-PWQFN-N100_EP5.5x5.5mm
+http://www.ti.com/general/docs/lit/getliterature.tsp?baseLiteratureNumber=szza059&fileType=pdf,http://www.ti.com/lit/ds/sllse76m/sllse76m.pdf
+MultiRow{space}QFN
+0
+114
+105
+Package_DFN_QFN
+Texas_S-PWQFN-N100_EP5.5x5.5mm_ThermalVias
+http://www.ti.com/general/docs/lit/getliterature.tsp?baseLiteratureNumber=szza059&fileType=pdf,http://www.ti.com/lit/ds/sllse76m/sllse76m.pdf
+MultiRow{space}QFN
+0
+131
+105
+Package_DFN_QFN
+Texas_VQFN-RHL-20
+http://www.ti.com/lit/ds/symlink/bq51050b.pdf
+RHL0020A
+0
+33
+21
+Package_DFN_QFN
+Texas_VQFN-RHL-20_ThermalVias
+http://www.ti.com/lit/ds/symlink/bq51050b.pdf
+RHL0020A
+0
+41
+21
+Package_DFN_QFN
+Texas_WQFN-MR-100_3x3-DapStencil
+http://www.ti.com/general/docs/lit/getliterature.tsp?baseLiteratureNumber=szza059&fileType=pdf,http://www.ti.com/general/docs/lit/getliterature.tsp?baseLiteratureNumber=mpqf258&fileType=pdf,http://www.ti.com/general/docs/lit/getliterature.tsp?baseLiteratureNumber=LPPD235&fileType=pdf
+MultiRow{space}QFN
+0
+113
+105
+Package_DFN_QFN
+Texas_WQFN-MR-100_ThermalVias_3x3-DapStencil
+http://www.ti.com/general/docs/lit/getliterature.tsp?baseLiteratureNumber=szza059&fileType=pdf,http://www.ti.com/general/docs/lit/getliterature.tsp?baseLiteratureNumber=mpqf258&fileType=pdf,http://www.ti.com/general/docs/lit/getliterature.tsp?baseLiteratureNumber=LPPD235&fileType=pdf
+MultiRow{space}QFN
+0
+126
+105
+Package_DFN_QFN
+Texas_X2QFN-12_1.6x1.6mm_P0.4mm
+Texas{space}{space}X2QFN,{space}12{space}Pin{space}(http://www.ti.com/lit/ml/mpqf391c/mpqf391c.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+Texas{space}X2QFN{space}DFN_QFN
+0
+12
+12
+Package_DFN_QFN
+UDFN-4_1x1mm_P0.65mm
+UDFN-4_1x1mm_P0.65mm
+UDFN-4_1x1mm_P0.65mm
+0
+16
+5
+Package_DFN_QFN
+UDFN-9_1.0x3.8mm_P0.5mm
+9-pin{space}UDFN{space}package,{space}1.0x3.8mm,{space}(Ref:{space}https://katalog.we-online.de/pbs/datasheet/824014881.pdf)
+UDFN{space}SMD
+0
+9
+9
+Package_DFN_QFN
+UDFN-10_1.35x2.6mm_P0.5mm
+http://www.st.com/content/ccc/resource/technical/document/datasheet/f2/11/8a/ed/40/31/40/56/DM00088292.pdf/files/DM00088292.pdf/jcr:content/translations/en.DM00088292.pdf
+UDFN{space}0.5{space}uQFN
+0
+10
+10
+Package_DFN_QFN
+UQFN-10_1.3x1.8mm_P0.4mm
+UQFN,{space}10{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/00001725D.pdf{space}(Page{space}9)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+UQFN{space}DFN_QFN
+0
+10
+10
+Package_DFN_QFN
+UQFN-10_1.4x1.8mm_P0.4mm
+UQFN{space}10pin,{space}https://www.onsemi.com/pub/Collateral/488AT.PDF
+UQFN-10_1.4x1.8mm_P0.4mm
+0
+10
+10
+Package_DFN_QFN
+UQFN-10_1.6x2.1mm_P0.5mm
+UQFN,{space}10{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/00001725D.pdf{space}(Page{space}12)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+UQFN{space}DFN_QFN
+0
+10
+10
+Package_DFN_QFN
+UQFN-16-1EP_3x3mm_P0.5mm_EP1.75x1.75mm
+16-Lead{space}Ultra{space}Thin{space}Quad{space}Flat,{space}No{space}Lead{space}Package{space}(UC){space}-{space}3x3x0.5{space}mm{space}Body{space}[UQFN];{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+QFN{space}0.5
+0
+21
+17
+Package_DFN_QFN
+UQFN-16-1EP_4x4mm_P0.65mm_EP2.6x2.6mm
+UQFN,{space}16{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/16L_UQFN_4x4x0_5mm_JQ_C04257A.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+UQFN{space}DFN_QFN
+0
+21
+17
+Package_DFN_QFN
+UQFN-16-1EP_4x4mm_P0.65mm_EP2.6x2.6mm_ThermalVias
+UQFN,{space}16{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/16L_UQFN_4x4x0_5mm_JQ_C04257A.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+UQFN{space}DFN_QFN
+0
+31
+17
+Package_DFN_QFN
+UQFN-16-1EP_4x4mm_P0.65mm_EP2.7x2.7mm
+16-Lead{space}Ultra{space}Thin{space}Plastic{space}Quad{space}Flat,{space}No{space}Lead{space}Package{space}(JQ){space}-{space}4x4x0.5{space}mm{space}Body{space}[UQFN];{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+QFN{space}0.65
+0
+21
+17
+Package_DFN_QFN
+UQFN-20-1EP_3x3mm_P0.4mm_EP1.85x1.85mm
+UQFN,{space}20{space}Pin{space}(http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=332),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+UQFN{space}NoLead
+0
+25
+21
+Package_DFN_QFN
+UQFN-20-1EP_3x3mm_P0.4mm_EP1.85x1.85mm_ThermalVias
+UQFN,{space}20{space}Pin{space}(http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=332),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+UQFN{space}NoLead
+0
+30
+21
+Package_DFN_QFN
+UQFN-20-1EP_4x4mm_P0.5mm_EP2.8x2.8mm
+UQFN,{space}20{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/40001839B.pdf#page=464),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+UQFN{space}NoLead
+0
+25
+21
+Package_DFN_QFN
+UQFN-20-1EP_4x4mm_P0.5mm_EP2.8x2.8mm_ThermalVias
+UQFN,{space}20{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/40001839B.pdf#page=464),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+UQFN{space}NoLead
+0
+35
+21
+Package_DFN_QFN
+UQFN-28-1EP_4x4mm_P0.4mm_EP2.35x2.35mm
+UQFN,{space}28{space}Pin{space}(http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=338),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+UQFN{space}NoLead
+0
+33
+29
+Package_DFN_QFN
+UQFN-28-1EP_4x4mm_P0.4mm_EP2.35x2.35mm_ThermalVias
+UQFN,{space}28{space}Pin{space}(http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=338),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+UQFN{space}NoLead
+0
+43
+29
+Package_DFN_QFN
+UQFN-40-1EP_5x5mm_P0.4mm_EP3.8x3.8mm
+UQFN,{space}40{space}Pin{space}(http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=345),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+UQFN{space}NoLead
+0
+50
+41
+Package_DFN_QFN
+UQFN-40-1EP_5x5mm_P0.4mm_EP3.8x3.8mm_ThermalVias
+UQFN,{space}40{space}Pin{space}(http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=345),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+UQFN{space}NoLead
+0
+67
+41
+Package_DFN_QFN
+UQFN-48-1EP_6x6mm_P0.4mm_EP4.45x4.45mm
+UQFN,{space}48{space}Pin{space}(http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=347),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+UQFN{space}NoLead
+0
+58
+49
+Package_DFN_QFN
+UQFN-48-1EP_6x6mm_P0.4mm_EP4.45x4.45mm_ThermalVias
+UQFN,{space}48{space}Pin{space}(http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=347),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+UQFN{space}NoLead
+0
+75
+49
+Package_DFN_QFN
+UQFN-48-1EP_6x6mm_P0.4mm_EP4.62x4.62mm
+UQFN,{space}48{space}Pin{space}(https://github.com/KiCad/kicad-symbols/pull/1189#issuecomment-449506354),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+UQFN{space}DFN_QFN
+0
+53
+49
+Package_DFN_QFN
+UQFN-48-1EP_6x6mm_P0.4mm_EP4.62x4.62mm_ThermalVias
+UQFN,{space}48{space}Pin{space}(https://github.com/KiCad/kicad-symbols/pull/1189#issuecomment-449506354),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+UQFN{space}DFN_QFN
+0
+75
+49
+Package_DFN_QFN
+VDFN-8-1EP_2x2mm_P0.5mm_EP0.9x1.7mm
+8-Lead{space}Very{space}Thin{space}Dual{space}Flatpack{space}No-Lead{space}(LZ){space}-{space}2x3x0.9{space}mm{space}Body{space}[VDFN]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+DFN{space}0.5
+0
+11
+9
+Package_DFN_QFN
+VQFN-16-1EP_3x3mm_P0.5mm_EP1.8x1.8mm
+VQFN,{space}16{space}Pin{space}(https://www.st.com/resource/en/datasheet/stspin220.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+VQFN{space}DFN_QFN
+0
+21
+17
+Package_DFN_QFN
+VQFN-16-1EP_3x3mm_P0.5mm_EP1.8x1.8mm_ThermalVias
+VQFN,{space}16{space}Pin{space}(https://www.st.com/resource/en/datasheet/stspin220.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+VQFN{space}DFN_QFN
+0
+26
+17
+Package_DFN_QFN
+VQFN-16-1EP_3x3mm_P0.5mm_EP1.45x1.45mm
+VQFN,{space}16{space}Pin{space}(http://www.ti.com/lit/ds/sbos354a/sbos354a.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+VQFN{space}DFN_QFN
+0
+21
+17
+Package_DFN_QFN
+VQFN-16-1EP_3x3mm_P0.5mm_EP1.45x1.45mm_ThermalVias
+VQFN,{space}16{space}Pin{space}(http://www.ti.com/lit/ds/sbos354a/sbos354a.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+VQFN{space}DFN_QFN
+0
+26
+17
+Package_DFN_QFN
+VQFN-16-1EP_3x3mm_P0.5mm_EP1.68x1.68mm
+VQFN,{space}16{space}Pin{space}(http://www.ti.com/lit/ds/symlink/tlv62095.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+VQFN{space}DFN_QFN
+0
+21
+17
+Package_DFN_QFN
+VQFN-16-1EP_3x3mm_P0.5mm_EP1.68x1.68mm_ThermalVias
+VQFN,{space}16{space}Pin{space}(http://www.ti.com/lit/ds/symlink/tlv62095.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+VQFN{space}DFN_QFN
+0
+26
+17
+Package_DFN_QFN
+VQFN-20-1EP_3x3mm_P0.4mm_EP1.7x1.7mm
+VQFN,{space}20{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/20%20Lead%20VQFN%203x3x0_9mm_1_7EP%20U2B%20C04-21496a.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+VQFN{space}DFN_QFN
+0
+25
+21
+Package_DFN_QFN
+VQFN-20-1EP_3x3mm_P0.4mm_EP1.7x1.7mm_ThermalVias
+VQFN,{space}20{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/20%20Lead%20VQFN%203x3x0_9mm_1_7EP%20U2B%20C04-21496a.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+VQFN{space}DFN_QFN
+0
+30
+21
+Package_DFN_QFN
+VQFN-20-1EP_3x3mm_P0.45mm_EP1.55x1.55mm
+VQFN,{space}20{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/doc8246.pdf#page=264),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+VQFN{space}DFN_QFN
+0
+25
+21
+Package_DFN_QFN
+VQFN-20-1EP_3x3mm_P0.45mm_EP1.55x1.55mm_ThermalVias
+VQFN,{space}20{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/doc8246.pdf#page=264),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+VQFN{space}DFN_QFN
+0
+30
+21
+Package_DFN_QFN
+VQFN-24-1EP_4x4mm_P0.5mm_EP2.45x2.45mm
+VQFN,{space}24{space}Pin{space}(http://www.ti.com/lit/ds/symlink/msp430f1101a.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+VQFN{space}DFN_QFN
+0
+34
+25
+Package_DFN_QFN
+VQFN-24-1EP_4x4mm_P0.5mm_EP2.45x2.45mm_ThermalVias
+VQFN,{space}24{space}Pin{space}(http://www.ti.com/lit/ds/symlink/msp430f1101a.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+VQFN{space}DFN_QFN
+0
+39
+25
+Package_DFN_QFN
+VQFN-28-1EP_4x4mm_P0.45mm_EP2.4x2.4mm
+VQFN,{space}28{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/Atmel-9505-AT42-QTouch-BSW-AT42QT1060_Datasheet.pdf#page=28),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+VQFN{space}NoLead
+0
+33
+29
+Package_DFN_QFN
+VQFN-28-1EP_4x4mm_P0.45mm_EP2.4x2.4mm_ThermalVias
+VQFN,{space}28{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/Atmel-9505-AT42-QTouch-BSW-AT42QT1060_Datasheet.pdf#page=28),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+VQFN{space}NoLead
+0
+43
+29
+Package_DFN_QFN
+VQFN-28-1EP_4x5mm_P0.5mm_EP2.55x3.55mm
+VQFN,{space}28{space}Pin{space}(http://www.ti.com/lit/ds/symlink/lm5175.pdf#page=37),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+VQFN{space}DFN_QFN
+0
+35
+29
+Package_DFN_QFN
+VQFN-28-1EP_4x5mm_P0.5mm_EP2.55x3.55mm_ThermalVias
+VQFN,{space}28{space}Pin{space}(http://www.ti.com/lit/ds/symlink/lm5175.pdf#page=37),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+VQFN{space}DFN_QFN
+0
+48
+29
+Package_DFN_QFN
+VQFN-32-1EP_5x5mm_P0.5mm_EP3.1x3.1mm
+VQFN,{space}32{space}Pin{space}(http://ww1.microchip.com/downloads/en/devicedoc/atmel-9520-at42-qtouch-bsw-at42qt1110_datasheet.pdf#page=42),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+VQFN{space}NoLead
+0
+37
+33
+Package_DFN_QFN
+VQFN-32-1EP_5x5mm_P0.5mm_EP3.1x3.1mm_ThermalVias
+VQFN,{space}32{space}Pin{space}(http://ww1.microchip.com/downloads/en/devicedoc/atmel-9520-at42-qtouch-bsw-at42qt1110_datasheet.pdf#page=42),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+VQFN{space}NoLead
+0
+47
+33
+Package_DFN_QFN
+WDFN-8-1EP_2x2.2mm_P0.5mm_EP0.80x0.54
+https://www.onsemi.com/pub/Collateral/511BN.PDF
+WDFN-8{space}1EP{space}2.2X2.0{space}0.5P
+0
+9
+9
+Package_DFN_QFN
+WDFN-8-1EP_3x2mm_P0.5mm_EP1.3x1.4mm
+WDFN,{space}8{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/8L_TDFN_2x3_MNY_C04-0129E-MNY.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+WDFN{space}DFN_QFN
+0
+13
+9
+Package_DFN_QFN
+WDFN-8_2x2mm_P0.5mm
+DFN8{space}2x2,{space}0.5P;{space}No{space}exposed{space}pad{space}(http://www.onsemi.com/pub/Collateral/NCP4308-D.PDF)
+DFN{space}0.5
+0
+8
+8
+Package_DFN_QFN
+WDFN-12-1EP_3x3mm_P0.45mm_EP1.7x2.5mm
+WDFN,{space}12{space}Pin{space}(https://www.diodes.com/assets/Datasheets/PAM2306.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+WDFN{space}DFN_QFN
+0
+17
+13
+Package_DFN_QFN
+WQFN-14-1EP_2.5x2.5mm_P0.5mm_EP1.45x1.45mm
+WQFN,{space}14{space}Pin{space}(https://www.onsemi.com/pub/Collateral/FUSB302B-D.PDF#page=32),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+WQFN{space}NoLead
+0
+19
+15
+Package_DFN_QFN
+WQFN-14-1EP_2.5x2.5mm_P0.5mm_EP1.45x1.45mm_ThermalVias
+WQFN,{space}14{space}Pin{space}(https://www.onsemi.com/pub/Collateral/FUSB302B-D.PDF#page=32),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+WQFN{space}NoLead
+0
+24
+15
+Package_DFN_QFN
+WQFN-16-1EP_3x3mm_P0.5mm_EP1.75x1.75mm
+WQFN,{space}16{space}Pin{space}(https://www.onsemi.com/pub/Collateral/FUSB307B-D.PDF#page=56),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+WQFN{space}NoLead
+0
+21
+17
+Package_DFN_QFN
+WQFN-16-1EP_3x3mm_P0.5mm_EP1.75x1.75mm_ThermalVias
+WQFN,{space}16{space}Pin{space}(https://www.onsemi.com/pub/Collateral/FUSB307B-D.PDF#page=56),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+WQFN{space}NoLead
+0
+26
+17
+Package_DFN_QFN
+WQFN-16-1EP_4x4mm_P0.5mm_EP2.6x2.6mm
+WQFN,{space}16{space}Pin{space}(http://www.ti.com/lit/ds/symlink/ldc1312.pdf#page=59),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+WQFN{space}NoLead
+0
+21
+17
+Package_DFN_QFN
+WQFN-16-1EP_4x4mm_P0.5mm_EP2.6x2.6mm_ThermalVias
+WQFN,{space}16{space}Pin{space}(http://www.ti.com/lit/ds/symlink/ldc1312.pdf#page=59),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+WQFN{space}NoLead
+0
+31
+17
+Package_DFN_QFN
+WQFN-20-1EP_2.5x4.5mm_P0.5mm_EP1x2.9mm
+http://www.onsemi.com/pub/Collateral/510CD.PDF
+WQFN-20{space}4.5mm{space}2.5mm{space}0.5mm
+0
+24
+21
+Package_DFN_QFN
+WQFN-32-1EP_5x5mm_P0.5mm_EP3.1x3.1mm
+QFN,{space}32-Leads,{space}Body{space}5x5x0.8mm,{space}Pitch{space}0.5mm,{space}Thermal{space}Pad{space}3.1x3.1mm;{space}(see{space}Texas{space}Instruments{space}LM25119{space}http://www.ti.com/lit/ds/symlink/lm25119.pdf)
+WQFN{space}0.5
+0
+37
+33
+Package_DIP
+DIP-4_W7.62mm
+4-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+4
+4
+Package_DIP
+DIP-4_W7.62mm_LongPads
+4-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}LongPads
+0
+4
+4
+Package_DIP
+DIP-4_W7.62mm_SMDSocket_SmallPads
+4-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}SMDSocket,{space}SmallPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}SMDSocket{space}SmallPads
+0
+4
+4
+Package_DIP
+DIP-4_W7.62mm_Socket
+4-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket
+0
+4
+4
+Package_DIP
+DIP-4_W7.62mm_Socket_LongPads
+4-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket{space}LongPads
+0
+4
+4
+Package_DIP
+DIP-4_W8.89mm_SMDSocket_LongPads
+4-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}8.89{space}mm{space}(350{space}mils),{space}SMDSocket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}8.89mm{space}350mil{space}SMDSocket{space}LongPads
+0
+4
+4
+Package_DIP
+DIP-4_W10.16mm
+4-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil
+0
+4
+4
+Package_DIP
+DIP-4_W10.16mm_LongPads
+4-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil{space}LongPads
+0
+4
+4
+Package_DIP
+DIP-5-6_W7.62mm
+5-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+5
+5
+Package_DIP
+DIP-5-6_W7.62mm_LongPads
+5-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}LongPads
+0
+5
+5
+Package_DIP
+DIP-5-6_W7.62mm_SMDSocket_SmallPads
+5-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}SMDSocket,{space}SmallPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}SMDSocket{space}SmallPads
+0
+5
+5
+Package_DIP
+DIP-5-6_W7.62mm_Socket
+5-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket
+0
+5
+5
+Package_DIP
+DIP-5-6_W7.62mm_Socket_LongPads
+5-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket{space}LongPads
+0
+5
+5
+Package_DIP
+DIP-5-6_W8.89mm_SMDSocket_LongPads
+5-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}8.89{space}mm{space}(350{space}mils),{space}SMDSocket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}8.89mm{space}350mil{space}SMDSocket{space}LongPads
+0
+5
+5
+Package_DIP
+DIP-5-6_W10.16mm
+5-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil
+0
+5
+5
+Package_DIP
+DIP-5-6_W10.16mm_LongPads
+5-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil{space}LongPads
+0
+5
+5
+Package_DIP
+DIP-6_W7.62mm
+6-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+6
+6
+Package_DIP
+DIP-6_W7.62mm_LongPads
+6-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}LongPads
+0
+6
+6
+Package_DIP
+DIP-6_W7.62mm_SMDSocket_SmallPads
+6-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}SMDSocket,{space}SmallPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}SMDSocket{space}SmallPads
+0
+6
+6
+Package_DIP
+DIP-6_W7.62mm_Socket
+6-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket
+0
+6
+6
+Package_DIP
+DIP-6_W7.62mm_Socket_LongPads
+6-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket{space}LongPads
+0
+6
+6
+Package_DIP
+DIP-6_W8.89mm_SMDSocket_LongPads
+6-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}8.89{space}mm{space}(350{space}mils),{space}SMDSocket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}8.89mm{space}350mil{space}SMDSocket{space}LongPads
+0
+6
+6
+Package_DIP
+DIP-6_W10.16mm
+6-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil
+0
+6
+6
+Package_DIP
+DIP-6_W10.16mm_LongPads
+6-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil{space}LongPads
+0
+6
+6
+Package_DIP
+DIP-8-N6_W7.62mm
+8-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}missing{space}pin{space}6
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+7
+7
+Package_DIP
+DIP-8-N7_W7.62mm
+8-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}missing{space}pin{space}7
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+7
+7
+Package_DIP
+DIP-8_W7.62mm
+8-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+8
+8
+Package_DIP
+DIP-8_W7.62mm_LongPads
+8-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}LongPads
+0
+8
+8
+Package_DIP
+DIP-8_W7.62mm_SMDSocket_SmallPads
+8-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}SMDSocket,{space}SmallPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}SMDSocket{space}SmallPads
+0
+8
+8
+Package_DIP
+DIP-8_W7.62mm_Socket
+8-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket
+0
+8
+8
+Package_DIP
+DIP-8_W7.62mm_Socket_LongPads
+8-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket{space}LongPads
+0
+8
+8
+Package_DIP
+DIP-8_W8.89mm_SMDSocket_LongPads
+8-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}8.89{space}mm{space}(350{space}mils),{space}SMDSocket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}8.89mm{space}350mil{space}SMDSocket{space}LongPads
+0
+8
+8
+Package_DIP
+DIP-8_W10.16mm
+8-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil
+0
+8
+8
+Package_DIP
+DIP-8_W10.16mm_LongPads
+8-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil{space}LongPads
+0
+8
+8
+Package_DIP
+DIP-10_W7.62mm
+10-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+10
+10
+Package_DIP
+DIP-10_W7.62mm_LongPads
+10-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}LongPads
+0
+10
+10
+Package_DIP
+DIP-10_W7.62mm_SMDSocket_SmallPads
+10-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}SMDSocket,{space}SmallPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}SMDSocket{space}SmallPads
+0
+10
+10
+Package_DIP
+DIP-10_W7.62mm_Socket
+10-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket
+0
+10
+10
+Package_DIP
+DIP-10_W7.62mm_Socket_LongPads
+10-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket{space}LongPads
+0
+10
+10
+Package_DIP
+DIP-10_W8.89mm_SMDSocket_LongPads
+10-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}8.89{space}mm{space}(350{space}mils),{space}SMDSocket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}8.89mm{space}350mil{space}SMDSocket{space}LongPads
+0
+10
+10
+Package_DIP
+DIP-10_W10.16mm
+10-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil
+0
+10
+10
+Package_DIP
+DIP-10_W10.16mm_LongPads
+10-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil{space}LongPads
+0
+10
+10
+Package_DIP
+DIP-12_W7.62mm
+12-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+12
+12
+Package_DIP
+DIP-12_W7.62mm_LongPads
+12-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}LongPads
+0
+12
+12
+Package_DIP
+DIP-12_W7.62mm_SMDSocket_SmallPads
+12-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}SMDSocket,{space}SmallPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}SMDSocket{space}SmallPads
+0
+12
+12
+Package_DIP
+DIP-12_W7.62mm_Socket
+12-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket
+0
+12
+12
+Package_DIP
+DIP-12_W7.62mm_Socket_LongPads
+12-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket{space}LongPads
+0
+12
+12
+Package_DIP
+DIP-12_W8.89mm_SMDSocket_LongPads
+12-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}8.89{space}mm{space}(350{space}mils),{space}SMDSocket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}8.89mm{space}350mil{space}SMDSocket{space}LongPads
+0
+12
+12
+Package_DIP
+DIP-12_W10.16mm
+12-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil
+0
+12
+12
+Package_DIP
+DIP-12_W10.16mm_LongPads
+12-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil{space}LongPads
+0
+12
+12
+Package_DIP
+DIP-14_W7.62mm
+14-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+14
+14
+Package_DIP
+DIP-14_W7.62mm_LongPads
+14-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}LongPads
+0
+14
+14
+Package_DIP
+DIP-14_W7.62mm_SMDSocket_SmallPads
+14-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}SMDSocket,{space}SmallPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}SMDSocket{space}SmallPads
+0
+14
+14
+Package_DIP
+DIP-14_W7.62mm_Socket
+14-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket
+0
+14
+14
+Package_DIP
+DIP-14_W7.62mm_Socket_LongPads
+14-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket{space}LongPads
+0
+14
+14
+Package_DIP
+DIP-14_W8.89mm_SMDSocket_LongPads
+14-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}8.89{space}mm{space}(350{space}mils),{space}SMDSocket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}8.89mm{space}350mil{space}SMDSocket{space}LongPads
+0
+14
+14
+Package_DIP
+DIP-14_W10.16mm
+14-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil
+0
+14
+14
+Package_DIP
+DIP-14_W10.16mm_LongPads
+14-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil{space}LongPads
+0
+14
+14
+Package_DIP
+DIP-16_W7.62mm
+16-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+16
+16
+Package_DIP
+DIP-16_W7.62mm_LongPads
+16-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}LongPads
+0
+16
+16
+Package_DIP
+DIP-16_W7.62mm_SMDSocket_SmallPads
+16-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}SMDSocket,{space}SmallPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}SMDSocket{space}SmallPads
+0
+16
+16
+Package_DIP
+DIP-16_W7.62mm_Socket
+16-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket
+0
+16
+16
+Package_DIP
+DIP-16_W7.62mm_Socket_LongPads
+16-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket{space}LongPads
+0
+16
+16
+Package_DIP
+DIP-16_W8.89mm_SMDSocket_LongPads
+16-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}8.89{space}mm{space}(350{space}mils),{space}SMDSocket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}8.89mm{space}350mil{space}SMDSocket{space}LongPads
+0
+16
+16
+Package_DIP
+DIP-16_W10.16mm
+16-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil
+0
+16
+16
+Package_DIP
+DIP-16_W10.16mm_LongPads
+16-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil{space}LongPads
+0
+16
+16
+Package_DIP
+DIP-18_W7.62mm
+18-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+18
+18
+Package_DIP
+DIP-18_W7.62mm_LongPads
+18-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}LongPads
+0
+18
+18
+Package_DIP
+DIP-18_W7.62mm_SMDSocket_SmallPads
+18-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}SMDSocket,{space}SmallPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}SMDSocket{space}SmallPads
+0
+18
+18
+Package_DIP
+DIP-18_W7.62mm_Socket
+18-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket
+0
+18
+18
+Package_DIP
+DIP-18_W7.62mm_Socket_LongPads
+18-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket{space}LongPads
+0
+18
+18
+Package_DIP
+DIP-18_W8.89mm_SMDSocket_LongPads
+18-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}8.89{space}mm{space}(350{space}mils),{space}SMDSocket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}8.89mm{space}350mil{space}SMDSocket{space}LongPads
+0
+18
+18
+Package_DIP
+DIP-20_W7.62mm
+20-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+20
+20
+Package_DIP
+DIP-20_W7.62mm_LongPads
+20-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}LongPads
+0
+20
+20
+Package_DIP
+DIP-20_W7.62mm_SMDSocket_SmallPads
+20-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}SMDSocket,{space}SmallPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}SMDSocket{space}SmallPads
+0
+20
+20
+Package_DIP
+DIP-20_W7.62mm_Socket
+20-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket
+0
+20
+20
+Package_DIP
+DIP-20_W7.62mm_Socket_LongPads
+20-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket{space}LongPads
+0
+20
+20
+Package_DIP
+DIP-20_W8.89mm_SMDSocket_LongPads
+20-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}8.89{space}mm{space}(350{space}mils),{space}SMDSocket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}8.89mm{space}350mil{space}SMDSocket{space}LongPads
+0
+20
+20
+Package_DIP
+DIP-22_W7.62mm
+22-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+22
+22
+Package_DIP
+DIP-22_W7.62mm_LongPads
+22-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}LongPads
+0
+22
+22
+Package_DIP
+DIP-22_W7.62mm_SMDSocket_SmallPads
+22-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}SMDSocket,{space}SmallPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}SMDSocket{space}SmallPads
+0
+22
+22
+Package_DIP
+DIP-22_W7.62mm_Socket
+22-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket
+0
+22
+22
+Package_DIP
+DIP-22_W7.62mm_Socket_LongPads
+22-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket{space}LongPads
+0
+22
+22
+Package_DIP
+DIP-22_W8.89mm_SMDSocket_LongPads
+22-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}8.89{space}mm{space}(350{space}mils),{space}SMDSocket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}8.89mm{space}350mil{space}SMDSocket{space}LongPads
+0
+22
+22
+Package_DIP
+DIP-22_W10.16mm
+22-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil
+0
+22
+22
+Package_DIP
+DIP-22_W10.16mm_LongPads
+22-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil{space}LongPads
+0
+22
+22
+Package_DIP
+DIP-22_W10.16mm_SMDSocket_SmallPads
+22-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils),{space}SMDSocket,{space}SmallPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil{space}SMDSocket{space}SmallPads
+0
+22
+22
+Package_DIP
+DIP-22_W10.16mm_Socket
+22-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils),{space}Socket
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil{space}Socket
+0
+22
+22
+Package_DIP
+DIP-22_W10.16mm_Socket_LongPads
+22-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils),{space}Socket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil{space}Socket{space}LongPads
+0
+22
+22
+Package_DIP
+DIP-22_W11.43mm_SMDSocket_LongPads
+22-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}11.43{space}mm{space}(450{space}mils),{space}SMDSocket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}11.43mm{space}450mil{space}SMDSocket{space}LongPads
+0
+22
+22
+Package_DIP
+DIP-24_W7.62mm
+24-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+24
+24
+Package_DIP
+DIP-24_W7.62mm_LongPads
+24-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}LongPads
+0
+24
+24
+Package_DIP
+DIP-24_W7.62mm_SMDSocket_SmallPads
+24-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}SMDSocket,{space}SmallPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}SMDSocket{space}SmallPads
+0
+24
+24
+Package_DIP
+DIP-24_W7.62mm_Socket
+24-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket
+0
+24
+24
+Package_DIP
+DIP-24_W7.62mm_Socket_LongPads
+24-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket{space}LongPads
+0
+24
+24
+Package_DIP
+DIP-24_W8.89mm_SMDSocket_LongPads
+24-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}8.89{space}mm{space}(350{space}mils),{space}SMDSocket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}8.89mm{space}350mil{space}SMDSocket{space}LongPads
+0
+24
+24
+Package_DIP
+DIP-24_W10.16mm
+24-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil
+0
+24
+24
+Package_DIP
+DIP-24_W10.16mm_LongPads
+24-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil{space}LongPads
+0
+24
+24
+Package_DIP
+DIP-24_W10.16mm_SMDSocket_SmallPads
+24-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils),{space}SMDSocket,{space}SmallPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil{space}SMDSocket{space}SmallPads
+0
+24
+24
+Package_DIP
+DIP-24_W10.16mm_Socket
+24-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils),{space}Socket
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil{space}Socket
+0
+24
+24
+Package_DIP
+DIP-24_W10.16mm_Socket_LongPads
+24-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils),{space}Socket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}10.16mm{space}400mil{space}Socket{space}LongPads
+0
+24
+24
+Package_DIP
+DIP-24_W11.43mm_SMDSocket_LongPads
+24-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}11.43{space}mm{space}(450{space}mils),{space}SMDSocket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}11.43mm{space}450mil{space}SMDSocket{space}LongPads
+0
+24
+24
+Package_DIP
+DIP-24_W15.24mm
+24-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil
+0
+24
+24
+Package_DIP
+DIP-24_W15.24mm_LongPads
+24-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}LongPads
+0
+24
+24
+Package_DIP
+DIP-24_W15.24mm_SMDSocket_SmallPads
+24-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}SMDSocket,{space}SmallPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}SMDSocket{space}SmallPads
+0
+24
+24
+Package_DIP
+DIP-24_W15.24mm_Socket
+24-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}Socket
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}Socket
+0
+24
+24
+Package_DIP
+DIP-24_W15.24mm_Socket_LongPads
+24-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}Socket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}Socket{space}LongPads
+0
+24
+24
+Package_DIP
+DIP-24_W16.51mm_SMDSocket_LongPads
+24-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}16.51{space}mm{space}(650{space}mils),{space}SMDSocket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}16.51mm{space}650mil{space}SMDSocket{space}LongPads
+0
+24
+24
+Package_DIP
+DIP-28_W7.62mm
+28-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+28
+28
+Package_DIP
+DIP-28_W7.62mm_LongPads
+28-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}LongPads
+0
+28
+28
+Package_DIP
+DIP-28_W7.62mm_SMDSocket_SmallPads
+28-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}SMDSocket,{space}SmallPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}SMDSocket{space}SmallPads
+0
+28
+28
+Package_DIP
+DIP-28_W7.62mm_Socket
+28-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket
+0
+28
+28
+Package_DIP
+DIP-28_W7.62mm_Socket_LongPads
+28-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}Socket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Socket{space}LongPads
+0
+28
+28
+Package_DIP
+DIP-28_W8.89mm_SMDSocket_LongPads
+28-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}8.89{space}mm{space}(350{space}mils),{space}SMDSocket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}8.89mm{space}350mil{space}SMDSocket{space}LongPads
+0
+28
+28
+Package_DIP
+DIP-28_W15.24mm
+28-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil
+0
+28
+28
+Package_DIP
+DIP-28_W15.24mm_LongPads
+28-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}LongPads
+0
+28
+28
+Package_DIP
+DIP-28_W15.24mm_SMDSocket_SmallPads
+28-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}SMDSocket,{space}SmallPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}SMDSocket{space}SmallPads
+0
+28
+28
+Package_DIP
+DIP-28_W15.24mm_Socket
+28-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}Socket
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}Socket
+0
+28
+28
+Package_DIP
+DIP-28_W15.24mm_Socket_LongPads
+28-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}Socket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}Socket{space}LongPads
+0
+28
+28
+Package_DIP
+DIP-28_W16.51mm_SMDSocket_LongPads
+28-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}16.51{space}mm{space}(650{space}mils),{space}SMDSocket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}16.51mm{space}650mil{space}SMDSocket{space}LongPads
+0
+28
+28
+Package_DIP
+DIP-32_W7.62mm
+32-lead{space}dip{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+DIL{space}DIP{space}PDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+32
+32
+Package_DIP
+DIP-32_W15.24mm
+32-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil
+0
+32
+32
+Package_DIP
+DIP-32_W15.24mm_LongPads
+32-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}LongPads
+0
+32
+32
+Package_DIP
+DIP-32_W15.24mm_SMDSocket_SmallPads
+32-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}SMDSocket,{space}SmallPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}SMDSocket{space}SmallPads
+0
+32
+32
+Package_DIP
+DIP-32_W15.24mm_Socket
+32-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}Socket
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}Socket
+0
+32
+32
+Package_DIP
+DIP-32_W15.24mm_Socket_LongPads
+32-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}Socket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}Socket{space}LongPads
+0
+32
+32
+Package_DIP
+DIP-32_W16.51mm_SMDSocket_LongPads
+32-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}16.51{space}mm{space}(650{space}mils),{space}SMDSocket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}16.51mm{space}650mil{space}SMDSocket{space}LongPads
+0
+32
+32
+Package_DIP
+DIP-40_W15.24mm
+40-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil
+0
+40
+40
+Package_DIP
+DIP-40_W15.24mm_LongPads
+40-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}LongPads
+0
+40
+40
+Package_DIP
+DIP-40_W15.24mm_SMDSocket_SmallPads
+40-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}SMDSocket,{space}SmallPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}SMDSocket{space}SmallPads
+0
+40
+40
+Package_DIP
+DIP-40_W15.24mm_Socket
+40-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}Socket
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}Socket
+0
+40
+40
+Package_DIP
+DIP-40_W15.24mm_Socket_LongPads
+40-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}Socket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}Socket{space}LongPads
+0
+40
+40
+Package_DIP
+DIP-40_W16.51mm_SMDSocket_LongPads
+40-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}16.51{space}mm{space}(650{space}mils),{space}SMDSocket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}16.51mm{space}650mil{space}SMDSocket{space}LongPads
+0
+40
+40
+Package_DIP
+DIP-40_W25.4mm
+40-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}25.4{space}mm{space}(1000{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}25.4mm{space}1000mil
+0
+40
+40
+Package_DIP
+DIP-40_W25.4mm_LongPads
+40-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}25.4{space}mm{space}(1000{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}25.4mm{space}1000mil{space}LongPads
+0
+40
+40
+Package_DIP
+DIP-40_W25.4mm_SMDSocket_SmallPads
+40-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}25.4{space}mm{space}(1000{space}mils),{space}SMDSocket,{space}SmallPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}25.4mm{space}1000mil{space}SMDSocket{space}SmallPads
+0
+40
+40
+Package_DIP
+DIP-40_W25.4mm_Socket
+40-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}25.4{space}mm{space}(1000{space}mils),{space}Socket
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}25.4mm{space}1000mil{space}Socket
+0
+40
+40
+Package_DIP
+DIP-40_W25.4mm_Socket_LongPads
+40-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}25.4{space}mm{space}(1000{space}mils),{space}Socket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}25.4mm{space}1000mil{space}Socket{space}LongPads
+0
+40
+40
+Package_DIP
+DIP-40_W26.67mm_SMDSocket_LongPads
+40-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}26.67{space}mm{space}(1050{space}mils),{space}SMDSocket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}26.669999999999998mm{space}1050mil{space}SMDSocket{space}LongPads
+0
+40
+40
+Package_DIP
+DIP-42_W15.24mm
+42-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil
+0
+42
+42
+Package_DIP
+DIP-42_W15.24mm_LongPads
+42-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}LongPads
+0
+42
+42
+Package_DIP
+DIP-42_W15.24mm_SMDSocket_SmallPads
+42-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}SMDSocket,{space}SmallPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}SMDSocket{space}SmallPads
+0
+42
+42
+Package_DIP
+DIP-42_W15.24mm_Socket
+42-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}Socket
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}Socket
+0
+42
+42
+Package_DIP
+DIP-42_W15.24mm_Socket_LongPads
+42-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}Socket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}Socket{space}LongPads
+0
+42
+42
+Package_DIP
+DIP-42_W16.51mm_SMDSocket_LongPads
+42-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}16.51{space}mm{space}(650{space}mils),{space}SMDSocket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}16.51mm{space}650mil{space}SMDSocket{space}LongPads
+0
+42
+42
+Package_DIP
+DIP-48_W15.24mm
+48-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil
+0
+48
+48
+Package_DIP
+DIP-48_W15.24mm_LongPads
+48-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}LongPads
+0
+48
+48
+Package_DIP
+DIP-48_W15.24mm_SMDSocket_SmallPads
+48-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}SMDSocket,{space}SmallPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}SMDSocket{space}SmallPads
+0
+48
+48
+Package_DIP
+DIP-48_W15.24mm_Socket
+48-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}Socket
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}Socket
+0
+48
+48
+Package_DIP
+DIP-48_W15.24mm_Socket_LongPads
+48-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}Socket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}Socket{space}LongPads
+0
+48
+48
+Package_DIP
+DIP-48_W16.51mm_SMDSocket_LongPads
+48-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}16.51{space}mm{space}(650{space}mils),{space}SMDSocket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}16.51mm{space}650mil{space}SMDSocket{space}LongPads
+0
+48
+48
+Package_DIP
+DIP-64_W15.24mm
+64-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil
+0
+64
+64
+Package_DIP
+DIP-64_W15.24mm_LongPads
+64-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}LongPads
+0
+64
+64
+Package_DIP
+DIP-64_W15.24mm_SMDSocket_SmallPads
+64-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}SMDSocket,{space}SmallPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}SMDSocket{space}SmallPads
+0
+64
+64
+Package_DIP
+DIP-64_W15.24mm_Socket
+64-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}Socket
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}Socket
+0
+64
+64
+Package_DIP
+DIP-64_W15.24mm_Socket_LongPads
+64-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}Socket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}15.24mm{space}600mil{space}Socket{space}LongPads
+0
+64
+64
+Package_DIP
+DIP-64_W16.51mm_SMDSocket_LongPads
+64-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}16.51{space}mm{space}(650{space}mils),{space}SMDSocket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}16.51mm{space}650mil{space}SMDSocket{space}LongPads
+0
+64
+64
+Package_DIP
+DIP-64_W22.86mm
+64-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}22.86{space}mm{space}(900{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}22.86mm{space}900mil
+0
+64
+64
+Package_DIP
+DIP-64_W22.86mm_LongPads
+64-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}22.86{space}mm{space}(900{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}22.86mm{space}900mil{space}LongPads
+0
+64
+64
+Package_DIP
+DIP-64_W22.86mm_SMDSocket_SmallPads
+64-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}22.86{space}mm{space}(900{space}mils),{space}SMDSocket,{space}SmallPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}22.86mm{space}900mil{space}SMDSocket{space}SmallPads
+0
+64
+64
+Package_DIP
+DIP-64_W22.86mm_Socket
+64-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}22.86{space}mm{space}(900{space}mils),{space}Socket
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}22.86mm{space}900mil{space}Socket
+0
+64
+64
+Package_DIP
+DIP-64_W22.86mm_Socket_LongPads
+64-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}22.86{space}mm{space}(900{space}mils),{space}Socket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}22.86mm{space}900mil{space}Socket{space}LongPads
+0
+64
+64
+Package_DIP
+DIP-64_W24.13mm_SMDSocket_LongPads
+64-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}24.13{space}mm{space}(950{space}mils),{space}SMDSocket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}24.13mm{space}950mil{space}SMDSocket{space}LongPads
+0
+64
+64
+Package_DIP
+DIP-64_W25.4mm
+64-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}25.4{space}mm{space}(1000{space}mils)
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}25.4mm{space}1000mil
+0
+64
+64
+Package_DIP
+DIP-64_W25.4mm_LongPads
+64-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}25.4{space}mm{space}(1000{space}mils),{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}25.4mm{space}1000mil{space}LongPads
+0
+64
+64
+Package_DIP
+DIP-64_W25.4mm_SMDSocket_SmallPads
+64-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}25.4{space}mm{space}(1000{space}mils),{space}SMDSocket,{space}SmallPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}25.4mm{space}1000mil{space}SMDSocket{space}SmallPads
+0
+64
+64
+Package_DIP
+DIP-64_W25.4mm_Socket
+64-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}25.4{space}mm{space}(1000{space}mils),{space}Socket
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}25.4mm{space}1000mil{space}Socket
+0
+64
+64
+Package_DIP
+DIP-64_W25.4mm_Socket_LongPads
+64-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}25.4{space}mm{space}(1000{space}mils),{space}Socket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}25.4mm{space}1000mil{space}Socket{space}LongPads
+0
+64
+64
+Package_DIP
+DIP-64_W26.67mm_SMDSocket_LongPads
+64-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}26.67{space}mm{space}(1050{space}mils),{space}SMDSocket,{space}LongPads
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}26.669999999999998mm{space}1050mil{space}SMDSocket{space}LongPads
+0
+64
+64
+Package_DIP
+Fairchild_LSOP-8
+8-Lead,{space}300\"{space}Wide,{space}Surface{space}Mount{space}Package{space}(https://www.fairchildsemi.com/package-drawings/ML/MLSOP08A.pdf)
+LSOP{space}2.54mm{space}300mil
+0
+8
+8
+Package_DIP
+PowerIntegrations_PDIP-8B
+Power{space}Integrations{space}variant{space}of{space}8-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}LongPads,{space}see{space}https://www.power.com/sites/default/files/product-docs/lnk520.pdf
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}LongPads
+0
+7
+7
+Package_DIP
+PowerIntegrations_PDIP-8C
+Power{space}Integrations{space}variant{space}of{space}8-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}LongPads,{space}see{space}https://ac-dc.power.com/sites/default/files/product-docs/tinyswitch-iii_family_datasheet.pdf
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}LongPads
+0
+7
+7
+Package_DIP
+PowerIntegrations_SDIP-10C
+PowerIntegrations{space}variant{space}of{space}10-lead{space}though-hole{space}mounted{space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}LongPads,{space}see{space}https://www.power.com/sites/default/files/product-docs/tophx_family_datasheet.pdf
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}LongPads
+0
+9
+9
+Package_DIP
+PowerIntegrations_SMD-8
+PowerIntegrations{space}variant{space}of{space}8-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}see{space}https://www.power.com/sites/default/files/product-docs/lnk520.pdf
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+8
+8
+Package_DIP
+PowerIntegrations_SMD-8B
+PowerIntegrations{space}variant{space}of{space}8-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}see{space}https://www.power.com/sites/default/files/product-docs/lnk520.pdf
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+7
+7
+Package_DIP
+PowerIntegrations_SMD-8C
+PowerIntegrations{space}variant{space}of{space}8-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}see{space}https://ac-dc.power.com/sites/default/files/product-docs/tinyswitch-iii_family_datasheet.pdf
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+7
+7
+Package_DIP
+PowerIntegrations_eDIP-12B
+Power{space}Integrations{space}eDIP-12B,{space}see{space}https://www.power.com/sites/default/files/product-docs/linkswitch-pl_family_datasheet.pdf
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+11
+11
+Package_DIP
+SMDIP-4_W7.62mm
+4-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+4
+4
+Package_DIP
+SMDIP-4_W9.53mm
+4-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}9.53{space}mm{space}(375{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}9.53mm{space}375mil
+0
+4
+4
+Package_DIP
+SMDIP-4_W9.53mm_Clearance8mm
+4-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}9.53{space}mm{space}(375{space}mils),{space}Clearance8mm
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}9.53mm{space}375mil{space}Clearance8mm
+0
+4
+4
+Package_DIP
+SMDIP-4_W11.48mm
+4-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}11.48{space}mm{space}(451{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}11.48mm{space}451mil
+0
+4
+4
+Package_DIP
+SMDIP-6_W7.62mm
+6-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+6
+6
+Package_DIP
+SMDIP-6_W9.53mm
+6-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}9.53{space}mm{space}(375{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}9.53mm{space}375mil
+0
+6
+6
+Package_DIP
+SMDIP-6_W9.53mm_Clearance8mm
+6-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}9.53{space}mm{space}(375{space}mils),{space}Clearance8mm
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}9.53mm{space}375mil{space}Clearance8mm
+0
+6
+6
+Package_DIP
+SMDIP-6_W11.48mm
+6-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}11.48{space}mm{space}(451{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}11.48mm{space}451mil
+0
+6
+6
+Package_DIP
+SMDIP-8_W7.62mm
+8-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+8
+8
+Package_DIP
+SMDIP-8_W9.53mm
+8-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}9.53{space}mm{space}(375{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}9.53mm{space}375mil
+0
+8
+8
+Package_DIP
+SMDIP-8_W9.53mm_Clearance8mm
+8-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}9.53{space}mm{space}(375{space}mils),{space}Clearance8mm
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}9.53mm{space}375mil{space}Clearance8mm
+0
+8
+8
+Package_DIP
+SMDIP-8_W11.48mm
+8-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}11.48{space}mm{space}(451{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}11.48mm{space}451mil
+0
+8
+8
+Package_DIP
+SMDIP-10_W7.62mm
+10-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+10
+10
+Package_DIP
+SMDIP-10_W9.53mm
+10-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}9.53{space}mm{space}(375{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}9.53mm{space}375mil
+0
+10
+10
+Package_DIP
+SMDIP-10_W9.53mm_Clearance8mm
+10-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}9.53{space}mm{space}(375{space}mils),{space}Clearance8mm
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}9.53mm{space}375mil{space}Clearance8mm
+0
+10
+10
+Package_DIP
+SMDIP-10_W11.48mm
+10-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}11.48{space}mm{space}(451{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}11.48mm{space}451mil
+0
+10
+10
+Package_DIP
+SMDIP-12_W7.62mm
+12-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+12
+12
+Package_DIP
+SMDIP-12_W9.53mm
+12-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}9.53{space}mm{space}(375{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}9.53mm{space}375mil
+0
+12
+12
+Package_DIP
+SMDIP-12_W9.53mm_Clearance8mm
+12-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}9.53{space}mm{space}(375{space}mils),{space}Clearance8mm
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}9.53mm{space}375mil{space}Clearance8mm
+0
+12
+12
+Package_DIP
+SMDIP-12_W11.48mm
+12-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}11.48{space}mm{space}(451{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}11.48mm{space}451mil
+0
+12
+12
+Package_DIP
+SMDIP-14_W7.62mm
+14-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+14
+14
+Package_DIP
+SMDIP-14_W9.53mm
+14-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}9.53{space}mm{space}(375{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}9.53mm{space}375mil
+0
+14
+14
+Package_DIP
+SMDIP-14_W9.53mm_Clearance8mm
+14-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}9.53{space}mm{space}(375{space}mils),{space}Clearance8mm
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}9.53mm{space}375mil{space}Clearance8mm
+0
+14
+14
+Package_DIP
+SMDIP-14_W11.48mm
+14-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}11.48{space}mm{space}(451{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}11.48mm{space}451mil
+0
+14
+14
+Package_DIP
+SMDIP-16_W7.62mm
+16-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+16
+16
+Package_DIP
+SMDIP-16_W9.53mm
+16-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}9.53{space}mm{space}(375{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}9.53mm{space}375mil
+0
+16
+16
+Package_DIP
+SMDIP-16_W9.53mm_Clearance8mm
+16-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}9.53{space}mm{space}(375{space}mils),{space}Clearance8mm
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}9.53mm{space}375mil{space}Clearance8mm
+0
+16
+16
+Package_DIP
+SMDIP-16_W11.48mm
+16-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}11.48{space}mm{space}(451{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}11.48mm{space}451mil
+0
+16
+16
+Package_DIP
+SMDIP-18_W7.62mm
+18-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+18
+18
+Package_DIP
+SMDIP-18_W9.53mm
+18-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}9.53{space}mm{space}(375{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}9.53mm{space}375mil
+0
+18
+18
+Package_DIP
+SMDIP-18_W9.53mm_Clearance8mm
+18-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}9.53{space}mm{space}(375{space}mils),{space}Clearance8mm
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}9.53mm{space}375mil{space}Clearance8mm
+0
+18
+18
+Package_DIP
+SMDIP-18_W11.48mm
+18-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}11.48{space}mm{space}(451{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}11.48mm{space}451mil
+0
+18
+18
+Package_DIP
+SMDIP-20_W7.62mm
+20-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+20
+20
+Package_DIP
+SMDIP-20_W9.53mm
+20-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}9.53{space}mm{space}(375{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}9.53mm{space}375mil
+0
+20
+20
+Package_DIP
+SMDIP-20_W9.53mm_Clearance8mm
+20-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}9.53{space}mm{space}(375{space}mils),{space}Clearance8mm
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}9.53mm{space}375mil{space}Clearance8mm
+0
+20
+20
+Package_DIP
+SMDIP-20_W11.48mm
+20-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}11.48{space}mm{space}(451{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}11.48mm{space}451mil
+0
+20
+20
+Package_DIP
+SMDIP-22_W7.62mm
+22-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+22
+22
+Package_DIP
+SMDIP-22_W9.53mm
+22-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}9.53{space}mm{space}(375{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}9.53mm{space}375mil
+0
+22
+22
+Package_DIP
+SMDIP-22_W9.53mm_Clearance8mm
+22-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}9.53{space}mm{space}(375{space}mils),{space}Clearance8mm
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}9.53mm{space}375mil{space}Clearance8mm
+0
+22
+22
+Package_DIP
+SMDIP-22_W11.48mm
+22-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}11.48{space}mm{space}(451{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}11.48mm{space}451mil
+0
+22
+22
+Package_DIP
+SMDIP-24_W7.62mm
+24-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+24
+24
+Package_DIP
+SMDIP-24_W9.53mm
+24-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}9.53{space}mm{space}(375{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}9.53mm{space}375mil
+0
+24
+24
+Package_DIP
+SMDIP-24_W11.48mm
+24-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}11.48{space}mm{space}(451{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}11.48mm{space}451mil
+0
+24
+24
+Package_DIP
+SMDIP-24_W15.24mm
+24-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}15.24mm{space}600mil
+0
+24
+24
+Package_DIP
+SMDIP-28_W15.24mm
+28-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}15.24mm{space}600mil
+0
+28
+28
+Package_DIP
+SMDIP-32_W7.62mm
+32-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+32
+32
+Package_DIP
+SMDIP-32_W9.53mm
+32-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}9.53{space}mm{space}(375{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}9.53mm{space}375mil
+0
+32
+32
+Package_DIP
+SMDIP-32_W11.48mm
+32-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}11.48{space}mm{space}(451{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}11.48mm{space}451mil
+0
+32
+32
+Package_DIP
+SMDIP-32_W15.24mm
+32-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}15.24mm{space}600mil
+0
+32
+32
+Package_DIP
+SMDIP-40_W15.24mm
+40-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}15.24mm{space}600mil
+0
+40
+40
+Package_DIP
+SMDIP-40_W25.24mm
+40-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}25.24{space}mm{space}(993{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}25.24mm{space}993mil
+0
+40
+40
+Package_DIP
+SMDIP-42_W15.24mm
+42-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}15.24mm{space}600mil
+0
+42
+42
+Package_DIP
+SMDIP-48_W15.24mm
+48-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}15.24mm{space}600mil
+0
+48
+48
+Package_DIP
+SMDIP-64_W15.24mm
+64-lead{space}surface-mounted{space}(SMD){space}DIP{space}package,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils)
+SMD{space}DIP{space}DIL{space}PDIP{space}SMDIP{space}2.54mm{space}15.24mm{space}600mil
+0
+64
+64
+Package_DIP
+Toshiba_11-7A9
+Toshiba{space}11-7A9{space}package,{space}like{space}6-lead{space}dip{space}package{space}with{space}missing{space}pin{space}5,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}https://toshiba.semicon-storage.com/info/docget.jsp?did=1421&prodName=TLP3021(S)
+Toshiba{space}11-7A9{space}DIL{space}DIP{space}PDIP{space}2.54mm{space}7.62mm{space}300mil
+0
+5
+5
+Package_DIP
+Vishay_HVM-DIP-3_W7.62mm
+3-lead{space}though-hole{space}mounted{space}high-volatge{space}DIP{space}package{space}(based{space}on{space}standard{space}DIP-4),{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}see{space}https://www.vishay.com/docs/91361/hexdip.pdf
+THT{space}DIP{space}DIL{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}Vishay{space}HVMDIP{space}HEXDIP
+0
+4
+3
+Package_DirectFET
+DirectFET_L8
+DirectFET{space}L8{space}https://www.infineon.com/dgdl/Infineon-AN-1035-AN-v29_00-EN.pdf?fileId=5546d462533600a40153559159020f76
+DirectFET{space}L8
+0
+15
+3
+Package_DirectFET
+DirectFET_ME
+IRF{space}DirectFET_ME{space}http://www.irf.com/technical-info/appnotes/an-1035.pdf
+DirectFET{space}ME{space}Mosfet{space}Infenion
+0
+10
+3
+Package_DirectFET
+DirectFET_MN
+DirectFET{space}MN{space}https://www.infineon.com/dgdl/irf6646pbf.pdf?fileId=5546d462533600a4015355ec5f071a55
+DirectFET{space}MN{space}Mosfet{space}Infenion
+0
+7
+3
+Package_DirectFET
+DirectFET_MP
+DirectFET{space}MP{space}https://www.infineon.com/dgdl/irf6633pbf.pdf?fileId=5546d462533600a4015355e8dfe91a3b
+DirectFET{space}MP{space}Mosfet{space}Infenion
+0
+7
+3
+Package_DirectFET
+DirectFET_MT
+DirectFET{space}MT{space}https://www.infineon.com/dgdl/irf6613pbf.pdf?fileId=5546d462533600a4015355e82b9b1a0d
+DirectFET{space}MT{space}Mosfet{space}Infenion
+0
+7
+3
+Package_DirectFET
+DirectFET_MX
+DirectFET{space}MX{space}https://www.infineon.com/dgdl/irf8302mpbf.pdf?fileId=5546d462533600a40153560d16e41d5b
+DirectFET{space}MX{space}Infenion{space}Mosfet
+0
+7
+3
+Package_DirectFET
+DirectFET_MZ
+DirectFET{space}MZ{space}https://www.infineon.com/dgdl/irf6668pbf.pdf?fileId=5546d462533600a4015355ec96b91a64
+DirectFET{space}MZ{space}Infenion{space}Mosfet
+0
+7
+3
+Package_DirectFET
+DirectFET_S1
+DirectFET{space}S1{space}https://www.infineon.com/dgdl/irf6810spbf.pdf?fileId=5546d462533600a4015355f0ab331ab4
+DirectFET{space}S1{space}Infenion{space}Mosfet
+0
+6
+3
+Package_DirectFET
+DirectFET_S2
+DirectFET{space}S2{space}https://www.infineon.com/dgdl/Infineon-AN-1035-AN-v29_00-EN.pdf?fileId=5546d462533600a40153559159020f76
+DirectFET{space}S2{space}Infenion{space}Mosfet
+0
+7
+3
+Package_DirectFET
+DirectFET_SH
+IRF{space}DirectFET_SH{space}https://www.infineon.com/dgdl/irf6655pbf.pdf?fileId=5546d462533600a4015355ec76961a5b
+DirectFET{space}SH{space}Mosfet{space}Infenion
+0
+6
+3
+Package_DirectFET
+DirectFET_SJ
+DirectFET{space}SJ{space}https://www.infineon.com/dgdl/irf6810spbf.pdf?fileId=5546d462533600a4015355f0ab331ab4
+DirectFET{space}SJ{space}Infenion{space}Mosfet
+0
+7
+3
+Package_DirectFET
+DirectFET_SQ
+DirectFET{space}SQ{space}https://www.infineon.com/dgdl/irf8327spbf.pdf?fileId=5546d462533600a40153560d40c41d65
+DirectFET{space}SQ{space}Infenion{space}Mosfet
+0
+6
+3
+Package_DirectFET
+DirectFET_ST
+DirectFET{space}ST{space}https://www.infineon.com/dgdl/irf6722spbf.pdf?fileId=5546d462533600a4015355ed44531a90
+DirectFET{space}ST{space}Infenion{space}Mosfet
+0
+7
+3
+Package_LCC
+PLCC-20
+PLCC,{space}20{space}pins,{space}surface{space}mount
+plcc{space}smt
+0
+20
+20
+Package_LCC
+PLCC-20_SMD-Socket
+PLCC,{space}20{space}pins,{space}surface{space}mount
+plcc{space}smt
+0
+20
+20
+Package_LCC
+PLCC-20_THT-Socket
+PLCC,{space}20{space}pins,{space}through{space}hole
+plcc{space}leaded
+0
+20
+20
+Package_LCC
+PLCC-28
+PLCC,{space}28{space}pins,{space}surface{space}mount
+plcc{space}smt
+0
+28
+28
+Package_LCC
+PLCC-28_SMD-Socket
+PLCC,{space}28{space}pins,{space}surface{space}mount
+plcc{space}smt
+0
+28
+28
+Package_LCC
+PLCC-28_THT-Socket
+PLCC,{space}28{space}pins,{space}through{space}hole
+plcc{space}leaded
+0
+28
+28
+Package_LCC
+PLCC-32_11.4x14.0mm_P1.27mm
+PLCC,{space}32{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/doc0015.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_plcc_jLead_generator.py
+PLCC{space}LCC
+0
+32
+32
+Package_LCC
+PLCC-44
+PLCC,{space}44{space}pins,{space}surface{space}mount
+plcc{space}smt
+0
+44
+44
+Package_LCC
+PLCC-44_16.6x16.6mm_P1.27mm
+PLCC,{space}44{space}Pin{space}(http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_plcc_jLead_generator.py
+PLCC{space}LCC
+0
+44
+44
+Package_LCC
+PLCC-44_SMD-Socket
+PLCC,{space}44{space}pins,{space}surface{space}mount
+plcc{space}smt
+0
+44
+44
+Package_LCC
+PLCC-44_THT-Socket
+PLCC,{space}44{space}pins,{space}through{space}hole
+plcc{space}leaded
+0
+44
+44
+Package_LCC
+PLCC-52
+PLCC,{space}52{space}pins,{space}surface{space}mount
+plcc{space}smt
+0
+52
+52
+Package_LCC
+PLCC-52_SMD-Socket
+PLCC,{space}52{space}pins,{space}surface{space}mount
+plcc{space}smt
+0
+52
+52
+Package_LCC
+PLCC-52_THT-Socket
+PLCC,{space}52{space}pins,{space}through{space}hole
+plcc{space}leaded
+0
+52
+52
+Package_LCC
+PLCC-68
+PLCC,{space}68{space}pins,{space}surface{space}mount
+plcc{space}smt
+0
+68
+68
+Package_LCC
+PLCC-68_24.2x24.2mm_P1.27mm
+PLCC,{space}68{space}Pin{space}(http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_plcc_jLead_generator.py
+PLCC{space}LCC
+0
+68
+68
+Package_LCC
+PLCC-68_SMD-Socket
+PLCC,{space}68{space}pins,{space}surface{space}mount
+plcc{space}smt
+0
+68
+68
+Package_LCC
+PLCC-68_THT-Socket
+PLCC,{space}68{space}pins,{space}through{space}hole
+plcc{space}leaded
+0
+68
+68
+Package_LCC
+PLCC-84
+PLCC,{space}84{space}pins,{space}surface{space}mount
+plcc{space}smt
+0
+84
+84
+Package_LCC
+PLCC-84_29.3x29.3mm_P1.27mm
+PLCC,{space}84{space}Pin{space}(http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_plcc_jLead_generator.py
+PLCC{space}LCC
+0
+84
+84
+Package_LCC
+PLCC-84_SMD-Socket
+PLCC,{space}84{space}pins,{space}surface{space}mount
+plcc{space}smt
+0
+84
+84
+Package_LCC
+PLCC-84_THT-Socket
+PLCC,{space}84{space}pins,{space}through{space}hole
+plcc{space}leaded
+0
+84
+84
+Package_LGA
+AMS_LGA-10-1EP_2.7x4mm_P0.6mm
+LGA-10,{space}http://ams.com/eng/content/download/951091/2269479/471718
+lga{space}land{space}grid{space}array
+0
+13
+11
+Package_LGA
+AMS_LGA-20_4.7x4.5mm_P0.65mm
+http://ams.com/eng/content/download/1008631/2361759/498838
+AMS{space}LGA
+0
+20
+20
+Package_LGA
+Bosch_LGA-8_2.5x2.5mm_P0.65mm_ClockwisePinNumbering
+LGA-8
+lga{space}land{space}grid{space}array
+0
+8
+8
+Package_LGA
+Bosch_LGA-8_2x2.5mm_P0.65mm_ClockwisePinNumbering
+LGA-8,{space}https://ae-bst.resource.bosch.com/media/_tech/media/datasheets/BST-BMP280-DS001-18.pdf
+lga{space}land{space}grid{space}array
+0
+8
+8
+Package_LGA
+Bosch_LGA-8_3x3mm_P0.8mm_ClockwisePinNumbering
+Bosch{space}{space}LGA,{space}8{space}Pin{space}(https://ae-bst.resource.bosch.com/media/_tech/media/datasheets/BST-BME680-DS001-00.pdf#page=44),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_lga_layoutBorder_generator.py
+Bosch{space}LGA{space}LGA
+0
+8
+8
+Package_LGA
+Bosch_LGA-14_3x2.5mm_P0.5mm
+LGA-14{space}Bosch{space}https://ae-bst.resource.bosch.com/media/_tech/media/datasheets/BST-BMI160-DS000-07.pdf
+lga{space}land{space}grid{space}array
+0
+14
+14
+Package_LGA
+LGA-8_3x5mm_P1.25mm
+LGA-8
+lga{space}land{space}grid{space}array
+0
+8
+8
+Package_LGA
+LGA-12_2x2mm_P0.5mm
+LGA12
+lga{space}land{space}grid{space}array
+0
+12
+12
+Package_LGA
+LGA-14_2x2mm_P0.35mm_LayoutBorder3x4y
+LGA,{space}14{space}Pin{space}(http://www.st.com/resource/en/datasheet/lis2dh.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_lga_layoutBorder_generator.py
+LGA{space}LGA
+0
+14
+14
+Package_LGA
+LGA-14_3x2.5mm_P0.5mm_LayoutBorder3x4y
+LGA,{space}14{space}Pin{space}(http://www.st.com/resource/en/datasheet/lsm6ds3.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_lga_layoutBorder_generator.py
+LGA{space}LGA
+0
+14
+14
+Package_LGA
+LGA-14_3x5mm_P0.8mm_LayoutBorder1x6y
+LGA,{space}14{space}Pin{space}(http://www.st.com/resource/en/datasheet/lsm303dlhc.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_lga_layoutBorder_generator.py
+LGA{space}LGA
+0
+14
+14
+Package_LGA
+LGA-16_3x3mm_P0.5mm
+http://www.memsic.com/userfiles/files/DataSheets/Magnetic-Sensors-Datasheets/MMC5883MA-RevC.pdf
+lga{space}land{space}grid{space}array
+0
+16
+16
+Package_LGA
+LGA-16_3x3mm_P0.5mm_LayoutBorder3x5y
+LGA,{space}16{space}Pin{space}(http://www.st.com/resource/en/datasheet/lis331hh.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_lga_layoutBorder_generator.py
+LGA{space}LGA
+0
+16
+16
+Package_LGA
+LGA-16_4x4mm_P0.65mm_LayoutBorder4x4y
+LGA,{space}16{space}Pin{space}(http://www.st.com/resource/en/datasheet/l3gd20.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_lga_layoutBorder_generator.py
+LGA{space}LGA
+0
+16
+16
+Package_LGA
+LGA-24L_3x3.5mm_P0.43mm
+LGA{space}24L{space}3x3.5mm{space}Pitch{space}0.43mm
+LGA{space}24L{space}3x3.5mm{space}Pitch{space}0.43mm
+0
+24
+24
+Package_LGA
+LGA-28_5.2x3.8mm_P0.5mm
+LGA{space}28{space}5.2x3.8mm{space}Pitch{space}0.5mm
+LGA{space}28{space}5.2x3.8mm{space}Pitch{space}0.5mm
+0
+28
+28
+Package_LGA
+Linear_LGA-133_15.0x15.0_Layout12x12_P1.27mm
+Analog{space}Devices{space}(Linear{space}Tech),{space}133-pin{space}LGA{space}uModule,{space}15.0x15.0x4.32mm,{space}https://www.analog.com/media/en/technical-documentation/data-sheets/4637fc.pdf
+133{space}pin{space}lga
+0
+133
+133
+Package_LGA
+NXP_LGA-8_3x5mm_P1.25mm_H1.2mm
+NXP{space}{space}LGA,{space}8{space}Pin{space}(https://www.nxp.com/docs/en/data-sheet/MPL115A1.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+NXP{space}LGA{space}NoLead
+0
+8
+8
+Package_LGA
+ST_HLGA-10_2.5x2.5mm_P0.6mm_LayoutBorder3x2y
+ST{space}{space}HLGA,{space}10{space}Pin{space}(https://www.st.com/resource/en/datasheet/lps25hb.pdf#page=46),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_lga_layoutBorder_generator.py
+ST{space}HLGA{space}LGA
+0
+10
+10
+Package_LGA
+Texas_SIL0008D_MicroSiP-8-1EP_2.8x3mm_P0.65mm_EP1.1x1.9mm
+Texas{space}SIL0008D{space}MicroSiP,{space}8{space}Pin{space}(http://www.ti.com/lit/ds/symlink/tps82130.pdf#page=19),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+Texas{space}MicroSiP{space}NoLead
+0
+12
+9
+Package_LGA
+Texas_SIL0008D_MicroSiP-8-1EP_2.8x3mm_P0.65mm_EP1.1x1.9mm_ThermalVias
+Texas{space}SIL0008D{space}MicroSiP,{space}8{space}Pin{space}(http://www.ti.com/lit/ds/symlink/tps82130.pdf#page=19),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+Texas{space}MicroSiP{space}NoLead
+0
+16
+9
+Package_LGA
+Texas_SIL0010A_MicroSiP-10-1EP_3.8x3mm_P0.6mm_EP0.7x2.9mm_ThermalVias
+Texas{space}SIL0010A{space}MicroSiP,{space}10{space}Pin{space}(http://www.ti.com/lit/ml/mpds579b/mpds579b.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_lga_layoutBorder_generator.py
+Texas{space}MicroSiP{space}LGA
+0
+18
+11
+Package_QFP
+EQFP-144-1EP_20x20mm_P0.5mm_EP4x4mm
+EQFP,{space}144{space}Pin{space}(https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/packaging/04r00482-02.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+EQFP{space}QFP
+0
+154
+145
+Package_QFP
+EQFP-144-1EP_20x20mm_P0.5mm_EP4x4mm_ThermalVias
+EQFP,{space}144{space}Pin{space}(https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/packaging/04r00482-02.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+EQFP{space}QFP
+0
+171
+145
+Package_QFP
+EQFP-144-1EP_20x20mm_P0.5mm_EP5x5mm
+EQFP,{space}144{space}Pin{space}(https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/packaging/04r00476-02.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+EQFP{space}QFP
+0
+161
+145
+Package_QFP
+EQFP-144-1EP_20x20mm_P0.5mm_EP5x5mm_ThermalVias
+EQFP,{space}144{space}Pin{space}(https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/packaging/04r00476-02.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+EQFP{space}QFP
+0
+187
+145
+Package_QFP
+EQFP-144-1EP_20x20mm_P0.5mm_EP6.61x5.615mm
+EQFP,{space}144{space}Pin{space}(https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/packaging/04r00485-02.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+EQFP{space}QFP
+0
+165
+145
+Package_QFP
+EQFP-144-1EP_20x20mm_P0.5mm_EP6.61x5.615mm_ThermalVias
+EQFP,{space}144{space}Pin{space}(https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/packaging/04r00485-02.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+EQFP{space}QFP
+0
+196
+145
+Package_QFP
+EQFP-144-1EP_20x20mm_P0.5mm_EP7.2x6.35mm
+EQFP,{space}144{space}Pin{space}(https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/packaging/04r00487-01.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+EQFP{space}QFP
+0
+175
+145
+Package_QFP
+EQFP-144-1EP_20x20mm_P0.5mm_EP7.2x6.35mm_ThermalVias
+EQFP,{space}144{space}Pin{space}(https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/packaging/04r00487-01.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+EQFP{space}QFP
+0
+218
+145
+Package_QFP
+EQFP-144-1EP_20x20mm_P0.5mm_EP8.93x8.7mm
+EQFP,{space}144{space}Pin{space}(https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/packaging/04r00479-02.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+EQFP{space}QFP
+0
+194
+145
+Package_QFP
+EQFP-144-1EP_20x20mm_P0.5mm_EP8.93x8.7mm_ThermalVias
+EQFP,{space}144{space}Pin{space}(https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/packaging/04r00479-02.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+EQFP{space}QFP
+0
+259
+145
+Package_QFP
+HTQFP-64-1EP_10x10mm_P0.5mm_EP8x8mm
+64-Lead{space}Plastic{space}Thin{space}Quad{space}Flatpack{space}(PT){space}-{space}10x10x1{space}mm{space}Body,{space}2.00{space}mm{space}Footprint{space}[HTQFP]{space}thermal{space}pad
+HTQFP-64{space}Pitch{space}0.5{space}
+0
+69
+65
+Package_QFP
+HTQFP-64-1EP_10x10mm_P0.5mm_EP8x8mm_Mask4.4x4.4mm_ThermalVias
+64-Lead{space}Plastic{space}Thin{space}Quad{space}Flatpack{space}(PT){space}-{space}10x10x1{space}mm{space}Body,{space}2.00{space}mm{space}Footprint{space}[HTQFP]{space}thermal{space}pad
+HTQFP-64{space}Pitch{space}0.5{space}
+0
+135
+65
+Package_QFP
+LQFP-32_5x5mm_P0.5mm
+LQFP,{space}32{space}Pin{space}(https://www.nxp.com/docs/en/package-information/SOT401-1.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+32
+32
+Package_QFP
+LQFP-32_7x7mm_P0.8mm
+LQFP,{space}32{space}Pin{space}(https://www.nxp.com/docs/en/package-information/SOT358-1.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+32
+32
+Package_QFP
+LQFP-36_7x7mm_P0.65mm
+LQFP,{space}36{space}Pin{space}(https://www.onsemi.com/pub/Collateral/561AV.PDF),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+36
+36
+Package_QFP
+LQFP-44_10x10mm_P0.8mm
+LQFP,{space}44{space}Pin{space}(https://www.nxp.com/files-static/shared/doc/package_info/98ASS23225W.pdf?&fsrch=1),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+44
+44
+Package_QFP
+LQFP-48-1EP_7x7mm_P0.5mm_EP3.6x3.6mm
+LQFP,{space}48{space}Pin{space}(http://www.analog.com/media/en/technical-documentation/data-sheets/LTC7810.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_qfp_generator.py
+LQFP{space}QFP
+0
+58
+49
+Package_QFP
+LQFP-48-1EP_7x7mm_P0.5mm_EP3.6x3.6mm_ThermalVias
+LQFP,{space}48{space}Pin{space}(http://www.analog.com/media/en/technical-documentation/data-sheets/LTC7810.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_qfp_generator.py
+LQFP{space}QFP
+0
+75
+49
+Package_QFP
+LQFP-48_7x7mm_P0.5mm
+LQFP,{space}48{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/ltc2358-16.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+48
+48
+Package_QFP
+LQFP-52-1EP_10x10mm_P0.65mm_EP4.8x4.8mm
+LQFP,{space}52{space}Pin{space}(https://www.onsemi.com/pub/Collateral/848H-01.PDF),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+62
+53
+Package_QFP
+LQFP-52-1EP_10x10mm_P0.65mm_EP4.8x4.8mm_ThermalVias
+LQFP,{space}52{space}Pin{space}(https://www.onsemi.com/pub/Collateral/848H-01.PDF),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+95
+53
+Package_QFP
+LQFP-52_10x10mm_P0.65mm
+LQFP,{space}52{space}Pin{space}(https://www.nxp.com/docs/en/package-information/98ARL10526D.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+52
+52
+Package_QFP
+LQFP-52_14x14mm_P1mm
+LQFP,{space}52{space}Pin{space}(http://www.holtek.com/documents/10179/116711/HT1632Cv170.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+52
+52
+Package_QFP
+LQFP-64-1EP_10x10mm_P0.5mm_EP6.5x6.5mm
+LQFP,{space}64{space}Pin{space}(https://www.nxp.com/files-static/shared/doc/package_info/98ARH98426A.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+90
+65
+Package_QFP
+LQFP-64-1EP_10x10mm_P0.5mm_EP6.5x6.5mm_ThermalVias
+LQFP,{space}64{space}Pin{space}(https://www.nxp.com/files-static/shared/doc/package_info/98ARH98426A.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+127
+65
+Package_QFP
+LQFP-64_7x7mm_P0.4mm
+LQFP,{space}64{space}Pin{space}(https://www.nxp.com/docs/en/package-information/SOT414-1.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+64
+64
+Package_QFP
+LQFP-64_10x10mm_P0.5mm
+LQFP,{space}64{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/ad7606_7606-6_7606-4.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+64
+64
+Package_QFP
+LQFP-64_14x14mm_P0.8mm
+LQFP,{space}64{space}Pin{space}(https://www.nxp.com/docs/en/package-information/SOT791-1.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+64
+64
+Package_QFP
+LQFP-80_10x10mm_P0.4mm
+LQFP,{space}80{space}Pin{space}(https://www.renesas.com/eu/en/package-image/pdf/outdrawing/q80.10x10.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+80
+80
+Package_QFP
+LQFP-80_12x12mm_P0.5mm
+LQFP,{space}80{space}Pin{space}(https://www.nxp.com/docs/en/package-information/SOT315-1.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+80
+80
+Package_QFP
+LQFP-80_14x14mm_P0.65mm
+LQFP,{space}80{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/AD9852.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+80
+80
+Package_QFP
+LQFP-100_14x14mm_P0.5mm
+LQFP,{space}100{space}Pin{space}(https://www.nxp.com/docs/en/package-information/SOT407-1.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+100
+100
+Package_QFP
+LQFP-128_14x14mm_P0.4mm
+LQFP,{space}128{space}Pin{space}(https://www.renesas.com/eu/en/package-image/pdf/outdrawing/q128.14x14.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+128
+128
+Package_QFP
+LQFP-128_14x20mm_P0.5mm
+LQFP,{space}128{space}Pin{space}(https://www.nxp.com/docs/en/package-information/SOT425-1.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+128
+128
+Package_QFP
+LQFP-144_20x20mm_P0.5mm
+LQFP,{space}144{space}Pin{space}(http://ww1.microchip.com/downloads/en/PackagingSpec/00000049BQ.pdf#page=425),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+144
+144
+Package_QFP
+LQFP-160_24x24mm_P0.5mm
+LQFP,{space}160{space}Pin{space}(https://www.nxp.com/docs/en/package-information/SOT435-1.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+160
+160
+Package_QFP
+LQFP-176_20x20mm_P0.4mm
+LQFP,{space}176{space}Pin{space}(https://www.onsemi.com/pub/Collateral/566DB.PDF),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+176
+176
+Package_QFP
+LQFP-176_24x24mm_P0.5mm
+LQFP,{space}176{space}Pin{space}(https://www.st.com/resource/en/datasheet/stm32f207vg.pdf#page=163),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+176
+176
+Package_QFP
+LQFP-208_28x28mm_P0.5mm
+LQFP,{space}208{space}Pin{space}(https://www.nxp.com/docs/en/package-information/SOT459-1.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+208
+208
+Package_QFP
+LQFP-216_24x24mm_P0.4mm
+LQFP,{space}216{space}Pin{space}(https://www.onsemi.com/pub/Collateral/561BE.PDF),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+LQFP{space}QFP
+0
+216
+216
+Package_QFP
+MQFP-44_10x10mm_P0.8mm
+MQFP,{space}44{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/ad7722.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+MQFP{space}QFP
+0
+44
+44
+Package_QFP
+PQFP-44_10x10mm_P0.8mm
+44-Lead{space}Plastic{space}Quad{space}Flatpack{space}-{space}10x10x2.5mm{space}Body{space}(http://www.onsemi.com/pub/Collateral/122BK.PDF)
+PQFP{space}0.8
+0
+44
+44
+Package_QFP
+PQFP-80_14x20mm_P0.8mm
+PQFP80{space}14x20{space}/{space}QIP80E{space}CASE{space}122BS{space}(see{space}ON{space}Semiconductor{space}122BS.PDF)
+QFP{space}0.8
+0
+80
+80
+Package_QFP
+PQFP-100_14x20mm_P0.65mm
+PQFP,{space}100{space}Pin{space}(http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_qfp_generator.py
+PQFP{space}QFP
+0
+100
+100
+Package_QFP
+PQFP-112_20x20mm_P0.65mm
+PQFP,{space}112{space}pins,{space}20mm{space}sq{space}body,{space}0.65mm{space}pitch{space}(http://cache.freescale.com/files/shared/doc/package_info/98ASS23330W.pdf,{space}http://www.nxp.com/docs/en/application-note/AN4388.pdf)
+PQFP{space}112
+0
+112
+112
+Package_QFP
+PQFP-132_24x24mm_P0.635mm
+PQFP,{space}132{space}pins,{space}24mm{space}sq{space}body,{space}0.635mm{space}pitch{space}(https://www.intel.com/content/dam/www/public/us/en/documents/packaging-databooks/packaging-chapter-02-databook.pdf,{space}http://www.nxp.com/docs/en/application-note/AN4388.pdf)
+PQFP{space}132
+0
+132
+132
+Package_QFP
+PQFP-132_24x24mm_P0.635mm_i386
+PQFP,{space}132{space}pins,{space}24mm{space}sq{space}body,{space}0.635mm{space}pitch,{space}Intel{space}386EX{space}(https://www.intel.com/content/dam/www/public/us/en/documents/packaging-databooks/packaging-chapter-02-databook.pdf,{space}http://www.nxp.com/docs/en/application-note/AN4388.pdf)
+PQFP{space}132{space}Intel{space}386EX
+0
+132
+132
+Package_QFP
+PQFP-144_28x28mm_P0.65mm
+PQFP,{space}144{space}Pin{space}(http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_qfp_generator.py
+PQFP{space}QFP
+0
+144
+144
+Package_QFP
+PQFP-160_28x28mm_P0.65mm
+PQFP,{space}160{space}Pin{space}(http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_qfp_generator.py
+PQFP{space}QFP
+0
+160
+160
+Package_QFP
+PQFP-208_28x28mm_P0.5mm
+PQFP,{space}208{space}Pin{space}(http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_qfp_generator.py
+PQFP{space}QFP
+0
+208
+208
+Package_QFP
+PQFP-240_32.1x32.1mm_P0.5mm
+PQFP,{space}240{space}Pin{space}(http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_qfp_generator.py
+PQFP{space}QFP
+0
+240
+240
+Package_QFP
+PQFP-256_28x28mm_P0.4mm
+PQFP256{space}28x28{space}/{space}QFP256J{space}CASE{space}122BX{space}(see{space}ON{space}Semiconductor{space}122BX.PDF)
+QFP{space}0.4
+0
+256
+256
+Package_QFP
+TQFP-32_7x7mm_P0.8mm
+32-Lead{space}Plastic{space}Thin{space}Quad{space}Flatpack{space}(PT){space}-{space}7x7x1.0{space}mm{space}Body,{space}2.00{space}mm{space}[TQFP]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+QFP{space}0.8
+0
+32
+32
+Package_QFP
+TQFP-44-1EP_10x10mm_P0.8mm_EP4.5x4.5mm
+44-Lead{space}Plastic{space}Thin{space}Quad{space}Flatpack{space}(MW){space}-{space}10x10x1.0{space}mm{space}Body{space}[TQFP]{space}With{space}4.5x4.5{space}mm{space}Exposed{space}Pad{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+QFP{space}0.8
+0
+54
+45
+Package_QFP
+TQFP-44_10x10mm_P0.8mm
+44-Lead{space}Plastic{space}Thin{space}Quad{space}Flatpack{space}(PT){space}-{space}10x10x1.0{space}mm{space}Body{space}[TQFP]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+QFP{space}0.8
+0
+44
+44
+Package_QFP
+TQFP-48-1EP_7x7mm_P0.5mm_EP3.5x3.5mm
+48-Lead{space}Thin{space}Quad{space}Flatpack{space}(PT){space}-{space}7x7x1.0{space}mm{space}Body{space}[TQFP]{space}With{space}Exposed{space}Pad{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+QFP{space}0.5
+0
+53
+49
+Package_QFP
+TQFP-48-1EP_7x7mm_P0.5mm_EP5x5mm
+TQFP,{space}48{space}Pin{space}(https://www.trinamic.com/fileadmin/assets/Products/ICs_Documents/TMC2100_datasheet_Rev1.08.pdf{space}(page{space}45)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_qfp_generator.py
+TQFP{space}QFP
+0
+65
+49
+Package_QFP
+TQFP-48-1EP_7x7mm_P0.5mm_EP5x5mm_ThermalVias
+TQFP,{space}48{space}Pin{space}(https://www.trinamic.com/fileadmin/assets/Products/ICs_Documents/TMC2100_datasheet_Rev1.08.pdf{space}(page{space}45)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_qfp_generator.py
+TQFP{space}QFP
+0
+91
+49
+Package_QFP
+TQFP-48_7x7mm_P0.5mm
+48{space}LEAD{space}TQFP{space}7x7mm{space}(see{space}MICREL{space}TQFP7x7-48LD-PL-1.pdf)
+QFP{space}0.5
+0
+48
+48
+Package_QFP
+TQFP-52-1EP_10x10mm_P0.65mm_EP6.5x6.5mm
+TQFP,{space}52{space}Pin{space}(http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/tqfp_edsv/sv_52_1.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_qfp_generator.py
+TQFP{space}QFP
+0
+78
+53
+Package_QFP
+TQFP-52-1EP_10x10mm_P0.65mm_EP6.5x6.5mm_ThermalVias
+TQFP,{space}52{space}Pin{space}(http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/tqfp_edsv/sv_52_1.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_qfp_generator.py
+TQFP{space}QFP
+0
+79
+53
+Package_QFP
+TQFP-64-1EP_10x10mm_P0.5mm_EP8x8mm
+64-Lead{space}Plastic{space}Thin{space}Quad{space}Flatpack{space}(PT){space}-{space}10x10x1{space}mm{space}Body,{space}2.00{space}mm{space}Footprint{space}[TQFP]{space}thermal{space}pad
+QFP{space}0.5{space}
+0
+90
+65
+Package_QFP
+TQFP-64_7x7mm_P0.4mm
+TQFP64{space}7x7,{space}0.4P{space}CASE{space}932BH{space}(see{space}ON{space}Semiconductor{space}932BH.PDF)
+QFP{space}0.4
+0
+64
+64
+Package_QFP
+TQFP-64_10x10mm_P0.5mm
+TQFP,{space}64{space}Pin{space}(http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_qfp_generator.py
+TQFP{space}QFP
+0
+64
+64
+Package_QFP
+TQFP-64_14x14mm_P0.8mm
+64-Lead{space}Plastic{space}Thin{space}Quad{space}Flatpack{space}(PF){space}-{space}14x14x1{space}mm{space}Body,{space}2.00{space}mm{space}[TQFP]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+QFP{space}0.8
+0
+64
+64
+Package_QFP
+TQFP-80-1EP_14x14mm_P0.65mm_EP9.5x9.5mm
+80-Lead{space}Plastic{space}Thin{space}Quad{space}Flatpack{space}(PF){space}-{space}14x14mm{space}body,{space}9.5mm{space}sq{space}thermal{space}pad{space}(http://www.analog.com/media/en/technical-documentation/data-sheets/AD9852.pdf)
+QFP{space}0.65
+0
+85
+81
+Package_QFP
+TQFP-80_12x12mm_P0.5mm
+80-Lead{space}Plastic{space}Thin{space}Quad{space}Flatpack{space}(PT){space}-{space}12x12x1{space}mm{space}Body,{space}2.00{space}mm{space}[TQFP]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+QFP{space}0.5
+0
+80
+80
+Package_QFP
+TQFP-80_14x14mm_P0.65mm
+80-Lead{space}Plastic{space}Thin{space}Quad{space}Flatpack{space}(PF){space}-{space}14x14x1{space}mm{space}Body,{space}2.00{space}mm{space}[TQFP]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+QFP{space}0.65
+0
+80
+80
+Package_QFP
+TQFP-100-1EP_14x14mm_P0.5mm_EP5x5mm
+TQFP,{space}100{space}Pin{space}(https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/tqfp_edsv/sv_100_4.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+TQFP{space}QFP
+0
+117
+101
+Package_QFP
+TQFP-100-1EP_14x14mm_P0.5mm_EP5x5mm_ThermalVias
+TQFP,{space}100{space}Pin{space}(https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/tqfp_edsv/sv_100_4.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+TQFP{space}QFP
+0
+143
+101
+Package_QFP
+TQFP-100_12x12mm_P0.4mm
+100-Lead{space}Plastic{space}Thin{space}Quad{space}Flatpack{space}(PT){space}-{space}12x12x1{space}mm{space}Body,{space}2.00{space}mm{space}[TQFP]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+QFP{space}0.4
+0
+100
+100
+Package_QFP
+TQFP-100_14x14mm_P0.5mm
+TQFP,{space}100{space}Pin{space}(http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_qfp_generator.py
+TQFP{space}QFP
+0
+100
+100
+Package_QFP
+TQFP-120_14x14mm_P0.4mm
+TQFP120{space}14x14{space}/{space}TQFP120{space}CASE{space}932AZ{space}(see{space}ON{space}Semiconductor{space}932AZ.PDF)
+QFP{space}0.4
+0
+120
+120
+Package_QFP
+TQFP-128_14x14mm_P0.4mm
+TQFP128{space}14x14{space}/{space}TQFP128{space}CASE{space}932BB{space}(see{space}ON{space}Semiconductor{space}932BB.PDF)
+QFP{space}0.4
+0
+128
+128
+Package_QFP
+TQFP-144_16x16mm_P0.4mm
+144-Lead{space}Plastic{space}Thin{space}Quad{space}Flatpack{space}(PH){space}-{space}16x16x1{space}mm{space}Body,{space}2.00{space}mm{space}Footprint{space}[TQFP]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+QFP{space}0.4
+0
+144
+144
+Package_QFP
+TQFP-144_20x20mm_P0.5mm
+TQFP,{space}144{space}Pin{space}(http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_qfp_generator.py
+TQFP{space}QFP
+0
+144
+144
+Package_QFP
+TQFP-176_24x24mm_P0.5mm
+TQFP,{space}176{space}Pin{space}(http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_qfp_generator.py
+TQFP{space}QFP
+0
+176
+176
+Package_QFP
+VQFP-80_14x14mm_P0.65mm
+VQFP,{space}80{space}Pin{space}(http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_qfp_generator.py
+VQFP{space}QFP
+0
+80
+80
+Package_QFP
+VQFP-100_14x14mm_P0.5mm
+VQFP,{space}100{space}Pin{space}(http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_qfp_generator.py
+VQFP{space}QFP
+0
+100
+100
+Package_QFP
+VQFP-128_14x14mm_P0.4mm
+VQFP,{space}128{space}Pin{space}(http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_qfp_generator.py
+VQFP{space}QFP
+0
+128
+128
+Package_QFP
+VQFP-176_20x20mm_P0.4mm
+VQFP,{space}176{space}Pin{space}(http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_qfp_generator.py
+VQFP{space}QFP
+0
+176
+176
+Package_SIP
+PowerIntegrations_eSIP-7C
+eSIP-7C{space}Vertical{space}Flat{space}Package{space}with{space}Heatsink{space}Tab,{space}https://ac-dc.power.com/sites/default/files/product-docs/topswitch-jx_family_datasheet.pdf
+Power{space}Integrations{space}E{space}Package
+0
+6
+6
+Package_SIP
+PowerIntegrations_eSIP-7F
+eSIP-7F{space}Flat{space}Package{space}with{space}Heatsink{space}Tab{space}https://ac-dc.power.com/sites/default/files/product-docs/linkswitch-ph_family_datasheet.pdf
+Power{space}Integrations{space}L{space}Package
+0
+6
+6
+Package_SIP
+SIP-8_19x3mm_P2.54mm
+SIP{space}8-pin{space}(http://www.njr.com/semicon/PDF/package/SIP8_E.pdf)
+SIP8
+0
+8
+8
+Package_SIP
+SIP-9_21.54x3mm_P2.54mm
+SIP{space}9-pin{space}()
+SIP8
+0
+9
+9
+Package_SIP
+SIP3_11.6x8.5mm
+RECOM,R78EXX,https://www.recom-power.com/pdf/Innoline/R-78Exx-0.5.pdf
+SIP3{space}Regulator{space}Module
+0
+3
+3
+Package_SIP
+SIP4_Sharp-SSR_P7.62mm_Angled
+SIP4{space}Footprint{space}for{space}SSR{space}made{space}by{space}Sharp
+Solid{space}State{space}relais{space}SSR{space}Sharp
+0
+4
+4
+Package_SIP
+SIP4_Sharp-SSR_P7.62mm_Angled_NoHole
+SIP4{space}Footprint{space}for{space}SSR{space}made{space}by{space}Sharp
+Solid{space}State{space}relais{space}SSR{space}Sharp
+0
+4
+4
+Package_SIP
+SIP4_Sharp-SSR_P7.62mm_Straight
+SIP4{space}Footprint{space}for{space}SSR{space}made{space}by{space}Sharp
+Solid{space}State{space}relais{space}SSR{space}Sharp
+0
+4
+4
+Package_SIP
+SIP9_Housing
+SIP9
+SIP9
+0
+9
+9
+Package_SIP
+SIP9_Housing_BigPads
+SIP9,{space}large{space}pads
+SIP9
+0
+9
+9
+Package_SIP
+SLA704XM
+SIP{space}SLA704XM{space}(http://www.sumzi.com/upload/files/2007/07/2007073114282034189.PDF)
+SIP
+0
+18
+18
+Package_SIP
+STK672-040-E
+SIP-22{space}(http://www.onsemi.com/pub_link/Collateral/EN5227-D.PDF)
+SIP-22
+0
+22
+22
+Package_SIP
+STK672-080-E
+SIP-15{space}(http://www.onsemi.com/pub_link/Collateral/EN6507-D.PDF)
+SIP-15
+0
+15
+15
+Package_SIP
+Sanyo_STK4xx-15_59.2x8.0mm_P2.54mm
+Sanyo{space}SIP-15,{space}59.2mm{space}x{space}8.0mm{space}bosy{space}size,{space}STK-433E{space}STK-435E{space}STK-436E{space}(http://datasheet.octopart.com/STK430-Sanyo-datasheet-107060.pdf)
+Sanyo{space}SIP-15
+0
+15
+15
+Package_SIP
+Sanyo_STK4xx-15_78.0x8.0mm_P2.54mm
+Sanyo{space}SIP-15,{space}78.0mm{space}x{space}8.0mm{space}bosy{space}size,{space}STK-437E{space}STK-439E{space}STK-441E{space}STK-443E{space}(http://datasheet.octopart.com/STK430-Sanyo-datasheet-107060.pdf)
+Sanyo{space}SIP-15
+0
+15
+15
+Package_SO
+Diodes_PSOP-8
+8-Lead{space}Plastic{space}PSOP,{space}Exposed{space}Die{space}Pad{space}(see{space}https://www.diodes.com/assets/Datasheets/AP2204.pdf)
+SSOP{space}0.50{space}exposed{space}pad
+0
+12
+9
+Package_SO
+Diodes_SO-8EP
+8-Lead{space}Plastic{space}SO,{space}Exposed{space}Die{space}Pad{space}(see{space}https://www.diodes.com/assets/Package-Files/SO-8EP.pdf)
+SO{space}exposed{space}pad
+0
+9
+9
+Package_SO
+ETSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP3x4.2mm
+20-Lead{space}Plastic{space}Thin{space}Shrink{space}Small{space}Outline{space}(ST)-4.4{space}mm{space}Body{space}with{space}Exposed{space}Pad{space}[eTSSOP]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+SSOP{space}0.65
+0
+27
+21
+Package_SO
+HSOP-8-1EP_3.9x4.9mm_P1.27mm_EP2.41x3.1mm
+HSOP,{space}8{space}Pin{space}(https://www.st.com/resource/en/datasheet/l5973d.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+HSOP{space}SO
+0
+13
+9
+Package_SO
+HSOP-8-1EP_3.9x4.9mm_P1.27mm_EP2.41x3.1mm_ThermalVias
+HSOP,{space}8{space}Pin{space}(https://www.st.com/resource/en/datasheet/l5973d.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+HSOP{space}SO
+0
+20
+9
+Package_SO
+HSOP-20-1EP_11.0x15.9mm_P1.27mm_SlugDown
+HSOP{space}11.0x15.9mm{space}Pitch{space}1.27mm{space}Slug{space}Down{space}(PowerSO-20){space}[JEDEC{space}MO-166]{space}(http://www.st.com/resource/en/datasheet/tda7266d.pdf,{space}www.st.com/resource/en/application_note/cd00003801.pdf)
+HSOP{space}11.0{space}x{space}15.9mm{space}Pitch{space}1.27mm
+0
+23
+21
+Package_SO
+HSOP-20-1EP_11.0x15.9mm_P1.27mm_SlugDown_ThermalVias
+HSOP{space}11.0x15.9mm{space}Pitch{space}1.27mm{space}Slug{space}Down{space}Thermal{space}Vias{space}(PowerSO-20){space}[JEDEC{space}MO-166]{space}(http://www.st.com/resource/en/datasheet/tda7266d.pdf,{space}www.st.com/resource/en/application_note/cd00003801.pdf)
+HSOP{space}11.0{space}x{space}15.9mm{space}Pitch{space}1.27mm
+0
+45
+21
+Package_SO
+HSOP-20-1EP_11.0x15.9mm_P1.27mm_SlugUp
+HSOP{space}11.0x15.9mm{space}Pitch{space}1.27mm{space}Slug{space}Up{space}(PowerSO-20){space}[JEDEC{space}MO-166]{space}(http://www.st.com/resource/en/datasheet/tda7266d.pdf,{space}www.st.com/resource/en/application_note/cd00003801.pdf)
+HSOP{space}11.0{space}x{space}15.9mm{space}Pitch{space}1.27mm
+0
+20
+20
+Package_SO
+HSOP-36-1EP_11.0x15.9mm_P0.65mm_SlugDown
+HSOP{space}11.0x15.9mm{space}Pitch{space}0.65mm{space}Slug{space}Down{space}(PowerSO-36){space}[JEDEC{space}MO-166]{space}(http://www.st.com/resource/en/datasheet/vn808cm-32-e.pdf,{space}http://www.st.com/resource/en/application_note/cd00003801.pdf)
+HSOP{space}11.0{space}x{space}15.9mm{space}Pitch{space}0.65mm
+0
+39
+37
+Package_SO
+HSOP-36-1EP_11.0x15.9mm_P0.65mm_SlugDown_ThermalVias
+HSOP{space}11.0x15.9mm{space}Pitch{space}0.65mm{space}Slug{space}Down{space}Thermal{space}Vias{space}(PowerSO-36){space}[JEDEC{space}MO-166]{space}(http://www.st.com/resource/en/datasheet/vn808cm-32-e.pdf,{space}http://www.st.com/resource/en/application_note/cd00003801.pdf)
+HSOP{space}11.0{space}x{space}15.9mm{space}Pitch{space}0.65mm
+0
+61
+37
+Package_SO
+HSOP-36-1EP_11.0x15.9mm_P0.65mm_SlugUp
+HSOP{space}11.0x15.9mm{space}Pitch{space}0.65mm{space}Slug{space}Up{space}(PowerSO-36){space}[JEDEC{space}MO-166]{space}(http://www.st.com/resource/en/datasheet/vn808cm-32-e.pdf,{space}http://www.st.com/resource/en/application_note/cd00003801.pdf)
+HSOP{space}11.0{space}x{space}15.9mm{space}Pitch{space}0.65mm
+0
+36
+36
+Package_SO
+HTSOP-8-1EP_3.9x4.9mm_P1.27mm_EP2.4x3.2mm
+HTSOP,{space}8{space}Pin{space}(https://media.digikey.com/pdf/Data%20Sheets/Rohm%20PDFs/BD9G341EFJ.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+HTSOP{space}SO
+0
+13
+9
+Package_SO
+HTSOP-8-1EP_3.9x4.9mm_P1.27mm_EP2.4x3.2mm_ThermalVias
+HTSOP,{space}8{space}Pin{space}(https://media.digikey.com/pdf/Data%20Sheets/Rohm%20PDFs/BD9G341EFJ.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+HTSOP{space}SO
+0
+20
+9
+Package_SO
+HTSSOP-16-1EP_4.4x5mm_P0.65mm_EP3.4x5mm
+16-Lead{space}Plastic{space}HTSSOP{space}(4.4x5x1.2mm);{space}Thermal{space}pad;{space}(http://www.ti.com/lit/ds/symlink/drv8833.pdf)
+SSOP{space}0.65
+0
+29
+17
+Package_SO
+HTSSOP-16-1EP_4.4x5mm_P0.65mm_EP3.4x5mm_Mask2.46x2.31mm_ThermalVias
+16-Lead{space}Plastic{space}HTSSOP{space}(4.4x5x1.2mm);{space}Thermal{space}pad{space}with{space}vias;{space}(http://www.ti.com/lit/ds/symlink/drv8833.pdf)
+SSOP{space}0.65
+0
+27
+17
+Package_SO
+HTSSOP-16-1EP_4.4x5mm_P0.65mm_EP3.4x5mm_Mask3x3mm_ThermalVias
+16-Lead{space}Plastic{space}HTSSOP{space}(4.4x5x1.2mm);{space}Thermal{space}pad{space}with{space}vias;{space}(http://www.ti.com/lit/ds/symlink/drv8800.pdf)
+SSOP{space}0.65
+0
+46
+17
+Package_SO
+HTSSOP-16-1EP_4.4x5mm_P0.65mm_EP3x3mm
+HTSSOP,{space}16{space}Pin{space}(https://www.st.com/resource/en/datasheet/stp08cp05.pdf#page=20),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+HTSSOP{space}SO
+0
+21
+17
+Package_SO
+HTSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP3.4x6.5mm
+20-Lead{space}Plastic{space}Thin{space}Shrink{space}Small{space}Outline{space}(ST)-4.4{space}mm{space}Body{space}[HTSSOP],{space}with{space}thermal{space}pad{space}with{space}vias
+HTSSOP{space}0.65
+0
+29
+21
+Package_SO
+HTSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP3.4x6.5mm_Mask2.4x3.7mm
+HTSSOP,{space}20{space}Pin{space}(http://www.ti.com/lit/ds/symlink/bq24006.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+HTSSOP{space}SO
+0
+24
+21
+Package_SO
+HTSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP3.4x6.5mm_Mask2.75x3.43mm
+HTSSOP,{space}20{space}Pin{space}(http://www.ti.com/lit/ds/symlink/tlc5971.pdf#page=37&zoom=160,-90,3),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+HTSSOP{space}SO
+0
+26
+21
+Package_SO
+HTSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP3.4x6.5mm_Mask2.75x3.43mm_ThermalVias
+HTSSOP,{space}20{space}Pin{space}(http://www.ti.com/lit/ds/symlink/tlc5971.pdf#page=37&zoom=160,-90,3),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+HTSSOP{space}SO
+0
+42
+21
+Package_SO
+HTSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP3.4x6.5mm_Mask2.75x3.43mm_ThermalVias_HandSolder
+HTSSOP,{space}20{space}Pin{space}(http://www.ti.com/lit/ds/symlink/tlc5971.pdf#page=37&zoom=160,-90,3),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+HTSSOP{space}SO
+0
+42
+21
+Package_SO
+HTSSOP-20-1EP_4.4x6.5mm_P0.65mm_EP3.4x6.5mm_ThermalVias
+20-Lead{space}Plastic{space}Thin{space}Shrink{space}Small{space}Outline{space}(ST)-4.4{space}mm{space}Body{space}[HTSSOP],{space}with{space}thermal{space}pad{space}with{space}vias
+HTSSOP{space}0.65
+0
+45
+21
+Package_SO
+HTSSOP-24-1EP_4.4x7.8mm_P0.65mm_EP3.2x5mm
+HTSSOP,{space}24{space}Pin{space}(https://www.st.com/resource/en/datasheet/stp16cp05.pdf#page=25),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+HTSSOP{space}SO
+0
+31
+25
+Package_SO
+HTSSOP-24-1EP_4.4x7.8mm_P0.65mm_EP3.4x7.8mm_Mask2.4x4.68mm
+HTSSOP,{space}24{space}Pin{space}(http://www.ti.com/lit/ds/symlink/tps703.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+HTSSOP{space}SO
+0
+28
+25
+Package_SO
+HTSSOP-24-1EP_4.4x7.8mm_P0.65mm_EP3.4x7.8mm_Mask2.4x4.68mm_ThermalVias
+HTSSOP,{space}24{space}Pin{space}(http://www.ti.com/lit/ds/symlink/tps703.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+HTSSOP{space}SO
+0
+47
+25
+Package_SO
+HTSSOP-28-1EP_4.4x9.7mm_P0.65mm_EP3.4x9.5mm
+HTSSOP28:{space}plastic{space}thin{space}shrink{space}small{space}outline{space}package;{space}28{space}leads;{space}body{space}width{space}4.4{space}mm;{space}thermal{space}pad
+TSSOP{space}HTSSOP{space}0.65{space}thermal{space}pad
+0
+39
+29
+Package_SO
+HTSSOP-28-1EP_4.4x9.7mm_P0.65mm_EP3.4x9.5mm_Mask2.4x6.17mm
+HTSSOP28:{space}plastic{space}thin{space}shrink{space}small{space}outline{space}package;{space}28{space}leads;{space}body{space}width{space}4.4{space}mm;{space}thermal{space}pad
+TSSOP{space}HTSSOP{space}0.65{space}thermal{space}pad
+0
+40
+29
+Package_SO
+HTSSOP-28-1EP_4.4x9.7mm_P0.65mm_EP3.4x9.5mm_Mask2.4x6.17mm_ThermalVias
+HTSSOP28:{space}plastic{space}thin{space}shrink{space}small{space}outline{space}package;{space}28{space}leads;{space}body{space}width{space}4.4{space}mm;{space}thermal{space}pad
+TSSOP{space}HTSSOP{space}0.65{space}thermal{space}pad
+0
+55
+29
+Package_SO
+HTSSOP-28-1EP_4.4x9.7mm_P0.65mm_EP3.4x9.5mm_ThermalVias
+HTSSOP28:{space}plastic{space}thin{space}shrink{space}small{space}outline{space}package;{space}28{space}leads;{space}body{space}width{space}4.4{space}mm;{space}thermal{space}pad
+TSSOP{space}HTSSOP{space}0.65{space}thermal{space}pad
+0
+58
+29
+Package_SO
+HTSSOP-32-1EP_6.1x11mm_P0.65mm_EP5.2x11mm_Mask4.11x4.36mm
+HTSSOP32:{space}plastic{space}thin{space}shrink{space}small{space}outline{space}package;{space}32{space}leads;{space}body{space}width{space}6.1{space}mm;{space}lead{space}pitch{space}0.65{space}mm{space}(see{space}NXP{space}SSOP-TSSOP-VSO-REFLOW.pdf{space}and{space}sot487-1_po.pdf)
+SSOP{space}0.65{space}PowerPAD
+0
+43
+33
+Package_SO
+HTSSOP-32-1EP_6.1x11mm_P0.65mm_EP5.2x11mm_Mask4.11x4.36mm_ThermalVias
+HTSSOP32:{space}plastic{space}thin{space}shrink{space}small{space}outline{space}package;{space}32{space}leads;{space}body{space}width{space}6.1{space}mm;{space}lead{space}pitch{space}0.65{space}mm{space}(see{space}NXP{space}SSOP-TSSOP-VSO-REFLOW.pdf{space}and{space}sot487-1_po.pdf)
+SSOP{space}0.65{space}PowerPAD
+0
+83
+33
+Package_SO
+HTSSOP-38-1EP_6.1x12.5mm_P0.65mm_EP5.2x12.5mm_Mask3.39x6.35mm
+HTSSOP,{space}38{space}Pin{space}(http://www.ti.com/lit/ds/symlink/tlc5951.pdf#page=47&zoom=140,-67,15),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+HTSSOP{space}SO
+0
+46
+39
+Package_SO
+HTSSOP-38-1EP_6.1x12.5mm_P0.65mm_EP5.2x12.5mm_Mask3.39x6.35mm_ThermalVias
+HTSSOP,{space}38{space}Pin{space}(http://www.ti.com/lit/ds/symlink/tlc5951.pdf#page=47&zoom=140,-67,15),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+HTSSOP{space}SO
+0
+87
+39
+Package_SO
+HTSSOP-56-1EP_6.1x14mm_P0.5mm_EP3.61x6.35mm
+HTSSOP56:{space}plastic{space}thin{space}shrink{space}small{space}outline{space}package{space}http://www.ti.com/lit/ds/symlink/drv8301.pdf
+HTSSOP{space}0.5
+0
+72
+57
+Package_SO
+Infineon_PG-DSO-8-43
+Infineon_PG-DSO-8-43
+DSO{space}DSO-8{space}SOIC{space}SOIC-8
+0
+12
+9
+Package_SO
+Infineon_PG-DSO-12-9
+Infineon{space}PG-DSO{space}12{space}pin,{space}exposed{space}pad:{space}4.5x8.1mm,{space}with{space}thermal{space}vias{space}(https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-12-9/)
+PG-DSO
+0
+23
+13
+Package_SO
+Infineon_PG-DSO-12-9_ThermalVias
+Infineon{space}PG-DSO{space}12{space}pin,{space}exposed{space}pad:{space}4.5x8.1mm,{space}with{space}thermal{space}vias{space}(https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-12-9/)
+PG-DSO
+0
+42
+13
+Package_SO
+Infineon_PG-DSO-12-11
+Infineon{space}PG-DSO{space}12{space}pin,{space}exposed{space}pad:{space}4.5x8.1mm,{space}with{space}thermal{space}vias{space}(https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-12-11/)
+PG-DSO
+0
+23
+13
+Package_SO
+Infineon_PG-DSO-12-11_ThermalVias
+Infineon{space}PG-DSO{space}12{space}pin,{space}exposed{space}pad:{space}4.5x8.1mm,{space}with{space}thermal{space}vias{space}(https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-12-11/)
+PG-DSO
+0
+42
+13
+Package_SO
+Infineon_PG-DSO-20-30
+Infineon{space}SO{space}package{space}20pin,{space}exposed{space}pad{space}4.5x7mm{space}(https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-20-71/)
+DSO-20
+0
+31
+21
+Package_SO
+Infineon_PG-DSO-20-30_ThermalVias
+Infineon{space}SO{space}package{space}20pin,{space}exposed{space}pad{space}4.5x7mm{space}(https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-20-71/)
+DSO-20
+0
+45
+21
+Package_SO
+Infineon_PG-DSO-20-32
+Infineon{space}SO{space}package{space}20pin{space}without{space}exposed{space}pad{space}(https://www.infineon.com/cms/en/product/packages/PG-DSO/PG-DSO-20-32/)
+DSO-20
+0
+20
+20
+Package_SO
+Infineon_PG-TSDSO-14-22
+Infineon_PG-TSDSO-14-22
+Infineon{space}TSDSO{space}14-22{space}
+0
+17
+15
+Package_SO
+Linear_MSOP-12-16-1EP_3x4mm_P0.5mm
+12-Lead{space}Plastic{space}Micro{space}Small{space}Outline{space}Package{space}(MS){space}[MSOP],{space}variant{space}of{space}MSOP-16{space}(see{space}http://cds.linear.com/docs/en/datasheet/3630fd.pdf)
+SSOP{space}0.5
+0
+15
+13
+Package_SO
+Linear_MSOP-12-16_3x4mm_P0.5mm
+12-Lead{space}Plastic{space}Micro{space}Small{space}Outline{space}Package{space}(MS){space}[MSOP],{space}variant{space}of{space}MSOP-16{space}(see{space}https://www.analog.com/media/en/technical-documentation/data-sheets/3748fb.pdf)
+SSOP{space}0.5
+0
+12
+12
+Package_SO
+MFSOP6-4_4.4x3.6mm_P1.27mm
+https://toshiba.semicon-storage.com/ap-en/design-support/package/detail.4pin%20MFSOP6.html
+MFSOP{space}4{space}pin{space}SMD
+0
+4
+4
+Package_SO
+MFSOP6-5_4.4x3.6mm_P1.27mm
+https://toshiba.semicon-storage.com/ap-en/design-support/package/detail.5pin%20MFSOP6.html
+MFSOP{space}4{space}pin{space}SMD
+0
+5
+5
+Package_SO
+MSOP-8-1EP_3x3mm_P0.65mm_EP1.68x1.88mm
+MS8E{space}Package;{space}8-Lead{space}Plastic{space}MSOP,{space}Exposed{space}Die{space}Pad{space}(see{space}Linear{space}Technology{space}05081662_K_MS8E.pdf)
+SSOP{space}0.65
+0
+13
+9
+Package_SO
+MSOP-8-1EP_3x3mm_P0.65mm_EP1.73x1.85mm
+MSOP,{space}8{space}Pin{space}(http://www.ti.com/lit/ds/symlink/lm25085.pdf#page=32),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+MSOP{space}SO
+0
+13
+9
+Package_SO
+MSOP-8-1EP_3x3mm_P0.65mm_EP1.73x1.85mm_ThermalVias
+MSOP,{space}8{space}Pin{space}(http://www.ti.com/lit/ds/symlink/lm25085.pdf#page=32),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+MSOP{space}SO
+0
+18
+9
+Package_SO
+MSOP-8-1EP_3x3mm_P0.65mm_EP1.95x2.15mm
+MSOP,{space}8{space}Pin{space}(http://www.st.com/resource/en/datasheet/pm8834.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+MSOP{space}SO
+0
+13
+9
+Package_SO
+MSOP-8-1EP_3x3mm_P0.65mm_EP1.95x2.15mm_ThermalVias
+MSOP,{space}8{space}Pin{space}(http://www.st.com/resource/en/datasheet/pm8834.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+MSOP{space}SO
+0
+18
+9
+Package_SO
+MSOP-8-1EP_3x3mm_P0.65mm_EP2.5x3mm_Mask1.73x2.36mm
+MSOP,{space}8{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/mic5355_6.pdf#page=15),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+MSOP{space}SO
+0
+14
+9
+Package_SO
+MSOP-8-1EP_3x3mm_P0.65mm_EP2.5x3mm_Mask1.73x2.36mm_ThermalVias
+MSOP,{space}8{space}Pin{space}(http://ww1.microchip.com/downloads/en/DeviceDoc/mic5355_6.pdf#page=15),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+MSOP{space}SO
+0
+19
+9
+Package_SO
+MSOP-8_3x3mm_P0.65mm
+8-Lead{space}Plastic{space}Micro{space}Small{space}Outline{space}Package{space}(MS){space}[MSOP]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+SSOP{space}0.65
+0
+8
+8
+Package_SO
+MSOP-10-1EP_3x3mm_P0.5mm_EP1.68x1.88mm
+MSOP,{space}10{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/3805fg.pdf#page=18),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+MSOP{space}SO
+0
+15
+11
+Package_SO
+MSOP-10-1EP_3x3mm_P0.5mm_EP1.68x1.88mm_ThermalVias
+MSOP,{space}10{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/3805fg.pdf#page=18),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+MSOP{space}SO
+0
+20
+11
+Package_SO
+MSOP-10-1EP_3x3mm_P0.5mm_EP1.73x1.98mm
+MSOP,{space}10{space}Pin{space}(www.allegromicro.com/~/media/Files/Datasheets/A4952-3-Datasheet.ashx?la=en#page=10),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+MSOP{space}SO
+0
+15
+11
+Package_SO
+MSOP-10-1EP_3x3mm_P0.5mm_EP1.73x1.98mm_ThermalVias
+MSOP,{space}10{space}Pin{space}(www.allegromicro.com/~/media/Files/Datasheets/A4952-3-Datasheet.ashx?la=en#page=10),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+MSOP{space}SO
+0
+20
+11
+Package_SO
+MSOP-10_3x3mm_P0.5mm
+10-Lead{space}Plastic{space}Micro{space}Small{space}Outline{space}Package{space}(MS){space}[MSOP]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+SSOP{space}0.5
+0
+10
+10
+Package_SO
+MSOP-12-1EP_3x4mm_P0.65mm_EP1.65x2.85mm
+MSOP,{space}12{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/3652fe.pdf#page=24),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+MSOP{space}SO
+0
+17
+13
+Package_SO
+MSOP-12-1EP_3x4mm_P0.65mm_EP1.65x2.85mm_ThermalVias
+MSOP,{space}12{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/3652fe.pdf#page=24),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+MSOP{space}SO
+0
+24
+13
+Package_SO
+MSOP-12-16-1EP_3x4mm_P0.5mm_EP1.65x2.85mm
+10-Lead{space}Plastic{space}Micro{space}Small{space}Outline{space}Package{space}(MS){space}[MSOP]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+SSOP{space}0.5
+0
+19
+13
+Package_SO
+MSOP-12-16-1EP_3x4mm_P0.5mm_EP1.65x2.85mm_ThermalVias
+10-Lead{space}Plastic{space}Micro{space}Small{space}Outline{space}Package{space}(MS){space}[MSOP]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+SSOP{space}0.5
+0
+25
+13
+Package_SO
+MSOP-12-16_3x4mm_P0.5mm
+10-Lead{space}Plastic{space}Micro{space}Small{space}Outline{space}Package{space}(MS){space}[MSOP]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+SSOP{space}0.5
+0
+12
+12
+Package_SO
+MSOP-12_3x4mm_P0.65mm
+MSOP,{space}12{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/6957fb.pdf#page=36),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+MSOP{space}SO
+0
+12
+12
+Package_SO
+MSOP-16-1EP_3x4mm_P0.5mm_EP1.65x2.85mm
+MSOP,{space}16{space}Pin{space}(http://cds.linear.com/docs/en/datasheet/37551fd.pdf#page=23),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+MSOP{space}SO
+0
+21
+17
+Package_SO
+MSOP-16-1EP_3x4mm_P0.5mm_EP1.65x2.85mm_ThermalVias
+MSOP,{space}16{space}Pin{space}(http://cds.linear.com/docs/en/datasheet/37551fd.pdf#page=23),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+MSOP{space}SO
+0
+28
+17
+Package_SO
+MSOP-16_3x4mm_P0.5mm
+MSOP,{space}16{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/436412f.pdf#page=22),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+MSOP{space}SO
+0
+16
+16
+Package_SO
+OnSemi_Micro8
+ON{space}Semiconductor{space}Micro8{space}(Case846A-02):{space}https://www.onsemi.com/pub/Collateral/846A-02.PDF
+micro8
+0
+8
+8
+Package_SO
+PSOP-44_16.9x27.17mm_P1.27mm
+PSOP44:{space}plastic{space}thin{space}shrink{space}small{space}outline{space}package;{space}44{space}leads;{space}body{space}width{space}16.90{space}mm
+PSOP{space}1.27
+0
+44
+44
+Package_SO
+PowerIntegrations_SO-8
+Power-Integrations{space}variant{space}of{space}8-Lead{space}Plastic{space}Small{space}Outline{space}(SN){space}-{space}Narrow,{space}3.90{space}mm{space}Body{space}[SOIC],{space}see{space}https://ac-dc.power.com/sites/default/files/product-docs/senzero_family_datasheet.pdf
+SOIC{space}1.27
+0
+8
+8
+Package_SO
+PowerIntegrations_SO-8B
+Power-Integrations{space}variant{space}of{space}8-Lead{space}Plastic{space}Small{space}Outline{space}(SN){space}-{space}Narrow,{space}3.90{space}mm{space}Body{space}[SOIC],{space}see{space}https://www.mouser.com/ds/2/328/linkswitch-pl_family_datasheet-12517.pdf
+SOIC{space}1.27
+0
+7
+7
+Package_SO
+PowerIntegrations_SO-8C
+Power-Integrations{space}variant{space}of{space}8-Lead{space}Plastic{space}Small{space}Outline{space}(SN){space}-{space}Narrow,{space}3.90{space}mm{space}Body{space}[SOIC],{space}see{space}https://www.mouser.com/ds/2/328/linkswitch-pl_family_datasheet-12517.pdf
+SOIC{space}1.27
+0
+7
+7
+Package_SO
+PowerIntegrations_eSOP-12B
+eSOP-12B{space}SMT{space}Flat{space}Package{space}with{space}Heatsink{space}Tab,{space}see{space}https://ac-dc.power.com/sites/default/files/product-docs/topswitch-jx_family_datasheet.pdf
+Power{space}Integrations{space}K{space}Package
+0
+12
+12
+Package_SO
+PowerPAK_SO-8_Dual
+PowerPAK{space}SO-8{space}Dual{space}(https://www.vishay.com/docs/71655/powerpak.pdf,{space}https://www.vishay.com/docs/72600/72600.pdf)
+PowerPAK{space}SO-8{space}Dual
+0
+10
+6
+Package_SO
+PowerPAK_SO-8_Single
+PowerPAK{space}SO-8{space}Single{space}(https://www.vishay.com/docs/71655/powerpak.pdf,{space}https://www.vishay.com/docs/72599/72599.pdf)
+PowerPAK{space}SO-8{space}Single
+0
+9
+5
+Package_SO
+QSOP-16_3.9x4.9mm_P0.635mm
+16-Lead{space}Plastic{space}Shrink{space}Small{space}Outline{space}Narrow{space}Body{space}(QR)-.150"{space}Body{space}[QSOP]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+SSOP{space}0.635
+0
+16
+16
+Package_SO
+QSOP-20_3.9x8.7mm_P0.635mm
+20-Lead{space}Plastic{space}Shrink{space}Small{space}Outline{space}Narrow{space}Body{space}(http://www.analog.com/media/en/technical-documentation/data-sheets/ADuM7640_7641_7642_7643.pdf)
+QSOP{space}0.635
+0
+20
+20
+Package_SO
+QSOP-24_3.9x8.7mm_P0.635mm
+24-Lead{space}Plastic{space}Shrink{space}Small{space}Outline{space}Narrow{space}Body{space}(QR)-.150"{space}Body{space}[QSOP]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049CH.pdf)
+QSOP{space}0.635
+0
+24
+24
+Package_SO
+SO-4_4.4x2.3mm_P1.27mm
+4-Lead{space}Plastic{space}Small{space}Outline{space}(SO),{space}see{space}http://datasheet.octopart.com/OPIA403BTRE-Optek-datasheet-5328560.pdf
+SO{space}SOIC{space}1.27
+0
+4
+4
+Package_SO
+SO-4_4.4x3.6mm_P2.54mm
+4-Lead{space}Plastic{space}Small{space}Outline{space}(SO),{space}see{space}https://www.elpro.org/de/index.php?controller=attachment&id_attachment=339
+SO{space}SOIC{space}2.54
+0
+4
+4
+Package_SO
+SO-4_4.4x3.9mm_P2.54mm
+SO,{space}4{space}Pin{space}(https://toshiba.semicon-storage.com/info/docget.jsp?did=10047&prodName=TLP3123),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SO{space}SO
+0
+4
+4
+Package_SO
+SO-4_4.4x4.3mm_P2.54mm
+4-Lead{space}Plastic{space}Small{space}Outline{space}(SO),{space}see{space}https://docs.broadcom.com/docs/AV02-0173EN
+SO{space}SOIC{space}2.54
+0
+4
+4
+Package_SO
+SO-4_7.6x3.6mm_P2.54mm
+4-Lead{space}Plastic{space}Small{space}Outline{space}(SO){space}(http://www.everlight.com/file/ProductFile/201407061745083848.pdf)
+SO{space}SOIC{space}2.54
+0
+4
+4
+Package_SO
+SO-5_4.4x3.6mm_P1.27mm
+5-Lead{space}Plastic{space}Small{space}Outline{space}(SO),{space}see{space}https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DIPD-Selection-Guide_AV00-0254EN_030617.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430884105675&ssbinary=true
+SO{space}SOIC{space}1.27
+0
+5
+5
+Package_SO
+SO-6L_10x3.84mm_P1.27mm
+6-pin{space}plasic{space}small{space}outline{space}7,5mm{space}long{space}https://toshiba.semicon-storage.com/info/docget.jsp?did=53548&prodName=TLP2770
+SO-6L
+0
+6
+6
+Package_SO
+SO-6_4.4x3.6mm_P1.27mm
+6-Lead{space}Plastic{space}Small{space}Outline{space}(SO),{space}see{space}https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DIPD-Selection-Guide_AV00-0254EN_030617.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430884105675&ssbinary=true
+SO{space}SOIC{space}1.27
+0
+6
+6
+Package_SO
+SO-8_3.9x4.9mm_P1.27mm
+SO,{space}8{space}Pin{space}(https://www.nxp.com/docs/en/data-sheet/PCF8523.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SO{space}SO
+0
+8
+8
+Package_SO
+SO-8_5.3x6.2mm_P1.27mm
+8-Lead{space}Plastic{space}Small{space}Outline,{space}5.3x6.2mm{space}Body{space}(http://www.ti.com.cn/cn/lit/ds/symlink/tl7705a.pdf)
+SOIC{space}1.27
+0
+8
+8
+Package_SO
+SO-20_12.8x7.5mm_P1.27mm
+SO-20,{space}12.8x7.5mm,{space}https://www.nxp.com/docs/en/data-sheet/SA605.pdf
+S0-20{space}
+0
+20
+20
+Package_SO
+SOIC-4_4.55x2.6mm_P1.27mm
+SOIC,{space}4{space}Pin{space}(https://toshiba.semicon-storage.com/info/docget.jsp?did=12884&prodName=TLP291),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOIC{space}SO
+0
+4
+4
+Package_SO
+SOIC-4_4.55x3.7mm_P2.54mm
+SOIC,{space}6{space}Pin{space}(https://toshiba.semicon-storage.com/info/docget.jsp?did=11791&prodName=TLP185),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOIC{space}SO
+0
+4
+4
+Package_SO
+SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.29x3mm
+SOIC,{space}8{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/ada4898-1_4898-2.pdf#page=29),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOIC{space}SO
+0
+13
+9
+Package_SO
+SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.29x3mm_ThermalVias
+SOIC,{space}8{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/ada4898-1_4898-2.pdf#page=29),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOIC{space}SO
+0
+20
+9
+Package_SO
+SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.41x3.3mm
+SOIC,{space}8{space}Pin{space}(http://www.allegromicro.com/~/media/Files/Datasheets/A4950-Datasheet.ashx#page=8),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOIC{space}SO
+0
+13
+9
+Package_SO
+SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.41x3.3mm_ThermalVias
+SOIC,{space}8{space}Pin{space}(http://www.allegromicro.com/~/media/Files/Datasheets/A4950-Datasheet.ashx#page=8),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOIC{space}SO
+0
+20
+9
+Package_SO
+SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.41x3.81mm
+SOIC,{space}8{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/ada4898-1_4898-2.pdf#page=29),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOIC{space}SO
+0
+13
+9
+Package_SO
+SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.41x3.81mm_ThermalVias
+SOIC,{space}8{space}Pin{space}(https://www.analog.com/media/en/technical-documentation/data-sheets/ada4898-1_4898-2.pdf#page=29),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOIC{space}SO
+0
+20
+9
+Package_SO
+SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.95x4.9mm_Mask2.71x3.4mm
+SOIC,{space}8{space}Pin{space}(http://www.ti.com/lit/ds/symlink/lm5017.pdf#page=31),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOIC{space}SO
+0
+14
+9
+Package_SO
+SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.95x4.9mm_Mask2.71x3.4mm_ThermalVias
+SOIC,{space}8{space}Pin{space}(http://www.ti.com/lit/ds/symlink/lm5017.pdf#page=31),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOIC{space}SO
+0
+23
+9
+Package_SO
+SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.514x3.2mm
+SOIC,{space}8{space}Pin{space}(https://www.renesas.com/eu/en/www/doc/datasheet/hip2100.pdf#page=13),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOIC{space}SO
+0
+13
+9
+Package_SO
+SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.514x3.2mm_ThermalVias
+SOIC,{space}8{space}Pin{space}(https://www.renesas.com/eu/en/www/doc/datasheet/hip2100.pdf#page=13),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOIC{space}SO
+0
+20
+9
+Package_SO
+SOIC-8-N7_3.9x4.9mm_P1.27mm
+8-Lead{space}Plastic{space}Small{space}Outline{space}(SN){space}-{space}Narrow,{space}3.90{space}mm{space}Body{space}[SOIC],{space}pin{space}7{space}removed{space}(Microchip{space}Packaging{space}Specification{space}00000049BS.pdf,{space}http://www.onsemi.com/pub/Collateral/NCP1207B.PDF)
+SOIC{space}1.27
+0
+7
+7
+Package_SO
+SOIC-8_3.9x4.9mm_P1.27mm
+SOIC,{space}8{space}Pin{space}(JEDEC{space}MS-012AA,{space}https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_8.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOIC{space}SO
+0
+8
+8
+Package_SO
+SOIC-8_5.23x5.23mm_P1.27mm
+SOIC,{space}8{space}Pin{space}(http://www.winbond.com/resource-files/w25q32jv%20revg%2003272018%20plus.pdf#page=68),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOIC{space}SO
+0
+8
+8
+Package_SO
+SOIC-14W_7.5x9mm_P1.27mm
+SOIC,{space}14{space}Pin{space}(JEDEC{space}MS-013AF,{space}https://www.analog.com/media/en/package-pcb-resources/package/54614177245586rw_14.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOIC{space}SO
+0
+14
+14
+Package_SO
+SOIC-14_3.9x8.7mm_P1.27mm
+SOIC,{space}14{space}Pin{space}(JEDEC{space}MS-012AB,{space}https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_14.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOIC{space}SO
+0
+14
+14
+Package_SO
+SOIC-16W-12_7.5x10.3mm_P1.27mm
+SOIC-16{space}With{space}12{space}Pin{space}Placed{space}-{space}Wide,{space}7.50{space}mm{space}Body{space}[SOIC]{space}(https://docs.broadcom.com/docs/AV02-0169EN)
+SOIC{space}1.27{space}16{space}12{space}Wide
+0
+12
+12
+Package_SO
+SOIC-16W_5.3x10.2mm_P1.27mm
+16-Lead{space}Plastic{space}Small{space}Outline{space}(SO){space}-{space}Wide,{space}5.3{space}mm{space}Body{space}(http://www.ti.com/lit/ml/msop002a/msop002a.pdf)
+SOIC{space}1.27
+0
+16
+16
+Package_SO
+SOIC-16W_7.5x10.3mm_P1.27mm
+SOIC,{space}16{space}Pin{space}(JEDEC{space}MS-013AA,{space}https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_wide-rw/rw_16.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOIC{space}SO
+0
+16
+16
+Package_SO
+SOIC-16W_7.5x12.8mm_P1.27mm
+SOIC,{space}16{space}Pin{space}(https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ri_soic_ic/ri_16_1.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOIC{space}SO
+0
+16
+16
+Package_SO
+SOIC-16_3.9x9.9mm_P1.27mm
+SOIC,{space}16{space}Pin{space}(JEDEC{space}MS-012AC,{space}https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_16.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOIC{space}SO
+0
+16
+16
+Package_SO
+SOIC-16_4.55x10.3mm_P1.27mm
+SOIC,{space}16{space}Pin{space}(https://toshiba.semicon-storage.com/info/docget.jsp?did=12858&prodName=TLP291-4),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOIC{space}SO
+0
+16
+16
+Package_SO
+SOIC-18W_7.5x11.6mm_P1.27mm
+SOIC,{space}18{space}Pin{space}(JEDEC{space}MS-013AB,{space}https://www.analog.com/media/en/package-pcb-resources/package/33254132129439rw_18.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOIC{space}SO
+0
+18
+18
+Package_SO
+SOIC-20W_7.5x12.8mm_P1.27mm
+SOIC,{space}20{space}Pin{space}(JEDEC{space}MS-013AC,{space}https://www.analog.com/media/en/package-pcb-resources/package/233848rw_20.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOIC{space}SO
+0
+20
+20
+Package_SO
+SOIC-24W_7.5x15.4mm_P1.27mm
+SOIC,{space}24{space}Pin{space}(JEDEC{space}MS-013AD,{space}https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_wide-rw/RW_24.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOIC{space}SO
+0
+24
+24
+Package_SO
+SOIC-28W_7.5x17.9mm_P1.27mm
+SOIC,{space}28{space}Pin{space}(JEDEC{space}MS-013AE,{space}https://www.analog.com/media/en/package-pcb-resources/package/35833120341221rw_28.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOIC{space}SO
+0
+28
+28
+Package_SO
+SOIC-28W_7.5x18.7mm_P1.27mm
+SOIC,{space}28{space}Pin{space}(https://www.akm.com/akm/en/file/datasheet/AK5394AVS.pdf#page=23),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOIC{space}SO
+0
+28
+28
+Package_SO
+SOIJ-8_5.3x5.3mm_P1.27mm
+8-Lead{space}Plastic{space}Small{space}Outline{space}(SM){space}-{space}Medium,{space}5.28{space}mm{space}Body{space}[SOIC]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+SOIC{space}1.27
+0
+8
+8
+Package_SO
+SOJ-36_10.16x23.49mm_P1.27mm
+SOJ,{space}36{space}Pin{space}(http://www.issi.com/WW/pdf/61-64C5128AL.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOJ{space}SO
+0
+36
+36
+Package_SO
+SOP-4_3.8x4.1mm_P2.54mm
+SOP,{space}4{space}Pin{space}(http://www.ixysic.com/home/pdfs.nsf/www/CPC1017N.pdf/$file/CPC1017N.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOP{space}SO
+0
+4
+4
+Package_SO
+SOP-4_4.4x2.6mm_P1.27mm
+SOP,{space}4{space}Pin{space}(http://www.vishay.com/docs/83510/tcmt1100.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOP{space}SO
+0
+4
+4
+Package_SO
+SOP-8_3.76x4.96mm_P1.27mm
+SOP,{space}8{space}Pin{space}(https://ww2.minicircuits.com/case_style/XX211.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOP{space}SO
+0
+8
+8
+Package_SO
+SOP-8_6.62x9.15mm_P2.54mm
+SOP,{space}8{space}Pin{space}(http://www.ti.com/lit/ds/symlink/iso1050.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOP{space}SO
+0
+8
+8
+Package_SO
+SOP-16_4.4x10.4mm_P1.27mm
+16-Lead{space}Plastic{space}Small{space}Outline{space}http://www.vishay.com/docs/49633/sg2098.pdf
+SOP{space}1.27
+0
+16
+16
+Package_SO
+SOP-16_4.55x10.3mm_P1.27mm
+SOP,{space}16{space}Pin{space}(https://toshiba.semicon-storage.com/info/docget.jsp?did=12855&prodName=TLP290-4),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOP{space}SO
+0
+16
+16
+Package_SO
+SOP-18_7x12.5mm_P1.27mm
+SOP,{space}18{space}Pin{space}(https://toshiba.semicon-storage.com/info/docget.jsp?did=30523),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SOP{space}SO
+0
+18
+18
+Package_SO
+SSO-4_6.7x5.1mm_P2.54mm_Clearance8mm
+4-Lead{space}Plastic{space}Stretched{space}Small{space}Outline{space}(SSO/Stretched{space}SO),{space}see{space}https://www.vishay.com/docs/84299/vor1142b4.pdf
+SSO{space}Stretched{space}SO{space}SOIC{space}2.54
+0
+4
+4
+Package_SO
+SSO-6_6.8x4.6mm_P1.27mm_Clearance7mm
+8-Lead{space}Plastic{space}Stretched{space}Small{space}Outline{space}(SSO/Stretched{space}SO),{space}see{space}https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DIPD-Selection-Guide_AV00-0254EN_030617.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430884105675&ssbinary=true
+SSO{space}Stretched{space}SO{space}SOIC{space}1.27
+0
+6
+6
+Package_SO
+SSO-6_6.8x4.6mm_P1.27mm_Clearance8mm
+8-Lead{space}Plastic{space}Stretched{space}Small{space}Outline{space}(SSO/Stretched{space}SO),{space}see{space}https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DIPD-Selection-Guide_AV00-0254EN_030617.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430884105675&ssbinary=true
+SSO{space}Stretched{space}SO{space}SOIC{space}1.27
+0
+6
+6
+Package_SO
+SSO-8_6.7x9.8mm_P2.54mm_Clearance8mm
+8-Lead{space}Plastic{space}Stretched{space}Small{space}Outline{space}(SSO/Stretched{space}SO),{space}see{space}https://www.vishay.com/docs/83831/lh1533ab.pdf
+SSO{space}Stretched{space}SO{space}SOIC{space}Pitch{space}2.54
+0
+8
+8
+Package_SO
+SSO-8_6.8x5.9mm_P1.27mm_Clearance7mm
+8-Lead{space}Plastic{space}Stretched{space}Small{space}Outline{space}(SSO/Stretched{space}SO),{space}see{space}https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DIPD-Selection-Guide_AV00-0254EN_030617.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430884105675&ssbinary=true
+SSO{space}Stretched{space}SO{space}SOIC{space}Pitch{space}1.27
+0
+8
+8
+Package_SO
+SSO-8_6.8x5.9mm_P1.27mm_Clearance8mm
+8-Lead{space}Plastic{space}Stretched{space}Small{space}Outline{space}(SSO/Stretched{space}SO),{space}see{space}https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DIPD-Selection-Guide_AV00-0254EN_030617.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430884105675&ssbinary=true
+SSO{space}Stretched{space}SO{space}SOIC{space}Pitch{space}1.27
+0
+8
+8
+Package_SO
+SSO-8_9.6x6.3mm_P1.27mm_Clearance10.5mm
+8-Lead{space}Plastic{space}Stretched{space}Small{space}Outline{space}(SSO/Stretched{space}SO),{space}see{space}https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DIPD-Selection-Guide_AV00-0254EN_030617.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430884105675&ssbinary=true
+SSO{space}Stretched{space}SO{space}SOIC{space}Pitch{space}1.27
+0
+8
+8
+Package_SO
+SSO-8_13.6x6.3mm_P1.27mm_Clearance14.2mm
+8-Lead{space}Plastic{space}Stretched{space}Small{space}Outline{space}(SSO/Stretched{space}SO),{space}see{space}https://docs.broadcom.com/cs/Satellite?blobcol=urldata&blobheader=application%2Fpdf&blobheadername1=Content-Disposition&blobheadername2=Content-Type&blobheadername3=MDT-Type&blobheadervalue1=attachment%3Bfilename%3DIPD-Selection-Guide_AV00-0254EN_030617.pdf&blobheadervalue2=application%2Fx-download&blobheadervalue3=abinary%253B%2Bcharset%253DUTF-8&blobkey=id&blobnocache=true&blobtable=MungoBlobs&blobwhere=1430884105675&ssbinary=true
+SSO{space}Stretched{space}SO{space}SOIC{space}Pitch{space}1.27
+0
+8
+8
+Package_SO
+SSOP-8_2.95x2.8mm_P0.65mm
+SSOP-8{space}2.9{space}x2.8mm{space}Pitch{space}0.65mm
+SSOP-8{space}2.95x2.8mm{space}Pitch{space}0.65mm
+0
+8
+8
+Package_SO
+SSOP-8_3.9x5.05mm_P1.27mm
+SSOP,{space}8{space}Pin{space}(http://www.fujitsu.com/downloads/MICRO/fsa/pdf/products/memory/fram/MB85RS16-DS501-00014-6v0-E.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SSOP{space}SO
+0
+8
+8
+Package_SO
+SSOP-8_3.95x5.21x3.27mm_P1.27mm
+SSOP-8{space}3.95x5.21x3.27mm{space}Pitch{space}1.27mm
+SSOP-8{space}3.95x5.21x3.27mm{space}1.27mm
+0
+8
+8
+Package_SO
+SSOP-8_5.25x5.24mm_P1.27mm
+SSOP,{space}8{space}Pin{space}(http://www.fujitsu.com/ca/en/Images/MB85RS2MT-DS501-00023-1v0-E.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SSOP{space}SO
+0
+8
+8
+Package_SO
+SSOP-10_3.9x4.9mm_P1.00mm
+10-Lead{space}SSOP,{space}3.9{space}x{space}4.9mm{space}body,{space}1.00mm{space}pitch{space}(http://www.st.com/resource/en/datasheet/viper01.pdf)
+SSOP{space}3.9{space}4.9{space}1.00
+0
+10
+10
+Package_SO
+SSOP-14_5.3x6.2mm_P0.65mm
+SSOP14:{space}plastic{space}shrink{space}small{space}outline{space}package;{space}14{space}leads;{space}body{space}width{space}5.3{space}mm;{space}(see{space}NXP{space}SSOP-TSSOP-VSO-REFLOW.pdf{space}and{space}sot337-1_po.pdf)
+SSOP{space}0.65
+0
+14
+14
+Package_SO
+SSOP-16_3.9x4.9mm_P0.635mm
+SSOP16:{space}plastic{space}shrink{space}small{space}outline{space}package;{space}16{space}leads;{space}body{space}width{space}3.9{space}mm;{space}lead{space}pitch{space}0.635;{space}(see{space}NXP{space}SSOP-TSSOP-VSO-REFLOW.pdf{space}and{space}sot519-1_po.pdf)
+SSOP{space}0.635
+0
+16
+16
+Package_SO
+SSOP-16_4.4x5.2mm_P0.65mm
+SSOP16:{space}plastic{space}shrink{space}small{space}outline{space}package;{space}16{space}leads;{space}body{space}width{space}4.4{space}mm;{space}(see{space}NXP{space}SSOP-TSSOP-VSO-REFLOW.pdf{space}and{space}sot369-1_po.pdf)
+SSOP{space}0.65
+0
+16
+16
+Package_SO
+SSOP-16_5.3x6.2mm_P0.65mm
+SSOP16:{space}plastic{space}shrink{space}small{space}outline{space}package;{space}16{space}leads;{space}body{space}width{space}5.3{space}mm;{space}(see{space}NXP{space}SSOP-TSSOP-VSO-REFLOW.pdf{space}and{space}sot338-1_po.pdf)
+SSOP{space}0.65
+0
+16
+16
+Package_SO
+SSOP-18_4.4x6.5mm_P0.65mm
+SSOP18:{space}plastic{space}shrink{space}small{space}outline{space}package;{space}18{space}leads;{space}body{space}width{space}4.4{space}mm{space}(http://toshiba.semicon-storage.com/info/docget.jsp?did=30523&prodName=TBD62783APG)
+SSOP{space}0.65
+0
+18
+18
+Package_SO
+SSOP-20_3.9x8.7mm_P0.635mm
+SSOP20:{space}plastic{space}shrink{space}small{space}outline{space}package;{space}24{space}leads;{space}body{space}width{space}3.9{space}mm;{space}lead{space}pitch{space}0.635;{space}(see{space}http://www.ftdichip.com/Support/Documents/DataSheets/ICs/DS_FT231X.pdf)
+SSOP{space}0.635
+0
+20
+20
+Package_SO
+SSOP-20_4.4x6.5mm_P0.65mm
+SSOP20:{space}plastic{space}shrink{space}small{space}outline{space}package;{space}20{space}leads;{space}body{space}width{space}4.4{space}mm;{space}(see{space}NXP{space}SSOP-TSSOP-VSO-REFLOW.pdf{space}and{space}sot266-1_po.pdf)
+SSOP{space}0.65
+0
+20
+20
+Package_SO
+SSOP-20_5.3x7.2mm_P0.65mm
+20-Lead{space}Plastic{space}Shrink{space}Small{space}Outline{space}(SS)-5.30{space}mm{space}Body{space}[SSOP]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+SSOP{space}0.65
+0
+20
+20
+Package_SO
+SSOP-24_3.9x8.7mm_P0.635mm
+SSOP24:{space}plastic{space}shrink{space}small{space}outline{space}package;{space}24{space}leads;{space}body{space}width{space}3.9{space}mm;{space}lead{space}pitch{space}0.635;{space}(see{space}NXP{space}SSOP-TSSOP-VSO-REFLOW.pdf{space}and{space}sot556-1_po.pdf)
+SSOP{space}0.635
+0
+24
+24
+Package_SO
+SSOP-24_5.3x8.2mm_P0.65mm
+24-Lead{space}Plastic{space}Shrink{space}Small{space}Outline{space}(SS)-5.30{space}mm{space}Body{space}[SSOP]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+SSOP{space}0.65
+0
+24
+24
+Package_SO
+SSOP-28_3.9x9.9mm_P0.635mm
+SSOP28:{space}plastic{space}shrink{space}small{space}outline{space}package;{space}28{space}leads;{space}body{space}width{space}3.9{space}mm;{space}lead{space}pitch{space}0.635;{space}(see{space}http://cds.linear.com/docs/en/datasheet/38901fb.pdf)
+SSOP{space}0.635
+0
+28
+28
+Package_SO
+SSOP-28_5.3x10.2mm_P0.65mm
+28-Lead{space}Plastic{space}Shrink{space}Small{space}Outline{space}(SS)-5.30{space}mm{space}Body{space}[SSOP]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+SSOP{space}0.65
+0
+28
+28
+Package_SO
+SSOP-32_11.305x20.495mm_P1.27mm
+SSOP,{space}32{space}Pin{space}(http://www.issi.com/WW/pdf/61-64C5128AL.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+SSOP{space}SO
+0
+32
+32
+Package_SO
+SSOP-44_5.3x12.8mm_P0.5mm
+44-Lead{space}Plastic{space}Shrink{space}Small{space}Outline{space}(SS)-5.30{space}mm{space}Body{space}[SSOP]{space}(http://cds.linear.com/docs/en/datasheet/680313fa.pdf)
+SSOP{space}0.5
+0
+44
+44
+Package_SO
+SSOP-48_7.5x15.9mm_P0.635mm
+SSOP48:{space}plastic{space}shrink{space}small{space}outline{space}package;{space}48{space}leads;{space}body{space}width{space}7.5{space}mm;{space}(see{space}NXP{space}SSOP-TSSOP-VSO-REFLOW.pdf{space}and{space}sot370-1_po.pdf)
+SSOP{space}0.635
+0
+48
+48
+Package_SO
+SSOP-56_7.5x18.5mm_P0.635mm
+SSOP56:{space}plastic{space}shrink{space}small{space}outline{space}package;{space}56{space}leads;{space}body{space}width{space}7.5{space}mm;{space}(see{space}NXP{space}SSOP-TSSOP-VSO-REFLOW.pdf{space}and{space}sot371-1_po.pdf)
+SSOP{space}0.635
+0
+56
+56
+Package_SO
+STC_SOP-16_3.9x9.9mm_P1.27mm
+STC{space}{space}SOP,{space}16{space}Pin{space}(https://www.stcmicro.com/datasheet/STC15F2K60S2-en.pdf#page=156),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+STC{space}SOP{space}SO
+0
+16
+16
+Package_SO
+ST_MultiPowerSO-30
+MultiPowerSO-30{space}3EP{space}16.0x17.2mm{space}Pitch{space}1mm{space}(http://www.st.com/resource/en/datasheet/vnh2sp30-e.pdf)
+MultiPowerSO-30{space}3EP{space}16.0x17.2mm{space}Pitch{space}1mm
+0
+33
+33
+Package_SO
+ST_PowerSSO-24_SlugDown
+ST{space}PowerSSO-24{space}1EP{space}7.5x10.3mm{space}Pitch{space}0.8mm{space}[JEDEC{space}MO-271]{space}(http://www.st.com/resource/en/datasheet/tda7266p.pdf,{space}http://freedatasheets.com/downloads/Technical%20Note%20Powersso24%20TN0054.pdf)
+ST{space}PowerSSO-24{space}1EP{space}7.5x10.3mm{space}Pitch{space}0.8mm
+0
+25
+25
+Package_SO
+ST_PowerSSO-24_SlugDown_ThermalVias
+ST{space}PowerSSO-24{space}1EP{space}7.5x10.3mm{space}Pitch{space}0.8mm{space}[JEDEC{space}MO-271]{space}(http://www.st.com/resource/en/datasheet/tda7266p.pdf,{space}http://freedatasheets.com/downloads/Technical%20Note%20Powersso24%20TN0054.pdf)
+ST{space}PowerSSO-24{space}1EP{space}7.5x10.3mm{space}Pitch{space}0.8mm
+0
+38
+25
+Package_SO
+ST_PowerSSO-24_SlugUp
+ST{space}PowerSSO-24{space}1EP{space}7.5x10.3mm{space}Pitch{space}0.8mm{space}[JEDEC{space}MO-271]{space}(http://www.st.com/resource/en/datasheet/tda7266p.pdf,{space}http://freedatasheets.com/downloads/Technical%20Note%20Powersso24%20TN0054.pdf)
+ST{space}PowerSSO-24{space}1EP{space}7.5x10.3mm{space}Pitch{space}0.8mm
+0
+24
+24
+Package_SO
+ST_PowerSSO-36_SlugDown
+ST{space}PowerSSO-36{space}1EP{space}7.5x10.3mm{space}Pitch{space}0.8mm{space}[JEDEC{space}MO-271]{space}(http://www.st.com/resource/en/datasheet/tda7492p.pdf,{space}http://freedatasheets.com/downloads/Technical%20Note%20Powersso24%20TN0054.pdf)
+ST{space}PowerSSO-36{space}1EP{space}7.5x10.3mm{space}Pitch{space}0.8mm
+0
+37
+37
+Package_SO
+ST_PowerSSO-36_SlugDown_ThermalVias
+ST{space}PowerSSO-36{space}1EP{space}7.5x10.3mm{space}Pitch{space}0.8mm{space}[JEDEC{space}MO-271]{space}(http://www.st.com/resource/en/datasheet/tda7492p.pdf,{space}http://freedatasheets.com/downloads/Technical%20Note%20Powersso24%20TN0054.pdf)
+ST{space}PowerSSO-36{space}1EP{space}7.5x10.3mm{space}Pitch{space}0.8mm
+0
+50
+37
+Package_SO
+ST_PowerSSO-36_SlugUp
+ST{space}PowerSSO-36{space}1EP{space}7.5x10.3mm{space}Pitch{space}0.8mm{space}[JEDEC{space}MO-271]{space}(http://www.st.com/resource/en/datasheet/tda7492p.pdf,{space}http://freedatasheets.com/downloads/Technical%20Note%20Powersso24%20TN0054.pdf)
+ST{space}PowerSSO-36{space}1EP{space}7.5x10.3mm{space}Pitch{space}0.8mm
+0
+36
+36
+Package_SO
+TI_SO-PowerPAD-8
+8-Lead{space}Plastic{space}PSOP,{space}Exposed{space}Die{space}Pad{space}(TI{space}DDA0008B,{space}see{space}http://www.ti.com/lit/ds/symlink/lm3404.pdf)
+SSOP{space}0.50{space}exposed{space}pad
+0
+12
+9
+Package_SO
+TI_SO-PowerPAD-8_ThermalVias
+8-pin{space}HTSOP{space}package{space}with{space}1.27mm{space}pin{space}pitch,{space}compatible{space}with{space}SOIC-8,{space}3.9x4.9mm²{space}body,{space}exposed{space}pad,{space}thermal{space}vias{space}with{space}large{space}copper{space}area,{space}as{space}proposed{space}in{space}http://www.ti.com/lit/ds/symlink/tps5430.pdf
+HTSOP{space}1.27
+0
+19
+9
+Package_SO
+TSOP-5_1.65x3.05mm_P0.95mm
+TSOP-5{space}package{space}(comparable{space}to{space}TSOT-23),{space}https://www.vishay.com/docs/71200/71200.pdf
+Jedec{space}MO-193C{space}TSOP-5L
+0
+5
+5
+Package_SO
+TSOP-6_1.65x3.05mm_P0.95mm
+TSOP-6{space}package{space}(comparable{space}to{space}TSOT-23),{space}https://www.vishay.com/docs/71200/71200.pdf
+Jedec{space}MO-193C{space}TSOP-6L
+0
+6
+6
+Package_SO
+TSOP-I-28_11.8x8mm_P0.55mm
+TSOP{space}I,{space}28{space}pins,{space}18.8x8mm{space}body,{space}0.55mm{space}pitch,{space}IPC-calculated{space}pads{space}(http://ww1.microchip.com/downloads/en/devicedoc/doc0807.pdf)
+TSOP{space}I{space}28{space}pins
+0
+28
+28
+Package_SO
+TSOP-I-32_11.8x8mm_P0.5mm
+TSOP-I,{space}32{space}Pin{space}(http://www.issi.com/WW/pdf/61-64C5128AL.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+TSOP-I{space}SO
+0
+32
+32
+Package_SO
+TSOP-I-32_18.4x8mm_P0.5mm
+TSOP{space}I,{space}32{space}pins,{space}18.4x8mm{space}body{space}(https://www.micron.com/~/media/documents/products/technical-note/nor-flash/tn1225_land_pad_design.pdf,{space}http://www.fujitsu.com/downloads/MICRO/fma/pdfmcu/f32pm25.pdf)
+TSOP{space}I{space}32
+0
+32
+32
+Package_SO
+TSOP-I-32_18.4x8mm_P0.5mm_Reverse
+TSOP{space}I,{space}32{space}pins,{space}18.4x8mm{space}body{space}(http://www.futurlec.com/Datasheet/Memory/628128.pdf),{space}reverse{space}mount
+TSOP{space}I{space}32{space}reverse
+0
+32
+32
+Package_SO
+TSOP-I-48_18.4x12mm_P0.5mm
+TSOP{space}I,{space}32{space}pins,{space}18.4x8mm{space}body{space}(https://www.micron.com/~/media/documents/products/technical-note/nor-flash/tn1225_land_pad_design.pdf)
+TSOP{space}I{space}32
+0
+48
+48
+Package_SO
+TSOP-I-56_18.4x14mm_P0.5mm
+TSOP{space}I,{space}32{space}pins,{space}18.4x8mm{space}body{space}(https://www.micron.com/~/media/documents/products/technical-note/nor-flash/tn1225_land_pad_design.pdf)
+TSOP{space}I{space}32
+0
+56
+56
+Package_SO
+TSOP-II-32_21.0x10.2mm_P1.27mm
+32-lead{space}plastic{space}TSOP;{space}Type{space}II
+TSOP-II{space}32
+0
+32
+32
+Package_SO
+TSOP-II-44_10.16x18.41mm_P0.8mm
+TSOP-II,{space}44{space}Pin{space}(http://www.issi.com/WW/pdf/61-64C5128AL.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+TSOP-II{space}SO
+0
+44
+44
+Package_SO
+TSOP-II-54_22.2x10.16mm_P0.8mm
+54-lead{space}TSOP{space}typ{space}II{space}package
+TSOPII{space}TSOP2
+0
+54
+54
+Package_SO
+TSSOP-8_3x3mm_P0.65mm
+TSSOP8:{space}plastic{space}thin{space}shrink{space}small{space}outline{space}package;{space}8{space}leads;{space}body{space}width{space}3{space}mm;{space}(see{space}NXP{space}SSOP-TSSOP-VSO-REFLOW.pdf{space}and{space}sot505-1_po.pdf)
+SSOP{space}0.65
+0
+8
+8
+Package_SO
+TSSOP-8_4.4x3mm_P0.65mm
+8-Lead{space}Plastic{space}Thin{space}Shrink{space}Small{space}Outline{space}(ST)-4.4{space}mm{space}Body{space}[TSSOP]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+SSOP{space}0.65
+0
+8
+8
+Package_SO
+TSSOP-10_3x3mm_P0.5mm
+TSSOP10:{space}plastic{space}thin{space}shrink{space}small{space}outline{space}package;{space}10{space}leads;{space}body{space}width{space}3{space}mm;{space}(see{space}NXP{space}SSOP-TSSOP-VSO-REFLOW.pdf{space}and{space}sot552-1_po.pdf)
+SSOP{space}0.5
+0
+10
+10
+Package_SO
+TSSOP-14-1EP_4.4x5mm_P0.65mm
+14-Lead{space}Plastic{space}Thin{space}Shrink{space}Small{space}Outline{space}(ST)-4.4{space}mm{space}Body{space}[TSSOP]{space}with{space}exposed{space}pad{space}(http://cds.linear.com/docs/en/datasheet/34301fa.pdf)
+SSOP{space}0.65{space}exposed{space}pad
+0
+18
+15
+Package_SO
+TSSOP-14_4.4x5mm_P0.65mm
+14-Lead{space}Plastic{space}Thin{space}Shrink{space}Small{space}Outline{space}(ST)-4.4{space}mm{space}Body{space}[TSSOP]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+SSOP{space}0.65
+0
+14
+14
+Package_SO
+TSSOP-16-1EP_4.4x5mm_P0.65mm
+FE{space}Package;{space}16-Lead{space}Plastic{space}TSSOP{space}(4.4mm);{space}Exposed{space}Pad{space}Variation{space}BB;{space}(see{space}Linear{space}Technology{space}1956f.pdf)
+SSOP{space}0.65
+0
+24
+17
+Package_SO
+TSSOP-16_4.4x5mm_P0.65mm
+16-Lead{space}Plastic{space}Thin{space}Shrink{space}Small{space}Outline{space}(ST)-4.4{space}mm{space}Body{space}[TSSOP]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+SSOP{space}0.65
+0
+16
+16
+Package_SO
+TSSOP-20_4.4x6.5mm_P0.65mm
+20-Lead{space}Plastic{space}Thin{space}Shrink{space}Small{space}Outline{space}(ST)-4.4{space}mm{space}Body{space}[TSSOP]{space}(see{space}Microchip{space}Packaging{space}Specification{space}00000049BS.pdf)
+SSOP{space}0.65
+0
+20
+20
+Package_SO
+TSSOP-24_4.4x7.8mm_P0.65mm
+TSSOP24:{space}plastic{space}thin{space}shrink{space}small{space}outline{space}package;{space}24{space}leads;{space}body{space}width{space}4.4{space}mm;{space}(see{space}NXP{space}SSOP-TSSOP-VSO-REFLOW.pdf{space}and{space}sot355-1_po.pdf)
+SSOP{space}0.65
+0
+24
+24
+Package_SO
+TSSOP-28-1EP_4.4x9.7mm_P0.65mm
+TSSOP28:{space}plastic{space}thin{space}shrink{space}small{space}outline{space}package;{space}28{space}leads;{space}body{space}width{space}4.4{space}mm;{space}Exposed{space}Pad{space}Variation;{space}(see{space}NXP{space}SSOP-TSSOP-VSO-REFLOW.pdf{space}and{space}sot361-1_po.pdf)
+SSOP{space}0.65
+0
+36
+29
+Package_SO
+TSSOP-28_4.4x9.7mm_P0.65mm
+TSSOP28:{space}plastic{space}thin{space}shrink{space}small{space}outline{space}package;{space}28{space}leads;{space}body{space}width{space}4.4{space}mm;{space}(see{space}NXP{space}SSOP-TSSOP-VSO-REFLOW.pdf{space}and{space}sot361-1_po.pdf)
+SSOP{space}0.65
+0
+28
+28
+Package_SO
+TSSOP-30_4.4x7.8mm_P0.5mm
+TSSOP30:{space}plastic{space}thin{space}shrink{space}small{space}outline{space}package;{space}30{space}leads;{space}body{space}width{space}4.4{space}mm{space}(http://www.ti.com/lit/ds/symlink/bq78350.pdf)
+SSOP{space}0.5
+0
+30
+30
+Package_SO
+TSSOP-32_6.1x11mm_P0.65mm
+TSSOP32:{space}plastic{space}thin{space}shrink{space}small{space}outline{space}package;{space}32{space}leads;{space}body{space}width{space}6.1{space}mm;{space}lead{space}pitch{space}0.65{space}mm{space}(see{space}NXP{space}SSOP-TSSOP-VSO-REFLOW.pdf{space}and{space}sot487-1_po.pdf)
+SSOP{space}0.65
+0
+32
+32
+Package_SO
+TSSOP-38_4.4x9.7mm_P0.5mm
+TSSOP38:{space}plastic{space}thin{space}shrink{space}small{space}outline{space}package;{space}38{space}leads;{space}body{space}width{space}4.4{space}mm{space}(see{space}NXP{space}SSOP-TSSOP-VSO-REFLOW.pdf{space}and{space}sot510-1_po.pdf)
+SSOP{space}0.5
+0
+38
+38
+Package_SO
+TSSOP-38_6.1x12.5mm_P0.65mm
+TSSOP38:{space}plastic{space}thin{space}shrink{space}small{space}outline{space}package;{space}38{space}leads;{space}body{space}width{space}6.1{space}mm{space}(http://www.ti.com/lit/ds/symlink/msp430g2744.pdf)
+SSOP{space}0.65
+0
+38
+38
+Package_SO
+TSSOP-44_4.4x11.2mm_P0.5mm
+TSSOP44:{space}plastic{space}thin{space}shrink{space}small{space}outline{space}package;{space}44{space}leads;{space}body{space}width{space}4.4{space}mm{space}(see{space}NXP{space}SSOP-TSSOP-VSO-REFLOW.pdf{space}and{space}sot510-1_po.pdf)
+SSOP{space}0.5
+0
+44
+44
+Package_SO
+TSSOP-48_6.1x12.5mm_P0.5mm
+TSSOP48:{space}plastic{space}thin{space}shrink{space}small{space}outline{space}package;{space}48{space}leads;{space}body{space}width{space}6.1{space}mm{space}(see{space}NXP{space}SSOP-TSSOP-VSO-REFLOW.pdf{space}and{space}sot362-1_po.pdf)
+SSOP{space}0.5
+0
+48
+48
+Package_SO
+TSSOP-56_6.1x14mm_P0.5mm
+TSSOP56:{space}plastic{space}thin{space}shrink{space}small{space}outline{space}package;{space}56{space}leads;{space}body{space}width{space}6.1{space}mm{space}(see{space}NXP{space}SSOP-TSSOP-VSO-REFLOW.pdf{space}and{space}sot364-1_po.pdf)
+SSOP{space}0.5
+0
+56
+56
+Package_SO
+Texas_HSOP-8-1EP_3.9x4.9mm_P1.27mm
+Texas{space}Instruments{space}HSOP{space}9,{space}1.27mm{space}pitch,{space}3.9x4.9mm{space}body,{space}exposed{space}pad,{space}DDA0008J{space}(http://www.ti.com/lit/ds/symlink/tps5430.pdf)
+HSOP{space}1.27
+0
+11
+9
+Package_SO
+Texas_HSOP-8-1EP_3.9x4.9mm_P1.27mm_ThermalVias
+Texas{space}Instruments{space}HSOP{space}9,{space}1.27mm{space}pitch,{space}3.9x4.9mm{space}body,{space}exposed{space}pad,{space}thermal{space}vias,{space}DDA0008J{space}(http://www.ti.com/lit/ds/symlink/tps5430.pdf)
+HSOP{space}1.27
+0
+20
+9
+Package_SO
+Texas_HTSOP-8-1EP_3.9x4.9mm_P1.27mm_EP2.95x4.9mm_Mask2.4x3.1mm_ThermalVias
+8-pin{space}HTSOP{space}package{space}with{space}1.27mm{space}pin{space}pitch,{space}compatible{space}with{space}SOIC-8,{space}3.9x4.9mm{space}body,{space}exposed{space}pad,{space}thermal{space}vias,{space}http://www.ti.com/lit/ds/symlink/drv8870.pdf
+HTSOP{space}1.27
+0
+18
+9
+Package_SO
+Texas_PWP0020A
+20-Pin{space}Thermally{space}Enhanced{space}Thin{space}Shrink{space}Small-Outline{space}Package,{space}Body{space}4.4x6.5x1.1mm,{space}Pad{space}3.0x4.2mm,{space}Texas{space}Instruments{space}(see{space}http://www.ti.com/lit/ds/symlink/lm5118.pdf)
+PWP{space}HTSSOP{space}0.65mm
+0
+25
+21
+Package_SO
+Texas_R-PDSO-G8_EP2.95x4.9mm_Mask2.4x3.1mm
+HSOIC,{space}8{space}Pin{space}(http://www.ti.com/lit/ds/symlink/lmr14030.pdf#page=28,{space}http://www.ti.com/lit/ml/msoi002j/msoi002j.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+HSOIC{space}SO
+0
+16
+9
+Package_SO
+Texas_R-PDSO-G8_EP2.95x4.9mm_Mask2.4x3.1mm_ThermalVias
+HSOIC,{space}8{space}Pin{space}(http://www.ti.com/lit/ds/symlink/lmr14030.pdf#page=28,{space}http://www.ti.com/lit/ml/msoi002j/msoi002j.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+HSOIC{space}SO
+0
+23
+9
+Package_SO
+Texas_R-PDSO-N5
+Plastic{space}Small{space}outline{space}http://www.ti.com/lit/ml/mpds158c/mpds158c.pdf
+SOT23{space}R-PDSO-N5
+0
+5
+5
+Package_SO
+VSO-40_7.6x15.4mm_P0.762mm
+VSO40:{space}plastic{space}very{space}small{space}outline{space}package;{space}40{space}leads{space}(see{space}NXP{space}SSOP-TSSOP-VSO-REFLOW.pdf{space}and{space}sot158-1_po.pdf)
+SSOP{space}0.762
+0
+40
+40
+Package_SO
+VSO-56_11.1x21.5mm_P0.75mm
+VSO56:{space}plastic{space}very{space}small{space}outline{space}package;{space}56{space}leads{space}(see{space}NXP{space}SSOP-TSSOP-VSO-REFLOW.pdf{space}and{space}sot190-1_po.pdf)
+SSOP{space}0.75
+0
+56
+56
+Package_SO
+VSSOP-8_2.3x2mm_P0.5mm
+VSSOP-8{space}2.3x2mm{space}Pitch{space}0.5mm
+VSSOP-8{space}2.3x2mm{space}Pitch{space}0.5mm
+0
+8
+8
+Package_SO
+VSSOP-8_2.4x2.1mm_P0.5mm
+http://www.ti.com/lit/ml/mpds050d/mpds050d.pdf
+VSSOP{space}DCU{space}R-PDSO-G8{space}Pitch0.5mm
+0
+8
+8
+Package_SO
+VSSOP-8_3.0x3.0mm_P0.65mm
+VSSOP-8{space}3.0{space}x{space}3.0,{space}http://www.ti.com/lit/ds/symlink/lm75b.pdf
+VSSOP-8{space}3.0{space}x{space}3.0
+0
+8
+8
+Package_SO
+VSSOP-10_3x3mm_P0.5mm
+VSSOP,{space}10{space}Pin{space}(http://www.ti.com/lit/ds/symlink/ads1115.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_gullwing_generator.py
+VSSOP{space}SO
+0
+10
+10
+Package_SO
+Vishay_PowerPAK_1212-8_Dual
+PowerPAK{space}1212-8{space}Dual{space}(https://www.vishay.com/docs/71656/ppak12128.pdf,{space}https://www.vishay.com/docs/72598/72598.pdf)
+Vishay_PowerPAK_1212-8_Dual
+0
+6
+6
+Package_SO
+Vishay_PowerPAK_1212-8_Single
+PowerPAK{space}1212-8{space}Single{space}(https://www.vishay.com/docs/71656/ppak12128.pdf,{space}https://www.vishay.com/docs/72597/72597.pdf)
+Vishay{space}PowerPAK{space}1212-8{space}Single
+0
+5
+5
+Package_SO
+Zetex_SM8
+Zetex,{space}{space}SMD,{space}8{space}pin{space}package{space}(http://datasheet.octopart.com/ZDT6758TA-Zetex-datasheet-68057.pdf)
+Zetex{space}SM8
+0
+8
+8
+Package_SON
+Diodes_PowerDI3333-8
+Diodes{space}Incorporated{space}PowerDI3333-8,{space}Plastic{space}Dual{space}Flat{space}No{space}Lead{space}Package,{space}3.3x3.3x0.8mm{space}Body,{space}https://www.diodes.com/assets/Package-Files/PowerDI3333-8.pdf
+PowerDI{space}0.65
+0
+13
+5
+Package_SON
+Fairchild_DualPower33-6_3x3mm
+Fairchild{space}Power33{space}MOSFET{space}package,{space}3x3mm{space}(see{space}https://www.fairchildsemi.com/datasheets/FD/FDMC8032L.pdf)
+mosfet
+0
+18
+6
+Package_SON
+Fairchild_MicroPak-6_1.0x1.45mm_P0.5mm
+Fairchild-specific{space}MicroPak-6{space}1.0x1.45mm{space}Pitch{space}0.5mm{space}https://www.nxp.com/docs/en/application-note/AN10343.pdff
+Fairchild-specific{space}MicroPak-6{space}1.0x1.45mm{space}Pitch{space}0.5mm
+0
+6
+6
+Package_SON
+Fairchild_MicroPak2-6_1.0x1.0mm_P0.35mm
+Fairchild-specific{space}MicroPak2-6{space}1.0x1.0mm{space}Pitch{space}0.35mm{space}https://www.nxp.com/docs/en/application-note/AN10343.pdff
+Fairchild-specific{space}MicroPak2-6{space}1.0x1.0mm{space}Pitch{space}0.35mm
+0
+6
+6
+Package_SON
+HVSON-8-1EP_4x4mm_P0.8mm_EP2.2x3.1mm
+HVSON,{space}8{space}Pin{space}(https://www.nxp.com/docs/en/data-sheet/PCF8523.pdf{space}(page{space}57)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+HVSON{space}NoLead
+0
+13
+9
+Package_SON
+NXP_XSON-16
+http://www.nxp.com/documents/outline_drawing/SOT1341-1.pdf
+NXP{space}XSON{space}SOT-1341
+0
+16
+16
+Package_SON
+ROHM_VML0806
+VML0806,{space}Rohm{space}(http://rohmfs.rohm.com/en/techdata_basic/transistor/soldering_condition/VML0806_Soldering_Condition.pdf,{space}http://rohmfs.rohm.com/en/products/databook/package/spec/discrete/vml0806_tr-e.pdf)
+ROHM{space}VML0806
+0
+3
+3
+Package_SON
+RTC_SMD_MicroCrystal_C3_2.5x3.7mm
+MicroCrystal{space}C3{space}2.5x3.7mm,{space}https://www.microcrystal.com/fileadmin/Media/Products/RTC/Datasheet/RV-1805-C3.pdf
+RTC{space}C3
+0
+10
+10
+Package_SON
+SON-8-1EP_3x2mm_P0.5mm_EP1.4x1.6mm
+SON,{space}8{space}Pin{space}(http://www.fujitsu.com/downloads/MICRO/fsa/pdf/products/memory/fram/MB85RS16-DS501-00014-6v0-E.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+SON{space}DFN_QFN
+0
+13
+9
+Package_SON
+Texas_DQK
+Texas{space}WSON-6{space}DQK,{space}http://www.ti.com/lit/ds/symlink/csd16301q2.pdf
+Texas{space}WSON6{space}2x2mm
+0
+10
+8
+Package_SON
+Texas_DRC0010J
+Texas{space}DRC0010J,{space}VSON10{space}3x3mm{space}Body,{space}0.5mm{space}Pitch,{space}{space}http://www.ti.com/lit/ds/symlink/tps63000.pdf
+Texas{space}VSON10{space}3x3mm
+0
+17
+11
+Package_SON
+Texas_DRC0010J_ThermalVias
+Texas{space}DRC0010J,{space}VSON10{space}3x3mm{space}Body,{space}0.5mm{space}Pitch,{space}{space}http://www.ti.com/lit/ds/symlink/tps63000.pdf
+Texas{space}VSON10{space}3x3mm
+0
+21
+11
+Package_SON
+Texas_DSC0010J
+3x3mm{space}Body,{space}0.5mm{space}Pitch,{space}DSC0010J,{space}WSON,{space}http://www.ti.com/lit/ds/symlink/tps61201.pdf
+0.5{space}DSC0010J{space}WSON
+0
+33
+11
+Package_SON
+Texas_DSC0010J_ThermalVias
+3x3mm{space}Body,{space}0.5mm{space}Pitch,{space}DSC0010J,{space}WSON,{space}http://www.ti.com/lit/ds/symlink/tps61201.pdf
+0.5{space}DSC0010J{space}WSON
+0
+39
+11
+Package_SON
+Texas_PWSON-N6
+Plastic{space}Small{space}Outline{space}No-Lead{space}http://www.ti.com/lit/ml/mpds176e/mpds176e.pdf
+Plastic{space}Small{space}Outline{space}No-Lead
+0
+7
+7
+Package_SON
+Texas_R-PWSON-N12_EP0.4x2mm
+http://www.ti.com/lit/ds/symlink/tpd6f003.pdf
+WSON{space}SMD
+0
+16
+13
+Package_SON
+Texas_S-PDSO-N12
+http://www.ti.com/lit/ds/symlink/bq27441-g1.pdf
+SON{space}thermal{space}pads
+0
+44
+13
+Package_SON
+Texas_S-PVSON-N8
+8-Lead{space}Plastic{space}VSON,{space}3x3mm{space}Body,{space}0.65mm{space}Pitch,{space}S-PVSON-N8,{space}http://www.ti.com/lit/ds/symlink/opa2333.pdf
+DFN{space}0.65{space}S-PVSON-N8
+0
+21
+9
+Package_SON
+Texas_S-PVSON-N8_ThermalVias
+8-Lead{space}Plastic{space}VSON,{space}3x3mm{space}Body,{space}0.65mm{space}Pitch,{space}S-PVSON-N8,{space}http://www.ti.com/lit/ds/symlink/opa2333.pdf
+DFN{space}0.65{space}S-PVSON-N8
+0
+27
+9
+Package_SON
+Texas_S-PVSON-N10
+3x3mm{space}Body,{space}0.5mm{space}Pitch,{space}S-PVSON-N10,{space}DRC,{space}http://www.ti.com/lit/ds/symlink/tps61201.pdf
+0.5{space}S-PVSON-N10{space}DRC
+0
+33
+11
+Package_SON
+Texas_S-PVSON-N10_ThermalVias
+3x3mm{space}Body,{space}0.5mm{space}Pitch,{space}S-PVSON-N10,{space}DRC,{space}http://www.ti.com/lit/ds/symlink/tps61201.pdf
+0.5{space}S-PVSON-N10{space}DRC
+0
+39
+11
+Package_SON
+Texas_S-PWSON-N8_EP1.2x2mm
+WSON,{space}8{space}Pin{space}(http://www.ti.com/lit/ds/symlink/lp2951.pdf#page=27),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+WSON{space}DFN_QFN
+0
+11
+9
+Package_SON
+Texas_S-PWSON-N8_EP1.2x2mm_ThermalVias
+WSON,{space}8{space}Pin{space}(http://www.ti.com/lit/ds/symlink/lp2951.pdf#page=27),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+WSON{space}DFN_QFN
+0
+18
+9
+Package_SON
+Texas_S-PWSON-N10
+3x3mm{space}Body,{space}0.5mm{space}Pitch,{space}S-PWSON-N10,{space}DSC,{space}http://www.ti.com/lit/ds/symlink/tps63060.pdf
+0.5{space}S-PWSON-N10{space}DSC
+0
+41
+11
+Package_SON
+Texas_S-PWSON-N10_ThermalVias
+3x3mm{space}Body,{space}0.5mm{space}Pitch,{space}S-PWSON-N10,{space}DSC,{space}http://www.ti.com/lit/ds/symlink/tps63060.pdf
+0.5{space}S-PWSON-N10{space}DSC
+0
+47
+11
+Package_SON
+Texas_X2SON-4_1x1mm_P0.65mm
+X2SON{space}5{space}pin{space}1x1mm{space}package{space}(Reference{space}Datasheet:{space}http://www.ti.com/lit/ds/sbvs193d/sbvs193d.pdf{space}Reference{space}part:{space}TPS383x){space}[StepUp{space}generated{space}footprint]
+X2SON
+0
+13
+5
+Package_SON
+USON-10_2.5x1.0mm_P0.5mm
+USON-10{space}2.5x1.0mm_{space}Pitch{space}0.5mm{space}http://www.ti.com/lit/ds/symlink/tpd4e02b04.pdf
+USON-10{space}2.5x1.0mm{space}Pitch{space}0.5mm
+0
+10
+10
+Package_SON
+USON-20_2x4mm_P0.4mm
+USON-20{space}2x4mm{space}Pitch{space}0.4mm{space}http://www.ti.com/lit/ds/symlink/txb0108.pdf
+USON-20{space}2x4mm{space}Pitch{space}0.4mm
+0
+20
+20
+Package_SON
+VSON-8_3.3x3.3mm_P0.65mm_NexFET
+8-Lead{space}Plastic{space}Dual{space}Flat,{space}No{space}Lead{space}Package{space}(MF){space}-{space}3.3x3.3x1{space}mm{space}Body{space}[VSON]{space}http://www.ti.com/lit/ds/symlink/csd87334q3d.pdf
+VSON{space}0.65
+0
+12
+5
+Package_SON
+VSON-10-1EP_3x3mm_P0.5mm_EP1.2x2mm
+VSON,{space}10{space}Pin{space}(http://rohmfs.rohm.com/en/products/databook/datasheet/ic/power/switching_regulator/bd8314nuv-e.pdf{space}(Page{space}20)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+VSON
+0
+15
+11
+Package_SON
+VSON-10-1EP_3x3mm_P0.5mm_EP1.2x2mm_ThermalVias
+VSON,{space}10{space}Pin{space}(http://rohmfs.rohm.com/en/products/databook/datasheet/ic/power/switching_regulator/bd8314nuv-e.pdf{space}(Page{space}20)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+VSON
+0
+18
+11
+Package_SON
+VSON-10-1EP_3x3mm_P0.5mm_EP1.65x2.4mm
+VSON{space}10{space}Thermal{space}on{space}11{space}3x3mm{space}Pitch{space}0.5mm{space}http://chip.tomsk.ru/chip/chipdoc.nsf/Package/D8A64DD165C2AAD9472579400024FC41!OpenDocument
+VSON{space}10{space}Thermal{space}on{space}11{space}3x3mm{space}Pitch{space}0.5mm
+0
+21
+11
+Package_SON
+VSON-10-1EP_3x3mm_P0.5mm_EP1.65x2.4mm_ThermalVias
+VSON{space}10{space}Thermal{space}on{space}11{space}3x3mm{space}Pitch{space}0.5mm{space}http://chip.tomsk.ru/chip/chipdoc.nsf/Package/D8A64DD165C2AAD9472579400024FC41!OpenDocument
+VSON{space}10{space}Thermal{space}on{space}11{space}3x3mm{space}Pitch{space}0.5mm
+0
+27
+11
+Package_SON
+VSONP-8-1EP_5x6_P1.27mm
+SON,{space}8-Leads,{space}Body{space}5x6x1mm,{space}Pitch{space}1.27mm;{space}(see{space}Texas{space}Instruments{space}CSD18531Q5A{space}http://www.ti.com/lit/ds/symlink/csd18531q5a.pdf)
+VSONP{space}1.27
+0
+13
+3
+Package_SON
+WSON-6-1EP_2x2mm_P0.65mm_EP1x1.6mm
+WSON,{space}6{space}Pin{space}(http://www.ti.com/lit/ds/symlink/tps61040.pdf#page=35),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+WSON{space}NoLead
+0
+9
+7
+Package_SON
+WSON-6-1EP_2x2mm_P0.65mm_EP1x1.6mm_ThermalVias
+WSON,{space}6{space}Pin{space}(http://www.ti.com/lit/ds/symlink/tps61040.pdf#page=35),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+WSON{space}NoLead
+0
+12
+7
+Package_SON
+WSON-6-1EP_3x3mm_P0.95mm
+WSON6{space}3*3{space}MM,{space}0.95{space}PITCH;{space}http://www.ti.com/lit/ds/symlink/lmr62421.pdf
+WSON6{space}0.95
+0
+10
+7
+Package_SON
+WSON-6_1.5x1.5mm_P0.5mm
+WSON6,{space}http://www.ti.com/lit/ds/symlink/tlv702.pdf
+WSON6_1.5x1.5mm_P0.5mm
+0
+6
+6
+Package_SON
+WSON-8-1EP_2x2mm_P0.5mm_EP0.9x1.6mm
+8-Lead{space}Plastic{space}WSON,{space}2x2mm{space}Body,{space}0.5mm{space}Pitch,{space}WSON-8,{space}http://www.ti.com/lit/ds/symlink/lm27761.pdf
+WSON{space}8{space}1EP
+0
+11
+9
+Package_SON
+WSON-8-1EP_2x2mm_P0.5mm_EP0.9x1.6mm_ThermalVias
+8-Lead{space}Plastic{space}WSON,{space}2x2mm{space}Body,{space}0.5mm{space}Pitch,{space}WSON-8,{space}http://www.ti.com/lit/ds/symlink/lm27761.pdf
+WSON{space}8{space}1EP{space}ThermalVias
+0
+14
+9
+Package_SON
+WSON-8-1EP_3x3mm_P0.5mm_EP1.6x2.0mm
+8-Lead{space}Plastic{space}WSON,{space}2x2mm{space}Body,{space}0.5mm{space}Pitch,{space}WSON-8,{space}http://www.ti.com/lit/ds/symlink/lm27761.pdf
+WSON{space}8{space}1EP
+0
+13
+9
+Package_SON
+WSON-8-1EP_6x5mm_P1.27mm_EP3.4x4.3mm
+WSON,{space}8{space}Pin{space}(http://www.winbond.com/resource-files/w25q32jv%20revg%2003272018%20plus.pdf{space}(page{space}68)),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+WSON{space}DFN_QFN
+0
+13
+9
+Package_SON
+WSON-8-1EP_6x5mm_P1.27mm_EP3.4x4mm
+WSON,{space}8{space}Pin{space}(http://ww1.microchip.com/downloads/en/AppNotes/S72030.pdf),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_dfn_qfn_generator.py
+WSON{space}DFN_QFN
+0
+13
+9
+Package_SON
+WSON-8_4x4mm_P0.8mm
+http://www.ti.com/lit/ml/mpds406/mpds406.pdf
+WSON8_4x4mm_P0.8mm
+0
+9
+9
+Package_SON
+WSON-10-1EP_2x3mm_P0.5mm_EP0.84x2.4mm
+WSON-10{space}package{space}2x3mm{space}body,{space}pitch{space}0.5mm,{space}see{space}http://www.ti.com/lit/ds/symlink/tps62177.pdf
+WSON{space}0.5{space}
+0
+14
+11
+Package_SON
+WSON-10-1EP_2x3mm_P0.5mm_EP0.84x2.4mm_ThermalVias
+WSON-10{space}package{space}2x3mm{space}body,{space}pitch{space}0.5mm,{space}thermal{space}vias{space}and{space}counter-pad,{space}see{space}http://www.ti.com/lit/ds/symlink/tps62177.pdf
+WSON{space}0.5{space}thermal{space}vias
+0
+17
+11
+Package_SON
+WSON-10-1EP_4x3mm_P0.5mm_EP2.2x2mm
+10-Lead{space}Plastic{space}WSON,{space}4x3mm{space}Body,{space}0.5mm{space}Pitch{space}(http://www.ti.com/lit/ds/symlink/lm4990.pdf)
+WSON{space}0.5
+0
+15
+11
+Package_SON
+WSON-12-1EP_3x2mm_P0.5mm_EP1x2.65
+WSON-12{space}http://www.ti.com/lit/ds/symlink/lm27762.pdf
+WSON-12
+0
+15
+13
+Package_SON
+WSON-12-1EP_3x2mm_P0.5mm_EP1x2.65_ThermalVias
+WSON-12{space}http://www.ti.com/lit/ds/symlink/lm27762.pdf
+WSON-12
+0
+19
+13
+Package_SON
+WSON-12-1EP_4x4mm_P0.5mm_EP2.6x3mm
+WSON,{space}12{space}Pin{space}(http://www.ti.com/lit/ds/symlink/ldc1312.pdf#page=62),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+WSON{space}NoLead
+0
+17
+13
+Package_SON
+WSON-12-1EP_4x4mm_P0.5mm_EP2.6x3mm_ThermalVias
+WSON,{space}12{space}Pin{space}(http://www.ti.com/lit/ds/symlink/ldc1312.pdf#page=62),{space}generated{space}with{space}kicad-footprint-generator{space}ipc_noLead_generator.py
+WSON{space}NoLead
+0
+27
+13
+Package_SON
+WSON-14-1EP_4.0x4.0mm_P0.5mm_EP2.6x2.6mm
+14-Lead{space}Plastic{space}Dual{space}Flat,{space}No{space}Lead{space}Package{space}-{space}4.0x4.0x0.8{space}mm{space}Body{space}[WSON],{space}http://www.ti.com/lit/ml/mpds421/mpds421.pdf
+NHL014B
+0
+19
+15
+Package_SON
+WSON-16_3.3x1.35_P0.4mm
+WSON-16{space}3.3{space}x{space}1.35mm{space}{space}Pitch{space}0.4mm{space}http://www.chip.tomsk.ru/chip/chipdoc.nsf/Package/C67E729A4D6C883A4725793E004C8739!OpenDocument
+WSON-16{space}3.3{space}x{space}1.35mm{space}{space}Pitch{space}0.4mm
+0
+20
+17
+Package_SON
+X2SON-8_1.4x1mm_P0.35mm
+X2SON-8{space}1.4x1mm{space}Pitch0.35mm{space}http://www.ti.com/lit/ds/symlink/pca9306.pdf
+X2SON-8{space}1.4x1mm{space}Pitch0.35mm
+0
+8
+8
+Package_SO_J-Lead
+TSOC-6_3.76x3.94mm_P1.27mm
+Maxim{space}Integrated{space}TSOC-6{space}D6+1,https://datasheets.maximintegrated.com/en/ds/DS2401.pdf,{space}https://pdfserv.maximintegrated.com/land_patterns/90-0321.PDF
+TSOC-6
+0
+6
+6
+Package_TO_SOT_SMD
+ATPAK-2
+ATPAK{space}SMD{space}package,{space}http://www.onsemi.com/pub/Collateral/ENA2192-D.PDF
+ATPAK{space}
+0
+7
+3
+Package_TO_SOT_SMD
+Analog_KS-4
+Analog{space}Devices{space}KS-4,{space}http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/sc70ks/ks_4.pdf
+Analog{space}Devices{space}KS-4{space}(like{space}EIAJ{space}SC-82)
+0
+4
+4
+Package_TO_SOT_SMD
+Diodes_SOT-553
+Diodes{space}SOT-553,{space}https://www.diodes.com/assets/Package-Files/SOT553.pdf
+SOT-553
+0
+5
+5
+Package_TO_SOT_SMD
+HVSOF5
+HVSOF5,{space}http://rohmfs.rohm.com/en/techdata_basic/ic/package/hvsof5_1-e.pdf
+HVSOF5
+0
+9
+5
+Package_TO_SOT_SMD
+HVSOF6
+HVSOF6,{space}http://rohmfs.rohm.com/en/techdata_basic/ic/package/hvsof6_1-e.pdf,{space}http://rohmfs.rohm.com/en/products/databook/datasheet/ic/audio_video/video_amplifier/bh76106hfv-e.pdf
+HVSOF6
+0
+7
+7
+Package_TO_SOT_SMD
+Infineon_PG-HDSOP-10-1
+Infineon{space}PG-HDSOP-10-1{space}(DDPAK),{space}20.96x6.5x2.3mm,{space}slug{space}up{space}(https://www.infineon.com/cms/en/product/packages/PG-HDSOP/PG-HDSOP-10-1/)
+hdsop{space}10{space}ddpak
+0
+10
+10
+Package_TO_SOT_SMD
+Infineon_PG-HSOF-8-1
+Infineon{space}HSOF-8-1{space}power{space}mosfet{space}http://www.infineon.com/cms/en/product/packages/PG-HSOF/PG-HSOF-8-1/
+mosfet{space}hsof
+0
+54
+3
+Package_TO_SOT_SMD
+Infineon_PG-HSOF-8-1_ThermalVias
+HSOF-8-1{space}power{space}mosfet{space}http://www.infineon.com/cms/en/product/packages/PG-HSOF/PG-HSOF-8-1/
+mosfet{space}hsof{space}thermal{space}vias
+0
+57
+3
+Package_TO_SOT_SMD
+Infineon_PG-TO-220-7Lead_TabPin8
+Infineon{space}PG-TO-220-7,{space}Tab{space}as{space}Pin{space}8,{space}see{space}e.g.{space}https://www.infineon.com/dgdl/Infineon-BTS50055-1TMC-DS-v01_00-EN.pdf?fileId=5546d4625a888733015aa9b0007235e9
+Infineon{space}PG-TO-220-7
+0
+12
+8
+Package_TO_SOT_SMD
+LFPAK33
+LFPAK33{space}SOT-1210{space}https://assets.nexperia.com/documents/outline-drawing/SOT1210.pdf
+LFPAK33{space}SOT-1210
+0
+21
+5
+Package_TO_SOT_SMD
+LFPAK56
+LFPAK56{space}https://assets.nexperia.com/documents/outline-drawing/SOT669.pdf
+LFPAK56{space}SOT-669{space}Power-SO8
+0
+19
+5
+Package_TO_SOT_SMD
+LFPAK56_ThermalVias-1
+LFPAK56{space}https://assets.nexperia.com/documents/outline-drawing/SOT669.pdf
+LFPAK56{space}SOT669{space}Thermal{space}Vias{space}0.4mm{space}Power-SO8
+0
+63
+5
+Package_TO_SOT_SMD
+LFPAK56_ThermalVias-2
+LFPAK56{space}https://assets.nexperia.com/documents/outline-drawing/SOT669.pdf
+LFPAK56{space}SOT669{space}Thermical{space}Vias{space}Power-SO8
+0
+30
+5
+Package_TO_SOT_SMD
+OnSemi_ECH8
+On{space}Semiconductor{space}ECH8,{space}https://www.onsemi.com/pub/Collateral/318BF.PDF
+ECH8{space}SOT28-FL{space}SOT-28-FL
+0
+8
+8
+Package_TO_SOT_SMD
+PQFN_8x8
+Low{space}profile{space}8x8mm{space}PQFN{space}package{space}e.g.{space}https://www.fairchildsemi.com/datasheets/FD/FDMT80080DC.pdf
+pqfn{space}vdfn{space}mosfet
+0
+16
+3
+Package_TO_SOT_SMD
+PowerMacro_M234_NoHole
+TO-50-4{space}Power{space}Macro{space}Package{space}Style{space}M234
+TO-50-4{space}Power{space}Macro{space}Package{space}Style{space}M234
+0
+4
+4
+Package_TO_SOT_SMD
+PowerMacro_M234_WithHole
+TO-50-4{space}Power{space}Macro{space}Package{space}Style{space}M234
+TO-50-4{space}Power{space}Macro{space}Package{space}Style{space}M234
+0
+4
+4
+Package_TO_SOT_SMD
+Rohm_HRP7
+Rohm{space}HRP7{space}SMD{space}package,{space}http://rohmfs.rohm.com/en/techdata_basic/ic/package/hrp7_1-e.pdf,{space}http://rohmfs.rohm.com/en/products/databook/datasheet/ic/motor/dc/bd621x-e.pdf
+Rohm{space}HRP7{space}SMD
+0
+69
+7
+Package_TO_SOT_SMD
+SC-59
+SC-59,{space}https://lib.chipdip.ru/images/import_diod/original/SOT-23_SC-59.jpg
+SC-59
+0
+3
+3
+Package_TO_SOT_SMD
+SC-59_Handsoldering
+SC-59,{space}hand-soldering{space}varaint,{space}https://lib.chipdip.ru/images/import_diod/original/SOT-23_SC-59.jpg
+SC-59{space}hand-soldering
+0
+3
+3
+Package_TO_SOT_SMD
+SC-70-8
+SC70-8
+SC70-8
+0
+8
+8
+Package_TO_SOT_SMD
+SC-70-8_Handsoldering
+SC70-8,{space}Handsoldering
+SC70-8{space}Handsoldering
+0
+8
+8
+Package_TO_SOT_SMD
+SC-82AA
+SC-82AA
+SC-82AA
+0
+4
+4
+Package_TO_SOT_SMD
+SC-82AA_Handsoldering
+SC-82AA
+SC-82AA
+0
+4
+4
+Package_TO_SOT_SMD
+SC-82AB
+SC-82AB
+SC-82AB
+0
+4
+4
+Package_TO_SOT_SMD
+SC-82AB_Handsoldering
+SC-82AB
+SC-82AB
+0
+4
+4
+Package_TO_SOT_SMD
+SOT-23
+SOT-23,{space}Standard
+SOT-23
+0
+3
+3
+Package_TO_SOT_SMD
+SOT-23-5
+5-pin{space}SOT23{space}package
+SOT-23-5
+0
+5
+5
+Package_TO_SOT_SMD
+SOT-23-5_HandSoldering
+5-pin{space}SOT23{space}package
+SOT-23-5{space}hand-soldering
+0
+5
+5
+Package_TO_SOT_SMD
+SOT-23-6
+6-pin{space}SOT-23{space}package
+SOT-23-6
+0
+6
+6
+Package_TO_SOT_SMD
+SOT-23-6_Handsoldering
+6-pin{space}SOT-23{space}package,{space}Handsoldering
+SOT-23-6{space}Handsoldering
+0
+6
+6
+Package_TO_SOT_SMD
+SOT-23-8
+8-pin{space}SOT-23{space}package,{space}http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/sot-23rj/rj_8.pdf
+SOT-23-8
+0
+8
+8
+Package_TO_SOT_SMD
+SOT-23-8_Handsoldering
+8-pin{space}SOT-23{space}package,{space}Handsoldering,{space}http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/sot-23rj/rj_8.pdf
+SOT-23-8{space}Handsoldering
+0
+8
+8
+Package_TO_SOT_SMD
+SOT-23W
+SOT-23W{space}http://www.allegromicro.com/~/media/Files/Datasheets/A112x-Datasheet.ashx?la=en&hash=7BC461E058CC246E0BAB62433B2F1ECA104CA9D3
+SOT-23W
+0
+3
+3
+Package_TO_SOT_SMD
+SOT-23W_Handsoldering
+SOT-23W{space}http://www.allegromicro.com/~/media/Files/Datasheets/A112x-Datasheet.ashx?la=en&hash=7BC461E058CC246E0BAB62433B2F1ECA104CA9D3
+SOT-23W{space}for{space}handsoldering
+0
+3
+3
+Package_TO_SOT_SMD
+SOT-23_Handsoldering
+SOT-23,{space}Handsoldering
+SOT-23
+0
+3
+3
+Package_TO_SOT_SMD
+SOT-89-3
+SOT-89-3
+SOT-89-3
+0
+6
+3
+Package_TO_SOT_SMD
+SOT-89-3_Handsoldering
+SOT-89-3{space}Handsoldering
+SOT-89-3{space}Handsoldering
+0
+5
+3
+Package_TO_SOT_SMD
+SOT-89-5
+SOT-89-5,{space}Housing,http://www.e-devices.ricoh.co.jp/en/products/product_power/pkg/sot-89-5.pdf
+SOT-89-5{space}Housing{space}
+0
+9
+5
+Package_TO_SOT_SMD
+SOT-89-5_Handsoldering
+SOT89-5,{space}Housing,http://www.e-devices.ricoh.co.jp/en/products/product_power/pkg/sot-89-5.pdf
+SOT89-5{space}Housing{space}
+0
+9
+5
+Package_TO_SOT_SMD
+SOT-143
+SOT-143
+SOT-143
+0
+4
+4
+Package_TO_SOT_SMD
+SOT-143R_Reverse
+SOT-143R{space}Reverse
+SOT-143R{space}Reverse
+0
+4
+4
+Package_TO_SOT_SMD
+SOT-143R_Reverse_Handsoldering
+SOT-143R{space}Reverse{space}Handsoldering
+SOT-143{space}Reverse{space}Handsoldering
+0
+4
+4
+Package_TO_SOT_SMD
+SOT-143_Handsoldering
+SOT-143{space}Handsoldering
+SOT-143{space}Handsoldering
+0
+4
+4
+Package_TO_SOT_SMD
+SOT-223
+module{space}CMS{space}SOT223{space}4{space}pins
+CMS{space}SOT
+0
+4
+4
+Package_TO_SOT_SMD
+SOT-223-3_TabPin2
+module{space}CMS{space}SOT223{space}4{space}pins
+CMS{space}SOT
+0
+4
+3
+Package_TO_SOT_SMD
+SOT-223-5
+module{space}CMS{space}SOT223{space}5{space}pins,{space}http://ww1.microchip.com/downloads/en/DeviceDoc/51751a.pdf
+CMS{space}SOT
+0
+5
+5
+Package_TO_SOT_SMD
+SOT-223-6
+module{space}CMS{space}SOT223{space}6{space}pins,{space}http://www.ti.com/lit/ds/symlink/tps737.pdf
+CMS{space}SOT
+0
+6
+6
+Package_TO_SOT_SMD
+SOT-223-6_TabPin3
+module{space}CMS{space}SOT223{space}6{space}pins,{space}http://www.ti.com/lit/ds/symlink/tps737.pdf
+CMS{space}SOT
+0
+6
+5
+Package_TO_SOT_SMD
+SOT-223-8
+module{space}CMS{space}SOT223{space}8{space}pins,{space}https://www.diodes.com/assets/Datasheets/ZXSBMR16PT8.pdf
+CMS{space}SOT
+0
+8
+8
+Package_TO_SOT_SMD
+SOT-323_SC-70
+SOT-323,{space}SC-70
+SOT-323{space}SC-70
+0
+3
+3
+Package_TO_SOT_SMD
+SOT-323_SC-70_Handsoldering
+SOT-323,{space}SC-70{space}Handsoldering
+SOT-323{space}SC-70{space}Handsoldering
+0
+3
+3
+Package_TO_SOT_SMD
+SOT-343_SC-70-4
+SOT-343,{space}SC-70-4
+SOT-343{space}SC-70-4
+0
+4
+4
+Package_TO_SOT_SMD
+SOT-343_SC-70-4_Handsoldering
+SOT-343,{space}SC-70-4,{space}Handsoldering
+SOT-343{space}SC-70-4{space}Handsoldering
+0
+4
+4
+Package_TO_SOT_SMD
+SOT-353_SC-70-5
+SOT-353,{space}SC-70-5
+SOT-353{space}SC-70-5
+0
+5
+5
+Package_TO_SOT_SMD
+SOT-353_SC-70-5_Handsoldering
+SOT-353,{space}SC-70-5,{space}Handsoldering
+SOT-353{space}SC-70-5{space}Handsoldering
+0
+5
+5
+Package_TO_SOT_SMD
+SOT-363_SC-70-6
+SOT-363,{space}SC-70-6
+SOT-363{space}SC-70-6
+0
+6
+6
+Package_TO_SOT_SMD
+SOT-363_SC-70-6_Handsoldering
+SOT-363,{space}SC-70-6,{space}Handsoldering
+SOT-363{space}SC-70-6{space}Handsoldering
+0
+6
+6
+Package_TO_SOT_SMD
+SOT-383F
+8-pin{space}SOT-383F,{space}http://www.mouser.com/ds/2/80/CPDVR085V0C-HF-RevB-10783.pdf
+SOT-383F
+0
+9
+9
+Package_TO_SOT_SMD
+SOT-383FL
+8-pin{space}SOT-383FL{space}package,{space}http://www.onsemi.com/pub_link/Collateral/ENA2267-D.PDF
+SOT-383FL
+0
+8
+8
+Package_TO_SOT_SMD
+SOT-416
+SOT-416,{space}https://www.nxp.com/docs/en/package-information/SOT416.pdf
+SOT-416
+0
+3
+3
+Package_TO_SOT_SMD
+SOT-543
+SOT-543{space}4{space}lead{space}surface{space}package
+SOT-543{space}SC-107A{space}EMD4
+0
+4
+4
+Package_TO_SOT_SMD
+SOT-553
+SOT553
+SOT-553
+0
+5
+5
+Package_TO_SOT_SMD
+SOT-563
+SOT563
+SOT-563
+0
+6
+6
+Package_TO_SOT_SMD
+SOT-665
+SOT665
+SOT-665
+0
+5
+5
+Package_TO_SOT_SMD
+SOT-666
+SOT666
+SOT-666
+0
+6
+6
+Package_TO_SOT_SMD
+SOT-723
+http://toshiba.semicon-storage.com/info/docget.jsp?did=5879&prodName=RN1104MFV
+sot{space}723
+0
+3
+3
+Package_TO_SOT_SMD
+SOT-883
+SOT-883,{space}https://assets.nexperia.com/documents/outline-drawing/SOT883.pdf
+SOT-883
+0
+3
+3
+Package_TO_SOT_SMD
+SOT-886
+SOT-886
+SOT-886
+0
+6
+6
+Package_TO_SOT_SMD
+SOT-963
+SOT{space}963{space}6{space}pins{space}package{space}1x0.8mm{space}pitch{space}0.35mm
+SOT{space}963{space}6{space}pins{space}package{space}1x0.8mm{space}pitch{space}0.35mm
+0
+6
+6
+Package_TO_SOT_SMD
+SOT-1123
+SOT-1123{space}small{space}outline{space}transistor{space}(see{space}http://www.onsemi.com/pub/Collateral/NST3906F3-D.PDF)
+SOT-1123{space}transistor
+0
+3
+3
+Package_TO_SOT_SMD
+SOT-1333-1
+SOT-1333-1{space}
+SOT-1333-1{space}
+0
+9
+9
+Package_TO_SOT_SMD
+SOT-1334-1
+SOT-1334-1
+SOT-1334-1
+0
+14
+14
+Package_TO_SOT_SMD
+SuperSOT-3
+3-pin{space}SuperSOT{space}package{space}https://www.fairchildsemi.com/package-drawings/MA/MA03B.pdf
+SuperSOT-3{space}SSOT-3
+0
+3
+3
+Package_TO_SOT_SMD
+SuperSOT-6
+6-pin{space}SuperSOT{space}package{space}http://www.mouser.com/ds/2/149/FMB5551-889214.pdf
+SuperSOT-6{space}SSOT-6
+0
+6
+6
+Package_TO_SOT_SMD
+SuperSOT-8
+8-pin{space}SuperSOT{space}package,{space}http://www.icbank.com/icbank_data/semi_package/ssot8_dim.pdf
+SuperSOT-8{space}SSOT-8
+0
+8
+8
+Package_TO_SOT_SMD
+TDSON-8-1
+Power{space}MOSFET{space}package,{space}TDSON-8-1,{space}SuperS08,{space}SON-8_5x6mm
+tdson{space}
+0
+13
+5
+Package_TO_SOT_SMD
+TDSON-8-1_HandSoldering
+Power{space}MOSFET{space}package,{space}TDSON-8-1,{space}SuperS08,{space}SON-8_5x6mm
+tdson{space}
+0
+13
+5
+Package_TO_SOT_SMD
+TO-50-3_LongPad-NoHole_Housing
+TO-50-3{space}Macro{space}T{space}Package{space}Style{space}M236
+TO-50-3{space}Macro{space}T{space}Package{space}Style{space}M236
+0
+3
+3
+Package_TO_SOT_SMD
+TO-50-3_LongPad-WithHole_Housing
+TO-50-3{space}Macro{space}T{space}Package{space}Style{space}M236
+TO-50-3{space}Macro{space}T{space}Package{space}Style{space}M236
+0
+3
+3
+Package_TO_SOT_SMD
+TO-50-3_ShortPad-NoHole_Housing
+TO-50-3{space}Macro{space}T{space}Package{space}Style{space}M236
+TO-50-3{space}Macro{space}T{space}Package{space}Style{space}M236
+0
+3
+3
+Package_TO_SOT_SMD
+TO-50-3_ShortPad-WithHole_Housing
+TO-50-3{space}Macro{space}T{space}Package{space}Style{space}M236
+TO-50-3{space}Macro{space}T{space}Package{space}Style{space}M236
+0
+3
+3
+Package_TO_SOT_SMD
+TO-50-4_LongPad-NoHole_Housing
+TO-50-4{space}Macro{space}X{space}Package{space}Style{space}M238
+TO-50-4{space}Macro{space}X{space}Package{space}Style{space}M238
+0
+4
+4
+Package_TO_SOT_SMD
+TO-50-4_LongPad-WithHole_Housing
+TO-50-4{space}Macro{space}X{space}Package{space}Style{space}M238
+TO-50-4{space}Macro{space}X{space}Package{space}Style{space}M238
+0
+4
+4
+Package_TO_SOT_SMD
+TO-50-4_ShortPad-NoHole_Housing
+TO-50-4{space}Macro{space}X{space}Package{space}Style{space}M238
+TO-50-4{space}Macro{space}X{space}Package{space}Style{space}M238
+0
+4
+4
+Package_TO_SOT_SMD
+TO-50-4_ShortPad-WithHole_Housing
+TO-50-4{space}Macro{space}X{space}Package{space}Style{space}M238
+TO-50-4{space}Macro{space}X{space}Package{space}Style{space}M238
+0
+4
+4
+Package_TO_SOT_SMD
+TO-252-2
+TO-252{space}/{space}DPAK{space}SMD{space}package,{space}http://www.infineon.com/cms/en/product/packages/PG-TO252/PG-TO252-3-1/
+DPAK{space}TO-252{space}DPAK-3{space}TO-252-3{space}SOT-428
+0
+7
+3
+Package_TO_SOT_SMD
+TO-252-2_TabPin1
+TO-252-2,{space}tab{space}to{space}pin{space}1{space}https://www.wolfspeed.com/media/downloads/87/CSD01060.pdf
+TO-252-2{space}diode
+0
+7
+2
+Package_TO_SOT_SMD
+TO-252-3_TabPin2
+TO-252{space}/{space}DPAK{space}SMD{space}package,{space}http://www.infineon.com/cms/en/product/packages/PG-TO252/PG-TO252-3-1/
+DPAK{space}TO-252{space}DPAK-3{space}TO-252-3{space}SOT-428
+0
+8
+3
+Package_TO_SOT_SMD
+TO-252-3_TabPin4
+TO-252{space}/{space}DPAK{space}SMD{space}package,{space}http://www.infineon.com/cms/en/product/packages/PG-TO252/PG-TO252-3-1/
+DPAK{space}TO-252{space}DPAK-3{space}TO-252-3{space}SOT-428
+0
+8
+4
+Package_TO_SOT_SMD
+TO-252-4
+TO-252{space}/{space}DPAK{space}SMD{space}package,{space}http://www.infineon.com/cms/en/product/packages/PG-TO252/PG-TO252-5-11/
+DPAK{space}TO-252{space}DPAK-5{space}TO-252-5
+0
+9
+5
+Package_TO_SOT_SMD
+TO-252-5_TabPin3
+TO-252{space}/{space}DPAK{space}SMD{space}package,{space}http://www.infineon.com/cms/en/product/packages/PG-TO252/PG-TO252-5-11/
+DPAK{space}TO-252{space}DPAK-5{space}TO-252-5
+0
+10
+5
+Package_TO_SOT_SMD
+TO-252-5_TabPin6
+TO-252{space}/{space}DPAK{space}SMD{space}package,{space}http://www.infineon.com/cms/en/product/packages/PG-TO252/PG-TO252-5-11/
+DPAK{space}TO-252{space}DPAK-5{space}TO-252-5
+0
+10
+6
+Package_TO_SOT_SMD
+TO-263-2
+TO-263{space}/{space}D2PAK{space}/{space}DDPAK{space}SMD{space}package,{space}http://www.infineon.com/cms/en/product/packages/PG-TO263/PG-TO263-3-1/
+D2PAK{space}DDPAK{space}TO-263{space}D2PAK-3{space}TO-263-3{space}SOT-404
+0
+7
+3
+Package_TO_SOT_SMD
+TO-263-2_TabPin1
+TO-263{space}/{space}D2PAK{space}/{space}DDPAK{space}SMD{space}package,{space}tab{space}to{space}pin{space}1,{space}https://www.wolfspeed.com/media/downloads/137/C3D06060G.pdf
+D2PAK{space}DDPAK{space}TO-263{space}D2PAK-3{space}TO-263-3{space}SOT-404{space}diode
+0
+7
+2
+Package_TO_SOT_SMD
+TO-263-3_TabPin2
+TO-263{space}/{space}D2PAK{space}/{space}DDPAK{space}SMD{space}package,{space}http://www.infineon.com/cms/en/product/packages/PG-TO263/PG-TO263-3-1/
+D2PAK{space}DDPAK{space}TO-263{space}D2PAK-3{space}TO-263-3{space}SOT-404
+0
+8
+3
+Package_TO_SOT_SMD
+TO-263-3_TabPin4
+TO-263{space}/{space}D2PAK{space}/{space}DDPAK{space}SMD{space}package,{space}http://www.infineon.com/cms/en/product/packages/PG-TO263/PG-TO263-3-1/
+D2PAK{space}DDPAK{space}TO-263{space}D2PAK-3{space}TO-263-3{space}SOT-404
+0
+8
+4
+Package_TO_SOT_SMD
+TO-263-4
+TO-263{space}/{space}D2PAK{space}/{space}DDPAK{space}SMD{space}package,{space}http://www.infineon.com/cms/en/product/packages/PG-TO263/PG-TO263-5-1/
+D2PAK{space}DDPAK{space}TO-263{space}D2PAK-5{space}TO-263-5{space}SOT-426
+0
+9
+5
+Package_TO_SOT_SMD
+TO-263-5_TabPin3
+TO-263{space}/{space}D2PAK{space}/{space}DDPAK{space}SMD{space}package,{space}http://www.infineon.com/cms/en/product/packages/PG-TO263/PG-TO263-5-1/
+D2PAK{space}DDPAK{space}TO-263{space}D2PAK-5{space}TO-263-5{space}SOT-426
+0
+10
+5
+Package_TO_SOT_SMD
+TO-263-5_TabPin6
+TO-263{space}/{space}D2PAK{space}/{space}DDPAK{space}SMD{space}package,{space}http://www.infineon.com/cms/en/product/packages/PG-TO263/PG-TO263-5-1/
+D2PAK{space}DDPAK{space}TO-263{space}D2PAK-5{space}TO-263-5{space}SOT-426
+0
+10
+6
+Package_TO_SOT_SMD
+TO-263-6
+TO-263{space}/{space}D2PAK{space}/{space}DDPAK{space}SMD{space}package,{space}http://www.infineon.com/cms/en/product/packages/PG-TO263/PG-TO263-7-1/
+D2PAK{space}DDPAK{space}TO-263{space}D2PAK-7{space}TO-263-7{space}SOT-427
+0
+11
+7
+Package_TO_SOT_SMD
+TO-263-7_TabPin4
+TO-263{space}/{space}D2PAK{space}/{space}DDPAK{space}SMD{space}package,{space}http://www.infineon.com/cms/en/product/packages/PG-TO263/PG-TO263-7-1/
+D2PAK{space}DDPAK{space}TO-263{space}D2PAK-7{space}TO-263-7{space}SOT-427
+0
+12
+7
+Package_TO_SOT_SMD
+TO-263-7_TabPin8
+TO-263{space}/{space}D2PAK{space}/{space}DDPAK{space}SMD{space}package,{space}http://www.infineon.com/cms/en/product/packages/PG-TO263/PG-TO263-7-1/
+D2PAK{space}DDPAK{space}TO-263{space}D2PAK-7{space}TO-263-7{space}SOT-427
+0
+12
+8
+Package_TO_SOT_SMD
+TO-263-9_TabPin5
+TO-263{space}/{space}D2PAK{space}/{space}DDPAK{space}SMD{space}package,{space}http://www.ti.com/lit/ds/symlink/lm4755.pdf
+D2PAK{space}DDPAK{space}TO-263{space}D2PAK-9{space}TO-263-9
+0
+14
+9
+Package_TO_SOT_SMD
+TO-263-9_TabPin10
+TO-263{space}/{space}D2PAK{space}/{space}DDPAK{space}SMD{space}package,{space}http://www.ti.com/lit/ds/symlink/lm4755.pdf
+D2PAK{space}DDPAK{space}TO-263{space}D2PAK-9{space}TO-263-9
+0
+14
+10
+Package_TO_SOT_SMD
+TO-268-2
+TO-268/D3PAK{space}SMD{space}package,{space}http://www.icbank.com/icbank_data/semi_package/to268aa_dim.pdf
+D3PAK{space}TO-268{space}D3PAK-3{space}TO-268-3
+0
+7
+3
+Package_TO_SOT_SMD
+TO-269AA
+SMD{space}package{space}TO-269AA{space}(e.g.{space}diode{space}bridge),{space}see{space}http://www.vishay.com/docs/88854/padlayouts.pdf
+TO-269AA{space}MBS{space}diode{space}bridge
+0
+4
+4
+Package_TO_SOT_SMD
+TO-277A
+Thermal{space}enhanced{space}ultra{space}thin{space}SMD{space}package;{space}3{space}leads;{space}body:{space}5.8{space}x{space}4.3{space}x{space}0.78{space}mm
+TO-277A{space}SOT-1289
+0
+12
+3
+Package_TO_SOT_SMD
+TO-277B
+TO-227B{space}https://media.digikey.com/pdf/Data%20Sheets/Littelfuse%20PDFs/DST2050S.pdf
+TO-277B
+0
+9
+3
+Package_TO_SOT_SMD
+TSOT-23
+3-pin{space}TSOT23{space}package,{space}http://www.analog.com.tw/pdf/All_In_One.pdf
+TSOT-23
+0
+3
+3
+Package_TO_SOT_SMD
+TSOT-23-5
+5-pin{space}TSOT23{space}package,{space}http://cds.linear.com/docs/en/packaging/SOT_5_05-08-1635.pdf
+TSOT-23-5
+0
+5
+5
+Package_TO_SOT_SMD
+TSOT-23-5_HandSoldering
+5-pin{space}TSOT23{space}package,{space}http://cds.linear.com/docs/en/packaging/SOT_5_05-08-1635.pdf
+TSOT-23-5{space}Hand-soldering
+0
+5
+5
+Package_TO_SOT_SMD
+TSOT-23-6
+6-pin{space}TSOT23{space}package,{space}http://cds.linear.com/docs/en/packaging/SOT_6_05-08-1636.pdf
+TSOT-23-6{space}MK06A{space}TSOT-6
+0
+6
+6
+Package_TO_SOT_SMD
+TSOT-23-6_HandSoldering
+6-pin{space}TSOT23{space}package,{space}http://cds.linear.com/docs/en/packaging/SOT_6_05-08-1636.pdf
+TSOT-23-6{space}MK06A{space}TSOT-6{space}Hand-soldering
+0
+6
+6
+Package_TO_SOT_SMD
+TSOT-23-8
+8-pin{space}TSOT23{space}package,{space}http://cds.linear.com/docs/en/packaging/SOT_8_05-08-1637.pdf
+TSOT-23-8
+0
+8
+8
+Package_TO_SOT_SMD
+TSOT-23-8_HandSoldering
+8-pin{space}TSOT23{space}package,{space}http://cds.linear.com/docs/en/packaging/SOT_8_05-08-1637.pdf
+TSOT-23-8{space}Hand-soldering
+0
+8
+8
+Package_TO_SOT_SMD
+TSOT-23_HandSoldering
+5-pin{space}TSOT23{space}package,{space}http://cds.linear.com/docs/en/packaging/SOT_5_05-08-1635.pdf
+TSOT-23{space}Hand-soldering
+0
+3
+3
+Package_TO_SOT_SMD
+Texas_DRT-3
+Texas{space}Instrument{space}DRT-3{space}1x0.8mm{space}Pitch{space}0.7mm{space}http://www.ti.com/lit/ds/symlink/tpd2eusb30.pdf
+DRT-3{space}1x0.8mm{space}Pitch{space}0.7mm
+0
+3
+3
+Package_TO_SOT_SMD
+Texas_NDY0011A
+TO-PMOD-11{space}11-pin{space}switching{space}regulator{space}package,{space}http://www.ti.com/lit/ml/mmsf025/mmsf025.pdf
+Texas{space}TO-PMOD{space}NDY00011A
+0
+12
+12
+Package_TO_SOT_SMD
+Texas_R-PDSO-G6
+R-PDSO-G6,{space}http://www.ti.com/lit/ds/slis144b/slis144b.pdf
+R-PDSO-G6{space}SC-70-6
+0
+6
+6
+Package_TO_SOT_SMD
+VSOF5
+VSOF5
+VSOF5
+0
+5
+5
+Package_TO_SOT_SMD
+Vishay_PowerPAK_SC70-6L_Dual
+Vishay{space}PowerPAK{space}SC70{space}dual{space}transistor{space}package{space}http://www.vishay.com/docs/70487/70487.pdf
+powerpak{space}sc70{space}sc-70{space}dual
+0
+8
+6
+Package_TO_SOT_SMD
+Vishay_PowerPAK_SC70-6L_Single
+Vishay{space}PowerPAK{space}SC70{space}single{space}transistor{space}package{space}http://www.vishay.com/docs/70486/70486.pdf
+powerpak{space}sc70{space}sc-70
+0
+10
+3
+Package_TO_SOT_THT
+Fairchild_TO-220F-6L
+Fairchild{space}TO-220F-6L,{space}http://www.mouser.com/ds/2/149/FSL136MRT-113334.pdf
+Fairchild{space}TO-220F-6L
+0
+6
+6
+Package_TO_SOT_THT
+Heraeus_TO-92-2
+TO-92{space}2-pin{space}variant{space}by{space}Heraeus,{space}drill{space}0.75mm{space}(http://www.produktinfo.conrad.com/datenblaetter/175000-199999/181293-da-01-de-TO92_Temperatursensor_PT1000_32209225.pdf)
+to-92{space}
+0
+2
+2
+Package_TO_SOT_THT
+NEC_Molded_7x4x9mm
+Molded{space}Japan{space}Transistor{space}Package{space}7x4x9mm^3,{space}http://rtellason.com/transdata/2sb734.pdf
+Japan{space}transistor
+0
+3
+3
+Package_TO_SOT_THT
+PowerIntegrations_TO-220-7C
+Non{space}Isolated{space}Modified{space}TO-220{space}7pin{space}Package,{space}see{space}http://www.farnell.com/datasheets/5793.pdf
+Power{space}Integration{space}Y{space}Package
+0
+6
+6
+Package_TO_SOT_THT
+SIPAK-1EP_Horizontal_TabDown
+SIPAK,{space}Horizontal,{space}RM{space}2.286mm
+SIPAK{space}Horizontal{space}RM{space}2.286mm
+0
+4
+4
+Package_TO_SOT_THT
+SIPAK_Vertical
+SIPAK,{space}Vertical,{space}RM{space}2.286mm
+SIPAK{space}Vertical{space}RM{space}2.286mm
+0
+3
+3
+Package_TO_SOT_THT
+SOD-70_P2.54mm
+Plastic{space}near{space}cylindrical{space}package{space}Sod-70{space}see:{space}https://www.nxp.com/docs/en/data-sheet/KTY81_SER.pdf{space}{space}[StepUp{space}generated{space}footprint]
+Sod-70
+0
+2
+2
+Package_TO_SOT_THT
+SOD-70_P5.08mm
+Plastic{space}near{space}cylindrical{space}package{space}Sod-70{space}see:{space}https://www.nxp.com/docs/en/data-sheet/KTY81_SER.pdf{space}{space}[StepUp{space}generated{space}footprint]
+Sod-70
+0
+2
+2
+Package_TO_SOT_THT
+SOT-227
+SOT-227{space}/{space}SOT-227B{space}/{space}ISOTOP,{space}M4{space}mounting{space}screws{space}(https://www.vishay.com/docs/95423/sot227g2.pdf,{space}https://www.vishay.com/docs/95793/vs-fc420sa10.pdf)
+sot{space}227{space}isotop
+0
+8
+4
+Package_TO_SOT_THT
+TO-3
+Transistor{space}TO-3
+TR{space}TO-3{space}TO3{space}TO-204
+0
+4
+3
+Package_TO_SOT_THT
+TO-3P-3_Horizontal_TabDown
+TO-3P-3,{space}Horizontal,{space}RM{space}5.45mm,{space},{space}see{space}https://toshiba.semicon-storage.com/ap-en/design-support/package/detail.TO-3P(N).html
+TO-3P-3{space}Horizontal{space}RM{space}5.45mm{space}
+0
+3
+3
+Package_TO_SOT_THT
+TO-3P-3_Horizontal_TabUp
+TO-3P-3,{space}Horizontal,{space}RM{space}5.45mm,{space},{space}see{space}https://toshiba.semicon-storage.com/ap-en/design-support/package/detail.TO-3P(N).html
+TO-3P-3{space}Horizontal{space}RM{space}5.45mm{space}
+0
+3
+3
+Package_TO_SOT_THT
+TO-3P-3_Vertical
+TO-3P-3,{space}Vertical,{space}RM{space}5.45mm,{space},{space}see{space}https://toshiba.semicon-storage.com/ap-en/design-support/package/detail.TO-3P(N).html
+TO-3P-3{space}Vertical{space}RM{space}5.45mm{space}
+0
+3
+3
+Package_TO_SOT_THT
+TO-3PB-3_Horizontal_TabDown
+TO-3PB-3,{space}Horizontal,{space}RM{space}5.45mm,{space},{space}see{space}http://www.onsemi.com/pub/Collateral/340AC.PDF
+TO-3PB-3{space}Horizontal{space}RM{space}5.45mm{space}
+0
+3
+3
+Package_TO_SOT_THT
+TO-3PB-3_Horizontal_TabUp
+TO-3PB-3,{space}Horizontal,{space}RM{space}5.45mm,{space},{space}see{space}http://www.onsemi.com/pub/Collateral/340AC.PDF
+TO-3PB-3{space}Horizontal{space}RM{space}5.45mm{space}
+0
+3
+3
+Package_TO_SOT_THT
+TO-3PB-3_Vertical
+TO-3PB-3,{space}Vertical,{space}RM{space}5.45mm,{space},{space}see{space}http://www.onsemi.com/pub/Collateral/340AC.PDF
+TO-3PB-3{space}Vertical{space}RM{space}5.45mm{space}
+0
+3
+3
+Package_TO_SOT_THT
+TO-5-2
+TO-5-2
+TO-5-2
+0
+2
+2
+Package_TO_SOT_THT
+TO-5-2_Window
+TO-5-2_Window,{space}Window
+TO-5-2_Window{space}Window
+0
+2
+2
+Package_TO_SOT_THT
+TO-5-3
+TO-5-3
+TO-5-3
+0
+3
+3
+Package_TO_SOT_THT
+TO-5-3_Window
+TO-5-3_Window,{space}Window
+TO-5-3_Window{space}Window
+0
+3
+3
+Package_TO_SOT_THT
+TO-5-4
+TO-5-4
+TO-5-4
+0
+4
+4
+Package_TO_SOT_THT
+TO-5-4_Window
+TO-5-4_Window,{space}Window
+TO-5-4_Window{space}Window
+0
+4
+4
+Package_TO_SOT_THT
+TO-5-6
+TO-5-6
+TO-5-6
+0
+6
+6
+Package_TO_SOT_THT
+TO-5-6_Window
+TO-5-6_Window,{space}Window
+TO-5-6_Window{space}Window
+0
+6
+6
+Package_TO_SOT_THT
+TO-5-8
+TO-5-8
+TO-5-8
+0
+8
+8
+Package_TO_SOT_THT
+TO-5-8_PD5.08
+TO-5-8_PD5.08
+TO-5-8_PD5.08
+0
+8
+8
+Package_TO_SOT_THT
+TO-5-8_PD5.08_Window
+TO-5-8_PD5.08_Window,{space}Window
+TO-5-8_PD5.08_Window{space}Window
+0
+8
+8
+Package_TO_SOT_THT
+TO-5-8_Window
+TO-5-8_Window,{space}Window
+TO-5-8_Window{space}Window
+0
+8
+8
+Package_TO_SOT_THT
+TO-5-10
+TO-5-10
+TO-5-10
+0
+10
+10
+Package_TO_SOT_THT
+TO-5-10_Window
+TO-5-10_Window,{space}Window
+TO-5-10_Window{space}Window
+0
+10
+10
+Package_TO_SOT_THT
+TO-8-2
+TO-8-2
+TO-8-2
+0
+2
+2
+Package_TO_SOT_THT
+TO-8-2_Window
+TO-8-2_Window,{space}Window
+TO-8-2_Window{space}Window
+0
+2
+2
+Package_TO_SOT_THT
+TO-8-3
+TO-8-3
+TO-8-3
+0
+3
+3
+Package_TO_SOT_THT
+TO-8-3_Window
+TO-8-3_Window,{space}Window
+TO-8-3_Window{space}Window
+0
+3
+3
+Package_TO_SOT_THT
+TO-11-2
+TO-11-2
+TO-11-2
+0
+2
+2
+Package_TO_SOT_THT
+TO-11-2_Window
+TO-11-2_Window,{space}Window
+TO-11-2_Window{space}Window
+0
+2
+2
+Package_TO_SOT_THT
+TO-11-3
+TO-11-3
+TO-11-3
+0
+3
+3
+Package_TO_SOT_THT
+TO-11-3_Window
+TO-11-3_Window,{space}Window
+TO-11-3_Window{space}Window
+0
+3
+3
+Package_TO_SOT_THT
+TO-12-4
+TO-12-4
+TO-12-4
+0
+4
+4
+Package_TO_SOT_THT
+TO-12-4_Window
+TO-12-4_Window,{space}Window
+TO-12-4_Window{space}Window
+0
+4
+4
+Package_TO_SOT_THT
+TO-17-4
+TO-17-4
+TO-17-4
+0
+4
+4
+Package_TO_SOT_THT
+TO-17-4_Window
+TO-17-4_Window,{space}Window
+TO-17-4_Window{space}Window
+0
+4
+4
+Package_TO_SOT_THT
+TO-18-2
+TO-18-2
+TO-18-2
+0
+2
+2
+Package_TO_SOT_THT
+TO-18-2_Lens
+TO-18-2_Lens,{space}Lens
+TO-18-2_Lens{space}Lens
+0
+2
+2
+Package_TO_SOT_THT
+TO-18-2_Window
+TO-18-2_Window,{space}Window
+TO-18-2_Window{space}Window
+0
+2
+2
+Package_TO_SOT_THT
+TO-18-3
+TO-18-3
+TO-18-3
+0
+3
+3
+Package_TO_SOT_THT
+TO-18-3_Lens
+TO-18-3_Lens,{space}Lens
+TO-18-3_Lens{space}Lens
+0
+3
+3
+Package_TO_SOT_THT
+TO-18-3_Window
+TO-18-3_Window,{space}Window
+TO-18-3_Window{space}Window
+0
+3
+3
+Package_TO_SOT_THT
+TO-18-4
+TO-18-4
+TO-18-4
+0
+4
+4
+Package_TO_SOT_THT
+TO-18-4_Lens
+TO-18-4_Lens,{space}Lens
+TO-18-4_Lens{space}Lens
+0
+4
+4
+Package_TO_SOT_THT
+TO-18-4_Window
+TO-18-4_Window,{space}Window
+TO-18-4_Window{space}Window
+0
+4
+4
+Package_TO_SOT_THT
+TO-33-4
+TO-33-4
+TO-33-4
+0
+4
+4
+Package_TO_SOT_THT
+TO-33-4_Window
+TO-33-4_Window,{space}Window
+TO-33-4_Window{space}Window
+0
+4
+4
+Package_TO_SOT_THT
+TO-38-2
+TO-38-2
+TO-38-2
+0
+2
+2
+Package_TO_SOT_THT
+TO-38-2_Window
+TO-38-2_Window,{space}Window
+TO-38-2_Window{space}Window
+0
+2
+2
+Package_TO_SOT_THT
+TO-38-3
+TO-38-3
+TO-38-3
+0
+3
+3
+Package_TO_SOT_THT
+TO-38-3_Window
+TO-38-3_Window,{space}Window
+TO-38-3_Window{space}Window
+0
+3
+3
+Package_TO_SOT_THT
+TO-39-2
+TO-39-2
+TO-39-2
+0
+2
+2
+Package_TO_SOT_THT
+TO-39-2_Window
+TO-39-2_Window,{space}Window
+TO-39-2_Window{space}Window
+0
+2
+2
+Package_TO_SOT_THT
+TO-39-3
+TO-39-3
+TO-39-3
+0
+3
+3
+Package_TO_SOT_THT
+TO-39-3_Window
+TO-39-3_Window,{space}Window
+TO-39-3_Window{space}Window
+0
+3
+3
+Package_TO_SOT_THT
+TO-39-4
+TO-39-4
+TO-39-4
+0
+4
+4
+Package_TO_SOT_THT
+TO-39-4_Window
+TO-39-4_Window,{space}Window
+TO-39-4_Window{space}Window
+0
+4
+4
+Package_TO_SOT_THT
+TO-39-6
+TO-39-6
+TO-39-6
+0
+6
+6
+Package_TO_SOT_THT
+TO-39-6_Window
+TO-39-6_Window,{space}Window
+TO-39-6_Window{space}Window
+0
+6
+6
+Package_TO_SOT_THT
+TO-39-8
+TO-39-8
+TO-39-8
+0
+8
+8
+Package_TO_SOT_THT
+TO-39-8_Window
+TO-39-8_Window,{space}Window
+TO-39-8_Window{space}Window
+0
+8
+8
+Package_TO_SOT_THT
+TO-39-10
+TO-39-10
+TO-39-10
+0
+10
+10
+Package_TO_SOT_THT
+TO-39-10_Window
+TO-39-10_Window,{space}Window
+TO-39-10_Window{space}Window
+0
+10
+10
+Package_TO_SOT_THT
+TO-46-2
+TO-46-2
+TO-46-2
+0
+2
+2
+Package_TO_SOT_THT
+TO-46-2_Pin2Center
+TO-46-2,{space}Pin2{space}at{space}center{space}of{space}package,{space}Thorlabs{space}photodiodes
+TO-46-2{space}Thorlabs
+0
+2
+2
+Package_TO_SOT_THT
+TO-46-2_Pin2Center_Window
+TO-46-2,{space}Pin2{space}at{space}center{space}of{space}package,{space}Thorlabs{space}photodiodes
+TO-46-2{space}Thorlabs
+0
+2
+2
+Package_TO_SOT_THT
+TO-46-2_Window
+TO-46-2_Window,{space}Window
+TO-46-2_Window{space}Window
+0
+2
+2
+Package_TO_SOT_THT
+TO-46-3
+TO-46-3
+TO-46-3
+0
+3
+3
+Package_TO_SOT_THT
+TO-46-3_Pin2Center
+TO-46-3,{space}Pin2{space}at{space}center{space}of{space}package,{space}Thorlabs{space}photodiodes,{space}https://www.thorlabs.de/drawings/374b6862eb3b5a04-9360B5F6-5056-2306-D912111C06C3F830/FDGA05-SpecSheet.pdf
+TO-46-3{space}Thorlabs
+0
+3
+3
+Package_TO_SOT_THT
+TO-46-3_Pin2Center_Window
+TO-46-3,{space}Pin2{space}at{space}center{space}of{space}package,{space}Thorlabs{space}photodiodes,{space}https://www.thorlabs.de/drawings/374b6862eb3b5a04-9360B5F6-5056-2306-D912111C06C3F830/FDGA05-SpecSheet.pdf
+TO-46-3{space}Thorlabs
+0
+3
+3
+Package_TO_SOT_THT
+TO-46-3_Window
+TO-46-3_Window,{space}Window
+TO-46-3_Window{space}Window
+0
+3
+3
+Package_TO_SOT_THT
+TO-46-4
+TO-46-4
+TO-46-4
+0
+4
+4
+Package_TO_SOT_THT
+TO-46-4_Window
+TO-46-4_Window,{space}Window
+TO-46-4_Window{space}Window
+0
+4
+4
+Package_TO_SOT_THT
+TO-52-2
+TO-52-2
+TO-52-2
+0
+2
+2
+Package_TO_SOT_THT
+TO-52-2_Window
+TO-52-2_Window,{space}Window
+TO-52-2_Window{space}Window
+0
+2
+2
+Package_TO_SOT_THT
+TO-52-3
+TO-52-3
+TO-52-3
+0
+3
+3
+Package_TO_SOT_THT
+TO-52-3_Window
+TO-52-3_Window,{space}Window
+TO-52-3_Window{space}Window
+0
+3
+3
+Package_TO_SOT_THT
+TO-72-4
+TO-72-4
+TO-72-4
+0
+4
+4
+Package_TO_SOT_THT
+TO-72-4_Window
+TO-72-4_Window,{space}Window
+TO-72-4_Window{space}Window
+0
+4
+4
+Package_TO_SOT_THT
+TO-75-6
+TO-75-6
+TO-75-6
+0
+6
+6
+Package_TO_SOT_THT
+TO-75-6_Window
+TO-75-6_Window,{space}Window
+TO-75-6_Window{space}Window
+0
+6
+6
+Package_TO_SOT_THT
+TO-78-6
+TO-78-6
+TO-78-6
+0
+6
+6
+Package_TO_SOT_THT
+TO-78-6_Window
+TO-78-6_Window,{space}Window
+TO-78-6_Window{space}Window
+0
+6
+6
+Package_TO_SOT_THT
+TO-78-8
+TO-78-8
+TO-78-8
+0
+8
+8
+Package_TO_SOT_THT
+TO-78-8_Window
+TO-78-8_Window,{space}Window
+TO-78-8_Window{space}Window
+0
+8
+8
+Package_TO_SOT_THT
+TO-78-10
+TO-78-10
+TO-78-10
+0
+10
+10
+Package_TO_SOT_THT
+TO-78-10_Window
+TO-78-10_Window,{space}Window
+TO-78-10_Window{space}Window
+0
+10
+10
+Package_TO_SOT_THT
+TO-92
+TO-92{space}leads{space}molded,{space}narrow,{space}drill{space}0.75mm{space}(see{space}NXP{space}sot054_po.pdf)
+to-92{space}sc-43{space}sc-43a{space}sot54{space}PA33{space}transistor
+0
+3
+3
+Package_TO_SOT_THT
+TO-92-2
+TO-92{space}2-pin{space}leads{space}in-line,{space}narrow,{space}oval{space}pads,{space}drill{space}0.75mm{space}(see{space}NXP{space}sot054_po.pdf)
+to-92{space}sc-43{space}sc-43a{space}sot54{space}PA33{space}diode{space}SOD70
+0
+2
+2
+Package_TO_SOT_THT
+TO-92-2_Horizontal1
+2-pin{space}TO-92{space}horizontal,{space}leads{space}molded,{space}narrow,{space}drill{space}0.75mm{space}(see{space}NXP{space}sot054_po.pdf)
+to-92{space}sc-43{space}sc-43a{space}sot54{space}PA33{space}temperature{space}sensor{space}diode
+0
+2
+2
+Package_TO_SOT_THT
+TO-92-2_Horizontal2
+2-pin{space}TO-92{space}horizontal,{space}leads{space}molded,{space}narrow,{space}drill{space}0.75mm{space}(see{space}NXP{space}sot054_po.pdf)
+to-92{space}sc-43{space}sc-43a{space}sot54{space}PA33{space}temperature{space}sensor{space}diode
+0
+2
+2
+Package_TO_SOT_THT
+TO-92-2_W4.0mm_Horizontal_FlatSideDown
+TO-92{space}horizontal,{space}leads{space}in-line,{space}narrow,{space}oval{space}pads,{space}drill{space}0.75mm{space}(see{space}NXP{space}sot054_po.pdf)
+to-92{space}sc-43{space}sc-43a{space}sot54{space}PA33{space}transistor
+0
+2
+2
+Package_TO_SOT_THT
+TO-92-2_W4.0mm_Horizontal_FlatSideUp
+TO-92{space}horizontal,{space}leads{space}in-line,{space}narrow,{space}oval{space}pads,{space}drill{space}0.75mm{space}(see{space}NXP{space}sot054_po.pdf)
+to-92{space}sc-43{space}sc-43a{space}sot54{space}PA33{space}transistor
+0
+2
+2
+Package_TO_SOT_THT
+TO-92-2_Wide
+TO-92{space}2-pin{space}leads{space}in-line,{space}wide,{space}drill{space}0.75mm
+to-92{space}sc-43{space}sc-43a{space}sot54{space}PA33{space}diode{space}SOD70
+0
+2
+2
+Package_TO_SOT_THT
+TO-92Flat
+TO-92Flat{space}package,{space}often{space}used{space}for{space}hall{space}sensors,{space}drill{space}0.75mm{space}(see{space}e.g.{space}http://www.ti.com/lit/ds/symlink/drv5023.pdf)
+to-92Flat{space}hall{space}sensor
+0
+3
+3
+Package_TO_SOT_THT
+TO-92L
+TO-92L{space}leads{space}in-line{space}(large{space}body{space}variant{space}of{space}TO-92),{space}also{space}known{space}as{space}TO-226,{space}wide,{space}drill{space}0.75mm{space}(see{space}https://www.diodes.com/assets/Package-Files/TO92L.pdf{space}and{space}http://www.ti.com/lit/an/snoa059/snoa059.pdf)
+TO-92L{space}Molded{space}Narrow{space}transistor
+0
+3
+3
+Package_TO_SOT_THT
+TO-92L_HandSolder
+TO-92L{space}leads{space}in-line{space}(large{space}body{space}variant{space}of{space}TO-92),{space}also{space}known{space}as{space}TO-226,{space}wide,{space}drill{space}0.75mm,{space}hand-soldering{space}variant{space}with{space}enlarged{space}pads{space}(see{space}https://www.diodes.com/assets/Package-Files/TO92L.pdf{space}and{space}http://www.ti.com/lit/an/snoa059/snoa059.pdf)
+to-92{space}sc-43{space}sc-43a{space}sot54{space}PA33{space}transistor
+0
+3
+3
+Package_TO_SOT_THT
+TO-92L_Inline
+TO-92L{space}leads{space}in-line{space}(large{space}body{space}variant{space}of{space}TO-92),{space}also{space}known{space}as{space}TO-226,{space}wide,{space}drill{space}0.75mm{space}(see{space}https://www.diodes.com/assets/Package-Files/TO92L.pdf{space}and{space}http://www.ti.com/lit/an/snoa059/snoa059.pdf)
+TO-92L{space}Inline{space}Wide{space}transistor
+0
+3
+3
+Package_TO_SOT_THT
+TO-92L_Inline_Wide
+TO-92L{space}leads{space}in-line{space}(large{space}body{space}variant{space}of{space}TO-92),{space}also{space}known{space}as{space}TO-226,{space}wide,{space}drill{space}0.75mm{space}(see{space}https://www.diodes.com/assets/Package-Files/TO92L.pdf{space}and{space}http://www.ti.com/lit/an/snoa059/snoa059.pdf)
+TO-92L{space}Inline{space}Wide{space}transistor
+0
+3
+3
+Package_TO_SOT_THT
+TO-92L_Wide
+TO-92L{space}leads{space}in-line{space}(large{space}body{space}variant{space}of{space}TO-92),{space}also{space}known{space}as{space}TO-226,{space}wide,{space}drill{space}0.75mm{space}(see{space}https://www.diodes.com/assets/Package-Files/TO92L.pdf{space}and{space}http://www.ti.com/lit/an/snoa059/snoa059.pdf)
+TO-92L{space}Molded{space}Wide{space}transistor
+0
+3
+3
+Package_TO_SOT_THT
+TO-92Mini-2
+TO-92Mini{space}package,{space}drill{space}0.6mm{space}(https://media.digikey.com/pdf/Data%20Sheets/Infineon%20PDFs/KT,KTY.pdf)
+to-92Mini{space}transistor{space}
+0
+2
+2
+Package_TO_SOT_THT
+TO-92S
+TO-92S{space}package,{space}drill{space}0.75mm{space}(https://www.diodes.com/assets/Package-Files/TO92S%20(Type%20B).pdf)
+to-92S{space}transistor
+0
+3
+3
+Package_TO_SOT_THT
+TO-92S-2
+TO-92S{space}package,{space}2-pin,{space}drill{space}0.75mm{space}(https://www.diodes.com/assets/Package-Files/TO92S%20(Type%20B).pdf)
+to-92S{space}transistor
+0
+2
+2
+Package_TO_SOT_THT
+TO-92S_Wide
+TO-92S_Wide{space}package,{space}drill{space}0.75mm{space}(https://www.diodes.com/assets/Package-Files/TO92S%20(Type%20B).pdf)
+TO-92S_Wide{space}transistor
+0
+3
+3
+Package_TO_SOT_THT
+TO-92_HandSolder
+TO-92{space}leads{space}molded,{space}narrow,{space}drill{space}0.75mm,{space}handsoldering{space}variant{space}with{space}enlarged{space}pads{space}(see{space}NXP{space}sot054_po.pdf)
+to-92{space}sc-43{space}sc-43a{space}sot54{space}PA33{space}transistor
+0
+3
+3
+Package_TO_SOT_THT
+TO-92_Horizontal1
+TO-92{space}horizontal,{space}leads{space}molded,{space}narrow,{space}drill{space}0.75mm{space}(see{space}NXP{space}sot054_po.pdf)
+to-92{space}sc-43{space}sc-43a{space}sot54{space}PA33{space}transistor
+0
+3
+3
+Package_TO_SOT_THT
+TO-92_Horizontal2
+TO-92{space}horizontal,{space}leads{space}molded,{space}narrow,{space}drill{space}0.75mm{space}(see{space}NXP{space}sot054_po.pdf)
+to-92{space}sc-43{space}sc-43a{space}sot54{space}PA33{space}transistor
+0
+3
+3
+Package_TO_SOT_THT
+TO-92_Inline
+TO-92{space}leads{space}in-line,{space}narrow,{space}oval{space}pads,{space}drill{space}0.75mm{space}(see{space}NXP{space}sot054_po.pdf)
+to-92{space}sc-43{space}sc-43a{space}sot54{space}PA33{space}transistor
+0
+3
+3
+Package_TO_SOT_THT
+TO-92_Inline_Horizontal1
+TO-92{space}horizontal,{space}leads{space}in-line,{space}narrow,{space}oval{space}pads,{space}drill{space}0.75mm{space}(see{space}NXP{space}sot054_po.pdf)
+to-92{space}sc-43{space}sc-43a{space}sot54{space}PA33{space}transistor
+0
+3
+3
+Package_TO_SOT_THT
+TO-92_Inline_Horizontal2
+TO-92{space}horizontal,{space}leads{space}in-line,{space}narrow,{space}oval{space}pads,{space}drill{space}0.75mm{space}(see{space}NXP{space}sot054_po.pdf)
+to-92{space}sc-43{space}sc-43a{space}sot54{space}PA33{space}transistor
+0
+3
+3
+Package_TO_SOT_THT
+TO-92_Inline_W4.0mm_Horizontal_FlatSideDown
+TO-92{space}horizontal,{space}leads{space}in-line,{space}narrow,{space}oval{space}pads,{space}drill{space}0.75mm{space}(see{space}NXP{space}sot054_po.pdf)
+to-92{space}sc-43{space}sc-43a{space}sot54{space}PA33{space}transistor
+0
+3
+3
+Package_TO_SOT_THT
+TO-92_Inline_W4.0mm_Horizontal_FlatSideUp
+TO-92{space}horizontal,{space}leads{space}in-line,{space}narrow,{space}oval{space}pads,{space}drill{space}0.75mm{space}(see{space}NXP{space}sot054_po.pdf)
+to-92{space}sc-43{space}sc-43a{space}sot54{space}PA33{space}transistor
+0
+3
+3
+Package_TO_SOT_THT
+TO-92_Inline_Wide
+TO-92{space}leads{space}in-line,{space}wide,{space}drill{space}0.75mm{space}(see{space}NXP{space}sot054_po.pdf)
+to-92{space}sc-43{space}sc-43a{space}sot54{space}PA33{space}transistor
+0
+3
+3
+Package_TO_SOT_THT
+TO-92_W4.0mm_StaggerEven_Horizontal_FlatSideDown
+TO-92{space}horizontal,{space}leads{space}molded,{space}narrow,{space}drill{space}0.75mm{space}(see{space}NXP{space}sot054_po.pdf)
+to-92{space}sc-43{space}sc-43a{space}sot54{space}PA33{space}transistor
+0
+3
+3
+Package_TO_SOT_THT
+TO-92_W4.0mm_StaggerEven_Horizontal_FlatSideUp
+TO-92{space}horizontal,{space}leads{space}molded,{space}narrow,{space}drill{space}0.75mm{space}(see{space}NXP{space}sot054_po.pdf)
+to-92{space}sc-43{space}sc-43a{space}sot54{space}PA33{space}transistor
+0
+3
+3
+Package_TO_SOT_THT
+TO-92_Wide
+TO-92{space}leads{space}molded,{space}wide,{space}drill{space}0.75mm{space}(see{space}NXP{space}sot054_po.pdf)
+to-92{space}sc-43{space}sc-43a{space}sot54{space}PA33{space}transistor
+0
+3
+3
+Package_TO_SOT_THT
+TO-99-6
+TO-99-6
+TO-99-6
+0
+6
+6
+Package_TO_SOT_THT
+TO-99-6_Window
+TO-99-6_Window,{space}Window
+TO-99-6_Window{space}Window
+0
+6
+6
+Package_TO_SOT_THT
+TO-99-8
+TO-99-8
+TO-99-8
+0
+8
+8
+Package_TO_SOT_THT
+TO-99-8_Window
+TO-99-8_Window,{space}Window
+TO-99-8_Window{space}Window
+0
+8
+8
+Package_TO_SOT_THT
+TO-100-10
+TO-100-10
+TO-100-10
+0
+10
+10
+Package_TO_SOT_THT
+TO-100-10_Window
+TO-100-10_Window,{space}Window
+TO-100-10_Window{space}Window
+0
+10
+10
+Package_TO_SOT_THT
+TO-126-2_Horizontal_TabDown
+TO-126-2,{space}Horizontal,{space}RM{space}5.08mm,{space}see{space}https://www.diodes.com/assets/Package-Files/TO126.pdf
+TO-126-2{space}Horizontal{space}RM{space}5.08mm
+0
+2
+2
+Package_TO_SOT_THT
+TO-126-2_Horizontal_TabUp
+TO-126-2,{space}Horizontal,{space}RM{space}5.08mm,{space}see{space}https://www.diodes.com/assets/Package-Files/TO126.pdf
+TO-126-2{space}Horizontal{space}RM{space}5.08mm
+0
+2
+2
+Package_TO_SOT_THT
+TO-126-2_Vertical
+TO-126-2,{space}Vertical,{space}RM{space}5.08mm,{space}see{space}https://www.diodes.com/assets/Package-Files/TO126.pdf
+TO-126-2{space}Vertical{space}RM{space}5.08mm
+0
+2
+2
+Package_TO_SOT_THT
+TO-126-3_Horizontal_TabDown
+TO-126-3,{space}Horizontal,{space}RM{space}2.54mm,{space}see{space}https://www.diodes.com/assets/Package-Files/TO126.pdf
+TO-126-3{space}Horizontal{space}RM{space}2.54mm
+0
+3
+3
+Package_TO_SOT_THT
+TO-126-3_Horizontal_TabUp
+TO-126-3,{space}Horizontal,{space}RM{space}2.54mm,{space}see{space}https://www.diodes.com/assets/Package-Files/TO126.pdf
+TO-126-3{space}Horizontal{space}RM{space}2.54mm
+0
+3
+3
+Package_TO_SOT_THT
+TO-126-3_Vertical
+TO-126-3,{space}Vertical,{space}RM{space}2.54mm,{space}see{space}https://www.diodes.com/assets/Package-Files/TO126.pdf
+TO-126-3{space}Vertical{space}RM{space}2.54mm
+0
+3
+3
+Package_TO_SOT_THT
+TO-218-2_Horizontal_TabDown
+TO-218-2,{space}Horizontal,{space}RM{space}10.95mm,{space}SOT-93,{space}see{space}https://www.vishay.com/docs/95214/fto218.pdf
+TO-218-2{space}Horizontal{space}RM{space}10.95mm{space}SOT-93
+0
+2
+2
+Package_TO_SOT_THT
+TO-218-2_Horizontal_TabUp
+TO-218-2,{space}Horizontal,{space}RM{space}10.95mm,{space}SOT-93,{space}see{space}https://www.vishay.com/docs/95214/fto218.pdf
+TO-218-2{space}Horizontal{space}RM{space}10.95mm{space}SOT-93
+0
+2
+2
+Package_TO_SOT_THT
+TO-218-2_Vertical
+TO-218-2,{space}Vertical,{space}RM{space}10.95mm,{space}SOT-93,{space}see{space}https://www.vishay.com/docs/95214/fto218.pdf
+TO-218-2{space}Vertical{space}RM{space}10.95mm{space}SOT-93
+0
+2
+2
+Package_TO_SOT_THT
+TO-218-3_Horizontal_TabDown
+TO-218-3,{space}Horizontal,{space}RM{space}5.475mm,{space}SOT-93,{space}see{space}https://www.vishay.com/docs/95214/fto218.pdf
+TO-218-3{space}Horizontal{space}RM{space}5.475mm{space}SOT-93
+0
+3
+3
+Package_TO_SOT_THT
+TO-218-3_Horizontal_TabUp
+TO-218-3,{space}Horizontal,{space}RM{space}5.475mm,{space}SOT-93,{space}see{space}https://www.vishay.com/docs/95214/fto218.pdf
+TO-218-3{space}Horizontal{space}RM{space}5.475mm{space}SOT-93
+0
+3
+3
+Package_TO_SOT_THT
+TO-218-3_Vertical
+TO-218-3,{space}Vertical,{space}RM{space}5.475mm,{space}SOT-93,{space}see{space}https://www.vishay.com/docs/95214/fto218.pdf
+TO-218-3{space}Vertical{space}RM{space}5.475mm{space}SOT-93
+0
+3
+3
+Package_TO_SOT_THT
+TO-220-2_Horizontal_TabDown
+TO-220-2,{space}Horizontal,{space}RM{space}5.08mm,{space}see{space}https://www.centralsemi.com/PDFS/CASE/TO-220-2PD.PDF
+TO-220-2{space}Horizontal{space}RM{space}5.08mm
+0
+2
+2
+Package_TO_SOT_THT
+TO-220-2_Horizontal_TabUp
+TO-220-2,{space}Horizontal,{space}RM{space}5.08mm,{space}see{space}https://www.centralsemi.com/PDFS/CASE/TO-220-2PD.PDF
+TO-220-2{space}Horizontal{space}RM{space}5.08mm
+0
+2
+2
+Package_TO_SOT_THT
+TO-220-2_Vertical
+TO-220-2,{space}Vertical,{space}RM{space}5.08mm,{space}see{space}https://www.centralsemi.com/PDFS/CASE/TO-220-2PD.PDF
+TO-220-2{space}Vertical{space}RM{space}5.08mm
+0
+2
+2
+Package_TO_SOT_THT
+TO-220-3_Horizontal_TabDown
+TO-220-3,{space}Horizontal,{space}RM{space}2.54mm,{space}see{space}https://www.vishay.com/docs/66542/to-220-1.pdf
+TO-220-3{space}Horizontal{space}RM{space}2.54mm
+0
+3
+3
+Package_TO_SOT_THT
+TO-220-3_Horizontal_TabUp
+TO-220-3,{space}Horizontal,{space}RM{space}2.54mm,{space}see{space}https://www.vishay.com/docs/66542/to-220-1.pdf
+TO-220-3{space}Horizontal{space}RM{space}2.54mm
+0
+3
+3
+Package_TO_SOT_THT
+TO-220-3_Vertical
+TO-220-3,{space}Vertical,{space}RM{space}2.54mm,{space}see{space}https://www.vishay.com/docs/66542/to-220-1.pdf
+TO-220-3{space}Vertical{space}RM{space}2.54mm
+0
+3
+3
+Package_TO_SOT_THT
+TO-220-4_Horizontal_TabDown
+TO-220-4,{space}Horizontal,{space}RM{space}2.54mm
+TO-220-4{space}Horizontal{space}RM{space}2.54mm
+0
+4
+4
+Package_TO_SOT_THT
+TO-220-4_Horizontal_TabUp
+TO-220-4,{space}Horizontal,{space}RM{space}2.54mm
+TO-220-4{space}Horizontal{space}RM{space}2.54mm
+0
+4
+4
+Package_TO_SOT_THT
+TO-220-4_P5.08x2.54mm_StaggerEven_Lead3.8mm_Vertical
+TO-220-4,{space}Vertical,{space}RM{space}2.54mm,{space}staggered{space}type-2
+TO-220-4{space}Vertical{space}RM{space}2.54mm{space}staggered{space}type-2
+0
+4
+4
+Package_TO_SOT_THT
+TO-220-4_P5.08x2.54mm_StaggerEven_Lead5.84mm_TabDown
+TO-220-4,{space}Horizontal,{space}RM{space}2.54mm,{space}staggered{space}type-2
+TO-220-4{space}Horizontal{space}RM{space}2.54mm{space}staggered{space}type-2
+0
+4
+4
+Package_TO_SOT_THT
+TO-220-4_P5.08x2.54mm_StaggerOdd_Lead3.8mm_Vertical
+TO-220-4,{space}Vertical,{space}RM{space}2.54mm,{space}staggered{space}type-1
+TO-220-4{space}Vertical{space}RM{space}2.54mm{space}staggered{space}type-1
+0
+4
+4
+Package_TO_SOT_THT
+TO-220-4_P5.08x2.54mm_StaggerOdd_Lead5.84mm_TabDown
+TO-220-4,{space}Horizontal,{space}RM{space}2.54mm,{space}staggered{space}type-1
+TO-220-4{space}Horizontal{space}RM{space}2.54mm{space}staggered{space}type-1
+0
+4
+4
+Package_TO_SOT_THT
+TO-220-4_Vertical
+TO-220-4,{space}Vertical,{space}RM{space}2.54mm
+TO-220-4{space}Vertical{space}RM{space}2.54mm
+0
+4
+4
+Package_TO_SOT_THT
+TO-220-5_Horizontal_TabDown
+TO-220-5,{space}Horizontal,{space}RM{space}1.7mm,{space}Pentawatt,{space}Multiwatt-5,{space}see{space}http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-to-220/to-220_5_05-08-1421_straight_lead.pdf
+TO-220-5{space}Horizontal{space}RM{space}1.7mm{space}Pentawatt{space}Multiwatt-5
+0
+5
+5
+Package_TO_SOT_THT
+TO-220-5_Horizontal_TabUp
+TO-220-5,{space}Horizontal,{space}RM{space}1.7mm,{space}Pentawatt,{space}Multiwatt-5,{space}see{space}http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-to-220/to-220_5_05-08-1421_straight_lead.pdf
+TO-220-5{space}Horizontal{space}RM{space}1.7mm{space}Pentawatt{space}Multiwatt-5
+0
+5
+5
+Package_TO_SOT_THT
+TO-220-5_P3.4x3.7mm_StaggerEven_Lead3.8mm_Vertical
+TO-220-5,{space}Vertical,{space}RM{space}1.7mm,{space}Pentawatt,{space}Multiwatt-5,{space}staggered{space}type-2,{space}see{space}http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-to-220/to-220_5_05-08-1421.pdf?domain=www.linear.com,{space}https://www.diodes.com/assets/Package-Files/TO220-5.pdf
+TO-220-5{space}Vertical{space}RM{space}1.7mm{space}Pentawatt{space}Multiwatt-5{space}staggered{space}type-2
+0
+5
+5
+Package_TO_SOT_THT
+TO-220-5_P3.4x3.7mm_StaggerOdd_Lead3.8mm_Vertical
+TO-220-5,{space}Vertical,{space}RM{space}1.7mm,{space}Pentawatt,{space}Multiwatt-5,{space}staggered{space}type-1,{space}see{space}http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-to-220/to-220_5_05-08-1421.pdf?domain=www.linear.com,{space}https://www.diodes.com/assets/Package-Files/TO220-5.pdf
+TO-220-5{space}Vertical{space}RM{space}1.7mm{space}Pentawatt{space}Multiwatt-5{space}staggered{space}type-1
+0
+5
+5
+Package_TO_SOT_THT
+TO-220-5_P3.4x3.8mm_StaggerEven_Lead7.13mm_TabDown
+TO-220-5,{space}Horizontal,{space}RM{space}1.7mm,{space}Pentawatt,{space}Multiwatt-5,{space}staggered{space}type-2,{space}see{space}http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-to-220/to-220_5_05-08-1421.pdf?domain=www.linear.com,{space}https://www.diodes.com/assets/Package-Files/TO220-5.pdf
+TO-220-5{space}Horizontal{space}RM{space}1.7mm{space}Pentawatt{space}Multiwatt-5{space}staggered{space}type-2
+0
+5
+5
+Package_TO_SOT_THT
+TO-220-5_P3.4x3.8mm_StaggerOdd_Lead7.13mm_TabDown
+TO-220-5,{space}Horizontal,{space}RM{space}1.7mm,{space}Pentawatt,{space}Multiwatt-5,{space}staggered{space}type-1,{space}see{space}http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-to-220/to-220_5_05-08-1421.pdf?domain=www.linear.com,{space}https://www.diodes.com/assets/Package-Files/TO220-5.pdf
+TO-220-5{space}Horizontal{space}RM{space}1.7mm{space}Pentawatt{space}Multiwatt-5{space}staggered{space}type-1
+0
+5
+5
+Package_TO_SOT_THT
+TO-220-5_Vertical
+TO-220-5,{space}Vertical,{space}RM{space}1.7mm,{space}Pentawatt,{space}Multiwatt-5,{space}see{space}http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-to-220/to-220_5_05-08-1421_straight_lead.pdf
+TO-220-5{space}Vertical{space}RM{space}1.7mm{space}Pentawatt{space}Multiwatt-5
+0
+5
+5
+Package_TO_SOT_THT
+TO-220-7_P2.54x3.7mm_StaggerEven_Lead3.8mm_Vertical
+TO-220-7,{space}Vertical,{space}RM{space}1.27mm,{space}Multiwatt-7,{space}staggered{space}type-2
+TO-220-7{space}Vertical{space}RM{space}1.27mm{space}Multiwatt-7{space}staggered{space}type-2
+0
+7
+7
+Package_TO_SOT_THT
+TO-220-7_P2.54x3.7mm_StaggerOdd_Lead3.8mm_Vertical
+TO-220-7,{space}Vertical,{space}RM{space}1.27mm,{space}Multiwatt-7,{space}staggered{space}type-1
+TO-220-7{space}Vertical{space}RM{space}1.27mm{space}Multiwatt-7{space}staggered{space}type-1
+0
+7
+7
+Package_TO_SOT_THT
+TO-220-7_P2.54x3.8mm_StaggerEven_Lead5.85mm_TabDown
+TO-220-7,{space}Horizontal,{space}RM{space}1.27mm,{space}Multiwatt-7,{space}staggered{space}type-2
+TO-220-7{space}Horizontal{space}RM{space}1.27mm{space}Multiwatt-7{space}staggered{space}type-2
+0
+7
+7
+Package_TO_SOT_THT
+TO-220-7_P2.54x3.8mm_StaggerOdd_Lead5.85mm_TabDown
+TO-220-7,{space}Horizontal,{space}RM{space}1.27mm,{space}Multiwatt-7,{space}staggered{space}type-1
+TO-220-7{space}Horizontal{space}RM{space}1.27mm{space}Multiwatt-7{space}staggered{space}type-1
+0
+7
+7
+Package_TO_SOT_THT
+TO-220-8_Vertical
+TO-220-8{space}(Multiwatt8),{space}Vertical,{space}2.54mm{space}Pitch{space}(http://www.st.com/resource/en/datasheet/tda7264.pdf)
+TO-220-9{space}Vertical{space}2.54mm{space}Pitch{space}Multiwatt{space}8
+0
+8
+8
+Package_TO_SOT_THT
+TO-220-9_P1.94x3.7mm_StaggerEven_Lead3.8mm_Vertical
+TO-220-9,{space}Vertical,{space}RM{space}0.97mm,{space}Multiwatt-9,{space}staggered{space}type-2
+TO-220-9{space}Vertical{space}RM{space}0.97mm{space}Multiwatt-9{space}staggered{space}type-2
+0
+9
+9
+Package_TO_SOT_THT
+TO-220-9_P1.94x3.7mm_StaggerOdd_Lead3.8mm_Vertical
+TO-220-9,{space}Vertical,{space}RM{space}0.97mm,{space}Multiwatt-9,{space}staggered{space}type-1
+TO-220-9{space}Vertical{space}RM{space}0.97mm{space}Multiwatt-9{space}staggered{space}type-1
+0
+9
+9
+Package_TO_SOT_THT
+TO-220-9_P1.94x3.8mm_StaggerEven_Lead5.85mm_TabDown
+TO-220-9,{space}Horizontal,{space}RM{space}0.97mm,{space}Multiwatt-9,{space}staggered{space}type-2
+TO-220-9{space}Horizontal{space}RM{space}0.97mm{space}Multiwatt-9{space}staggered{space}type-2
+0
+9
+9
+Package_TO_SOT_THT
+TO-220-9_P1.94x3.8mm_StaggerOdd_Lead5.85mm_TabDown
+TO-220-9,{space}Horizontal,{space}RM{space}0.97mm,{space}Multiwatt-9,{space}staggered{space}type-1
+TO-220-9{space}Horizontal{space}RM{space}0.97mm{space}Multiwatt-9{space}staggered{space}type-1
+0
+9
+9
+Package_TO_SOT_THT
+TO-220-11_P3.4x2.54mm_StaggerEven_Lead5.84mm_TabDown
+TO-220-11,{space}Horizontal,{space}RM{space}1.7mm,{space}staggered{space}type-2,{space}see{space}http://www.st.com/resource/en/datasheet/tda7391lv.pdf
+TO-220-11{space}Horizontal{space}RM{space}1.7mm{space}staggered{space}type-2
+0
+11
+11
+Package_TO_SOT_THT
+TO-220-11_P3.4x2.54mm_StaggerOdd_Lead5.84mm_TabDown
+TO-220-11,{space}Horizontal,{space}RM{space}1.7mm,{space}staggered{space}type-1,{space}see{space}http://www.st.com/resource/en/datasheet/tda7391lv.pdf
+TO-220-11{space}Horizontal{space}RM{space}1.7mm{space}staggered{space}type-1
+0
+11
+11
+Package_TO_SOT_THT
+TO-220-11_P3.4x5.08mm_StaggerEven_Lead4.58mm_Vertical
+TO-220-11,{space}Vertical,{space}RM{space}1.7mm,{space}staggered{space}type-2,{space}see{space}http://www.st.com/resource/en/datasheet/tda7391lv.pdf
+TO-220-11{space}Vertical{space}RM{space}1.7mm{space}staggered{space}type-2
+0
+11
+11
+Package_TO_SOT_THT
+TO-220-11_P3.4x5.08mm_StaggerOdd_Lead4.85mm_Vertical
+TO-220-11,{space}Vertical,{space}RM{space}1.7mm,{space}staggered{space}type-1,{space}see{space}http://www.st.com/resource/en/datasheet/tda7391lv.pdf
+TO-220-11{space}Vertical{space}RM{space}1.7mm{space}staggered{space}type-1
+0
+11
+11
+Package_TO_SOT_THT
+TO-220-11_P3.4x5.08mm_StaggerOdd_Lead8.45mm_TabDown
+TO-220-11,{space}Horizontal,{space}RM{space}1.7mm,{space}staggered{space}type-1,{space}see{space}http://www.ti.com/lit/ds/symlink/lmd18200.pdf
+TO-220-11{space}Horizontal{space}RM{space}1.7mm{space}staggered{space}type-1
+0
+11
+11
+Package_TO_SOT_THT
+TO-220-15_P2.54x2.54mm_StaggerEven_Lead4.58mm_Vertical
+TO-220-15,{space}Vertical,{space}RM{space}1.27mm,{space}staggered{space}type-2,{space}see{space}http://www.st.com/resource/en/datasheet/l298.pdf
+TO-220-15{space}Vertical{space}RM{space}1.27mm{space}staggered{space}type-2
+0
+15
+15
+Package_TO_SOT_THT
+TO-220-15_P2.54x2.54mm_StaggerEven_Lead5.84mm_TabDown
+TO-220-15,{space}Horizontal,{space}RM{space}1.27mm,{space}staggered{space}type-2,{space}see{space}http://www.st.com/resource/en/datasheet/l298.pdf
+TO-220-15{space}Horizontal{space}RM{space}1.27mm{space}staggered{space}type-2
+0
+15
+15
+Package_TO_SOT_THT
+TO-220-15_P2.54x2.54mm_StaggerOdd_Lead4.58mm_Vertical
+TO-220-15,{space}Vertical,{space}RM{space}1.27mm,{space}staggered{space}type-1,{space}see{space}http://www.st.com/resource/en/datasheet/l298.pdf
+TO-220-15{space}Vertical{space}RM{space}1.27mm{space}staggered{space}type-1
+0
+15
+15
+Package_TO_SOT_THT
+TO-220-15_P2.54x2.54mm_StaggerOdd_Lead5.84mm_TabDown
+TO-220-15,{space}Horizontal,{space}RM{space}1.27mm,{space}staggered{space}type-1,{space}see{space}http://www.st.com/resource/en/datasheet/l298.pdf
+TO-220-15{space}Horizontal{space}RM{space}1.27mm{space}staggered{space}type-1
+0
+15
+15
+Package_TO_SOT_THT
+TO-220F-2_Horizontal_TabDown
+TO-220F-2,{space}Horizontal,{space}RM{space}5.08mm,{space}see{space}http://www.onsemi.com/pub/Collateral/FFPF10F150S-D.pdf
+TO-220F-2{space}Horizontal{space}RM{space}5.08mm
+0
+2
+2
+Package_TO_SOT_THT
+TO-220F-2_Horizontal_TabUp
+TO-220F-2,{space}Horizontal,{space}RM{space}5.08mm,{space}see{space}http://www.onsemi.com/pub/Collateral/FFPF10F150S-D.pdf
+TO-220F-2{space}Horizontal{space}RM{space}5.08mm
+0
+2
+2
+Package_TO_SOT_THT
+TO-220F-2_Vertical
+TO-220F-2,{space}Vertical,{space}RM{space}5.08mm,{space}see{space}http://www.onsemi.com/pub/Collateral/FFPF10F150S-D.pdf
+TO-220F-2{space}Vertical{space}RM{space}5.08mm
+0
+2
+2
+Package_TO_SOT_THT
+TO-220F-3_Horizontal_TabDown
+TO-220F-3,{space}Horizontal,{space}RM{space}2.54mm,{space}see{space}http://www.st.com/resource/en/datasheet/stp20nm60.pdf
+TO-220F-3{space}Horizontal{space}RM{space}2.54mm
+0
+3
+3
+Package_TO_SOT_THT
+TO-220F-3_Horizontal_TabUp
+TO-220F-3,{space}Horizontal,{space}RM{space}2.54mm,{space}see{space}http://www.st.com/resource/en/datasheet/stp20nm60.pdf
+TO-220F-3{space}Horizontal{space}RM{space}2.54mm
+0
+3
+3
+Package_TO_SOT_THT
+TO-220F-3_Vertical
+TO-220F-3,{space}Vertical,{space}RM{space}2.54mm,{space}see{space}http://www.st.com/resource/en/datasheet/stp20nm60.pdf
+TO-220F-3{space}Vertical{space}RM{space}2.54mm
+0
+3
+3
+Package_TO_SOT_THT
+TO-220F-4_Horizontal_TabDown
+TO-220F-4,{space}Horizontal,{space}RM{space}2.54mm,{space}see{space}https://www.njr.com/semicon/PDF/package/TO-220F-4_E.pdf
+TO-220F-4{space}Horizontal{space}RM{space}2.54mm
+0
+4
+4
+Package_TO_SOT_THT
+TO-220F-4_Horizontal_TabUp
+TO-220F-4,{space}Horizontal,{space}RM{space}2.54mm,{space}see{space}https://www.njr.com/semicon/PDF/package/TO-220F-4_E.pdf
+TO-220F-4{space}Horizontal{space}RM{space}2.54mm
+0
+4
+4
+Package_TO_SOT_THT
+TO-220F-4_P5.08x2.05mm_StaggerEven_Lead1.85mm_Vertical
+TO-220F-4,{space}Vertical,{space}RM{space}2.54mm,{space}staggered{space}type-2,{space}see{space}https://www.njr.com/semicon/PDF/package/TO-220F-4_E.pdf
+TO-220F-4{space}Vertical{space}RM{space}2.54mm{space}staggered{space}type-2
+0
+4
+4
+Package_TO_SOT_THT
+TO-220F-4_P5.08x2.05mm_StaggerOdd_Lead1.85mm_Vertical
+TO-220F-4,{space}Vertical,{space}RM{space}2.54mm,{space}staggered{space}type-1,{space}see{space}https://www.njr.com/semicon/PDF/package/TO-220F-4_E.pdf
+TO-220F-4{space}Vertical{space}RM{space}2.54mm{space}staggered{space}type-1
+0
+4
+4
+Package_TO_SOT_THT
+TO-220F-4_P5.08x3.7mm_StaggerEven_Lead3.5mm_Vertical
+TO-220F-4,{space}Vertical,{space}RM{space}2.54mm,{space}staggered{space}type-2,{space}see{space}https://www.njr.com/semicon/PDF/package/TO-220F-4_E.pdf
+TO-220F-4{space}Vertical{space}RM{space}2.54mm{space}staggered{space}type-2
+0
+4
+4
+Package_TO_SOT_THT
+TO-220F-4_P5.08x3.7mm_StaggerOdd_Lead3.5mm_Vertical
+TO-220F-4,{space}Vertical,{space}RM{space}2.54mm,{space}staggered{space}type-1,{space}see{space}https://www.njr.com/semicon/PDF/package/TO-220F-4_E.pdf
+TO-220F-4{space}Vertical{space}RM{space}2.54mm{space}staggered{space}type-1
+0
+4
+4
+Package_TO_SOT_THT
+TO-220F-4_Vertical
+TO-220F-4,{space}Vertical,{space}RM{space}2.54mm,{space}see{space}https://www.njr.com/semicon/PDF/package/TO-220F-4_E.pdf
+TO-220F-4{space}Vertical{space}RM{space}2.54mm
+0
+4
+4
+Package_TO_SOT_THT
+TO-220F-5_Horizontal_TabDown
+TO-220F-5,{space}Horizontal,{space}RM{space}1.7mm,{space}PentawattF-,{space}MultiwattF-5
+TO-220F-5{space}Horizontal{space}RM{space}1.7mm{space}PentawattF-{space}MultiwattF-5
+0
+5
+5
+Package_TO_SOT_THT
+TO-220F-5_Horizontal_TabUp
+TO-220F-5,{space}Horizontal,{space}RM{space}1.7mm,{space}PentawattF-,{space}MultiwattF-5
+TO-220F-5{space}Horizontal{space}RM{space}1.7mm{space}PentawattF-{space}MultiwattF-5
+0
+5
+5
+Package_TO_SOT_THT
+TO-220F-5_P3.4x2.06mm_StaggerEven_Lead1.86mm_Vertical
+TO-220F-5,{space}Vertical,{space}RM{space}1.7mm,{space}PentawattF-,{space}MultiwattF-5,{space}staggered{space}type-2
+TO-220F-5{space}Vertical{space}RM{space}1.7mm{space}PentawattF-{space}MultiwattF-5{space}staggered{space}type-2
+0
+5
+5
+Package_TO_SOT_THT
+TO-220F-5_P3.4x2.06mm_StaggerOdd_Lead1.86mm_Vertical
+TO-220F-5,{space}Vertical,{space}RM{space}1.7mm,{space}PentawattF-,{space}MultiwattF-5,{space}staggered{space}type-1
+TO-220F-5{space}Vertical{space}RM{space}1.7mm{space}PentawattF-{space}MultiwattF-5{space}staggered{space}type-1
+0
+5
+5
+Package_TO_SOT_THT
+TO-220F-5_P3.4x3.7mm_StaggerEven_Lead3.5mm_Vertical
+TO-220F-5,{space}Vertical,{space}RM{space}1.7mm,{space}PentawattF-,{space}MultiwattF-5,{space}staggered{space}type-2
+TO-220F-5{space}Vertical{space}RM{space}1.7mm{space}PentawattF-{space}MultiwattF-5{space}staggered{space}type-2
+0
+5
+5
+Package_TO_SOT_THT
+TO-220F-5_P3.4x3.7mm_StaggerOdd_Lead3.5mm_Vertical
+TO-220F-5,{space}Vertical,{space}RM{space}1.7mm,{space}PentawattF-,{space}MultiwattF-5,{space}staggered{space}type-1
+TO-220F-5{space}Vertical{space}RM{space}1.7mm{space}PentawattF-{space}MultiwattF-5{space}staggered{space}type-1
+0
+5
+5
+Package_TO_SOT_THT
+TO-220F-5_Vertical
+TO-220F-5,{space}Vertical,{space}RM{space}1.7mm,{space}PentawattF-,{space}MultiwattF-5
+TO-220F-5{space}Vertical{space}RM{space}1.7mm{space}PentawattF-{space}MultiwattF-5
+0
+5
+5
+Package_TO_SOT_THT
+TO-220F-7_P2.54x3.7mm_StaggerEven_Lead3.5mm_Vertical
+TO-220F-7,{space}Vertical,{space}RM{space}1.27mm,{space}staggered{space}type-2
+TO-220F-7{space}Vertical{space}RM{space}1.27mm{space}staggered{space}type-2
+0
+7
+7
+Package_TO_SOT_THT
+TO-220F-7_P2.54x3.7mm_StaggerOdd_Lead3.5mm_Vertical
+TO-220F-7,{space}Vertical,{space}RM{space}1.27mm,{space}staggered{space}type-1
+TO-220F-7{space}Vertical{space}RM{space}1.27mm{space}staggered{space}type-1
+0
+7
+7
+Package_TO_SOT_THT
+TO-220F-9_P1.8x3.7mm_StaggerEven_Lead3.5mm_Vertical
+TO-220F-9,{space}Vertical,{space}RM{space}0.9mm,{space}staggered{space}type-2
+TO-220F-9{space}Vertical{space}RM{space}0.9mm{space}staggered{space}type-2
+0
+9
+9
+Package_TO_SOT_THT
+TO-220F-9_P1.8x3.7mm_StaggerOdd_Lead3.5mm_Vertical
+TO-220F-9,{space}Vertical,{space}RM{space}0.9mm,{space}staggered{space}type-1
+TO-220F-9{space}Vertical{space}RM{space}0.9mm{space}staggered{space}type-1
+0
+9
+9
+Package_TO_SOT_THT
+TO-220F-11_P3.4x5.08mm_StaggerEven_Lead5.08mm_Vertical
+TO-220F-11,{space}Vertical,{space}RM{space}1.7mm,{space}MultiwattF-11,{space}staggered{space}type-2,{space}see{space}http://www.ti.com/lit/ds/symlink/lm3886.pdf
+TO-220F-11{space}Vertical{space}RM{space}1.7mm{space}MultiwattF-11{space}staggered{space}type-2
+0
+11
+11
+Package_TO_SOT_THT
+TO-220F-11_P3.4x5.08mm_StaggerOdd_Lead5.08mm_Vertical
+TO-220F-11,{space}Vertical,{space}RM{space}1.7mm,{space}MultiwattF-11,{space}staggered{space}type-1,{space}see{space}http://www.ti.com/lit/ds/symlink/lm3886.pdf
+TO-220F-11{space}Vertical{space}RM{space}1.7mm{space}MultiwattF-11{space}staggered{space}type-1
+0
+11
+11
+Package_TO_SOT_THT
+TO-220F-15_P2.54x5.08mm_StaggerEven_Lead5.08mm_Vertical
+TO-220F-15,{space}Vertical,{space}RM{space}1.27mm,{space}MultiwattF-15,{space}staggered{space}type-2
+TO-220F-15{space}Vertical{space}RM{space}1.27mm{space}MultiwattF-15{space}staggered{space}type-2
+0
+15
+15
+Package_TO_SOT_THT
+TO-220F-15_P2.54x5.08mm_StaggerOdd_Lead5.08mm_Vertical
+TO-220F-15,{space}Vertical,{space}RM{space}1.27mm,{space}MultiwattF-15,{space}staggered{space}type-1
+TO-220F-15{space}Vertical{space}RM{space}1.27mm{space}MultiwattF-15{space}staggered{space}type-1
+0
+15
+15
+Package_TO_SOT_THT
+TO-247-2_Horizontal_TabDown
+TO-247-2,{space}Horizontal,{space}RM{space}10.9mm,{space}see{space}https://toshiba.semicon-storage.com/us/product/mosfet/to-247-4l.html
+TO-247-2{space}Horizontal{space}RM{space}10.9mm
+0
+2
+2
+Package_TO_SOT_THT
+TO-247-2_Horizontal_TabUp
+TO-247-2,{space}Horizontal,{space}RM{space}10.9mm,{space}see{space}https://toshiba.semicon-storage.com/us/product/mosfet/to-247-4l.html
+TO-247-2{space}Horizontal{space}RM{space}10.9mm
+0
+2
+2
+Package_TO_SOT_THT
+TO-247-2_Vertical
+TO-247-2,{space}Vertical,{space}RM{space}10.9mm,{space}see{space}https://toshiba.semicon-storage.com/us/product/mosfet/to-247-4l.html
+TO-247-2{space}Vertical{space}RM{space}10.9mm
+0
+2
+2
+Package_TO_SOT_THT
+TO-247-3_Horizontal_TabDown
+TO-247-3,{space}Horizontal,{space}RM{space}5.45mm,{space}see{space}https://toshiba.semicon-storage.com/us/product/mosfet/to-247-4l.html
+TO-247-3{space}Horizontal{space}RM{space}5.45mm
+0
+3
+3
+Package_TO_SOT_THT
+TO-247-3_Horizontal_TabUp
+TO-247-3,{space}Horizontal,{space}RM{space}5.45mm,{space}see{space}https://toshiba.semicon-storage.com/us/product/mosfet/to-247-4l.html
+TO-247-3{space}Horizontal{space}RM{space}5.45mm
+0
+3
+3
+Package_TO_SOT_THT
+TO-247-3_Vertical
+TO-247-3,{space}Vertical,{space}RM{space}5.45mm,{space}see{space}https://toshiba.semicon-storage.com/us/product/mosfet/to-247-4l.html
+TO-247-3{space}Vertical{space}RM{space}5.45mm
+0
+3
+3
+Package_TO_SOT_THT
+TO-247-4_Horizontal_TabDown
+TO-247-4,{space}Horizontal,{space}RM{space}2.54mm,{space}see{space}https://toshiba.semicon-storage.com/us/product/mosfet/to-247-4l.html
+TO-247-4{space}Horizontal{space}RM{space}2.54mm
+0
+4
+4
+Package_TO_SOT_THT
+TO-247-4_Horizontal_TabUp
+TO-247-4,{space}Horizontal,{space}RM{space}2.54mm,{space}see{space}https://toshiba.semicon-storage.com/us/product/mosfet/to-247-4l.html
+TO-247-4{space}Horizontal{space}RM{space}2.54mm
+0
+4
+4
+Package_TO_SOT_THT
+TO-247-4_Vertical
+TO-247-4,{space}Vertical,{space}RM{space}2.54mm,{space}see{space}https://toshiba.semicon-storage.com/us/product/mosfet/to-247-4l.html
+TO-247-4{space}Vertical{space}RM{space}2.54mm
+0
+4
+4
+Package_TO_SOT_THT
+TO-247-5_Horizontal_TabDown
+TO-247-5,{space}Horizontal,{space}RM{space}2.54mm,{space}see{space}http://ww1.microchip.com/downloads/en/DeviceDoc/20005685A.pdf
+TO-247-5{space}Horizontal{space}RM{space}2.54mm
+0
+5
+5
+Package_TO_SOT_THT
+TO-247-5_Horizontal_TabUp
+TO-247-5,{space}Horizontal,{space}RM{space}2.54mm,{space}see{space}http://ww1.microchip.com/downloads/en/DeviceDoc/20005685A.pdf
+TO-247-5{space}Horizontal{space}RM{space}2.54mm
+0
+5
+5
+Package_TO_SOT_THT
+TO-247-5_Vertical
+TO-247-5,{space}Vertical,{space}RM{space}2.54mm,{space}see{space}http://ww1.microchip.com/downloads/en/DeviceDoc/20005685A.pdf
+TO-247-5{space}Vertical{space}RM{space}2.54mm
+0
+5
+5
+Package_TO_SOT_THT
+TO-251-2-1EP_Horizontal_TabDown
+TO-251-2,{space}Horizontal,{space}RM{space}4.58mm,{space}IPAK,{space}see{space}https://www.diodes.com/assets/Package-Files/TO251.pdf
+TO-251-2{space}Horizontal{space}RM{space}4.58mm{space}IPAK
+0
+3
+3
+Package_TO_SOT_THT
+TO-251-2_Vertical
+TO-251-2,{space}Vertical,{space}RM{space}4.58mm,{space}IPAK,{space}see{space}https://www.diodes.com/assets/Package-Files/TO251.pdf
+TO-251-2{space}Vertical{space}RM{space}4.58mm{space}IPAK
+0
+2
+2
+Package_TO_SOT_THT
+TO-251-3-1EP_Horizontal_TabDown
+TO-251-3,{space}Horizontal,{space}RM{space}2.29mm,{space}IPAK,{space}see{space}https://www.diodes.com/assets/Package-Files/TO251.pdf
+TO-251-3{space}Horizontal{space}RM{space}2.29mm{space}IPAK
+0
+4
+4
+Package_TO_SOT_THT
+TO-251-3_Vertical
+TO-251-3,{space}Vertical,{space}RM{space}2.29mm,{space}IPAK,{space}see{space}https://www.diodes.com/assets/Package-Files/TO251.pdf
+TO-251-3{space}Vertical{space}RM{space}2.29mm{space}IPAK
+0
+3
+3
+Package_TO_SOT_THT
+TO-262-3-1EP_Horizontal_TabDown
+TO-262-3,{space}Horizontal,{space}RM{space}2.54mm,{space}IIPAK,{space}I2PAK,{space}see{space}http://www.onsemi.com/pub/Collateral/EN8586-D.PDF
+TO-262-3{space}Horizontal{space}RM{space}2.54mm{space}IIPAK{space}I2PAK
+0
+4
+4
+Package_TO_SOT_THT
+TO-262-3_Vertical
+TO-262-3,{space}Vertical,{space}RM{space}2.54mm,{space}IIPAK,{space}I2PAK,{space}see{space}http://www.onsemi.com/pub/Collateral/EN8586-D.PDF
+TO-262-3{space}Vertical{space}RM{space}2.54mm{space}IIPAK{space}I2PAK
+0
+3
+3
+Package_TO_SOT_THT
+TO-262-5-1EP_Horizontal_TabDown
+TO-262-5,{space}Horizontal,{space}RM{space}1.7mm,{space}IIPAK,{space}I2PAK,{space}see{space}http://pdf.datasheetcatalog.com/datasheet/irf/iris4011.pdf
+TO-262-5{space}Horizontal{space}RM{space}1.7mm{space}IIPAK{space}I2PAK
+0
+6
+6
+Package_TO_SOT_THT
+TO-262-5_Vertical
+TO-262-5,{space}Vertical,{space}RM{space}1.7mm,{space}IIPAK,{space}I2PAK,{space}see{space}http://pdf.datasheetcatalog.com/datasheet/irf/iris4011.pdf
+TO-262-5{space}Vertical{space}RM{space}1.7mm{space}IIPAK{space}I2PAK
+0
+5
+5
+Package_TO_SOT_THT
+TO-264-2_Horizontal_TabDown
+TO-264-2,{space}Horizontal,{space}RM{space}10.9mm,{space}see{space}https://www.fairchildsemi.com/package-drawings/TO/TO264A03.pdf
+TO-264-2{space}Horizontal{space}RM{space}10.9mm
+0
+2
+2
+Package_TO_SOT_THT
+TO-264-2_Horizontal_TabUp
+TO-264-2,{space}Horizontal,{space}RM{space}10.9mm,{space}see{space}https://www.fairchildsemi.com/package-drawings/TO/TO264A03.pdf
+TO-264-2{space}Horizontal{space}RM{space}10.9mm
+0
+2
+2
+Package_TO_SOT_THT
+TO-264-2_Vertical
+TO-264-2,{space}Vertical,{space}RM{space}10.9mm,{space}see{space}https://www.fairchildsemi.com/package-drawings/TO/TO264A03.pdf
+TO-264-2{space}Vertical{space}RM{space}10.9mm
+0
+2
+2
+Package_TO_SOT_THT
+TO-264-3_Horizontal_TabDown
+TO-264-3,{space}Horizontal,{space}RM{space}5.45mm,{space}see{space}https://www.fairchildsemi.com/package-drawings/TO/TO264A03.pdf
+TO-264-3{space}Horizontal{space}RM{space}5.45mm
+0
+3
+3
+Package_TO_SOT_THT
+TO-264-3_Horizontal_TabUp
+TO-264-3,{space}Horizontal,{space}RM{space}5.45mm,{space}see{space}https://www.fairchildsemi.com/package-drawings/TO/TO264A03.pdf
+TO-264-3{space}Horizontal{space}RM{space}5.45mm
+0
+3
+3
+Package_TO_SOT_THT
+TO-264-3_Vertical
+TO-264-3,{space}Vertical,{space}RM{space}5.45mm,{space}see{space}https://www.fairchildsemi.com/package-drawings/TO/TO264A03.pdf
+TO-264-3{space}Vertical{space}RM{space}5.45mm
+0
+3
+3
+Package_TO_SOT_THT
+TO-264-5_Horizontal_TabDown
+TO-264-5,{space}Horizontal,{space}RM{space}3.81mm,{space}see{space}https://www.onsemi.com/pub/Collateral/NJL3281D-D.PDF
+TO-264-5{space}Horizontal{space}RM{space}3.81mm
+0
+5
+5
+Package_TO_SOT_THT
+TO-264-5_Horizontal_TabUp
+TO-264-5,{space}Horizontal,{space}RM{space}3.81mm,{space}see{space}https://www.onsemi.com/pub/Collateral/NJL3281D-D.PDF
+TO-264-5{space}Horizontal{space}RM{space}3.81mm
+0
+5
+5
+Package_TO_SOT_THT
+TO-264-5_Vertical
+TO-264-5,{space}Vertical,{space}RM{space}3.81mm,{space}see{space}https://www.onsemi.com/pub/Collateral/NJL3281D-D.PDF
+TO-264-5{space}Vertical{space}RM{space}3.81mm
+0
+5
+5
+Potentiometer_SMD
+Potentiometer_ACP_CA6-VSMD_Vertical
+Potentiometer,{space}vertical,{space}ACP{space}CA6-VSMD,{space}http://www.acptechnologies.com/wp-content/uploads/2017/06/01-ACP-CA6.pdf
+Potentiometer{space}vertical{space}ACP{space}CA6-VSMD
+0
+3
+3
+Potentiometer_SMD
+Potentiometer_ACP_CA6-VSMD_Vertical_Hole
+Potentiometer,{space}vertical,{space}shaft{space}hole,{space}ACP{space}CA6-VSMD,{space}http://www.acptechnologies.com/wp-content/uploads/2017/06/01-ACP-CA6.pdf
+Potentiometer{space}vertical{space}hole{space}ACP{space}CA6-VSMD
+0
+3
+3
+Potentiometer_SMD
+Potentiometer_ACP_CA9-VSMD_Vertical
+Potentiometer,{space}vertical,{space}ACP{space}CA9-VSMD,{space}http://www.acptechnologies.com/wp-content/uploads/2017/05/02-ACP-CA9-CE9.pdf
+Potentiometer{space}vertical{space}ACP{space}CA9-VSMD
+0
+3
+3
+Potentiometer_SMD
+Potentiometer_ACP_CA9-VSMD_Vertical_Hole
+Potentiometer,{space}vertical,{space}shaft{space}hole,{space}ACP{space}CA9-VSMD,{space}http://www.acptechnologies.com/wp-content/uploads/2017/05/02-ACP-CA9-CE9.pdf
+Potentiometer{space}vertical{space}hole{space}ACP{space}CA9-VSMD
+0
+3
+3
+Potentiometer_SMD
+Potentiometer_ACP_CA14-VSMD_Vertical
+Potentiometer,{space}vertical,{space}ACP{space}CA14-VSMD,{space}http://www.acptechnologies.com/wp-content/uploads/2017/10/03-ACP-CA14-CE14.pdf
+Potentiometer{space}vertical{space}ACP{space}CA14-VSMD
+0
+3
+3
+Potentiometer_SMD
+Potentiometer_ACP_CA14-VSMD_Vertical_Hole
+Potentiometer,{space}vertical,{space}shaft{space}hole,{space}ACP{space}CA14-VSMD,{space}http://www.acptechnologies.com/wp-content/uploads/2017/10/03-ACP-CA14-CE14.pdf
+Potentiometer{space}vertical{space}hole{space}ACP{space}CA14-VSMD
+0
+3
+3
+Potentiometer_SMD
+Potentiometer_Bourns_3214G_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3214G,{space}https://www.bourns.com/docs/Product-Datasheets/3214.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3214G
+0
+3
+3
+Potentiometer_SMD
+Potentiometer_Bourns_3214J_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3214J,{space}https://www.bourns.com/docs/Product-Datasheets/3214.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3214J
+0
+3
+3
+Potentiometer_SMD
+Potentiometer_Bourns_3214W_Vertical
+Potentiometer,{space}vertical,{space}Bourns{space}3214W,{space}https://www.bourns.com/docs/Product-Datasheets/3214.pdf
+Potentiometer{space}vertical{space}Bourns{space}3214W
+0
+3
+3
+Potentiometer_SMD
+Potentiometer_Bourns_3214X_Vertical
+Potentiometer,{space}vertical,{space}Bourns{space}3214X,{space}https://www.bourns.com/docs/Product-Datasheets/3214.pdf
+Potentiometer{space}vertical{space}Bourns{space}3214X
+0
+3
+3
+Potentiometer_SMD
+Potentiometer_Bourns_3224G_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3224G,{space}https://www.bourns.com/docs/Product-Datasheets/3224.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3224G
+0
+3
+3
+Potentiometer_SMD
+Potentiometer_Bourns_3224J_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3224J,{space}https://www.bourns.com/docs/Product-Datasheets/3224.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3224J
+0
+3
+3
+Potentiometer_SMD
+Potentiometer_Bourns_3224W_Vertical
+Potentiometer,{space}vertical,{space}Bourns{space}3224W,{space}https://www.bourns.com/docs/Product-Datasheets/3224.pdf
+Potentiometer{space}vertical{space}Bourns{space}3224W
+0
+3
+3
+Potentiometer_SMD
+Potentiometer_Bourns_3224X_Vertical
+Potentiometer,{space}vertical,{space}Bourns{space}3224X,{space}https://www.bourns.com/docs/Product-Datasheets/3224.pdf
+Potentiometer{space}vertical{space}Bourns{space}3224X
+0
+3
+3
+Potentiometer_SMD
+Potentiometer_Bourns_3269P_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3269P,{space}https://www.bourns.com/docs/Product-Datasheets/3269.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3269P
+0
+3
+3
+Potentiometer_SMD
+Potentiometer_Bourns_3269W_Vertical
+Potentiometer,{space}vertical,{space}Bourns{space}3269W,{space}https://www.bourns.com/docs/Product-Datasheets/3269.pdf
+Potentiometer{space}vertical{space}Bourns{space}3269W
+0
+3
+3
+Potentiometer_SMD
+Potentiometer_Bourns_3269X_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3269X,{space}https://www.bourns.com/docs/Product-Datasheets/3269.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3269X
+0
+3
+3
+Potentiometer_SMD
+Potentiometer_Bourns_3314G_Vertical
+Potentiometer,{space}vertical,{space}Bourns{space}3314G,{space}http://www.bourns.com/docs/Product-Datasheets/3314.pdf
+Potentiometer{space}vertical{space}Bourns{space}3314G
+0
+3
+3
+Potentiometer_SMD
+Potentiometer_Bourns_3314J_Vertical
+Potentiometer,{space}vertical,{space}Bourns{space}3314J,{space}http://www.bourns.com/docs/Product-Datasheets/3314.pdf
+Potentiometer{space}vertical{space}Bourns{space}3314J
+0
+3
+3
+Potentiometer_SMD
+Potentiometer_Bourns_3314R-1_Vertical_Hole
+Potentiometer,{space}vertical,{space}shaft{space}hole,{space}Bourns{space}3314R-1,{space}http://www.bourns.com/docs/Product-Datasheets/3314.pdf
+Potentiometer{space}vertical{space}hole{space}Bourns{space}3314R-1
+0
+3
+3
+Potentiometer_SMD
+Potentiometer_Bourns_3314R-GM5_Vertical
+Potentiometer,{space}vertical,{space}Bourns{space}3314R-GM5,{space}http://www.bourns.com/docs/Product-Datasheets/3314.pdf
+Potentiometer{space}vertical{space}Bourns{space}3314R-GM5
+0
+3
+3
+Potentiometer_SMD
+Potentiometer_Bourns_3314S_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3314S,{space}http://www.bourns.com/docs/Product-Datasheets/3314.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3314S
+0
+3
+3
+Potentiometer_SMD
+Potentiometer_Bourns_PRS11S_Vertical
+Potentiometer,{space}vertical,{space}Bourns{space}PRS11S,{space}http://www.bourns.com/docs/Product-Datasheets/PRS11S.pdf
+Potentiometer{space}vertical{space}Bourns{space}PRS11S
+0
+5
+5
+Potentiometer_SMD
+Potentiometer_Bourns_TC33X_Vertical
+Potentiometer,{space}Bourns,{space}TC33X,{space}Vertical,{space}https://www.bourns.com/pdfs/TC33.pdf
+Potentiometer{space}Bourns{space}TC33X{space}Vertical
+0
+3
+3
+Potentiometer_SMD
+Potentiometer_Vishay_TS53YJ_Vertical
+Potentiometer,{space}vertical,{space}Vishay{space}TS53YJ,{space}https://www.vishay.com/docs/51008/ts53.pdf
+Potentiometer{space}vertical{space}Vishay{space}TS53YJ
+0
+3
+3
+Potentiometer_SMD
+Potentiometer_Vishay_TS53YL_Vertical
+Potentiometer,{space}vertical,{space}Vishay{space}TS53YL,{space}https://www.vishay.com/docs/51008/ts53.pdf
+Potentiometer{space}vertical{space}Vishay{space}TS53YL
+0
+3
+3
+Potentiometer_THT
+Potentiometer_ACP_CA6-H2,5_Horizontal
+Potentiometer,{space}horizontal,{space}ACP{space}CA6-H2,5,{space}http://www.acptechnologies.com/wp-content/uploads/2017/06/01-ACP-CA6.pdf
+Potentiometer{space}horizontal{space}ACP{space}CA6-H2,5
+0
+3
+3
+Potentiometer_THT
+Potentiometer_ACP_CA9-H2,5_Horizontal
+Potentiometer,{space}horizontal,{space}ACP{space}CA9-H2,5,{space}http://www.acptechnologies.com/wp-content/uploads/2017/05/02-ACP-CA9-CE9.pdf
+Potentiometer{space}horizontal{space}ACP{space}CA9-H2,5
+0
+3
+3
+Potentiometer_THT
+Potentiometer_ACP_CA9-H3,8_Horizontal
+Potentiometer,{space}horizontal,{space}ACP{space}CA9-H3,8,{space}http://www.acptechnologies.com/wp-content/uploads/2017/05/02-ACP-CA9-CE9.pdf
+Potentiometer{space}horizontal{space}ACP{space}CA9-H3,8
+0
+3
+3
+Potentiometer_THT
+Potentiometer_ACP_CA9-H5_Horizontal
+Potentiometer,{space}horizontal,{space}ACP{space}CA9-H5,{space}http://www.acptechnologies.com/wp-content/uploads/2017/05/02-ACP-CA9-CE9.pdf
+Potentiometer{space}horizontal{space}ACP{space}CA9-H5
+0
+3
+3
+Potentiometer_THT
+Potentiometer_ACP_CA9-V10_Vertical
+Potentiometer,{space}vertical,{space}ACP{space}CA9-V10,{space}http://www.acptechnologies.com/wp-content/uploads/2017/05/02-ACP-CA9-CE9.pdf
+Potentiometer{space}vertical{space}ACP{space}CA9-V10
+0
+3
+3
+Potentiometer_THT
+Potentiometer_ACP_CA9-V10_Vertical_Hole
+Potentiometer,{space}vertical,{space}shaft{space}hole,{space}ACP{space}CA9-V10,{space}http://www.acptechnologies.com/wp-content/uploads/2017/05/02-ACP-CA9-CE9.pdf
+Potentiometer{space}vertical{space}hole{space}ACP{space}CA9-V10
+0
+3
+3
+Potentiometer_THT
+Potentiometer_ACP_CA14-H2,5_Horizontal
+Potentiometer,{space}horizontal,{space}ACP{space}CA14-H2,5,{space}http://www.acptechnologies.com/wp-content/uploads/2017/10/03-ACP-CA14-CE14.pdf
+Potentiometer{space}horizontal{space}ACP{space}CA14-H2,5
+0
+3
+3
+Potentiometer_THT
+Potentiometer_ACP_CA14-H4_Horizontal
+Potentiometer,{space}horizontal,{space}ACP{space}CA14-H4,{space}http://www.acptechnologies.com/wp-content/uploads/2017/10/03-ACP-CA14-CE14.pdf
+Potentiometer{space}horizontal{space}ACP{space}CA14-H4
+0
+3
+3
+Potentiometer_THT
+Potentiometer_ACP_CA14-H5_Horizontal
+Potentiometer,{space}horizontal,{space}ACP{space}CA14-H5,{space}http://www.acptechnologies.com/wp-content/uploads/2017/10/03-ACP-CA14-CE14.pdf
+Potentiometer{space}horizontal{space}ACP{space}CA14-H5
+0
+3
+3
+Potentiometer_THT
+Potentiometer_ACP_CA14V-15_Vertical
+Potentiometer,{space}vertical,{space}ACP{space}CA14V-15,{space}http://www.acptechnologies.com/wp-content/uploads/2017/10/03-ACP-CA14-CE14.pdf
+Potentiometer{space}vertical{space}ACP{space}CA14V-15
+0
+3
+3
+Potentiometer_THT
+Potentiometer_ACP_CA14V-15_Vertical_Hole
+Potentiometer,{space}vertical,{space}shaft{space}hole,{space}ACP{space}CA14V-15,{space}http://www.acptechnologies.com/wp-content/uploads/2017/10/03-ACP-CA14-CE14.pdf
+Potentiometer{space}vertical{space}hole{space}ACP{space}CA14V-15
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Alpha_RD901F-40-00D_Single_Vertical
+Potentiometer,{space}vertical,{space}9mm,{space}single,{space}http://www.taiwanalpha.com.tw/downloads?target=products&id=113
+potentiometer{space}vertical{space}9mm{space}single
+0
+5
+3
+Potentiometer_THT
+Potentiometer_Alpha_RD901F-40-00D_Single_Vertical_CircularHoles
+Potentiometer,{space}vertical,{space}9mm,{space}single,{space}http://www.taiwanalpha.com.tw/downloads?target=products&id=113
+potentiometer{space}vertical{space}9mm{space}single
+0
+5
+3
+Potentiometer_THT
+Potentiometer_Alpha_RD902F-40-00D_Dual_Vertical
+Potentiometer,{space}vertical,{space}9mm,{space}dual,{space}http://www.taiwanalpha.com.tw/downloads?target=products&id=113
+potentiometer{space}vertical{space}9mm{space}dual
+0
+8
+6
+Potentiometer_THT
+Potentiometer_Alpha_RD902F-40-00D_Dual_Vertical_CircularHoles
+Potentiometer,{space}vertical,{space}9mm,{space}dual,{space}http://www.taiwanalpha.com.tw/downloads?target=products&id=113
+potentiometer{space}vertical{space}9mm{space}dual
+0
+8
+6
+Potentiometer_THT
+Potentiometer_Alps_RK09K_Single_Horizontal
+Potentiometer,{space}horizontal,{space}Alps{space}RK09K{space}Single,{space}http://www.alps.com/prod/info/E/HTML/Potentiometer/RotaryPotentiometers/RK09K/RK09K_list.html
+Potentiometer{space}horizontal{space}Alps{space}RK09K{space}Single
+0
+5
+3
+Potentiometer_THT
+Potentiometer_Alps_RK09K_Single_Vertical
+Potentiometer,{space}vertical,{space}Alps{space}RK09K{space}Single,{space}http://www.alps.com/prod/info/E/HTML/Potentiometer/RotaryPotentiometers/RK09K/RK09K_list.html
+Potentiometer{space}vertical{space}Alps{space}RK09K{space}Single
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Alps_RK09L_Double_Horizontal
+Potentiometer,{space}horizontal,{space}Alps{space}RK09L{space}Double,{space}http://www.alps.com/prod/info/E/HTML/Potentiometer/RotaryPotentiometers/RK09L/RK09L_list.html
+Potentiometer{space}horizontal{space}Alps{space}RK09L{space}Double
+0
+8
+6
+Potentiometer_THT
+Potentiometer_Alps_RK09L_Double_Vertical
+Potentiometer,{space}vertical,{space}Alps{space}RK09L{space}Double,{space}http://www.alps.com/prod/info/E/HTML/Potentiometer/RotaryPotentiometers/RK09L/RK09L_list.html
+Potentiometer{space}vertical{space}Alps{space}RK09L{space}Double
+0
+6
+6
+Potentiometer_THT
+Potentiometer_Alps_RK09L_Single_Horizontal
+Potentiometer,{space}horizontal,{space}Alps{space}RK09L{space}Single,{space}http://www.alps.com/prod/info/E/HTML/Potentiometer/RotaryPotentiometers/RK09L/RK09L_list.html
+Potentiometer{space}horizontal{space}Alps{space}RK09L{space}Single
+0
+5
+3
+Potentiometer_THT
+Potentiometer_Alps_RK09L_Single_Vertical
+Potentiometer,{space}vertical,{space}Alps{space}RK09L{space}Single,{space}http://www.alps.com/prod/info/E/HTML/Potentiometer/RotaryPotentiometers/RK09L/RK09L_list.html
+Potentiometer{space}vertical{space}Alps{space}RK09L{space}Single
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Alps_RK09Y11_Single_Horizontal
+Potentiometer,{space}horizontal,{space}Alps{space}RK09Y11{space}Single,{space}http://www.alps.com/prod/info/E/HTML/Potentiometer/RotaryPotentiometers/RK09Y11/RK09Y11_list.html
+Potentiometer{space}horizontal{space}Alps{space}RK09Y11{space}Single
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Alps_RK097_Dual_Horizontal
+Potentiometer,{space}horizontal,{space}Alps{space}RK097{space}Dual,{space}http://www.alps.com/prod/info/E/HTML/Potentiometer/RotaryPotentiometers/RK097/RK097_list.html
+Potentiometer{space}horizontal{space}Alps{space}RK097{space}Dual
+0
+6
+6
+Potentiometer_THT
+Potentiometer_Alps_RK097_Single_Horizontal
+Potentiometer,{space}horizontal,{space}Alps{space}RK097{space}Single,{space}http://www.alps.com/prod/info/E/HTML/Potentiometer/RotaryPotentiometers/RK097/RK097_list.html
+Potentiometer{space}horizontal{space}Alps{space}RK097{space}Single
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Alps_RK163_Dual_Horizontal
+Potentiometer,{space}horizontal,{space}Alps{space}RK163{space}Dual,{space}http://www.alps.com/prod/info/E/HTML/Potentiometer/RotaryPotentiometers/RK16/RK16_list.html
+Potentiometer{space}horizontal{space}Alps{space}RK163{space}Dual
+0
+6
+6
+Potentiometer_THT
+Potentiometer_Alps_RK163_Single_Horizontal
+Potentiometer,{space}horizontal,{space}Alps{space}RK163{space}Single,{space}http://www.alps.com/prod/info/E/HTML/Potentiometer/RotaryPotentiometers/RK16/RK16_list.html
+Potentiometer{space}horizontal{space}Alps{space}RK163{space}Single
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3005_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3005,{space}http://www.bourns.com/docs/Product-Datasheets/3005.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3005
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3006P_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3006P,{space}https://www.bourns.com/docs/Product-Datasheets/3006.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3006P
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3006W_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3006W,{space}https://www.bourns.com/docs/Product-Datasheets/3006.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3006W
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3006Y_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3006Y,{space}https://www.bourns.com/docs/Product-Datasheets/3006.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3006Y
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3009P_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3009P,{space}http://www.bourns.com/docs/Product-Datasheets/3009.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3009P
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3009Y_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3009Y,{space}http://www.bourns.com/docs/Product-Datasheets/3009.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3009Y
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3266P_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3266P,{space}https://www.bourns.com/docs/Product-Datasheets/3266.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3266P
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3266W_Vertical
+Potentiometer,{space}vertical,{space}Bourns{space}3266W,{space}https://www.bourns.com/docs/Product-Datasheets/3266.pdf
+Potentiometer{space}vertical{space}Bourns{space}3266W
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3266X_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3266X,{space}https://www.bourns.com/docs/Product-Datasheets/3266.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3266X
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3266Y_Vertical
+Potentiometer,{space}vertical,{space}Bourns{space}3266Y,{space}https://www.bourns.com/docs/Product-Datasheets/3266.pdf
+Potentiometer{space}vertical{space}Bourns{space}3266Y
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3266Z_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3266Z,{space}https://www.bourns.com/docs/Product-Datasheets/3266.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3266Z
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3296P_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3296P,{space}https://www.bourns.com/pdfs/3296.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3296P
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3296W_Vertical
+Potentiometer,{space}vertical,{space}Bourns{space}3296W,{space}https://www.bourns.com/pdfs/3296.pdf
+Potentiometer{space}vertical{space}Bourns{space}3296W
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3296X_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3296X,{space}https://www.bourns.com/pdfs/3296.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3296X
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3296Y_Vertical
+Potentiometer,{space}vertical,{space}Bourns{space}3296Y,{space}https://www.bourns.com/pdfs/3296.pdf
+Potentiometer{space}vertical{space}Bourns{space}3296Y
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3296Z_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3296Z,{space}https://www.bourns.com/pdfs/3296.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3296Z
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3299P_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3299P,{space}https://www.bourns.com/pdfs/3299.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3299P
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3299W_Vertical
+Potentiometer,{space}vertical,{space}Bourns{space}3299W,{space}https://www.bourns.com/pdfs/3299.pdf
+Potentiometer{space}vertical{space}Bourns{space}3299W
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3299X_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3299X,{space}https://www.bourns.com/pdfs/3299.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3299X
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3299Y_Vertical
+Potentiometer,{space}vertical,{space}Bourns{space}3299Y,{space}https://www.bourns.com/pdfs/3299.pdf
+Potentiometer{space}vertical{space}Bourns{space}3299Y
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3299Z_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3299Z,{space}https://www.bourns.com/pdfs/3299.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3299Z
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3339H_Vertical
+Potentiometer,{space}vertical,{space}Bourns{space}3339H,{space}http://www.bourns.com/docs/Product-Datasheets/3339.pdf
+Potentiometer{space}vertical{space}Bourns{space}3339H
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3339P_Vertical
+Potentiometer,{space}vertical,{space}Bourns{space}3339P,{space}http://www.bourns.com/docs/Product-Datasheets/3339.pdf
+Potentiometer{space}vertical{space}Bourns{space}3339P
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3339P_Vertical_HandSoldering
+Potentiometer,{space}vertical,{space}Bourns{space}3339P,{space}hand-soldering,{space}http://www.bourns.com/docs/Product-Datasheets/3339.pdf
+Potentiometer{space}vertical{space}Bourns{space}3339P{space}hand-soldering
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3339S_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3339S,{space}http://www.bourns.com/docs/Product-Datasheets/3339.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3339S
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3339W_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3339W,{space}http://www.bourns.com/docs/Product-Datasheets/3339.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3339W
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3386C_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3386C,{space}https://www.bourns.com/pdfs/3386.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3386C
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3386F_Vertical
+Potentiometer,{space}vertical,{space}Bourns{space}3386F,{space}https://www.bourns.com/pdfs/3386.pdf
+Potentiometer{space}vertical{space}Bourns{space}3386F
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3386P_Vertical
+Potentiometer,{space}vertical,{space}Bourns{space}3386P,{space}https://www.bourns.com/pdfs/3386.pdf
+Potentiometer{space}vertical{space}Bourns{space}3386P
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_3386X_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}3386X,{space}https://www.bourns.com/pdfs/3386.pdf
+Potentiometer{space}horizontal{space}Bourns{space}3386X
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_PTA1543_Single_Slide
+Bourns{space}single-gang{space}slide{space}potentiometer,{space}15.0mm{space}travel,{space}https://www.bourns.com/docs/Product-Datasheets/pta.pdf
+Bourns{space}single-gang{space}slide{space}potentiometer{space}15.0mm
+0
+7
+4
+Potentiometer_THT
+Potentiometer_Bourns_PTA2043_Single_Slide
+Bourns{space}single-gang{space}slide{space}potentiometer,{space}20.0mm{space}travel,{space}https://www.bourns.com/docs/Product-Datasheets/pta.pdf
+Bourns{space}single-gang{space}slide{space}potentiometer{space}20.0mm
+0
+7
+4
+Potentiometer_THT
+Potentiometer_Bourns_PTA3043_Single_Slide
+Bourns{space}single-gang{space}slide{space}potentiometer,{space}30.0mm{space}travel,{space}https://www.bourns.com/docs/Product-Datasheets/pta.pdf
+Bourns{space}single-gang{space}slide{space}potentiometer{space}30.0mm
+0
+7
+4
+Potentiometer_THT
+Potentiometer_Bourns_PTA4543_Single_Slide
+Bourns{space}single-gang{space}slide{space}potentiometer,{space}45.0mm{space}travel,{space}https://www.bourns.com/docs/Product-Datasheets/pta.pdf
+Bourns{space}single-gang{space}slide{space}potentiometer{space}45.0mm
+0
+7
+4
+Potentiometer_THT
+Potentiometer_Bourns_PTA6043_Single_Slide
+Bourns{space}single-gang{space}slide{space}potentiometer,{space}60.0mm{space}travel,{space}https://www.bourns.com/docs/Product-Datasheets/pta.pdf
+Bourns{space}single-gang{space}slide{space}potentiometer{space}60.0mm
+0
+7
+4
+Potentiometer_THT
+Potentiometer_Bourns_PTV09A-1_Single_Vertical
+Potentiometer,{space}vertical,{space}Bourns{space}PTV09A-1{space}Single,{space}http://www.bourns.com/docs/Product-Datasheets/ptv09.pdf
+Potentiometer{space}vertical{space}Bourns{space}PTV09A-1{space}Single
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Bourns_PTV09A-2_Single_Horizontal
+Potentiometer,{space}horizontal,{space}Bourns{space}PTV09A-2{space}Single,{space}http://www.bourns.com/docs/Product-Datasheets/ptv09.pdf
+Potentiometer{space}horizontal{space}Bourns{space}PTV09A-2{space}Single
+0
+5
+3
+Potentiometer_THT
+Potentiometer_Omeg_PC16BU_Horizontal
+Potentiometer,{space}horizontal,{space}Omeg{space}PC16BU,{space}http://www.omeg.co.uk/pc6bubrc.htm
+Potentiometer{space}horizontal{space}Omeg{space}PC16BU
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Omeg_PC16BU_Vertical
+Potentiometer,{space}vertical,{space}Omeg{space}PC16BU,{space}http://www.omeg.co.uk/pc6bubrc.htm
+Potentiometer{space}vertical{space}Omeg{space}PC16BU
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Piher_PC-16_Dual_Horizontal
+Potentiometer,{space}horizontal,{space}Piher{space}PC-16{space}Dual,{space}http://www.piher-nacesa.com/pdf/20-PC16v03.pdf
+Potentiometer{space}horizontal{space}Piher{space}PC-16{space}Dual
+0
+6
+6
+Potentiometer_THT
+Potentiometer_Piher_PC-16_Single_Horizontal
+Potentiometer,{space}horizontal,{space}Piher{space}PC-16{space}Single,{space}http://www.piher-nacesa.com/pdf/20-PC16v03.pdf
+Potentiometer{space}horizontal{space}Piher{space}PC-16{space}Single
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Piher_PC-16_Single_Vertical
+Potentiometer,{space}vertical,{space}Piher{space}PC-16{space}Single,{space}http://www.piher-nacesa.com/pdf/20-PC16v03.pdf
+Potentiometer{space}vertical{space}Piher{space}PC-16{space}Single
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Piher_PC-16_Triple_Horizontal
+Potentiometer,{space}horizontal,{space}Piher{space}PC-16{space}Triple,{space}http://www.piher-nacesa.com/pdf/20-PC16v03.pdf
+Potentiometer{space}horizontal{space}Piher{space}PC-16{space}Triple
+0
+9
+9
+Potentiometer_THT
+Potentiometer_Piher_PT-6-H_Horizontal
+Potentiometer,{space}horizontal,{space}Piher{space}PT-6-H,{space}http://www.piher-nacesa.com/pdf/11-PT6v03.pdf
+Potentiometer{space}horizontal{space}Piher{space}PT-6-H
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Piher_PT-6-V_Vertical
+Potentiometer,{space}vertical,{space}Piher{space}PT-6-V,{space}http://www.piher-nacesa.com/pdf/11-PT6v03.pdf
+Potentiometer{space}vertical{space}Piher{space}PT-6-V
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Piher_PT-6-V_Vertical_Hole
+Potentiometer,{space}vertical,{space}shaft{space}hole,{space}Piher{space}PT-6-V,{space}http://www.piher-nacesa.com/pdf/11-PT6v03.pdf
+Potentiometer{space}vertical{space}hole{space}Piher{space}PT-6-V
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Piher_PT-10-H01_Horizontal
+Potentiometer,{space}horizontal,{space}Piher{space}PT-10-H01,{space}http://www.piher-nacesa.com/pdf/12-PT10v03.pdf
+Potentiometer{space}horizontal{space}Piher{space}PT-10-H01
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Piher_PT-10-H05_Horizontal
+Potentiometer,{space}horizontal,{space}Piher{space}PT-10-H05,{space}http://www.piher-nacesa.com/pdf/12-PT10v03.pdf
+Potentiometer{space}horizontal{space}Piher{space}PT-10-H05
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Piher_PT-10-V05_Vertical
+Potentiometer,{space}vertical,{space}Piher{space}PT-10-V05,{space}http://www.piher-nacesa.com/pdf/12-PT10v03.pdf
+Potentiometer{space}vertical{space}Piher{space}PT-10-V05
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Piher_PT-10-V10_Vertical
+Potentiometer,{space}vertical,{space}Piher{space}PT-10-V10,{space}http://www.piher-nacesa.com/pdf/12-PT10v03.pdf
+Potentiometer{space}vertical{space}Piher{space}PT-10-V10
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Piher_PT-10-V10_Vertical_Hole
+Potentiometer,{space}vertical,{space}shaft{space}hole,{space}Piher{space}PT-10-V10,{space}http://www.piher-nacesa.com/pdf/12-PT10v03.pdf
+Potentiometer{space}vertical{space}hole{space}Piher{space}PT-10-V10
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Piher_PT-15-H01_Horizontal
+Potentiometer,{space}horizontal,{space}Piher{space}PT-15-H01,{space}http://www.piher-nacesa.com/pdf/14-PT15v03.pdf
+Potentiometer{space}horizontal{space}Piher{space}PT-15-H01
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Piher_PT-15-H05_Horizontal
+Potentiometer,{space}horizontal,{space}Piher{space}PT-15-H05,{space}http://www.piher-nacesa.com/pdf/14-PT15v03.pdf
+Potentiometer{space}horizontal{space}Piher{space}PT-15-H05
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Piher_PT-15-H06_Horizontal
+Potentiometer,{space}horizontal,{space}Piher{space}PT-15-H06,{space}http://www.piher-nacesa.com/pdf/14-PT15v03.pdf
+Potentiometer{space}horizontal{space}Piher{space}PT-15-H06
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Piher_PT-15-H25_Horizontal
+Potentiometer,{space}horizontal,{space}Piher{space}PT-15-H25,{space}http://www.piher-nacesa.com/pdf/14-PT15v03.pdf
+Potentiometer{space}horizontal{space}Piher{space}PT-15-H25
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Piher_PT-15-V02_Vertical
+Potentiometer,{space}vertical,{space}Piher{space}PT-15-V02,{space}http://www.piher-nacesa.com/pdf/14-PT15v03.pdf
+Potentiometer{space}vertical{space}Piher{space}PT-15-V02
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Piher_PT-15-V02_Vertical_Hole
+Potentiometer,{space}vertical,{space}shaft{space}hole,{space}Piher{space}PT-15-V02,{space}http://www.piher-nacesa.com/pdf/14-PT15v03.pdf
+Potentiometer{space}vertical{space}hole{space}Piher{space}PT-15-V02
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Piher_PT-15-V15_Vertical
+Potentiometer,{space}vertical,{space}Piher{space}PT-15-V15,{space}http://www.piher-nacesa.com/pdf/14-PT15v03.pdf
+Potentiometer{space}vertical{space}Piher{space}PT-15-V15
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Piher_PT-15-V15_Vertical_Hole
+Potentiometer,{space}vertical,{space}shaft{space}hole,{space}Piher{space}PT-15-V15,{space}http://www.piher-nacesa.com/pdf/14-PT15v03.pdf
+Potentiometer{space}vertical{space}hole{space}Piher{space}PT-15-V15
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Piher_T-16H_Double_Horizontal
+Potentiometer,{space}horizontal,{space}Piher{space}T-16H{space}Double,{space}http://www.piher-nacesa.com/pdf/22-T16v03.pdf
+Potentiometer{space}horizontal{space}Piher{space}T-16H{space}Double
+0
+6
+6
+Potentiometer_THT
+Potentiometer_Piher_T-16H_Single_Horizontal
+Potentiometer,{space}horizontal,{space}Piher{space}T-16H{space}Single,{space}http://www.piher-nacesa.com/pdf/22-T16v03.pdf
+Potentiometer{space}horizontal{space}Piher{space}T-16H{space}Single
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Piher_T-16L_Single_Vertical_Hole
+Potentiometer,{space}vertical,{space}shaft{space}hole,{space}Piher{space}T-16L{space}Single,{space}http://www.piher-nacesa.com/pdf/22-T16v03.pdf
+Potentiometer{space}vertical{space}hole{space}Piher{space}T-16L{space}Single
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Runtron_RM-063_Horizontal
+Potentiometer,{space}horizontal,{space}Trimmer,{space}RM-063{space}http://www.runtron.com/down/PDF%20Datasheet/Carbon%20Film%20Potentiometer/RM065%20RM063.pdf
+Potentiometer{space}Trimmer{space}RM-063
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Runtron_RM-065_Vertical
+Potentiometer,{space}vertical,{space}Trimmer,{space}RM-065{space}http://www.runtron.com/down/PDF%20Datasheet/Carbon%20Film%20Potentiometer/RM065%20RM063.pdf
+Potentiometer{space}Trimmer{space}RM-065
+0
+3
+3
+Potentiometer_THT
+Potentiometer_TT_P0915N
+http://www.ttelectronics.com/sites/default/files/download-files/Datasheet_PanelPot_P09xSeries.pdf
+potentiometer{space}vertical{space}TT{space}P0915N{space}single
+0
+5
+3
+Potentiometer_THT
+Potentiometer_Vishay_43_Horizontal
+Potentiometer,{space}horizontal,{space}Vishay{space}43,{space}http://www.vishay.com/docs/57026/43.pdf
+Potentiometer{space}horizontal{space}Vishay{space}43
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Vishay_148-149_Dual_Horizontal
+Potentiometer,{space}horizontal,{space}Vishay{space}148-149{space}Dual,{space}http://www.vishay.com/docs/57040/148149.pdf
+Potentiometer{space}horizontal{space}Vishay{space}148-149{space}Dual
+0
+6
+6
+Potentiometer_THT
+Potentiometer_Vishay_148-149_Single_Horizontal
+Potentiometer,{space}horizontal,{space}Vishay{space}148-149{space}Single,{space}http://www.vishay.com/docs/57040/148149.pdf
+Potentiometer{space}horizontal{space}Vishay{space}148-149{space}Single
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Vishay_148-149_Single_Vertical
+Potentiometer,{space}vertical,{space}Vishay{space}148-149{space}Single,{space}http://www.vishay.com/docs/57040/148149.pdf
+Potentiometer{space}vertical{space}Vishay{space}148-149{space}Single
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Vishay_148E-149E_Dual_Horizontal
+Potentiometer,{space}horizontal,{space}Vishay{space}148E-149E{space}Dual,{space}http://www.vishay.com/docs/57040/148149.pdf
+Potentiometer{space}horizontal{space}Vishay{space}148E-149E{space}Dual
+0
+10
+6
+Potentiometer_THT
+Potentiometer_Vishay_148E-149E_Single_Horizontal
+Potentiometer,{space}horizontal,{space}Vishay{space}148E-149E{space}Single,{space}http://www.vishay.com/docs/57040/148149.pdf
+Potentiometer{space}horizontal{space}Vishay{space}148E-149E{space}Single
+0
+7
+3
+Potentiometer_THT
+Potentiometer_Vishay_248BH-249BH_Single_Horizontal
+Potentiometer,{space}horizontal,{space}Vishay{space}248BH-249BH{space}Single,{space}http://www.vishay.com/docs/57054/248249.pdf
+Potentiometer{space}horizontal{space}Vishay{space}248BH-249BH{space}Single
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Vishay_248GJ-249GJ_Single_Horizontal
+Potentiometer,{space}horizontal,{space}Vishay{space}248GJ-249GJ{space}Single,{space}http://www.vishay.com/docs/57054/248249.pdf
+Potentiometer{space}horizontal{space}Vishay{space}248GJ-249GJ{space}Single
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Vishay_248GJ-249GJ_Single_Vertical
+Potentiometer,{space}vertical,{space}Vishay{space}248GJ-249GJ{space}Single,{space}http://www.vishay.com/docs/57054/248249.pdf
+Potentiometer{space}vertical{space}Vishay{space}248GJ-249GJ{space}Single
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Vishay_T7-YA_Single_Vertical
+Potentiometer,{space}vertical,{space}Vishay{space}T7-YA{space}Single,{space}http://www.vishay.com/docs/51015/t7.pdf
+Potentiometer{space}vertical{space}Vishay{space}T7-YA{space}Single
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Vishay_T73XW_Horizontal
+Potentiometer,{space}horizontal,{space}Vishay{space}T73XW,{space}http://www.vishay.com/docs/51016/t73.pdf
+Potentiometer{space}horizontal{space}Vishay{space}T73XW
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Vishay_T73XX_Horizontal
+Potentiometer,{space}horizontal,{space}Vishay{space}T73XX,{space}http://www.vishay.com/docs/51016/t73.pdf
+Potentiometer{space}horizontal{space}Vishay{space}T73XX
+0
+3
+3
+Potentiometer_THT
+Potentiometer_Vishay_T73YP_Vertical
+Potentiometer,{space}vertical,{space}Vishay{space}T73YP,{space}http://www.vishay.com/docs/51016/t73.pdf
+Potentiometer{space}vertical{space}Vishay{space}T73YP
+0
+3
+3
+RF
+Skyworks_SKY65404-31
+http://www.skyworksinc.com/uploads/documents/SKY65404_31_201512K.pdf
+Skyworks
+0
+7
+7
+RF_Antenna
+Coilcraft_MA5532-AE_RFID
+RFID{space}Transponder{space}Coil
+antenna{space}rfid{space}coilcraft
+0
+2
+2
+RF_Antenna
+Pulse_W3011
+Pulse{space}RF{space}Antenna,{space}4mm{space}Clearance
+antenna{space}rf
+0
+3
+2
+RF_Antenna
+Texas_SWRA117D_2.4GHz_Left
+http://www.ti.com/lit/an/swra117d/swra117d.pdf
+PCB{space}antenna
+0
+2
+2
+RF_Antenna
+Texas_SWRA117D_2.4GHz_Right
+http://www.ti.com/lit/an/swra117d/swra117d.pdf
+PCB{space}antenna
+0
+2
+2
+RF_Converter
+Anaren_0805_2012Metric-6
+https://cdn.anaren.com/product-documents/Xinger/DirectionalCouplers/DC4759J5020AHF/DC4759J5020AHF_DataSheet(Rev_E).pdf
+coupler{space}rf
+0
+6
+6
+RF_Converter
+Balun_Johanson_5400BL15B050E
+https://www.johansontechnology.com/datasheets/5400BL15B050/5400BL15B050.pdf
+balun{space}RF
+0
+6
+6
+RF_Converter
+RF_Attenuator_Susumu_PAT1220
+http://www.susumu-usa.com/pdf/Foot_Print_38.pdf,{space}https://www.susumu.co.jp/common/pdf/n_catalog_partition16_en.pdf
+2mm{space}1.2mm
+0
+3
+3
+RF_GPS
+Linx_RXM-GPS
+GPS{space}Module,{space}Linx
+gps{space}linx
+0
+22
+22
+RF_GPS
+SIM28ML
+https://simcom.ee/documents/SIM28ML/SIM28ML_Hardware%20Design_V1.01.pdf
+SIM28ML{space}GPS
+0
+18
+18
+RF_GPS
+Sierra_XA11X0
+QFN-24,{space}Pitch{space}1.20{space}no{space}EP,{space}https://source.sierrawireless.com/resources/airprime/hardware_specs_user_guides/airprime_xm1100_product_technical_specification
+QFN-24{space}P1.20
+0
+24
+24
+RF_GPS
+Sierra_XM11X0
+QFN-20,{space}Pitch{space}1.20{space}no{space}EP,{space}https://source.sierrawireless.com/resources/airprime/hardware_specs_user_guides/airprime_xm1100_product_technical_specification
+QFN-20{space}P1.20
+0
+20
+20
+RF_GPS
+ublox_LEA
+ublox{space}LEA{space}6/7/8,{space}(https://www.u-blox.com/sites/default/files/LEA-M8S-M8T-FW3_HardwareIntegrationManual_%28UBX-15030060%29.pdf)
+GPS{space}ublox{space}LEA{space}6/7/8
+0
+28
+28
+RF_GPS
+ublox_MAX
+ublox{space}MAX{space}6/7/8,{space}(https://www.u-blox.com/sites/default/files/MAX-8-M8-FW3_HardwareIntegrationManual_%28UBX-15030059%29.pdf)
+GPS{space}ublox{space}MAX{space}6/7/8
+0
+18
+18
+RF_GPS
+ublox_NEO
+ublox{space}NEO{space}6/7/8,{space}(https://www.u-blox.com/sites/default/files/NEO-8Q-NEO-M8-FW3_HardwareIntegrationManual_%28UBX-15029985%29_0.pdf)
+GPS{space}ublox{space}NEO{space}6/7/8
+0
+24
+24
+RF_GPS
+ublox_SAM-M8Q
+GPS{space}Module,{space}15.5x15.5x6.3mm,{space}https://www.u-blox.com/sites/default/files/SAM-M8Q_HardwareIntegrationManual_%28UBX-16018358%29.pdf
+ublox{space}SAM-M8Q
+0
+100
+20
+RF_GPS
+ublox_SAM-M8Q_HandSolder
+GPS{space}Module,{space}15.5x15.5x6.3mm,{space}https://www.u-blox.com/sites/default/files/SAM-M8Q_HardwareIntegrationManual_%28UBX-16018358%29.pdf
+ublox{space}SAM-M8Q
+0
+20
+20
+RF_GSM
+Quectel_BC66
+GSM{space}NB-IoT{space}module,{space}15.8x17.7x2mm,{space}https://www.quectel.com/UploadImage/Downlad/Quectel_BC66_Hardware_Design_V1.1.pdf
+GSM{space}NB-IoT{space}Module{space}BC66{space}M66
+0
+58
+58
+RF_GSM
+SIMCom_SIM800C
+Quad-Band{space}GSM/GPRS{space}module,{space}17.6x15.7x2.3mm,{space}http://simcom.ee/documents/SIM800C/SIM800C_Hardware_Design_V1.05.pdf
+GSM{space}Module{space}SIM800C
+0
+42
+42
+RF_GSM
+SIMCom_SIM900
+Quad-Band{space}GSM/GPRS{space}module,{space}24x24x3mm,{space}http://simcom.ee/documents/SIM900/SIM900_Hardware%20Design_V2.05.pdf
+GSM{space}Module{space}SIM900
+0
+68
+68
+RF_GSM
+Telit_xL865
+Telit{space}xL865{space}familly{space}footprint,{space}http://www.telit.com/fileadmin/user_upload/products/Downloads/3G/Telit_UL865_Hardware_User_Guide_r8.pdf{space}
+xL865{space}gsm{space}umts
+0
+52
+48
+RF_GSM
+ublox_SARA-G3_LGA-96
+ublox{space}Sara{space}GSM/HSPA{space}modem,{space}https://www.u-blox.com/sites/default/files/SARA-G3-U2_SysIntegrManual_%28UBX-13000995%29.pdf,{space}pag.162
+ublox{space}SARA-G3{space}SARA-U2{space}GSM{space}HSPA
+0
+192
+96
+RF_Mini-Circuits
+Mini-Circuits_BK377
+Footprint{space}for{space}Mini-Circuits{space}case{space}BK377{space}(https://ww2.minicircuits.com/case_style/BK276.pdf)
+Mini-circuits{space}BK377
+0
+14
+14
+RF_Mini-Circuits
+Mini-Circuits_BK377_LandPatternPL-005
+Footprint{space}for{space}Mini-Circuits{space}case{space}BK377{space}(https://ww2.minicircuits.com/case_style/BK276.pdf){space}according{space}to{space}land-pattern{space}PL-005,{space}including{space}GND{space}vias{space}(https://ww2.minicircuits.com/pcb/98-pl005.pdf)
+Mini-circuits{space}VCXO{space}JTOS{space}PL-005
+0
+50
+14
+RF_Mini-Circuits
+Mini-Circuits_CD541_H2.08mm
+https://ww2.minicircuits.com/case_style/CD541.pdf
+RF{space}Transformer
+0
+6
+6
+RF_Mini-Circuits
+Mini-Circuits_CD542_H2.84mm
+https://ww2.minicircuits.com/case_style/CD542.pdf
+RF{space}Transformer
+0
+6
+6
+RF_Mini-Circuits
+Mini-Circuits_CD542_LandPatternPL-052
+Footprint{space}for{space}Mini-Circuits{space}case{space}CD542{space}(https://ww2.minicircuits.com/case_style/CD542.pdf){space}using{space}land-pattern{space}PL-052,{space}including{space}GND-vias{space}(https://ww2.minicircuits.com/pcb/98-pl052.pdf)
+MiniCircuits{space}PL-052{space}CD542
+0
+17
+6
+RF_Mini-Circuits
+Mini-Circuits_CD542_LandPatternPL-094
+Footprint{space}for{space}mini{space}circuit{space}case{space}CD542,{space}Land{space}pattern{space}PL-094,{space}pads{space}5{space}and{space}2{space}connected{space}via{space}insulated{space}copper{space}area{space}below{space}body,{space}vias{space}included{space}(case{space}drawing:{space}https://ww2.minicircuits.com/case_style/CD542.pdf,{space}land{space}pattern{space}drawing:{space}https://ww2.minicircuits.com/pcb/98-pl094.pdf)
+mini-circuits{space}CD542{space}pl-094
+0
+18
+6
+RF_Mini-Circuits
+Mini-Circuits_CD636_H4.11mm
+https://ww2.minicircuits.com/case_style/CD636.pdf
+RF{space}Transformer
+0
+6
+6
+RF_Mini-Circuits
+Mini-Circuits_CD636_LandPatternPL-035
+Footprint{space}for{space}Mini-Circuits{space}case{space}CD636{space}(https://ww2.minicircuits.com/case_style/CD636.pdf){space}following{space}land{space}pattern{space}PL-035,{space}including{space}GND-vias{space}(https://ww2.minicircuits.com/pcb/98-pl035.pdf)
+mini-circuits{space}pl-035{space}CD636
+0
+13
+6
+RF_Mini-Circuits
+Mini-Circuits_CD637_H5.23mm
+https://ww2.minicircuits.com/case_style/CD637.pdf
+RF{space}Transformer
+0
+6
+6
+RF_Mini-Circuits
+Mini-Circuits_CK605
+Footprint{space}for{space}Mini-Circuits{space}case{space}CK605{space}(https://ww2.minicircuits.com/case_style/CK605.pdf)
+Mini-Circuits{space}CK605
+0
+16
+16
+RF_Mini-Circuits
+Mini-Circuits_CK605_LandPatternPL-012
+Footprint{space}for{space}Mini-Circuits{space}case{space}CK605{space}(https://ww2.minicircuits.com/case_style/CK605.pdf){space}following{space}land{space}pattern{space}PL-012,{space}including{space}GND{space}vias{space}(https://ww2.minicircuits.com/pcb/98-pl012.pdf)
+Mini-Circuits{space}PL-012
+0
+53
+16
+RF_Mini-Circuits
+Mini-Circuits_GP731
+Footprint{space}for{space}Mini-Circuits{space}case{space}GP731{space}(https://ww2.minicircuits.com/case_style/GP731.pdf)
+Mini-Circuits{space}GP731
+0
+8
+8
+RF_Mini-Circuits
+Mini-Circuits_GP731_LandPatternPL-176
+Footprint{space}for{space}Mini-Circuits{space}case{space}GP731{space}(https://ww2.minicircuits.com/case_style/GP731.pdf){space}following{space}land{space}pattern{space}PL-176,{space}including{space}GND{space}vias{space}(https://www.minicircuits.com/pcb/98-pl176.pdf)
+mini-circuits{space}PL-176
+0
+21
+8
+RF_Mini-Circuits
+Mini-Circuits_GP1212
+Footprint{space}for{space}Mini-Circuits{space}case{space}GP1212{space}(https://ww2.minicircuits.com/case_style/GP731.pdf)
+mini-circuits{space}GP1212
+0
+8
+8
+RF_Mini-Circuits
+Mini-Circuits_GP1212_LandPatternPL-176
+Footprint{space}for{space}Mini-Circuits{space}case{space}GP1212{space}(https://ww2.minicircuits.com/case_style/GP731.pdf){space}following{space}land{space}pattern{space}PL-176,{space}including{space}GND{space}vias{space}(https://www.minicircuits.com/pcb/98-pl176.pdf)
+mini-circuits{space}PL-176
+0
+21
+8
+RF_Mini-Circuits
+Mini-Circuits_HF1139
+Footprint{space}for{space}Mini-Circuits{space}case{space}HF1139{space}(https://ww2.minicircuits.com/case_style/HF1139.pdf)
+Mini-Circuits{space}HF1139
+0
+8
+8
+RF_Mini-Circuits
+Mini-Circuits_HF1139_LandPatternPL-230
+Footprint{space}for{space}Mini-Circuits{space}case{space}HF1139{space}(https://ww2.minicircuits.com/case_style/HF1139.pdf){space}following{space}land{space}pattern{space}PL-230,{space}including{space}GND{space}vias{space}(https://ww2.minicircuits.com/pcb/98-pl230.pdf)
+Mini-Circuits{space}PL-230
+0
+37
+8
+RF_Mini-Circuits
+Mini-Circuits_HZ1198
+Footprint{space}for{space}Mini-Circuits{space}case{space}HZ1198{space}(https://ww2.minicircuits.com/case_style/HZ1198.pdf)
+Mini-Circuits{space}HZ1198
+0
+6
+6
+RF_Mini-Circuits
+Mini-Circuits_HZ1198_LandPatternPL-247
+Footprint{space}for{space}Mini-Circuits{space}cas{space}HZ1198{space}(https://ww2.minicircuits.com/case_style/HZ1198.pdf){space}following{space}land{space}pattern{space}PL-247,{space}including{space}GND-vias{space}(https://www.minicircuits.com/pcb/98-pl247.pdf)
+Mini-Circuits{space}PL-247{space}HZ1198
+0
+40
+6
+RF_Mini-Circuits
+Mini-Circuits_MMM168
+Footprint{space}for{space}Mini-Circuits{space}case{space}MMM168{space}(https://ww2.minicircuits.com/case_style/MMM168.pdf)
+Mini-Circuits{space}MMM168
+0
+4
+4
+RF_Mini-Circuits
+Mini-Circuits_MMM168_LandPatternPL-225
+Footprint{space}for{space}Mini-Circuits{space}case{space}MMM168,{space}Land{space}pattern{space}PL-225,{space}vias{space}included,{space}(case{space}drawing:{space}https://ww2.minicircuits.com/case_style/MMM168.pdf,{space}land{space}pattern{space}drawing:{space}https://ww2.minicircuits.com/pcb/98-pl225.pdf)
+pl-225
+0
+10
+4
+RF_Mini-Circuits
+Mini-Circuits_QQQ130_ClockwisePinNumbering
+Footprint{space}for{space}Mini-Circuits{space}case{space}QQQ130{space}(https://ww2.minicircuits.com/case_style/QQQ130.pdf)
+Mini-Circuits{space}QQQ130
+0
+6
+6
+RF_Mini-Circuits
+Mini-Circuits_QQQ130_LandPattern_PL-236_ClockwisePinNumbering
+Footprint{space}for{space}Mini-Circuits{space}case{space}QQQ130{space}(https://ww2.minicircuits.com/case_style/QQQ130.pdf){space}following{space}land{space}pattern{space}PL-236,{space}including{space}GND{space}vias{space}(https://ww2.minicircuits.com/pcb/98-pl236.pdf)
+Mini-Circuits{space}PL-236
+0
+14
+6
+RF_Mini-Circuits
+Mini-Circuits_TT1224_ClockwisePinNumbering
+Footprint{space}for{space}Mini-Circuits{space}case{space}TT1224{space}(https://ww2.minicircuits.com/case_style/TT1224.pdf){space}following{space}land-pattern{space}PL-258,{space}including{space}GND-vias{space}(https://www.minicircuits.com/pcb/98-pl258.pdf)
+Mini-Circuits{space}TT1224
+0
+6
+6
+RF_Mini-Circuits
+Mini-Circuits_TT1224_LandPatternPL-258_ClockwisePinNumbering
+Footprint{space}for{space}Mini-Circuits{space}case{space}TT1224{space}(https://ww2.minicircuits.com/case_style/TT1224.pdf){space}following{space}land-pattern{space}PL-258,{space}including{space}GND-vias{space}(https://www.minicircuits.com/pcb/98-pl258.pdf)
+PL-258{space}Mini-Circuits
+0
+26
+6
+RF_Mini-Circuits
+Mini-Circuits_TTT167
+Footprint{space}for{space}Mini-Circuits{space}case{space}TTT167{space}(https://ww2.minicircuits.com/case_style/TTT167.pdf)
+Mini-Circuits{space}TTT167
+0
+6
+6
+RF_Mini-Circuits
+Mini-Circuits_TTT167_LandPatternPL-079
+Footprint{space}for{space}Mini-Circuits{space}case{space}TTT167{space}(Mini-Circuits_TTT167_LandPatternPL-079){space}following{space}land{space}pattern{space}PL-079,{space}including{space}GND{space}vias{space}(https://ww2.minicircuits.com/pcb/98-pl079.pdf)
+Mini-Circuits{space}PL-079
+0
+36
+6
+RF_Mini-Circuits
+Mini-Circuits_YY161
+Footprint{space}for{space}Mini-Circuits{space}case{space}YY161{space}(https://ww2.minicircuits.com/case_style/YY161.pdf)
+Mini-Circuits{space}YY161
+0
+8
+8
+RF_Mini-Circuits
+Mini-Circuits_YY161_LandPatternPL-049
+Footprint{space}for{space}Mini-Circuits{space}case{space}YY161{space}(https://ww2.minicircuits.com/case_style/YY161.pdf){space}using{space}land-pattern{space}PL-049,{space}including{space}GND-connections{space}and{space}vias{space}(https://ww2.minicircuits.com/pcb/98-pl049.pdf)
+mini-circuits{space}pl-049
+0
+36
+8
+RF_Module
+Ai-Thinker-Ra-01-LoRa
+Ai{space}Thinker{space}Ra-01{space}LoRa
+LoRa{space}Ra-01
+0
+16
+16
+RF_Module
+BLE112-A
+Class{space}4{space}Bluetooth{space}Module{space}with{space}on-board{space}antenna
+Bluetooth{space}Module
+0
+30
+30
+RF_Module
+CMWX1ZZABZ
+https://wireless.murata.com/RFM/data/type_abz.pdf
+iot{space}lora{space}sigfox
+0
+57
+57
+RF_Module
+CYBLE-21Pin-10x10mm
+Cypress{space}EZ-BLE{space}PRoC{space}Module{space}(Bluetooth{space}Smart){space}21{space}Pin{space}Module
+Cypress{space}BT{space}Bluetooth
+0
+21
+21
+RF_Module
+DWM1000
+IEEE802.15.4-2011{space}UWB
+UWB{space}Module
+0
+24
+24
+RF_Module
+DecaWave_DWM1001
+https://www.decawave.com/sites/default/files/dwm1001_datasheet.pdf
+UWB{space}module
+0
+34
+34
+RF_Module
+Digi_XBee_SMT
+http://www.digi.com/resources/documentation/digidocs/pdfs/90002126.pdf{space}http://ftp1.digi.com/support/documentation/90001020_F.pdf
+Digi{space}XBee{space}SMT{space}RF
+0
+37
+37
+RF_Module
+E18-MS1-PCB
+http://www.cdebyte.com/en/downpdf.aspx?id=122
+Zigbee
+0
+24
+24
+RF_Module
+E73-2G4M04S
+http://www.cdebyte.com/en/downpdf.aspx?id=243
+BLE{space}BLE5{space}nRF52832
+0
+44
+44
+RF_Module
+ESP-07
+Wi-Fi{space}Module,{space}http://wiki.ai-thinker.com/_media/esp8266/docs/a007ps01a2_esp-07_product_specification_v1.2.pdf
+Wi-Fi{space}Module
+0
+16
+16
+RF_Module
+ESP-12E
+Wi-Fi{space}Module,{space}http://wiki.ai-thinker.com/_media/esp8266/docs/aithinker_esp_12f_datasheet_en.pdf
+Wi-Fi{space}Module
+0
+22
+22
+RF_Module
+ESP-WROOM-02
+http://espressif.com/sites/default/files/documentation/0c-esp-wroom-02_datasheet_en.pdf
+ESP{space}WROOM-02{space}espressif{space}esp8266ex
+0
+19
+19
+RF_Module
+ESP32-WROOM-32
+Single{space}2.4{space}GHz{space}Wi-Fi{space}and{space}Bluetooth{space}combo{space}chip{space}https://www.espressif.com/sites/default/files/documentation/esp32-wroom-32_datasheet_en.pdf
+Single{space}2.4{space}GHz{space}Wi-Fi{space}and{space}Bluetooth{space}combo{space}{space}chip
+0
+39
+39
+RF_Module
+ESP32-WROOM-32U
+Single{space}2.4{space}GHz{space}Wi-Fi{space}and{space}Bluetooth{space}combo{space}chip{space}with{space}U.FL{space}connector,{space}https://www.espressif.com/sites/default/files/documentation/esp32-wroom-32d_esp32-wroom-32u_datasheet_en.pdf
+Single{space}2.4{space}GHz{space}Wi-Fi{space}and{space}Bluetooth{space}combo{space}{space}chip
+0
+39
+39
+RF_Module
+Garmin_M8-35_9.8x14.0mm_Layout6x6_P1.5mm
+D52M{space}ANT{space}SoC{space}Module{space}https://www.thisisant.com/assets/resources/D00001687_D52_Module_Datasheet.v.2.3_(Garmin).pdf
+RF{space}SoC{space}Radio{space}ANT{space}Bluetooth{space}BLE{space}D52{space}nRF52{space}Garmin{space}Canada{space}Dynastream{space}Nordic
+0
+35
+35
+RF_Module
+HOPERF_RFM9XW_SMD
+Low{space}Power{space}Long{space}Range{space}Transceiver{space}Module{space}SMD-16{space}(https://www.hoperf.com/data/upload/portal/20181127/5bfcbea20e9ef.pdf)
+LoRa{space}Low{space}Power{space}Long{space}Range{space}Transceiver{space}Module
+0
+16
+16
+RF_Module
+HOPERF_RFM9XW_THT
+Low{space}Power{space}Long{space}Range{space}Transceiver{space}Module{space}THT-16{space}(https://www.hoperf.com/data/upload/portal/20181127/5bfcbea20e9ef.pdf)
+Low{space}Power{space}Long{space}Range{space}Transceiver{space}Module{space}LoRa
+0
+16
+16
+RF_Module
+HOPERF_RFM69HW
+Radio,{space}RF,{space}Module,{space}http://www.hoperf.com/upload/rf/RFM69HW-V1.3.pdf
+Radio{space}RF{space}Module
+0
+16
+16
+RF_Module
+IQRF_TRx2DA_KON-SIM-01
+8{space}pin{space}SIM{space}connector{space}for{space}IQRF{space}TR-x2DA(T){space}modules,{space}http://iqrf.org/weben/downloads.php?id=104
+IQRF_KON-SIM-01{space}IQRF_TRx2DA
+0
+10
+8
+RF_Module
+IQRF_TRx2D_KON-SIM-01
+8{space}pin{space}SIM{space}connector{space}for{space}IQRF{space}TR-x2D(C)(T){space}modules,{space}http://iqrf.org/weben/downloads.php?id=104
+IQRF_KON-SIM-01{space}IQRF_TRx2D{space}IQRF_TRx2DC
+0
+10
+8
+RF_Module
+Laird_BL652
+Bluetooth{space}v4.2{space}+{space}NFC{space}module
+Bluetooth{space}BLE{space}NFC
+0
+39
+39
+RF_Module
+MOD-nRF8001
+BLE{space}module,{space}https://www.olimex.com/Products/Modules/RF/MOD-nRF8001/
+BLE{space}module
+0
+11
+11
+RF_Module
+Microchip_RN4871
+Microchip{space}RN4871{space}footprint
+RN4871{space}BLE
+0
+16
+16
+RF_Module
+MonoWireless_TWE-L-WX
+https://www.mono-wireless.com/jp/products/TWE-LITE/MW-PDS-TWELITE-JP.pdf
+TWE-L-WX
+0
+32
+32
+RF_Module
+Particle_P1
+https://docs.particle.io/datasheets/p1-datasheet/
+Particle{space}P1
+0
+75
+75
+RF_Module
+RFDigital_RFD77101
+RFDigital{space}RFD77101{space}Simblee
+RFDigital{space}RFD77101{space}Simblee
+0
+48
+45
+RF_Module
+RN42
+Class{space}2{space}Bluetooth{space}Module{space}with{space}on-board{space}antenna
+Bluetooth{space}Module
+0
+36
+33
+RF_Module
+RN42N
+Class{space}2{space}Bluetooth{space}Module{space}without{space}antenna
+Bluetooth{space}Module
+0
+39
+36
+RF_Module
+RN2483
+Low-Power{space}Long{space}Range{space}LoRa{space}Transceiver{space}Module
+rf{space}module{space}lora{space}lorawan
+0
+47
+47
+RF_Module
+ST_SPBTLE
+Bluetooth{space}Low{space}Energy{space}Module
+ble{space}module{space}st{space}bluetooth
+0
+11
+11
+RF_Module
+TD1205
+https://github.com/Telecom-Design/Documentation_TD_RF_Module/blob/master/TD1205%20Datasheet.pdf
+SIGFOX{space}Module
+0
+9
+9
+RF_Module
+TD1208
+https://github.com/Telecom-Design/Documentation_TD_RF_Module/blob/master/TD1208%20Datasheet.pdf
+SIGFOX{space}Module
+0
+25
+25
+RF_Module
+Taiyo-Yuden_EYSGJNZWY
+Taiyo{space}Yuden{space}NRF51822{space}Module{space}Bluetooth{space}https://www.yuden.co.jp/wireless_module/document/datareport2/en/TY_BLE_EYSGJNZ_DataReport_V1_9_20180530E.pdf
+Taiyo{space}Yuden{space}NRF51822{space}Module{space}Bluetooth{space}
+0
+30
+28
+RF_Module
+ZETA-433-SO_SMD
+RF{space}transceiver{space}SMD{space}style{space}https://www.rfsolutions.co.uk/downloads/1456219226DS-ZETA.pdf
+RF{space}transceiver{space}SMD{space}style
+0
+12
+12
+RF_Module
+ZETA-433-SO_THT
+RF{space}transceiver{space}THT{space}style{space}https://www.rfsolutions.co.uk/downloads/1456219226DS-ZETA.pdf
+RF{space}transceiver{space}SMD{space}style
+0
+12
+12
+RF_Module
+nRF24L01_Breakout
+nRF24L01{space}breakout{space}board
+nRF24L01{space}adapter{space}breakout
+0
+8
+8
+RF_Shielding
+Laird_Technologies_97-2002_25.40x25.40mm
+Laird{space}Technologies{space}97-2002{space}EZ{space}PEEL{space}Shielding{space}Cabinet{space}One{space}Piece{space}SMD{space}25.40x25.40mm{space}(https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf)
+Shielding{space}Cabinet
+0
+8
+1
+RF_Shielding
+Laird_Technologies_97-2003_12.70x13.37mm
+Laird{space}Technologies{space}97-2003{space}EZ{space}PEEL{space}Shielding{space}Cabinet{space}One{space}Piece{space}SMD{space}12.70x13.37mm{space}(https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf)
+Shielding{space}Cabinet
+0
+8
+1
+RF_Shielding
+Laird_Technologies_BMI-S-101_13.66x12.70mm
+Laird{space}Technologies{space}BMI-S-101{space}Shielding{space}Cabinet{space}One{space}Piece{space}SMD{space}13.66x12.70mm{space}(https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf)
+Shielding{space}Cabinet
+0
+16
+1
+RF_Shielding
+Laird_Technologies_BMI-S-102_16.50x16.50mm
+Laird{space}Technologies{space}BMI-S-102{space}Shielding{space}Cabinet{space}One{space}Piece{space}SMD{space}16.50x16.50mm{space}(https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf)
+Shielding{space}Cabinet
+0
+16
+1
+RF_Shielding
+Laird_Technologies_BMI-S-103_26.21x26.21mm
+Laird{space}Technologies{space}BMI-S-103{space}Shielding{space}Cabinet{space}One{space}Piece{space}SMD{space}26.21x26.21mm{space}(https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf)
+Shielding{space}Cabinet
+0
+24
+1
+RF_Shielding
+Laird_Technologies_BMI-S-104_32.00x32.00mm
+Laird{space}Technologies{space}BMI-S-104{space}Shielding{space}Cabinet{space}One{space}Piece{space}SMD{space}32.00x32.00mm{space}(https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf)
+Shielding{space}Cabinet
+0
+28
+1
+RF_Shielding
+Laird_Technologies_BMI-S-105_38.10x25.40mm
+Laird{space}Technologies{space}BMI-S-105{space}Shielding{space}Cabinet{space}One{space}Piece{space}SMD{space}38.10x25.40mm{space}(https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf)
+Shielding{space}Cabinet
+0
+28
+1
+RF_Shielding
+Laird_Technologies_BMI-S-106_36.83x33.68mm
+Laird{space}Technologies{space}BMI-S-106{space}Shielding{space}Cabinet{space}One{space}Piece{space}SMD{space}36.83x33.68mm{space}(https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf)
+Shielding{space}Cabinet
+0
+28
+1
+RF_Shielding
+Laird_Technologies_BMI-S-107_44.37x44.37mm
+Laird{space}Technologies{space}BMI-S-107{space}Shielding{space}Cabinet{space}One{space}Piece{space}SMD{space}44.37x44.37mm{space}(https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf)
+Shielding{space}Cabinet
+0
+36
+1
+RF_Shielding
+Laird_Technologies_BMI-S-201-F_13.66x12.70mm
+Laird{space}Technologies{space}BMI-S-201-F{space}Shielding{space}Cabinet{space}Two{space}Piece{space}SMD{space}13.66x12.70mm{space}(https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf)
+Shielding{space}Cabinet
+0
+16
+1
+RF_Shielding
+Laird_Technologies_BMI-S-202-F_16.50x16.50mm
+Laird{space}Technologies{space}BMI-S-202-F{space}Shielding{space}Cabinet{space}Two{space}Piece{space}SMD{space}16.50x16.50mm{space}(https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf)
+Shielding{space}Cabinet
+0
+16
+1
+RF_Shielding
+Laird_Technologies_BMI-S-203-F_26.21x26.21mm
+Laird{space}Technologies{space}BMI-S-203-F{space}Shielding{space}Cabinet{space}Two{space}Piece{space}SMD{space}26.21x26.21mm{space}(https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf)
+Shielding{space}Cabinet
+0
+24
+1
+RF_Shielding
+Laird_Technologies_BMI-S-204-F_32.00x32.00mm
+Laird{space}Technologies{space}BMI-S-204-F{space}Shielding{space}Cabinet{space}Two{space}Piece{space}SMD{space}32.00x32.00mm{space}(https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf)
+Shielding{space}Cabinet
+0
+28
+1
+RF_Shielding
+Laird_Technologies_BMI-S-205-F_38.10x25.40mm
+Laird{space}Technologies{space}BMI-S-205-F{space}Shielding{space}Cabinet{space}Two{space}Piece{space}SMD{space}38.10x25.40mm{space}(https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf)
+Shielding{space}Cabinet
+0
+28
+1
+RF_Shielding
+Laird_Technologies_BMI-S-206-F_36.83x33.68mm
+Laird{space}Technologies{space}BMI-S-206-F{space}Shielding{space}Cabinet{space}Two{space}Piece{space}SMD{space}36.83x33.68mm{space}(https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf)
+Shielding{space}Cabinet
+0
+28
+1
+RF_Shielding
+Laird_Technologies_BMI-S-207-F_44.37x44.37mm
+Laird{space}Technologies{space}BMI-S-207-F{space}Shielding{space}Cabinet{space}Two{space}Piece{space}SMD{space}44.37x44.37mm{space}(https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf)
+Shielding{space}Cabinet
+0
+36
+1
+RF_Shielding
+Laird_Technologies_BMI-S-208-F_39.60x39.60mm
+Laird{space}Technologies{space}BMI-S-208-F{space}Shielding{space}Cabinet{space}Two{space}Piece{space}SMD{space}39.60x39.60mm{space}(https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf)
+Shielding{space}Cabinet
+0
+32
+1
+RF_Shielding
+Laird_Technologies_BMI-S-209-F_29.36x18.50mm
+Laird{space}Technologies{space}BMI-S-209-F{space}Shielding{space}Cabinet{space}Two{space}Piece{space}SMD{space}29.36x18.50mm{space}(https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf)
+Shielding{space}Cabinet
+0
+20
+1
+RF_Shielding
+Laird_Technologies_BMI-S-210-F_44.00x30.50mm
+Laird{space}Technologies{space}BMI-S-210-F{space}Shielding{space}Cabinet{space}Two{space}Piece{space}SMD{space}44.00x30.50mm{space}(https://assets.lairdtech.com/home/brandworld/files/Board%20Level%20Shields%20Catalog%20Download.pdf)
+Shielding{space}Cabinet
+0
+32
+1
+RF_Shielding
+Wuerth_36103205_20x20mm
+WE-SHC{space}Shielding{space}Cabinet{space}SMD{space}20x20mm
+Shielding{space}Cabinet
+0
+20
+1
+RF_Shielding
+Wuerth_36103255_25x25mm
+WE-SHC{space}Shielding{space}Cabinet{space}SMD{space}25x25mm
+Shielding{space}Cabinet
+0
+24
+1
+RF_Shielding
+Wuerth_36103305_30x30mm
+WE-SHC{space}Shielding{space}Cabinet{space}SMD{space}30x30mm
+Shielding{space}Cabinet
+0
+28
+1
+RF_Shielding
+Wuerth_36103505_50x50mm
+WE-SHC{space}Shielding{space}Cabinet{space}SMD{space}50x50mm
+Shielding{space}Cabinet
+0
+44
+1
+RF_Shielding
+Wuerth_36103605_60x60mm
+WE-SHC{space}Shielding{space}Cabinet{space}SMD{space}60x60mm
+Shielding{space}Cabinet
+0
+52
+1
+RF_Shielding
+Wuerth_36503205_20x20mm
+WE-SHC{space}Shielding{space}Cabinet{space}THT{space}21x21mm
+Shielding{space}Cabinet
+0
+16
+1
+RF_Shielding
+Wuerth_36503255_25x25mm
+WE-SHC{space}Shielding{space}Cabinet{space}THT{space}26x26mm
+Shielding{space}Cabinet
+0
+20
+1
+RF_Shielding
+Wuerth_36503305_30x30mm
+WE-SHC{space}Shielding{space}Cabinet{space}THT{space}31x31mm
+Shielding{space}Cabinet
+0
+24
+1
+RF_Shielding
+Wuerth_36503505_50x50mm
+WE-SHC{space}Shielding{space}Cabinet{space}THT{space}51x51mm
+Shielding{space}Cabinet
+0
+40
+1
+RF_Shielding
+Wuerth_36503605_60x60mm
+WE-SHC{space}Shielding{space}Cabinet{space}THT{space}61x61mm
+Shielding{space}Cabinet
+0
+48
+1
+RF_WiFi
+USR-C322
+https://www.usriot.com/download/WIFI/USR-C322-Hardware-Manual_V1.2.01.pdf
+WiFi{space}IEEE802.11{space}b/g/n
+0
+44
+44
+Relay_SMD
+Relay_DPDT_AXICOM_IMSeries_JLeg
+http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Specification+Or+Standard%7F108-98001%7FW5%7Fpdf%7FEnglish%7FENG_SS_108-98001_W5.pdf
+AXICOM{space}IM-Series{space}Relay{space}J{space}JLeg
+0
+8
+8
+Relay_SMD
+Relay_DPDT_FRT5_SMD
+IM{space}Signal{space}Relay{space}DPDT{space}FRT5{space}narrow{space}footprint,{space}SMD{space}version{space}of{space}package
+Relay{space}DPDT{space}IM-relay{space}FRT5
+0
+10
+10
+Relay_SMD
+Relay_DPDT_Omron_G6H-2F
+package{space}for{space}Omron{space}G6H-2F{space}relais,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C300/G6H%23OMR.pdf
+Omron{space}G6H-2F{space}relais
+0
+10
+10
+Relay_SMD
+Relay_DPDT_Omron_G6K-2F
+Omron{space}G6K-2F{space}relay{space}package{space}http://omronfs.omron.com/en_US/ecb/products/pdf/en-g6k.pdf
+Omron{space}G6K-2F{space}relay
+0
+8
+8
+Relay_SMD
+Relay_DPDT_Omron_G6K-2F-Y
+Omron{space}G6K-2F-Y{space}relay{space}package{space}http://omronfs.omron.com/en_US/ecb/products/pdf/en-g6k.pdf
+Omron{space}G6K-2F-Y{space}relay
+0
+8
+8
+Relay_SMD
+Relay_DPDT_Omron_G6K-2G
+Omron{space}G6K-2G{space}relay{space}package{space}http://omronfs.omron.com/en_US/ecb/products/pdf/en-g6k.pdf
+Omron{space}G6K-2G{space}relay
+0
+8
+8
+Relay_SMD
+Relay_DPDT_Omron_G6K-2G-Y
+Omron{space}G6K-2G-Y{space}relay{space}package{space}http://omronfs.omron.com/en_US/ecb/products/pdf/en-g6k.pdf
+Omron{space}G6K-2G-Y{space}relay
+0
+8
+8
+Relay_SMD
+Relay_DPDT_Omron_G6S-2F
+Relay{space}Omron{space}G6S-2F,{space}see{space}http://omronfs.omron.com/en_US/ecb/products/pdf/en-g6s.pdf
+Relay{space}Omron{space}G6S-2F
+0
+8
+8
+Relay_SMD
+Relay_DPDT_Omron_G6S-2G
+Relay{space}Omron{space}G6S-2G,{space}see{space}http://omronfs.omron.com/en_US/ecb/products/pdf/en-g6s.pdf
+Relay{space}Omron{space}G6S-2G
+0
+8
+8
+Relay_SMD
+Relay_DPDT_Omron_G6SK-2F
+Relay{space}Omron{space}G6SK-2F,{space}see{space}http://omronfs.omron.com/en_US/ecb/products/pdf/en-g6s.pdf
+Relay{space}Omron{space}G6SK-2F
+0
+10
+10
+Relay_SMD
+Relay_DPDT_Omron_G6SK-2G
+Relay{space}Omron{space}G6SK-2G,{space}see{space}http://omronfs.omron.com/en_US/ecb/products/pdf/en-g6s.pdf
+Relay{space}Omron{space}G6SK-2G
+0
+10
+10
+Relay_SMD
+Relay_SPDT_AXICOM_HF3Series_50ohms_Pitch1.27mm
+hiqsdr.com/images/3/3e/Axicom-HF3.pdf
+AXICOM{space}HF3-Series{space}Relay{space}Pitch{space}1.27mm{space}50ohms
+0
+16
+16
+Relay_SMD
+Relay_SPDT_AXICOM_HF3Series_75ohms_Pitch1.27mm
+hiqsdr.com/images/3/3e/Axicom-HF3.pdf
+AXICOM{space}HF3-Series{space}Relay{space}Pitch{space}1.27mm{space}75ohm
+0
+16
+16
+Relay_THT
+Relay_1-Form-A_Schrack-RYII_RM5mm
+Relay,{space}1-Form-A,{space}Schrack-RYII,{space}RM5mm,{space}SPST-NO
+Relay{space}1-Form-A{space}Schrack-RYII{space}RM5mm{space}SPST-NO
+0
+4
+4
+Relay_THT
+Relay_1-Form-B_Schrack-RYII_RM5mm
+Relay,{space}1-Form-B,{space}Schrack-RYII,{space}RM5mm,{space}SPST-NC
+Relay{space}1-Form-B{space}Schrack-RYII{space}RM5mm{space}SPST-NC
+0
+4
+4
+Relay_THT
+Relay_1-Form-C_Schrack-RYII_RM3.2mm
+Relay,{space}1-Form-C,{space}Schrack-RYII,{space}RM3.2mm,{space}SPDT
+Relay{space}1-Form-C{space}Schrack-RYII{space}RM3.2mm{space}SPDT
+0
+5
+5
+Relay_THT
+Relay_DPDT_AXICOM_IMSeries_Pitch3.2mm
+AXICOM{space}IM-Series{space}Relays,{space}DPDR,{space}Pitch{space}3.2mm,{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Specification+Or+Standard%7F108-98001%7FV%7Fpdf%7FEnglish%7FENG_SS_108-98001_V_IM_0614_v1.pdf%7F4-1462039-1
+AXICOM{space}IM-Series{space}Relay{space}DPDR{space}Pitch{space}3.2mm
+0
+8
+8
+Relay_THT
+Relay_DPDT_AXICOM_IMSeries_Pitch5.08mm
+AXICOM{space}IM-Series{space}Relays,{space}DPDR,{space}Pitch{space}5.08
+AXICOM{space}IM-Series{space}Relay{space}DPDR{space}Pitch{space}5.08
+0
+8
+8
+Relay_THT
+Relay_DPDT_FRT5
+IM{space}Signal{space}Relay{space}DPDT{space}FRT5{space}narrow{space}footprint
+Relay{space}DPDT{space}IM-relay{space}FRT5
+0
+10
+10
+Relay_THT
+Relay_DPDT_Finder_30.22
+Finder{space}32.21-x000{space}Relay,{space}DPDT,{space}https://gfinder.findernet.com/public/attachments/30/EN/S30EN.pdf
+AXICOM{space}IM-Series{space}Relay{space}SPDT
+0
+8
+8
+Relay_THT
+Relay_DPDT_Finder_40.52
+Relay{space}DPDT{space}Finder{space}40.52,{space}Pitch{space}5mm/7.5mm,{space}https://www.finder-relais.net/de/finder-relais-serie-40.pdf
+Relay{space}DPDT{space}Finder{space}40.52{space}Pitch{space}5mm
+0
+8
+8
+Relay_THT
+Relay_DPDT_Fujitsu_FTR-F1C
+https://www.fujitsu.com/downloads/MICRO/fcai/relays/ftr-f1.pdf
+relay{space}dpdt{space}fujitsu{space}tht
+0
+8
+8
+Relay_THT
+Relay_DPDT_Omron_G5V-2
+http://omronfs.omron.com/en_US/ecb/products/pdf/en-g5v2.pdf
+Omron{space}G5V-2{space}Relay{space}DPDT
+0
+8
+8
+Relay_THT
+Relay_DPDT_Omron_G6H-2
+Omron{space}relay{space}G6H-2,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C300/G6H%23OMR.pdf
+Omron{space}relay{space}G6H-2
+0
+10
+10
+Relay_THT
+Relay_DPDT_Omron_G6K-2P
+Omron{space}G6K-2P{space}relay{space}package{space}http://omronfs.omron.com/en_US/ecb/products/pdf/en-g6k.pdf
+Omron{space}G6K-2P{space}relay
+0
+8
+8
+Relay_THT
+Relay_DPDT_Omron_G6K-2P-Y
+Omron{space}G6K-2P-Y{space}relay{space}package{space}http://omronfs.omron.com/en_US/ecb/products/pdf/en-g6k.pdf
+Omron{space}G6K-2P-Y{space}relay
+0
+8
+8
+Relay_THT
+Relay_DPDT_Omron_G6S-2
+Relay{space}Omron{space}G6S-2,{space}see{space}http://omronfs.omron.com/en_US/ecb/products/pdf/en-g6s.pdf
+Relay{space}Omron{space}G6S-2
+0
+8
+8
+Relay_THT
+Relay_DPDT_Omron_G6SK-2
+Relay{space}Omron{space}G6SK-2,{space}see{space}http://omronfs.omron.com/en_US/ecb/products/pdf/en-g6s.pdf
+Relay{space}Omron{space}G6SK-2
+0
+10
+10
+Relay_THT
+Relay_DPDT_Panasonic_JW2
+Panasonic{space}Relay{space}DPDT,{space}http://www3.panasonic.biz/ac/e_download/control/relay/power/catalog/mech_eng_jw.pdf?via=ok
+Panasonic{space}Relay{space}DPDT
+0
+8
+8
+Relay_THT
+Relay_DPDT_Schrack-RT2-FormC-Dual-Coil_RM5mm
+Relay{space}DPDT{space}Schrack-RT2{space}RM5mm{space}16A{space}250V{space}AC{space}Form{space}C{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Data+Sheet%7FRT2_bistable%7F1116%7Fpdf%7FEnglish%7FENG_DS_RT2_bistable_1116.pdf%7F1-1415537-8
+Relay{space}DPDT{space}Schrack-RT2{space}RM5mm{space}16A{space}250V{space}AC{space}Relay
+0
+9
+9
+Relay_THT
+Relay_DPDT_Schrack-RT2-FormC_RM5mm
+Relay{space}DPDT{space}Schrack-RT2{space}RM5mm{space}16A{space}250V{space}AC{space}Form{space}C{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=RT2_reflow&DocType=DS&DocLang=EN
+Relay{space}DPDT{space}Schrack-RT2{space}RM5mm{space}16A{space}250V{space}AC{space}Relay
+0
+8
+8
+Relay_THT
+Relay_DPST_Fujitsu_FTR-F1A
+https://www.fujitsu.com/downloads/MICRO/fcai/relays/ftr-f1.pdf
+relay{space}dpst{space}fujitsu{space}tht
+0
+6
+6
+Relay_THT
+Relay_DPST_Schrack-RT2-FormA_RM5mm
+Relay{space}DPST{space}Schrack-RT2{space}RM5mm{space}16A{space}250V{space}AC{space}Form{space}A{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=RT2_reflow&DocType=DS&DocLang=EN
+Relay{space}DPST{space}Schrack-RT2{space}RM5mm{space}16A{space}250V{space}AC{space}Relay
+0
+6
+6
+Relay_THT
+Relay_SPDT_Finder_32.21-x000
+Finder{space}32.21-x000{space}Relay,{space}SPDT,{space}https://gfinder.findernet.com/assets/Series/355/S32EN.pdf
+AXICOM{space}IM-Series{space}Relay{space}SPDT
+0
+5
+5
+Relay_THT
+Relay_SPDT_Finder_34.51_Horizontal
+Relay{space}SPDT,{space}Finder{space}Type34.51,{space}horizontal{space}form,{space}see{space}https://gfinder.findernet.com/public/attachments/34/EN/S34USAEN.pdf
+Relay{space}SPDT{space}Finder
+0
+5
+5
+Relay_THT
+Relay_SPDT_Finder_34.51_Vertical
+Relay{space}SPDT,{space}Finder{space}Type34.51,{space}vertical/standing{space}form,{space}see{space}https://gfinder.findernet.com/public/attachments/34/EN/S34USAEN.pdf
+Relay{space}SPDT{space}Finder
+0
+5
+5
+Relay_THT
+Relay_SPDT_Finder_40.11
+Relay{space}SPDT{space}Finder{space}40.11,{space}https://www.finder-relais.net/de/finder-relais-serie-40.pdf
+Relay{space}SPDT{space}Finder{space}40.11{space}
+0
+5
+5
+Relay_THT
+Relay_SPDT_Finder_40.31
+Relay{space}DPDT{space}Finder{space}40.31,{space}Pitch{space}3.5mm/7.5mm,{space}https://www.finder-relais.net/de/finder-relais-serie-40.pdf
+Relay{space}DPDT{space}Finder{space}40.31{space}Pitch{space}3.5mm
+0
+5
+5
+Relay_THT
+Relay_SPDT_Finder_40.41
+Relay{space}DPDT{space}Finder{space}40.41,{space}Pitch{space}3.5mm/7.5mm,{space}https://www.finder-relais.net/de/finder-relais-serie-40.pdf
+Relay{space}DPDT{space}Finder{space}40.41{space}Pitch{space}3.5mm
+0
+5
+5
+Relay_THT
+Relay_SPDT_Finder_40.51
+Relay{space}DPDT{space}Finder{space}40.51,{space}Pitch{space}5mm/7.5mm,{space}https://www.finder-relais.net/de/finder-relais-serie-40.pdf
+Relay{space}DPDT{space}Finder{space}40.51{space}Pitch{space}5mm
+0
+5
+5
+Relay_THT
+Relay_SPDT_HJR-4102
+IM{space}Signal{space}Relay{space}SPDT{space}HJR-4102
+Relay{space}SPDT{space}IM-relay{space}HJR-4102
+0
+6
+6
+Relay_THT
+Relay_SPDT_HsinDa_Y14
+http://www.hsinda.com.cn/en/ProductShow.asp?ID=208
+Relay{space}Y14
+0
+6
+6
+Relay_THT
+Relay_SPDT_Omron-G5LE-1
+Omron{space}Relay{space}SPDT,{space}http://www.omron.com/ecb/products/pdf/en-g5le.pdf
+Omron{space}Relay{space}SPDT
+0
+5
+5
+Relay_THT
+Relay_SPDT_Omron-G5Q-1
+Relay{space}SPDT{space}Omron{space}Serie{space}G5Q,{space}http://omronfs.omron.com/en_US/ecb/products/pdf/en-g5q.pdf
+Relay{space}SPDT{space}Omron{space}Serie{space}G5Q
+0
+5
+5
+Relay_THT
+Relay_SPDT_Omron_G5V-1
+Relay{space}Omron{space}G5V-1,{space}see{space}http://omronfs.omron.com/en_US/ecb/products/pdf/en-g5v_1.pdf
+Relay{space}Omron{space}G5V-1
+0
+6
+6
+Relay_THT
+Relay_SPDT_Omron_G6E
+Relay{space}SPDT{space}Omron{space}Serie{space}G6E
+Relay{space}SPDT{space}Omron{space}Serie{space}G6E{space}1x{space}um
+0
+5
+5
+Relay_THT
+Relay_SPDT_Omron_G6EK
+Relay{space}SPDT{space}Omron{space}Serie{space}G6EK,{space}see{space}http://www.logosfoundation.org/instrum_gwr/pi/Omron_G6E_134P.pdf
+Relay{space}SPDT{space}Omron{space}Serie{space}G6EK
+0
+6
+6
+Relay_THT
+Relay_SPDT_Panasonic_JW1_FormC
+Panasonic{space}Relay{space}SPDT,{space}http://www3.panasonic.biz/ac/e_download/control/relay/power/catalog/mech_eng_jw.pdf?via=ok
+Panasonic{space}Relay{space}SPDT
+0
+5
+5
+Relay_THT
+Relay_SPDT_PotterBrumfield_T9AP5D52_12V30A
+Relay{space}SPDT{space}Potter&Brumfield{space}T9AP5D52{space}12V{space}30A{space}1xUn{space}Connector{space}Fast{space}ON{space}Only{space}Dummy{space}for{space}Space{space}NO{space}Pads
+Relay{space}SPDT{space}Potter&Brumfield{space}T9AP5D52{space}12V{space}30A{space}1xUm{space}Connector{space}Fast{space}ON{space}Flachsteckeranschluss{space}Only{space}Dummy{space}for{space}Space{space}NO{space}Pads
+0
+0
+0
+Relay_THT
+Relay_SPDT_RAYEX-L90
+https://a3.sofastcdn.com/attachment/7jioKBjnRiiSrjrjknRiwS77gwbf3zmp/L90-SERIES.pdf
+Relay{space}RAYEX{space}L90{space}SPDT
+0
+6
+6
+Relay_THT
+Relay_SPDT_RAYEX-L90S
+https://a3.sofastcdn.com/attachment/7jioKBjnRiiSrjrjknRiwS77gwbf3zmp/L90-SERIES.pdf
+Relay{space}RAYEX{space}L90S{space}SPDT
+0
+5
+5
+Relay_THT
+Relay_SPDT_SANYOU_SRD_Series_Form_C
+relay{space}Sanyou{space}SRD{space}series{space}Form{space}C{space}http://www.sanyourelay.ca/public/products/pdf/SRD.pdf
+relay{space}Sanyu{space}SRD{space}form{space}C
+0
+5
+5
+Relay_THT
+Relay_SPDT_Schrack-RP-II-1-16A-FormC_RM5mm
+Relay{space}SPST{space}Schrack-RP-II/1{space}RM5mm{space}16A{space}250V{space}AC{space}Form{space}C{space}http://image.schrack.com/datenblaetter/h_rp810012-b.pdf
+Relay{space}SPST{space}Schrack-RP-II/1{space}RM5mm{space}16A{space}250V{space}AC{space}Relay
+0
+8
+5
+Relay_THT
+Relay_SPDT_Schrack-RP-II-1-FormC_RM3.5mm
+Relay{space}SPST{space}Schrack-RP-II/1{space}RM3.5mm{space}8A{space}250V{space}AC{space}Form{space}C{space}http://image.schrack.com/datenblaetter/h_rp810012-b.pdf
+Relay{space}SPST{space}Schrack-RP-II/1{space}RM3.5mm{space}8A{space}250V{space}AC{space}Relay
+0
+5
+5
+Relay_THT
+Relay_SPDT_Schrack-RP-II-1-FormC_RM5mm
+Relay{space}SPST{space}Schrack-RP-II/1{space}RM5mm{space}8A{space}250V{space}AC{space}Form{space}C{space}http://image.schrack.com/datenblaetter/h_rp810012-b.pdf
+Relay{space}SPST{space}Schrack-RP-II/1{space}RM5mm{space}8A{space}250V{space}AC{space}Relay
+0
+5
+5
+Relay_THT
+Relay_SPDT_Schrack-RT1-16A-FormC_RM5mm
+Relay{space}SPST{space}Schrack-RT1{space}RM5mm{space}16A{space}250V{space}AC{space}Form{space}C{space}http://image.schrack.com/datenblaetter/h_rt114012--_de.pdf
+Relay{space}SPST{space}Schrack-RT1{space}RM5mm{space}16A{space}250V{space}AC{space}Relay
+0
+8
+5
+Relay_THT
+Relay_SPDT_Schrack-RT1-FormC_RM3.5mm
+Relay{space}SPST{space}Schrack-RT1{space}RM3.5mm{space}8A{space}250V{space}AC{space}Form{space}C{space}http://image.schrack.com/datenblaetter/h_rt114012--_de.pdf
+Relay{space}SPST{space}Schrack-RT1{space}RM3.5mm{space}8A{space}250V{space}AC{space}Relay
+0
+5
+5
+Relay_THT
+Relay_SPDT_Schrack-RT1-FormC_RM5mm
+Relay{space}SPST{space}Schrack-RT1{space}RM5mm{space}8A{space}250V{space}AC{space}Form{space}C{space}http://image.schrack.com/datenblaetter/h_rt114012--_de.pdf
+Relay{space}SPST{space}Schrack-RT1{space}RM5mm{space}8A{space}250V{space}AC{space}Relay
+0
+5
+5
+Relay_THT
+Relay_SPDT_StandexMeder_SIL_Form1C
+Standex-Meder{space}SIL-relais,{space}Form{space}1C,{space}see{space}https://standexelectronics.com/wp-content/uploads/datasheet_reed_relay_SIL.pdf
+Standex{space}Meder{space}SIL{space}reed{space}relais
+0
+5
+5
+Relay_THT
+Relay_SPST_Finder_32.21-x300
+Finder{space}32.21-x300{space}Relay,{space}SPST,{space}https://gfinder.findernet.com/assets/Series/355/S32EN.pdf
+Finder{space}32.21-x300{space}Relay{space}SPST
+0
+4
+4
+Relay_THT
+Relay_SPST_Omron-G5Q-1A
+Relay{space}SPST-NO{space}Omron{space}Serie{space}G5Q,{space}http://omronfs.omron.com/en_US/ecb/products/pdf/en-g5q.pdf
+Relay{space}SPST-NO{space}Omron{space}Serie{space}G5Q
+0
+4
+4
+Relay_THT
+Relay_SPST_Panasonic_JW1_FormA
+Panasonic{space}Relay{space}SPST,{space}http://www3.panasonic.biz/ac/e_download/control/relay/power/catalog/mech_eng_jw.pdf?via=ok
+Panasonic{space}Relay{space}SPST
+0
+4
+4
+Relay_THT
+Relay_SPST_PotterBrumfield_T9AP1D52_12V30A
+Relay{space}SPST{space}Potter&Brumfield{space}T9AP1D52{space}12V{space}30A{space}1xEin{space}Connector{space}Fast{space}ON{space}Only{space}Dummy{space}for{space}Space{space}NO{space}Pads
+Relau{space}SPST{space}Potter&Brumfield{space}T9AP1D52{space}12V{space}30A{space}1xEin{space}Connector{space}Fast{space}ON{space}Flachsteckeranschluss{space}Only{space}Dummy{space}for{space}Space{space}NO{space}Pads
+0
+0
+0
+Relay_THT
+Relay_SPST_RAYEX-L90A
+https://a3.sofastcdn.com/attachment/7jioKBjnRiiSrjrjknRiwS77gwbf3zmp/L90-SERIES.pdf
+Relay{space}RAYEX{space}L90A{space}SPST{space}NO
+0
+5
+5
+Relay_THT
+Relay_SPST_RAYEX-L90AS
+https://a3.sofastcdn.com/attachment/7jioKBjnRiiSrjrjknRiwS77gwbf3zmp/L90-SERIES.pdf
+Relay{space}RAYEX{space}L90AS{space}SPST{space}NO
+0
+4
+4
+Relay_THT
+Relay_SPST_RAYEX-L90B
+https://a3.sofastcdn.com/attachment/7jioKBjnRiiSrjrjknRiwS77gwbf3zmp/L90-SERIES.pdf
+Relay{space}RAYEX{space}L90B{space}SPST{space}NC
+0
+5
+5
+Relay_THT
+Relay_SPST_RAYEX-L90BS
+https://a3.sofastcdn.com/attachment/7jioKBjnRiiSrjrjknRiwS77gwbf3zmp/L90-SERIES.pdf
+Relay{space}RAYEX{space}L90BS{space}SPST{space}NC
+0
+4
+4
+Relay_THT
+Relay_SPST_SANYOU_SRD_Series_Form_A
+relay{space}Sanyou{space}SRD{space}series{space}Form{space}A{space}http://www.sanyourelay.ca/public/products/pdf/SRD.pdf
+relay{space}Sanyu{space}SRD{space}form{space}A
+0
+4
+4
+Relay_THT
+Relay_SPST_SANYOU_SRD_Series_Form_B
+relay{space}Sanyou{space}SRD{space}series{space}Form{space}B{space}opener{space}http://www.sanyourelay.ca/public/products/pdf/SRD.pdf
+relay{space}Sanyu{space}SRD{space}form{space}B{space}opener
+0
+4
+4
+Relay_THT
+Relay_SPST_Schrack-RP-II-1-16A-FormA_RM5mm
+Relay{space}SPST{space}Schrack-RP-II/1{space}RM5mm{space}16A{space}250V{space}AC{space}Form{space}A{space}http://image.schrack.com/datenblaetter/h_rp810012-b.pdf
+Relay{space}SPST{space}Schrack-RP-II/1{space}RM5mm{space}16A{space}250V{space}AC{space}Relay
+0
+6
+4
+Relay_THT
+Relay_SPST_Schrack-RP-II-1-FormA_RM3.5mm
+Relay{space}SPST{space}Schrack-RP-II/1{space}RM3.5mm{space}8A{space}250V{space}AC{space}Form{space}A
+Relay{space}SPST{space}Schrack-RP-II/1{space}RM3.5mm{space}8A{space}250V{space}AC{space}Relay
+0
+4
+4
+Relay_THT
+Relay_SPST_Schrack-RP-II-1-FormA_RM5mm
+Relay{space}SPST{space}Schrack-RP-II/1{space}RM5mm{space}8A{space}250V{space}AC{space}Form{space}A{space}http://image.schrack.com/datenblaetter/h_rp810012-b.pdf
+Relay{space}SPST{space}Schrack-RP-II/1{space}RM5mm{space}8A{space}250V{space}AC{space}Relay
+0
+4
+4
+Relay_THT
+Relay_SPST_Schrack-RP3SL-1coil_RM5mm
+Relay{space}SPST{space}Schrack-RP3SL,{space}1-coil-version,{space}RM5mm{space}16A{space}250V{space}AC{space}Form{space}A{space}http://www.alliedelec.com/m/d/543c6bed18bf23a83ae5238947033ee0.pdf
+Relay{space}SPST{space}Schrack-RP3SL{space}RM5mm{space}16A{space}250V{space}AC{space}Relay
+0
+6
+4
+Relay_THT
+Relay_SPST_Schrack-RP3SL_RM5mm
+Relay{space}SPST{space}Schrack-RP3SL{space}RM5mm{space}16A{space}250V{space}AC{space}Form{space}A{space}http://www.alliedelec.com/m/d/543c6bed18bf23a83ae5238947033ee0.pdf
+Relay{space}SPST{space}Schrack-RP3SL{space}RM5mm{space}16A{space}250V{space}AC{space}Relay
+0
+7
+5
+Relay_THT
+Relay_SPST_Schrack-RT1-16A-FormA_RM5mm
+Relay{space}SPST{space}Schrack-RT2{space}RM5mm{space}16A{space}250V{space}AC{space}Form{space}C{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=RT2_reflow&DocType=DS&DocLang=EN
+Relay{space}SPST{space}Schrack-RT2{space}RM5mm{space}16A{space}250V{space}AC{space}Relay
+0
+6
+4
+Relay_THT
+Relay_SPST_Schrack-RT1-FormA_RM3.5mm
+Relay{space}SPST{space}Schrack-RT1{space}RM3.5mm{space}8A{space}250V{space}AC{space}Form{space}A
+Relay{space}SPST{space}Schrack-RT1{space}RM3.5mm{space}8A{space}250V{space}AC{space}Relay
+0
+4
+4
+Relay_THT
+Relay_SPST_Schrack-RT1-FormA_RM5mm
+Relay{space}SPST{space}Schrack-RT1{space}RM5mm{space}8A{space}250V{space}AC{space}Form{space}C{space}http://image.schrack.com/datenblaetter/h_rt114012--_de.pdf
+Relay{space}SPST{space}Schrack-RT1{space}RM5mm{space}8A{space}250V{space}AC{space}Relay
+0
+4
+4
+Relay_THT
+Relay_SPST_StandexMeder_MS_Form1AB
+Standex-Meder{space}MS{space}SIL-relais,{space}Form{space}1A/1B,{space}see{space}https://standexelectronics.com/de/produkte/ms-reed-relais/
+Standex{space}Meder{space}MS{space}SIL{space}reed{space}relais
+0
+4
+4
+Relay_THT
+Relay_SPST_StandexMeder_SIL_Form1A
+Standex-Meder{space}SIL-relais,{space}Form{space}1A,{space}see{space}https://standexelectronics.com/wp-content/uploads/datasheet_reed_relay_SIL.pdf
+Standex{space}Meder{space}SIL{space}reed{space}relais
+0
+4
+4
+Relay_THT
+Relay_SPST_StandexMeder_SIL_Form1B
+Standex-Meder{space}SIL-relais,{space}Form{space}1B,{space}see{space}https://standexelectronics.com/wp-content/uploads/datasheet_reed_relay_SIL.pdf
+Standex{space}Meder{space}SIL{space}reed{space}relais
+0
+4
+4
+Relay_THT
+Relay_SPST_TE_PCH-1xxx2M
+Miniature{space}PCB{space}Relay,{space}PCH{space}Series,{space}1{space}Form{space}A{space}(NO),{space}SPST{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Data+Sheet%7FPCH_series_relay_data_sheet_E%7F1215%7Fpdf%7FEnglish%7FENG_DS_PCH_series_relay_data_sheet_E_1215.pdf
+Relay{space}SPST{space}NO
+0
+4
+4
+Relay_THT
+Relay_SPST_TE_PCN-1xxD3MHZ
+https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F1461491%7FG2%7Fpdf%7FEnglish%7FENG_CD_1461491_G2.pdf%7F3-1461491-0
+SPST{space}relay{space}slim
+0
+4
+4
+Relay_THT
+Relay_Socket_DPDT_Finder_96.12
+https://gfinder.findernet.com/public/attachments/56/DE/S56DE.pdf
+Relay{space}socket{space}DPDT{space}Finder{space}96.12{space}56.32
+0
+8
+8
+Relay_THT
+Relay_StandexMeder_DIP_HighProfile
+package{space}for{space}Standex{space}Meder{space}DIP{space}reed{space}relay{space}series,{space}see{space}https://standexelectronics.com/wp-content/uploads/datasheet_reed_relay_DIP.pdf
+DIL{space}DIP{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}reed{space}relay
+0
+8
+8
+Relay_THT
+Relay_StandexMeder_DIP_LowProfile
+package{space}for{space}Standex{space}Meder{space}DIP{space}reed{space}relay{space}series,{space}see{space}https://standexelectronics.com/wp-content/uploads/datasheet_reed_relay_DIP.pdf
+DIL{space}DIP{space}PDIP{space}2.54mm{space}7.62mm{space}300mil{space}reed{space}relay
+0
+8
+8
+Relay_THT
+Relay_StandexMeder_UMS
+Standex-Meder{space}SIL-relais,{space}UMS,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C300/UMS05_1A80_75L_DB.pdf
+Standex{space}Meder{space}SIL{space}reed{space}relais
+0
+4
+4
+Resistor_SMD
+R_0201_0603Metric
+Resistor{space}SMD{space}0201{space}(0603{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.vishay.com/docs/20052/crcw0201e3.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+4
+2
+Resistor_SMD
+R_0402_1005Metric
+Resistor{space}SMD{space}0402{space}(1005{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Resistor_SMD
+R_0603_1608Metric
+Resistor{space}SMD{space}0603{space}(1608{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Resistor_SMD
+R_0603_1608Metric_Pad1.05x0.95mm_HandSolder
+Resistor{space}SMD{space}0603{space}(1608{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor{space}handsolder
+0
+2
+2
+Resistor_SMD
+R_0612_1632Metric
+Resistor{space}SMD{space}0612{space}(1632{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.vishay.com/docs/20019/rcwe.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Resistor_SMD
+R_0612_1632Metric_Pad1.18x3.40mm_HandSolder
+Resistor{space}SMD{space}0612{space}(1632{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}https://www.vishay.com/docs/20019/rcwe.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor{space}handsolder
+0
+2
+2
+Resistor_SMD
+R_0805_2012Metric
+Resistor{space}SMD{space}0805{space}(2012{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Resistor_SMD
+R_0805_2012Metric_Pad1.15x1.40mm_HandSolder
+Resistor{space}SMD{space}0805{space}(2012{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing),{space}generated{space}with{space}kicad-footprint-generator
+resistor{space}handsolder
+0
+2
+2
+Resistor_SMD
+R_0815_2038Metric
+Resistor{space}SMD{space}0815{space}(2038{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.yageo.com/documents/recent/PYu-PRPFPH_521_RoHS_L_0.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Resistor_SMD
+R_0815_2038Metric_Pad1.53x4.00mm_HandSolder
+Resistor{space}SMD{space}0815{space}(2038{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}http://www.yageo.com/documents/recent/PYu-PRPFPH_521_RoHS_L_0.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor{space}handsolder
+0
+2
+2
+Resistor_SMD
+R_01005_0402Metric
+Resistor{space}SMD{space}01005{space}(0402{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.vishay.com/docs/20056/crcw01005e3.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+4
+2
+Resistor_SMD
+R_1020_2550Metric
+Resistor{space}SMD{space}1020{space}(2550{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.vishay.com/docs/20019/rcwe.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Resistor_SMD
+R_1020_2550Metric_Pad1.33x5.20mm_HandSolder
+Resistor{space}SMD{space}1020{space}(2550{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}https://www.vishay.com/docs/20019/rcwe.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor{space}handsolder
+0
+2
+2
+Resistor_SMD
+R_1206_3216Metric
+Resistor{space}SMD{space}1206{space}(3216{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Resistor_SMD
+R_1206_3216Metric_Pad1.42x1.75mm_HandSolder
+Resistor{space}SMD{space}1206{space}(3216{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor{space}handsolder
+0
+2
+2
+Resistor_SMD
+R_1210_3225Metric
+Resistor{space}SMD{space}1210{space}(3225{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Resistor_SMD
+R_1210_3225Metric_Pad1.42x2.65mm_HandSolder
+Resistor{space}SMD{space}1210{space}(3225{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor{space}handsolder
+0
+2
+2
+Resistor_SMD
+R_1218_3246Metric
+Resistor{space}SMD{space}1218{space}(3246{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.vishay.com/docs/20035/dcrcwe3.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Resistor_SMD
+R_1218_3246Metric_Pad1.22x4.75mm_HandSolder
+Resistor{space}SMD{space}1218{space}(3246{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}https://www.vishay.com/docs/20035/dcrcwe3.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor{space}handsolder
+0
+2
+2
+Resistor_SMD
+R_1806_4516Metric
+Resistor{space}SMD{space}1806{space}(4516{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.modelithics.com/models/Vendor/MuRata/BLM41P.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Resistor_SMD
+R_1806_4516Metric_Pad1.57x1.80mm_HandSolder
+Resistor{space}SMD{space}1806{space}(4516{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}https://www.modelithics.com/models/Vendor/MuRata/BLM41P.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor{space}handsolder
+0
+2
+2
+Resistor_SMD
+R_1812_4532Metric
+Resistor{space}SMD{space}1812{space}(4532{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Resistor_SMD
+R_1812_4532Metric_Pad1.30x3.40mm_HandSolder
+Resistor{space}SMD{space}1812{space}(4532{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}https://www.nikhef.nl/pub/departments/mt/projects/detectorR_D/dtddice/ERJ2G.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor{space}handsolder
+0
+2
+2
+Resistor_SMD
+R_2010_5025Metric
+Resistor{space}SMD{space}2010{space}(5025{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Resistor_SMD
+R_2010_5025Metric_Pad1.52x2.65mm_HandSolder
+Resistor{space}SMD{space}2010{space}(5025{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor{space}handsolder
+0
+2
+2
+Resistor_SMD
+R_2512_6332Metric
+Resistor{space}SMD{space}2512{space}(6332{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Resistor_SMD
+R_2512_6332Metric_Pad1.52x3.35mm_HandSolder
+Resistor{space}SMD{space}2512{space}(6332{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}http://www.tortai-tech.com/upload/download/2011102023233369053.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor{space}handsolder
+0
+2
+2
+Resistor_SMD
+R_2816_7142Metric
+Resistor{space}SMD{space}2816{space}(7142{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}from:{space}https://www.vishay.com/docs/30100/wsl.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Resistor_SMD
+R_2816_7142Metric_Pad3.20x4.45mm_HandSolder
+Resistor{space}SMD{space}2816{space}(7142{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}from:{space}https://www.vishay.com/docs/30100/wsl.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor{space}handsolder
+0
+2
+2
+Resistor_SMD
+R_4020_10251Metric
+Resistor{space}SMD{space}4020{space}(10251{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal,{space}(Body{space}size{space}source:{space}http://datasheet.octopart.com/HVC0603T5004FET-Ohmite-datasheet-26699797.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor
+0
+2
+2
+Resistor_SMD
+R_4020_10251Metric_Pad1.65x5.30mm_HandSolder
+Resistor{space}SMD{space}4020{space}(10251{space}Metric),{space}square{space}(rectangular){space}end{space}terminal,{space}IPC_7351{space}nominal{space}with{space}elongated{space}pad{space}for{space}handsoldering.{space}(Body{space}size{space}source:{space}http://datasheet.octopart.com/HVC0603T5004FET-Ohmite-datasheet-26699797.pdf),{space}generated{space}with{space}kicad-footprint-generator
+resistor{space}handsolder
+0
+2
+2
+Resistor_SMD
+R_Array_Concave_2x0603
+Thick{space}Film{space}Chip{space}Resistor{space}Array,{space}Wave{space}soldering,{space}Vishay{space}CRA06P{space}(see{space}cra06p.pdf)
+resistor{space}array
+0
+4
+4
+Resistor_SMD
+R_Array_Concave_4x0402
+Thick{space}Film{space}Chip{space}Resistor{space}Array,{space}Wave{space}soldering,{space}Vishay{space}CRA04P{space}(see{space}cra04p.pdf)
+resistor{space}array
+0
+8
+8
+Resistor_SMD
+R_Array_Concave_4x0603
+Thick{space}Film{space}Chip{space}Resistor{space}Array,{space}Wave{space}soldering,{space}Vishay{space}CRA06P{space}(see{space}cra06p.pdf)
+resistor{space}array
+0
+8
+8
+Resistor_SMD
+R_Array_Convex_2x0402
+Chip{space}Resistor{space}Network,{space}ROHM{space}MNR02{space}(see{space}mnr_g.pdf)
+resistor{space}array
+0
+4
+4
+Resistor_SMD
+R_Array_Convex_2x0603
+Chip{space}Resistor{space}Network,{space}ROHM{space}MNR12{space}(see{space}mnr_g.pdf)
+resistor{space}array
+0
+4
+4
+Resistor_SMD
+R_Array_Convex_2x0606
+Precision{space}Thin{space}Film{space}Chip{space}Resistor{space}Array,{space}VISHAY{space}(see{space}http://www.vishay.com/docs/28770/acasat.pdf)
+resistor{space}array
+0
+4
+4
+Resistor_SMD
+R_Array_Convex_2x1206
+Chip{space}Resistor{space}Network,{space}ROHM{space}MNR32{space}(see{space}mnr_g.pdf)
+resistor{space}array
+0
+4
+4
+Resistor_SMD
+R_Array_Convex_4x0402
+Chip{space}Resistor{space}Network,{space}ROHM{space}MNR04{space}(see{space}mnr_g.pdf)
+resistor{space}array
+0
+8
+8
+Resistor_SMD
+R_Array_Convex_4x0603
+Chip{space}Resistor{space}Network,{space}ROHM{space}MNR14{space}(see{space}mnr_g.pdf)
+resistor{space}array
+0
+8
+8
+Resistor_SMD
+R_Array_Convex_4x0612
+Precision{space}Thin{space}Film{space}Chip{space}Resistor{space}Array,{space}VISHAY{space}(see{space}http://www.vishay.com/docs/28770/acasat.pdf)
+resistor{space}array
+0
+8
+8
+Resistor_SMD
+R_Array_Convex_4x1206
+Chip{space}Resistor{space}Network,{space}ROHM{space}MNR34{space}(see{space}mnr_g.pdf)
+resistor{space}array
+0
+8
+8
+Resistor_SMD
+R_Array_Convex_5x0603
+Chip{space}Resistor{space}Network,{space}ROHM{space}MNR15{space}(see{space}mnr_g.pdf)
+resistor{space}array
+0
+10
+10
+Resistor_SMD
+R_Array_Convex_5x1206
+Chip{space}Resistor{space}Network,{space}ROHM{space}MNR35{space}(see{space}mnr_g.pdf)
+resistor{space}array
+0
+10
+10
+Resistor_SMD
+R_Array_Convex_8x0602
+Chip{space}Resistor{space}Network,{space}ROHM{space}MNR18{space}(see{space}mnr_g.pdf)
+resistor{space}array
+0
+16
+16
+Resistor_SMD
+R_Cat16-2
+SMT{space}resistor{space}net,{space}Bourns{space}CAT16{space}series,{space}2{space}way
+SMT{space}resistor{space}net{space}Bourns{space}CAT16{space}series{space}2{space}way
+0
+4
+4
+Resistor_SMD
+R_Cat16-4
+SMT{space}resistor{space}net,{space}Bourns{space}CAT16{space}series,{space}4{space}way
+SMT{space}resistor{space}net{space}Bourns{space}CAT16{space}series{space}4{space}way
+0
+8
+8
+Resistor_SMD
+R_Cat16-8
+SMT{space}resistor{space}net,{space}Bourns{space}CAT16{space}series,{space}8{space}way
+SMT{space}resistor{space}net{space}Bourns{space}CAT16{space}series{space}8{space}way
+0
+16
+16
+Resistor_SMD
+R_MELF_MMB-0207
+Resistor,{space}MELF,{space}MMB-0207,{space}http://www.vishay.com/docs/28713/melfprof.pdf
+MELF{space}Resistor
+0
+2
+2
+Resistor_SMD
+R_MicroMELF_MMU-0102
+Resistor,{space}MicroMELF,{space}MMU-0102,{space}http://www.vishay.com/docs/28713/melfprof.pdf
+MicroMELF{space}Resistor
+0
+2
+2
+Resistor_SMD
+R_MiniMELF_MMA-0204
+Resistor,{space}MiniMELF,{space}MMA-0204,{space}http://www.vishay.com/docs/28713/melfprof.pdf
+MiniMELF{space}Resistor
+0
+2
+2
+Resistor_SMD
+R_Shunt_Ohmite_LVK12
+4{space}contact{space}shunt{space}resistor
+shunt{space}resistor{space}4{space}contacts
+0
+4
+4
+Resistor_SMD
+R_Shunt_Ohmite_LVK20
+4{space}contacts{space}shunt{space}resistor,{space}https://www.ohmite.com/assets/docs/res_lvk.pdf
+4{space}contacts{space}resistor{space}smd
+0
+4
+4
+Resistor_SMD
+R_Shunt_Ohmite_LVK24
+4{space}contacts{space}shunt{space}resistor,https://www.ohmite.com/assets/docs/res_lvk.pdf
+4{space}contacts{space}resistor{space}smd
+0
+4
+4
+Resistor_SMD
+R_Shunt_Ohmite_LVK25
+4{space}contacts{space}shunt{space}resistor,https://www.ohmite.com/assets/docs/res_lvk.pdf
+4{space}contacts{space}resistor{space}smd
+0
+4
+4
+Resistor_SMD
+R_Shunt_Vishay_WSK2512_6332Metric_T1.19mm
+Shunt{space}Resistor{space}SMD{space}2512{space}(6332{space}Metric),{space}2.6mm{space}thick,{space}Vishay{space}WKS2512,{space}Terminal{space}length{space}(T){space}1.19mm,{space}5{space}to{space}200{space}milli{space}Ohm{space}(http://http://www.vishay.com/docs/30108/wsk.pdf)
+resistor{space}shunt{space}WSK2512
+0
+4
+4
+Resistor_SMD
+R_Shunt_Vishay_WSK2512_6332Metric_T2.21mm
+Shunt{space}Resistor{space}SMD{space}2512{space}(6332{space}Metric),{space}2.6mm{space}thick,{space}Vishay{space}WKS2512,{space}Terminal{space}length{space}(T){space}2.21mm,{space}1{space}to{space}4.9{space}milli{space}Ohm{space}(http://http://www.vishay.com/docs/30108/wsk.pdf)
+resistor{space}shunt{space}WSK2512
+0
+4
+4
+Resistor_SMD
+R_Shunt_Vishay_WSK2512_6332Metric_T2.66mm
+Shunt{space}Resistor{space}SMD{space}2512{space}(6332{space}Metric),{space}2.6mm{space}thick,{space}Vishay{space}WKS2512,{space}Terminal{space}length{space}(T){space}2.66mm,{space}0.5{space}to{space}0.99{space}milli{space}Ohm{space}(http://http://www.vishay.com/docs/30108/wsk.pdf)
+resistor{space}shunt{space}WSK2512
+0
+4
+4
+Resistor_SMD
+R_Shunt_Vishay_WSKW0612
+https://www.vishay.com/docs/30332/wskw0612.pdf
+4-Terminal{space}SMD{space}Shunt
+0
+4
+4
+Resistor_SMD
+R_Shunt_Vishay_WSR2_WSR3
+Power{space}Metal{space}Strip{space}Resistors{space}0.005{space}to{space}0.2,{space}https://www.vishay.com/docs/30101/wsr.pdf
+SMD{space}Shunt{space}Resistor
+0
+2
+2
+Resistor_SMD
+R_Shunt_Vishay_WSR2_WSR3_KelvinConnection
+Power{space}Metal{space}Strip{space}Resistors{space}0.005{space}to{space}0.2,{space}https://www.vishay.com/docs/30101/wsr.pdf
+SMD{space}Shunt{space}Resistor
+0
+4
+2
+Resistor_THT
+R_Array_SIP4
+4-pin{space}Resistor{space}SIP{space}pack
+R
+0
+4
+4
+Resistor_THT
+R_Array_SIP5
+5-pin{space}Resistor{space}SIP{space}pack
+R
+0
+5
+5
+Resistor_THT
+R_Array_SIP6
+6-pin{space}Resistor{space}SIP{space}pack
+R
+0
+6
+6
+Resistor_THT
+R_Array_SIP7
+7-pin{space}Resistor{space}SIP{space}pack
+R
+0
+7
+7
+Resistor_THT
+R_Array_SIP8
+8-pin{space}Resistor{space}SIP{space}pack
+R
+0
+8
+8
+Resistor_THT
+R_Array_SIP9
+9-pin{space}Resistor{space}SIP{space}pack
+R
+0
+9
+9
+Resistor_THT
+R_Array_SIP10
+10-pin{space}Resistor{space}SIP{space}pack
+R
+0
+10
+10
+Resistor_THT
+R_Array_SIP11
+11-pin{space}Resistor{space}SIP{space}pack
+R
+0
+11
+11
+Resistor_THT
+R_Array_SIP12
+12-pin{space}Resistor{space}SIP{space}pack
+R
+0
+12
+12
+Resistor_THT
+R_Array_SIP13
+13-pin{space}Resistor{space}SIP{space}pack
+R
+0
+13
+13
+Resistor_THT
+R_Array_SIP14
+14-pin{space}Resistor{space}SIP{space}pack
+R
+0
+14
+14
+Resistor_THT
+R_Axial_DIN0204_L3.6mm_D1.6mm_P1.90mm_Vertical
+Resistor,{space}Axial_DIN0204{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=1.9mm,{space}0.167W,{space}length*diameter=3.6*1.6mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf
+Resistor{space}Axial_DIN0204{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}1.9mm{space}0.167W{space}length{space}3.6mm{space}diameter{space}1.6mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0204_L3.6mm_D1.6mm_P2.54mm_Vertical
+Resistor,{space}Axial_DIN0204{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=2.54mm,{space}0.167W,{space}length*diameter=3.6*1.6mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf
+Resistor{space}Axial_DIN0204{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}2.54mm{space}0.167W{space}length{space}3.6mm{space}diameter{space}1.6mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0204_L3.6mm_D1.6mm_P5.08mm_Horizontal
+Resistor,{space}Axial_DIN0204{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=5.08mm,{space}0.167W,{space}length*diameter=3.6*1.6mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf
+Resistor{space}Axial_DIN0204{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}5.08mm{space}0.167W{space}length{space}3.6mm{space}diameter{space}1.6mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0204_L3.6mm_D1.6mm_P5.08mm_Vertical
+Resistor,{space}Axial_DIN0204{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space}0.167W,{space}length*diameter=3.6*1.6mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf
+Resistor{space}Axial_DIN0204{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}0.167W{space}length{space}3.6mm{space}diameter{space}1.6mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0204_L3.6mm_D1.6mm_P7.62mm_Horizontal
+Resistor,{space}Axial_DIN0204{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=7.62mm,{space}0.167W,{space}length*diameter=3.6*1.6mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf
+Resistor{space}Axial_DIN0204{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}7.62mm{space}0.167W{space}length{space}3.6mm{space}diameter{space}1.6mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0207_L6.3mm_D2.5mm_P2.54mm_Vertical
+Resistor,{space}Axial_DIN0207{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=2.54mm,{space}0.25W{space}={space}1/4W,{space}length*diameter=6.3*2.5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf
+Resistor{space}Axial_DIN0207{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}2.54mm{space}0.25W{space}={space}1/4W{space}length{space}6.3mm{space}diameter{space}2.5mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0207_L6.3mm_D2.5mm_P5.08mm_Vertical
+Resistor,{space}Axial_DIN0207{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space}0.25W{space}={space}1/4W,{space}length*diameter=6.3*2.5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf
+Resistor{space}Axial_DIN0207{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}0.25W{space}={space}1/4W{space}length{space}6.3mm{space}diameter{space}2.5mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0207_L6.3mm_D2.5mm_P7.62mm_Horizontal
+Resistor,{space}Axial_DIN0207{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=7.62mm,{space}0.25W{space}={space}1/4W,{space}length*diameter=6.3*2.5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf
+Resistor{space}Axial_DIN0207{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}7.62mm{space}0.25W{space}={space}1/4W{space}length{space}6.3mm{space}diameter{space}2.5mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal
+Resistor,{space}Axial_DIN0207{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=10.16mm,{space}0.25W{space}={space}1/4W,{space}length*diameter=6.3*2.5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf
+Resistor{space}Axial_DIN0207{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}10.16mm{space}0.25W{space}={space}1/4W{space}length{space}6.3mm{space}diameter{space}2.5mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0207_L6.3mm_D2.5mm_P15.24mm_Horizontal
+Resistor,{space}Axial_DIN0207{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=15.24mm,{space}0.25W{space}={space}1/4W,{space}length*diameter=6.3*2.5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf
+Resistor{space}Axial_DIN0207{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}15.24mm{space}0.25W{space}={space}1/4W{space}length{space}6.3mm{space}diameter{space}2.5mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0309_L9.0mm_D3.2mm_P2.54mm_Vertical
+Resistor,{space}Axial_DIN0309{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=2.54mm,{space}0.5W{space}={space}1/2W,{space}length*diameter=9*3.2mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf
+Resistor{space}Axial_DIN0309{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}2.54mm{space}0.5W{space}={space}1/2W{space}length{space}9mm{space}diameter{space}3.2mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0309_L9.0mm_D3.2mm_P5.08mm_Vertical
+Resistor,{space}Axial_DIN0309{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space}0.5W{space}={space}1/2W,{space}length*diameter=9*3.2mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf
+Resistor{space}Axial_DIN0309{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}0.5W{space}={space}1/2W{space}length{space}9mm{space}diameter{space}3.2mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0309_L9.0mm_D3.2mm_P12.70mm_Horizontal
+Resistor,{space}Axial_DIN0309{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=12.7mm,{space}0.5W{space}={space}1/2W,{space}length*diameter=9*3.2mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf
+Resistor{space}Axial_DIN0309{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}12.7mm{space}0.5W{space}={space}1/2W{space}length{space}9mm{space}diameter{space}3.2mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0309_L9.0mm_D3.2mm_P15.24mm_Horizontal
+Resistor,{space}Axial_DIN0309{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=15.24mm,{space}0.5W{space}={space}1/2W,{space}length*diameter=9*3.2mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf
+Resistor{space}Axial_DIN0309{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}15.24mm{space}0.5W{space}={space}1/2W{space}length{space}9mm{space}diameter{space}3.2mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0309_L9.0mm_D3.2mm_P20.32mm_Horizontal
+Resistor,{space}Axial_DIN0309{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=20.32mm,{space}0.5W{space}={space}1/2W,{space}length*diameter=9*3.2mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf
+Resistor{space}Axial_DIN0309{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}20.32mm{space}0.5W{space}={space}1/2W{space}length{space}9mm{space}diameter{space}3.2mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0309_L9.0mm_D3.2mm_P25.40mm_Horizontal
+Resistor,{space}Axial_DIN0309{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=25.4mm,{space}0.5W{space}={space}1/2W,{space}length*diameter=9*3.2mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf
+Resistor{space}Axial_DIN0309{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}25.4mm{space}0.5W{space}={space}1/2W{space}length{space}9mm{space}diameter{space}3.2mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0411_L9.9mm_D3.6mm_P5.08mm_Vertical
+Resistor,{space}Axial_DIN0411{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space}1W,{space}length*diameter=9.9*3.6mm^2
+Resistor{space}Axial_DIN0411{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}1W{space}length{space}9.9mm{space}diameter{space}3.6mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0411_L9.9mm_D3.6mm_P7.62mm_Vertical
+Resistor,{space}Axial_DIN0411{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space}1W,{space}length*diameter=9.9*3.6mm^2
+Resistor{space}Axial_DIN0411{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}1W{space}length{space}9.9mm{space}diameter{space}3.6mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0411_L9.9mm_D3.6mm_P12.70mm_Horizontal
+Resistor,{space}Axial_DIN0411{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=12.7mm,{space}1W,{space}length*diameter=9.9*3.6mm^2
+Resistor{space}Axial_DIN0411{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}12.7mm{space}1W{space}length{space}9.9mm{space}diameter{space}3.6mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0411_L9.9mm_D3.6mm_P15.24mm_Horizontal
+Resistor,{space}Axial_DIN0411{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=15.24mm,{space}1W,{space}length*diameter=9.9*3.6mm^2
+Resistor{space}Axial_DIN0411{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}15.24mm{space}1W{space}length{space}9.9mm{space}diameter{space}3.6mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0411_L9.9mm_D3.6mm_P20.32mm_Horizontal
+Resistor,{space}Axial_DIN0411{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=20.32mm,{space}1W,{space}length*diameter=9.9*3.6mm^2
+Resistor{space}Axial_DIN0411{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}20.32mm{space}1W{space}length{space}9.9mm{space}diameter{space}3.6mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0411_L9.9mm_D3.6mm_P25.40mm_Horizontal
+Resistor,{space}Axial_DIN0411{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=25.4mm,{space}1W,{space}length*diameter=9.9*3.6mm^2
+Resistor{space}Axial_DIN0411{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}25.4mm{space}1W{space}length{space}9.9mm{space}diameter{space}3.6mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0414_L11.9mm_D4.5mm_P5.08mm_Vertical
+Resistor,{space}Axial_DIN0414{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space}2W,{space}length*diameter=11.9*4.5mm^2,{space}http://www.vishay.com/docs/20128/wkxwrx.pdf
+Resistor{space}Axial_DIN0414{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}2W{space}length{space}11.9mm{space}diameter{space}4.5mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0414_L11.9mm_D4.5mm_P7.62mm_Vertical
+Resistor,{space}Axial_DIN0414{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space}2W,{space}length*diameter=11.9*4.5mm^2,{space}http://www.vishay.com/docs/20128/wkxwrx.pdf
+Resistor{space}Axial_DIN0414{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}2W{space}length{space}11.9mm{space}diameter{space}4.5mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0414_L11.9mm_D4.5mm_P15.24mm_Horizontal
+Resistor,{space}Axial_DIN0414{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=15.24mm,{space}2W,{space}length*diameter=11.9*4.5mm^2,{space}http://www.vishay.com/docs/20128/wkxwrx.pdf
+Resistor{space}Axial_DIN0414{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}15.24mm{space}2W{space}length{space}11.9mm{space}diameter{space}4.5mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0414_L11.9mm_D4.5mm_P20.32mm_Horizontal
+Resistor,{space}Axial_DIN0414{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=20.32mm,{space}2W,{space}length*diameter=11.9*4.5mm^2,{space}http://www.vishay.com/docs/20128/wkxwrx.pdf
+Resistor{space}Axial_DIN0414{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}20.32mm{space}2W{space}length{space}11.9mm{space}diameter{space}4.5mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0414_L11.9mm_D4.5mm_P25.40mm_Horizontal
+Resistor,{space}Axial_DIN0414{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=25.4mm,{space}2W,{space}length*diameter=11.9*4.5mm^2,{space}http://www.vishay.com/docs/20128/wkxwrx.pdf
+Resistor{space}Axial_DIN0414{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}25.4mm{space}2W{space}length{space}11.9mm{space}diameter{space}4.5mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0516_L15.5mm_D5.0mm_P5.08mm_Vertical
+Resistor,{space}Axial_DIN0516{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space}2W,{space}length*diameter=15.5*5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf
+Resistor{space}Axial_DIN0516{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}2W{space}length{space}15.5mm{space}diameter{space}5mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0516_L15.5mm_D5.0mm_P7.62mm_Vertical
+Resistor,{space}Axial_DIN0516{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space}2W,{space}length*diameter=15.5*5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf
+Resistor{space}Axial_DIN0516{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}2W{space}length{space}15.5mm{space}diameter{space}5mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0516_L15.5mm_D5.0mm_P20.32mm_Horizontal
+Resistor,{space}Axial_DIN0516{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=20.32mm,{space}2W,{space}length*diameter=15.5*5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf
+Resistor{space}Axial_DIN0516{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}20.32mm{space}2W{space}length{space}15.5mm{space}diameter{space}5mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0516_L15.5mm_D5.0mm_P25.40mm_Horizontal
+Resistor,{space}Axial_DIN0516{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=25.4mm,{space}2W,{space}length*diameter=15.5*5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf
+Resistor{space}Axial_DIN0516{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}25.4mm{space}2W{space}length{space}15.5mm{space}diameter{space}5mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0516_L15.5mm_D5.0mm_P30.48mm_Horizontal
+Resistor,{space}Axial_DIN0516{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=30.48mm,{space}2W,{space}length*diameter=15.5*5mm^2,{space}http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf
+Resistor{space}Axial_DIN0516{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}30.48mm{space}2W{space}length{space}15.5mm{space}diameter{space}5mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0614_L14.3mm_D5.7mm_P5.08mm_Vertical
+Resistor,{space}Axial_DIN0614{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space}1.5W,{space}length*diameter=14.3*5.7mm^2
+Resistor{space}Axial_DIN0614{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}1.5W{space}length{space}14.3mm{space}diameter{space}5.7mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0614_L14.3mm_D5.7mm_P7.62mm_Vertical
+Resistor,{space}Axial_DIN0614{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space}1.5W,{space}length*diameter=14.3*5.7mm^2
+Resistor{space}Axial_DIN0614{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}1.5W{space}length{space}14.3mm{space}diameter{space}5.7mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0614_L14.3mm_D5.7mm_P15.24mm_Horizontal
+Resistor,{space}Axial_DIN0614{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=15.24mm,{space}1.5W,{space}length*diameter=14.3*5.7mm^2
+Resistor{space}Axial_DIN0614{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}15.24mm{space}1.5W{space}length{space}14.3mm{space}diameter{space}5.7mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0614_L14.3mm_D5.7mm_P20.32mm_Horizontal
+Resistor,{space}Axial_DIN0614{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=20.32mm,{space}1.5W,{space}length*diameter=14.3*5.7mm^2
+Resistor{space}Axial_DIN0614{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}20.32mm{space}1.5W{space}length{space}14.3mm{space}diameter{space}5.7mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0614_L14.3mm_D5.7mm_P25.40mm_Horizontal
+Resistor,{space}Axial_DIN0614{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=25.4mm,{space}1.5W,{space}length*diameter=14.3*5.7mm^2
+Resistor{space}Axial_DIN0614{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}25.4mm{space}1.5W{space}length{space}14.3mm{space}diameter{space}5.7mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0617_L17.0mm_D6.0mm_P5.08mm_Vertical
+Resistor,{space}Axial_DIN0617{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space}2W,{space}length*diameter=17*6mm^2,{space}http://www.vishay.com/docs/20128/wkxwrx.pdf
+Resistor{space}Axial_DIN0617{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}2W{space}length{space}17mm{space}diameter{space}6mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0617_L17.0mm_D6.0mm_P7.62mm_Vertical
+Resistor,{space}Axial_DIN0617{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space}2W,{space}length*diameter=17*6mm^2,{space}http://www.vishay.com/docs/20128/wkxwrx.pdf
+Resistor{space}Axial_DIN0617{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}2W{space}length{space}17mm{space}diameter{space}6mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0617_L17.0mm_D6.0mm_P20.32mm_Horizontal
+Resistor,{space}Axial_DIN0617{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=20.32mm,{space}2W,{space}length*diameter=17*6mm^2,{space}http://www.vishay.com/docs/20128/wkxwrx.pdf
+Resistor{space}Axial_DIN0617{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}20.32mm{space}2W{space}length{space}17mm{space}diameter{space}6mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0617_L17.0mm_D6.0mm_P25.40mm_Horizontal
+Resistor,{space}Axial_DIN0617{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=25.4mm,{space}2W,{space}length*diameter=17*6mm^2,{space}http://www.vishay.com/docs/20128/wkxwrx.pdf
+Resistor{space}Axial_DIN0617{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}25.4mm{space}2W{space}length{space}17mm{space}diameter{space}6mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0617_L17.0mm_D6.0mm_P30.48mm_Horizontal
+Resistor,{space}Axial_DIN0617{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=30.48mm,{space}2W,{space}length*diameter=17*6mm^2,{space}http://www.vishay.com/docs/20128/wkxwrx.pdf
+Resistor{space}Axial_DIN0617{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}30.48mm{space}2W{space}length{space}17mm{space}diameter{space}6mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0918_L18.0mm_D9.0mm_P7.62mm_Vertical
+Resistor,{space}Axial_DIN0918{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space}4W,{space}length*diameter=18*9mm^2
+Resistor{space}Axial_DIN0918{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}4W{space}length{space}18mm{space}diameter{space}9mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0918_L18.0mm_D9.0mm_P22.86mm_Horizontal
+Resistor,{space}Axial_DIN0918{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=22.86mm,{space}4W,{space}length*diameter=18*9mm^2
+Resistor{space}Axial_DIN0918{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}22.86mm{space}4W{space}length{space}18mm{space}diameter{space}9mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0918_L18.0mm_D9.0mm_P25.40mm_Horizontal
+Resistor,{space}Axial_DIN0918{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=25.4mm,{space}4W,{space}length*diameter=18*9mm^2
+Resistor{space}Axial_DIN0918{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}25.4mm{space}4W{space}length{space}18mm{space}diameter{space}9mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0918_L18.0mm_D9.0mm_P30.48mm_Horizontal
+Resistor,{space}Axial_DIN0918{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=30.48mm,{space}4W,{space}length*diameter=18*9mm^2
+Resistor{space}Axial_DIN0918{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}30.48mm{space}4W{space}length{space}18mm{space}diameter{space}9mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0922_L20.0mm_D9.0mm_P7.62mm_Vertical
+Resistor,{space}Axial_DIN0922{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space}5W,{space}length*diameter=20*9mm^2,{space}http://www.vishay.com/docs/20128/wkxwrx.pdf
+Resistor{space}Axial_DIN0922{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}5W{space}length{space}20mm{space}diameter{space}9mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0922_L20.0mm_D9.0mm_P25.40mm_Horizontal
+Resistor,{space}Axial_DIN0922{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=25.4mm,{space}5W,{space}length*diameter=20*9mm^2,{space}http://www.vishay.com/docs/20128/wkxwrx.pdf
+Resistor{space}Axial_DIN0922{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}25.4mm{space}5W{space}length{space}20mm{space}diameter{space}9mm
+0
+2
+2
+Resistor_THT
+R_Axial_DIN0922_L20.0mm_D9.0mm_P30.48mm_Horizontal
+Resistor,{space}Axial_DIN0922{space}series,{space}Axial,{space}Horizontal,{space}pin{space}pitch=30.48mm,{space}5W,{space}length*diameter=20*9mm^2,{space}http://www.vishay.com/docs/20128/wkxwrx.pdf
+Resistor{space}Axial_DIN0922{space}series{space}Axial{space}Horizontal{space}pin{space}pitch{space}30.48mm{space}5W{space}length{space}20mm{space}diameter{space}9mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L20.0mm_W6.4mm_P5.08mm_Vertical
+Resistor,{space}Axial_Power{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=5.08mm,{space}4W,{space}length*width*height=20*6.4*6.4mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}5.08mm{space}4W{space}length{space}20mm{space}width{space}6.4mm{space}height{space}6.4mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L20.0mm_W6.4mm_P7.62mm_Vertical
+Resistor,{space}Axial_Power{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space}4W,{space}length*width*height=20*6.4*6.4mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}4W{space}length{space}20mm{space}width{space}6.4mm{space}height{space}6.4mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L20.0mm_W6.4mm_P22.40mm
+Resistor,{space}Axial_Power{space}series,{space}Box,{space}pin{space}pitch=22.4mm,{space}4W,{space}length*width*height=20*6.4*6.4mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Box{space}pin{space}pitch{space}22.4mm{space}4W{space}length{space}20mm{space}width{space}6.4mm{space}height{space}6.4mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L20.0mm_W6.4mm_P25.40mm
+Resistor,{space}Axial_Power{space}series,{space}Box,{space}pin{space}pitch=25.4mm,{space}4W,{space}length*width*height=20*6.4*6.4mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Box{space}pin{space}pitch{space}25.4mm{space}4W{space}length{space}20mm{space}width{space}6.4mm{space}height{space}6.4mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L20.0mm_W6.4mm_P30.48mm
+Resistor,{space}Axial_Power{space}series,{space}Box,{space}pin{space}pitch=30.48mm,{space}4W,{space}length*width*height=20*6.4*6.4mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Box{space}pin{space}pitch{space}30.48mm{space}4W{space}length{space}20mm{space}width{space}6.4mm{space}height{space}6.4mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L25.0mm_W6.4mm_P27.94mm
+Resistor,{space}Axial_Power{space}series,{space}Box,{space}pin{space}pitch=27.94mm,{space}5W,{space}length*width*height=25*6.4*6.4mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Box{space}pin{space}pitch{space}27.94mm{space}5W{space}length{space}25mm{space}width{space}6.4mm{space}height{space}6.4mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L25.0mm_W6.4mm_P30.48mm
+Resistor,{space}Axial_Power{space}series,{space}Box,{space}pin{space}pitch=30.48mm,{space}5W,{space}length*width*height=25*6.4*6.4mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Box{space}pin{space}pitch{space}30.48mm{space}5W{space}length{space}25mm{space}width{space}6.4mm{space}height{space}6.4mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L25.0mm_W9.0mm_P7.62mm_Vertical
+Resistor,{space}Axial_Power{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space}7W,{space}length*width*height=25*9*9mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}7W{space}length{space}25mm{space}width{space}9mm{space}height{space}9mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L25.0mm_W9.0mm_P10.16mm_Vertical
+Resistor,{space}Axial_Power{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=10.16mm,{space}7W,{space}length*width*height=25*9*9mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}10.16mm{space}7W{space}length{space}25mm{space}width{space}9mm{space}height{space}9mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L25.0mm_W9.0mm_P27.94mm
+Resistor,{space}Axial_Power{space}series,{space}Box,{space}pin{space}pitch=27.94mm,{space}7W,{space}length*width*height=25*9*9mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Box{space}pin{space}pitch{space}27.94mm{space}7W{space}length{space}25mm{space}width{space}9mm{space}height{space}9mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L25.0mm_W9.0mm_P30.48mm
+Resistor,{space}Axial_Power{space}series,{space}Box,{space}pin{space}pitch=30.48mm,{space}7W,{space}length*width*height=25*9*9mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Box{space}pin{space}pitch{space}30.48mm{space}7W{space}length{space}25mm{space}width{space}9mm{space}height{space}9mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L38.0mm_W6.4mm_P40.64mm
+Resistor,{space}Axial_Power{space}series,{space}Box,{space}pin{space}pitch=40.64mm,{space}7W,{space}length*width*height=38*6.4*6.4mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Box{space}pin{space}pitch{space}40.64mm{space}7W{space}length{space}38mm{space}width{space}6.4mm{space}height{space}6.4mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L38.0mm_W6.4mm_P45.72mm
+Resistor,{space}Axial_Power{space}series,{space}Box,{space}pin{space}pitch=45.72mm,{space}7W,{space}length*width*height=38*6.4*6.4mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Box{space}pin{space}pitch{space}45.72mm{space}7W{space}length{space}38mm{space}width{space}6.4mm{space}height{space}6.4mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L38.0mm_W9.0mm_P40.64mm
+Resistor,{space}Axial_Power{space}series,{space}Box,{space}pin{space}pitch=40.64mm,{space}9W,{space}length*width*height=38*9*9mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Box{space}pin{space}pitch{space}40.64mm{space}9W{space}length{space}38mm{space}width{space}9mm{space}height{space}9mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L38.0mm_W9.0mm_P45.72mm
+Resistor,{space}Axial_Power{space}series,{space}Box,{space}pin{space}pitch=45.72mm,{space}9W,{space}length*width*height=38*9*9mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Box{space}pin{space}pitch{space}45.72mm{space}9W{space}length{space}38mm{space}width{space}9mm{space}height{space}9mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L48.0mm_W12.5mm_P7.62mm_Vertical
+Resistor,{space}Axial_Power{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=7.62mm,{space}15W,{space}length*width*height=48*12.5*12.5mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}7.62mm{space}15W{space}length{space}48mm{space}width{space}12.5mm{space}height{space}12.5mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L48.0mm_W12.5mm_P10.16mm_Vertical
+Resistor,{space}Axial_Power{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=10.16mm,{space}15W,{space}length*width*height=48*12.5*12.5mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}10.16mm{space}15W{space}length{space}48mm{space}width{space}12.5mm{space}height{space}12.5mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L48.0mm_W12.5mm_P55.88mm
+Resistor,{space}Axial_Power{space}series,{space}Box,{space}pin{space}pitch=55.88mm,{space}15W,{space}length*width*height=48*12.5*12.5mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Box{space}pin{space}pitch{space}55.88mm{space}15W{space}length{space}48mm{space}width{space}12.5mm{space}height{space}12.5mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L48.0mm_W12.5mm_P60.96mm
+Resistor,{space}Axial_Power{space}series,{space}Box,{space}pin{space}pitch=60.96mm,{space}15W,{space}length*width*height=48*12.5*12.5mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Box{space}pin{space}pitch{space}60.96mm{space}15W{space}length{space}48mm{space}width{space}12.5mm{space}height{space}12.5mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L50.0mm_W9.0mm_P55.88mm
+Resistor,{space}Axial_Power{space}series,{space}Box,{space}pin{space}pitch=55.88mm,{space}11W,{space}length*width*height=50*9*9mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Box{space}pin{space}pitch{space}55.88mm{space}11W{space}length{space}50mm{space}width{space}9mm{space}height{space}9mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L50.0mm_W9.0mm_P60.96mm
+Resistor,{space}Axial_Power{space}series,{space}Box,{space}pin{space}pitch=60.96mm,{space}11W,{space}length*width*height=50*9*9mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Box{space}pin{space}pitch{space}60.96mm{space}11W{space}length{space}50mm{space}width{space}9mm{space}height{space}9mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L60.0mm_W14.0mm_P10.16mm_Vertical
+Resistor,{space}Axial_Power{space}series,{space}Axial,{space}Vertical,{space}pin{space}pitch=10.16mm,{space}25W,{space}length*width*height=60*14*14mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Axial{space}Vertical{space}pin{space}pitch{space}10.16mm{space}25W{space}length{space}60mm{space}width{space}14mm{space}height{space}14mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L60.0mm_W14.0mm_P66.04mm
+Resistor,{space}Axial_Power{space}series,{space}Box,{space}pin{space}pitch=66.04mm,{space}25W,{space}length*width*height=60*14*14mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Box{space}pin{space}pitch{space}66.04mm{space}25W{space}length{space}60mm{space}width{space}14mm{space}height{space}14mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L60.0mm_W14.0mm_P71.12mm
+Resistor,{space}Axial_Power{space}series,{space}Box,{space}pin{space}pitch=71.12mm,{space}25W,{space}length*width*height=60*14*14mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Box{space}pin{space}pitch{space}71.12mm{space}25W{space}length{space}60mm{space}width{space}14mm{space}height{space}14mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L75.0mm_W9.0mm_P81.28mm
+Resistor,{space}Axial_Power{space}series,{space}Box,{space}pin{space}pitch=81.28mm,{space}17W,{space}length*width*height=75*9*9mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Box{space}pin{space}pitch{space}81.28mm{space}17W{space}length{space}75mm{space}width{space}9mm{space}height{space}9mm
+0
+2
+2
+Resistor_THT
+R_Axial_Power_L75.0mm_W9.0mm_P86.36mm
+Resistor,{space}Axial_Power{space}series,{space}Box,{space}pin{space}pitch=86.36mm,{space}17W,{space}length*width*height=75*9*9mm^3,{space}http://cdn-reichelt.de/documents/datenblatt/B400/5WAXIAL_9WAXIAL_11WAXIAL_17WAXIAL%23YAG.pdf
+Resistor{space}Axial_Power{space}series{space}Box{space}pin{space}pitch{space}86.36mm{space}17W{space}length{space}75mm{space}width{space}9mm{space}height{space}9mm
+0
+2
+2
+Resistor_THT
+R_Axial_Shunt_L22.2mm_W8.0mm_PS14.30mm_P25.40mm
+Resistor,{space}Axial_Shunt{space}series,{space}Box,{space}pin{space}pitch=25.4mm,{space}3W,{space}length*width*height=22.2*8*8mm^3,{space}shunt{space}pin{space}pitch{space}={space}14.30mm,{space}http://www.vishay.com/docs/30217/cpsl.pdf
+Resistor{space}Axial_Shunt{space}series{space}Box{space}pin{space}pitch{space}25.4mm{space}3W{space}length{space}22.2mm{space}width{space}8mm{space}height{space}8mm{space}shunt{space}pin{space}pitch{space}14.30mm
+0
+4
+4
+Resistor_THT
+R_Axial_Shunt_L22.2mm_W9.5mm_PS14.30mm_P25.40mm
+Resistor,{space}Axial_Shunt{space}series,{space}Box,{space}pin{space}pitch=25.4mm,{space}5W,{space}length*width*height=22.2*9.5*9.5mm^3,{space}shunt{space}pin{space}pitch{space}={space}14.30mm,{space}http://www.vishay.com/docs/30217/cpsl.pdf
+Resistor{space}Axial_Shunt{space}series{space}Box{space}pin{space}pitch{space}25.4mm{space}5W{space}length{space}22.2mm{space}width{space}9.5mm{space}height{space}9.5mm{space}shunt{space}pin{space}pitch{space}14.30mm
+0
+4
+4
+Resistor_THT
+R_Axial_Shunt_L35.3mm_W9.5mm_PS25.40mm_P38.10mm
+Resistor,{space}Axial_Shunt{space}series,{space}Box,{space}pin{space}pitch=38.1mm,{space}7W,{space}length*width*height=35.3*9.5*9.5mm^3,{space}shunt{space}pin{space}pitch{space}={space}25.40mm,{space}http://www.vishay.com/docs/30217/cpsl.pdf
+Resistor{space}Axial_Shunt{space}series{space}Box{space}pin{space}pitch{space}38.1mm{space}7W{space}length{space}35.3mm{space}width{space}9.5mm{space}height{space}9.5mm{space}shunt{space}pin{space}pitch{space}25.40mm
+0
+4
+4
+Resistor_THT
+R_Axial_Shunt_L47.6mm_W9.5mm_PS34.93mm_P50.80mm
+Resistor,{space}Axial_Shunt{space}series,{space}Box,{space}pin{space}pitch=50.8mm,{space}10W,{space}length*width*height=47.6*9.5*9.5mm^3,{space}shunt{space}pin{space}pitch{space}={space}34.93mm,{space}http://www.vishay.com/docs/30217/cpsl.pdf
+Resistor{space}Axial_Shunt{space}series{space}Box{space}pin{space}pitch{space}50.8mm{space}10W{space}length{space}47.6mm{space}width{space}9.5mm{space}height{space}9.5mm{space}shunt{space}pin{space}pitch{space}34.93mm
+0
+4
+4
+Resistor_THT
+R_Axial_Shunt_L47.6mm_W12.7mm_PS34.93mm_P50.80mm
+Resistor,{space}Axial_Shunt{space}series,{space}Box,{space}pin{space}pitch=50.8mm,{space}15W,{space}length*width*height=47.6*12.7*12.7mm^3,{space}shunt{space}pin{space}pitch{space}={space}34.93mm,{space}http://www.vishay.com/docs/30217/cpsl.pdf
+Resistor{space}Axial_Shunt{space}series{space}Box{space}pin{space}pitch{space}50.8mm{space}15W{space}length{space}47.6mm{space}width{space}12.7mm{space}height{space}12.7mm{space}shunt{space}pin{space}pitch{space}34.93mm
+0
+4
+4
+Resistor_THT
+R_Bare_Metal_Element_L12.4mm_W4.8mm_P11.40mm
+Resistor,{space}Bare_Metal_Element{space}series,{space}Bare{space}Metal{space}Strip/Wire,{space}Horizontal,{space}pin{space}pitch=11.4mm,{space}1W,{space}length*width=12.4*4.8mm^2,{space}https://www.bourns.com/pdfs/PWR4412-2S.pdf
+Resistor{space}Bare_Metal_Element{space}series{space}Bare{space}Metal{space}Strip{space}Wire{space}Horizontal{space}pin{space}pitch{space}11.4mm{space}1W{space}length{space}12.4mm{space}width{space}4.8mm{space}
+0
+2
+2
+Resistor_THT
+R_Bare_Metal_Element_L16.3mm_W4.8mm_P15.30mm
+Resistor,{space}Bare_Metal_Element{space}series,{space}Bare{space}Metal{space}Strip/Wire,{space}Horizontal,{space}pin{space}pitch=15.3mm,{space}3W,{space}length*width=16.3*4.8mm^2,{space}https://www.bourns.com/pdfs/PWR4412-2S.pdf
+Resistor{space}Bare_Metal_Element{space}series{space}Bare{space}Metal{space}Strip{space}Wire{space}Horizontal{space}pin{space}pitch{space}15.3mm{space}3W{space}length{space}16.3mm{space}width{space}4.8mm{space}
+0
+2
+2
+Resistor_THT
+R_Bare_Metal_Element_L21.3mm_W4.8mm_P20.30mm
+Resistor,{space}Bare_Metal_Element{space}series,{space}Bare{space}Metal{space}Strip/Wire,{space}Horizontal,{space}pin{space}pitch=20.3mm,{space}5W,{space}length*width=21.3*4.8mm^2,{space}https://www.bourns.com/pdfs/PWR4412-2S.pdf
+Resistor{space}Bare_Metal_Element{space}series{space}Bare{space}Metal{space}Strip{space}Wire{space}Horizontal{space}pin{space}pitch{space}20.3mm{space}5W{space}length{space}21.3mm{space}width{space}4.8mm{space}
+0
+2
+2
+Resistor_THT
+R_Box_L8.4mm_W2.5mm_P5.08mm
+Resistor,{space}Box{space}series,{space}Radial,{space}pin{space}pitch=5.08mm,{space}0.5W{space}={space}1/2W,{space}length*width=8.38*2.54mm^2,{space}http://www.vishay.com/docs/60051/cns020.pdf
+Resistor{space}Box{space}series{space}Radial{space}pin{space}pitch{space}5.08mm{space}0.5W{space}={space}1/2W{space}length{space}8.38mm{space}width{space}2.54mm
+0
+2
+2
+Resistor_THT
+R_Box_L13.0mm_W4.0mm_P9.00mm
+Resistor,{space}Box{space}series,{space}Radial,{space}pin{space}pitch=9.00mm,{space}2W,{space}length*width=13.0*4.0mm^2,{space}http://www.produktinfo.conrad.com/datenblaetter/425000-449999/443860-da-01-de-METALLBAND_WIDERSTAND_0_1_OHM_5W_5Pr.pdf
+Resistor{space}Box{space}series{space}Radial{space}pin{space}pitch{space}9.00mm{space}2W{space}length{space}13.0mm{space}width{space}4.0mm
+0
+2
+2
+Resistor_THT
+R_Box_L14.0mm_W5.0mm_P9.00mm
+Resistor,{space}Box{space}series,{space}Radial,{space}pin{space}pitch=9.00mm,{space}5W,{space}length*width=14.0*5.0mm^2,{space}http://www.produktinfo.conrad.com/datenblaetter/425000-449999/443860-da-01-de-METALLBAND_WIDERSTAND_0_1_OHM_5W_5Pr.pdf
+Resistor{space}Box{space}series{space}Radial{space}pin{space}pitch{space}9.00mm{space}5W{space}length{space}14.0mm{space}width{space}5.0mm
+0
+2
+2
+Resistor_THT
+R_Box_L26.0mm_W5.0mm_P20.00mm
+Resistor,{space}Box{space}series,{space}Radial,{space}pin{space}pitch=20.00mm,{space}10W,{space}length*width=26.0*5.0mm^2,{space}http://www.produktinfo.conrad.com/datenblaetter/425000-449999/443860-da-01-de-METALLBAND_WIDERSTAND_0_1_OHM_5W_5Pr.pdf
+Resistor{space}Box{space}series{space}Radial{space}pin{space}pitch{space}20.00mm{space}10W{space}length{space}26.0mm{space}width{space}5.0mm
+0
+2
+2
+Resistor_THT
+R_Radial_Power_L7.0mm_W8.0mm_Px2.40mm_Py2.30mm
+Resistor,{space}Radial_Power{space}series,{space}Radial,{space}pin{space}pitch=2.40*2.30mm^2,{space}7W,{space}length*width=7*8mm^2,{space}http://www.vitrohm.com/content/files/vitrohm_series_kv_-_201601.pdf
+Resistor{space}Radial_Power{space}series{space}Radial{space}pin{space}pitch{space}2.40*2.30mm^2{space}7W{space}length{space}7mm{space}width{space}8mm
+0
+2
+2
+Resistor_THT
+R_Radial_Power_L9.0mm_W10.0mm_Px2.70mm_Py2.30mm
+Resistor,{space}Radial_Power{space}series,{space}Radial,{space}pin{space}pitch=2.70*2.30mm^2,{space}17W,{space}length*width=9*10mm^2,{space}http://www.vitrohm.com/content/files/vitrohm_series_kv_-_201601.pdf
+Resistor{space}Radial_Power{space}series{space}Radial{space}pin{space}pitch{space}2.70*2.30mm^2{space}17W{space}length{space}9mm{space}width{space}10mm
+0
+2
+2
+Resistor_THT
+R_Radial_Power_L11.0mm_W7.0mm_P5.00mm
+Resistor,{space}Radial_Power{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space}2W,{space}length*width=11.0*7.0mm^2,{space}http://www.vishay.com/docs/30218/cpcx.pdf
+Resistor{space}Radial_Power{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}2W{space}length{space}11.0mm{space}width{space}7.0mm
+0
+2
+2
+Resistor_THT
+R_Radial_Power_L12.0mm_W8.0mm_P5.00mm
+Resistor,{space}Radial_Power{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space}3W,{space}length*width=12.0*8.0mm^2,{space}http://www.vishay.com/docs/30218/cpcx.pdf
+Resistor{space}Radial_Power{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}3W{space}length{space}12.0mm{space}width{space}8.0mm
+0
+2
+2
+Resistor_THT
+R_Radial_Power_L13.0mm_W9.0mm_P5.00mm
+Resistor,{space}Radial_Power{space}series,{space}Radial,{space}pin{space}pitch=5.00mm,{space}7W,{space}length*width=13.0*9.0mm^2,{space}http://www.vishay.com/docs/30218/cpcx.pdf
+Resistor{space}Radial_Power{space}series{space}Radial{space}pin{space}pitch{space}5.00mm{space}7W{space}length{space}13.0mm{space}width{space}9.0mm
+0
+2
+2
+Resistor_THT
+R_Radial_Power_L16.1mm_W9.0mm_P7.37mm
+Resistor,{space}Radial_Power{space}series,{space}Radial,{space}pin{space}pitch=7.37mm,{space}10W,{space}length*width=16.1*9mm^2,{space}http://www.vishay.com/docs/30218/cpcx.pdf
+Resistor{space}Radial_Power{space}series{space}Radial{space}pin{space}pitch{space}7.37mm{space}10W{space}length{space}16.1mm{space}width{space}9mm
+0
+2
+2
+Rotary_Encoder
+RotaryEncoder_Alps_EC11E-Switch_Vertical_H20mm
+Alps{space}rotary{space}encoder,{space}EC12E...{space}with{space}switch,{space}vertical{space}shaft,{space}http://www.alps.com/prod/info/E/HTML/Encoder/Incremental/EC11/EC11E15204A3.html
+rotary{space}encoder
+0
+7
+6
+Rotary_Encoder
+RotaryEncoder_Alps_EC11E-Switch_Vertical_H20mm_CircularMountingHoles
+Alps{space}rotary{space}encoder,{space}EC12E...{space}with{space}switch,{space}vertical{space}shaft,{space}mounting{space}holes{space}with{space}circular{space}drills,{space}http://www.alps.com/prod/info/E/HTML/Encoder/Incremental/EC11/EC11E15204A3.html
+rotary{space}encoder
+0
+7
+6
+Rotary_Encoder
+RotaryEncoder_Alps_EC11E_Vertical_H20mm
+Alps{space}rotary{space}encoder,{space}EC12E...{space}without{space}switch{space}(pins{space}are{space}dummy),{space}vertical{space}shaft,{space}http://www.alps.com/prod/info/E/HTML/Encoder/Incremental/EC11/EC11E15204A3.html
+rotary{space}encoder
+0
+7
+4
+Rotary_Encoder
+RotaryEncoder_Alps_EC11E_Vertical_H20mm_CircularMountingHoles
+Alps{space}rotary{space}encoder,{space}EC12E...{space}without{space}switch{space}(pins{space}are{space}dummy),{space}vertical{space}shaft,{space}mounting{space}holes{space}with{space}circular{space}drills,{space}http://www.alps.com/prod/info/E/HTML/Encoder/Incremental/EC11/EC11E15204A3.html
+rotary{space}encoder
+0
+7
+4
+Rotary_Encoder
+RotaryEncoder_Alps_EC12E-Switch_Vertical_H20mm
+Alps{space}rotary{space}encoder,{space}EC12E...{space}with{space}switch,{space}vertical{space}shaft,{space}http://www.alps.com/prod/info/E/HTML/Encoder/Incremental/EC12E/EC12E1240405.html{space}&{space}http://cdn-reichelt.de/documents/datenblatt/F100/402097STEC12E08.PDF
+rotary{space}encoder
+0
+7
+6
+Rotary_Encoder
+RotaryEncoder_Alps_EC12E-Switch_Vertical_H20mm_CircularMountingHoles
+Alps{space}rotary{space}encoder,{space}EC12E...{space}with{space}switch,{space}vertical{space}shaft,{space}mounting{space}holes{space}with{space}circular{space}drills,{space}http://www.alps.com/prod/info/E/HTML/Encoder/Incremental/EC12E/EC12E1240405.html{space}&{space}http://cdn-reichelt.de/documents/datenblatt/F100/402097STEC12E08.PDF
+rotary{space}encoder
+0
+7
+6
+Rotary_Encoder
+RotaryEncoder_Alps_EC12E_Vertical_H20mm
+Alps{space}rotary{space}encoder,{space}EC12E...,{space}vertical{space}shaft,{space}http://www.alps.com/prod/info/E/HTML/Encoder/Incremental/EC12E/EC12E1240405.html
+rotary{space}encoder
+0
+5
+4
+Rotary_Encoder
+RotaryEncoder_Alps_EC12E_Vertical_H20mm_CircularMountingHoles
+Alps{space}rotary{space}encoder,{space}EC12E...,{space}vertical{space}shaft,{space}mounting{space}holes{space}with{space}circular{space}drills,{space}http://www.alps.com/prod/info/E/HTML/Encoder/Incremental/EC12E/EC12E1240405.html
+rotary{space}encoder
+0
+5
+4
+Sensor
+Aosong_DHT11_5.5x12.0_P2.54mm
+Temperature{space}and{space}humidity{space}module,{space}http://akizukidenshi.com/download/ds/aosong/DHT11.pdf
+Temperature{space}and{space}humidity{space}module
+0
+4
+4
+Sensor
+SHT1x
+SHT1x
+SHT1x
+0
+8
+8
+Sensor_Audio
+Infineon_PG-LLGA-5-1
+Infineon_PG-LLGA-5-1{space}StepUp{space}generated{space}footprint,{space}https://www.infineon.com/cms/en/product/packages/PG-LLGA/PG-LLGA-5-1/
+infineon{space}mems{space}microphone
+0
+15
+5
+Sensor_Audio
+ST_HLGA-6_3.76x4.72mm_P1.65mm
+http://www.st.com/content/ccc/resource/technical/document/datasheet/group3/27/62/48/98/44/54/4d/36/DM00303211/files/DM00303211.pdf/jcr:content/translations/en.DM00303211.pdf
+HLGA{space}Sensor{space}Audio
+0
+6
+6
+Sensor_Current
+AKM_CQ_7
+AKM{space}Current{space}Sensor,{space}7{space}pin,{space}THT{space}(http://www.akm.com/akm/en/file/datasheet/CQ-236B.pdf)
+akm{space}current{space}sensor{space}tht
+0
+39
+7
+Sensor_Current
+AKM_CQ_7S
+AKM{space}Current{space}Sensor,{space}7{space}pin,{space}SMD{space}(http://www.akm.com/akm/en/file/datasheet/CQ-236B.pdf)
+akm{space}current{space}sensor{space}smd
+0
+19
+7
+Sensor_Current
+AKM_CQ_VSOP-24_5.6x7.9mm_P0.65mm
+AKM{space}VSOP-24{space}current{space}sensor,{space}5.6x7.9mm{space}body,{space}0.65mm{space}pitch{space}(http://www.akm.com/akm/en/file/datasheet/CQ-330J.pdf)
+akm{space}vsop{space}24
+0
+10
+10
+Sensor_Current
+AKM_CZ_SSOP-10_6.5x8.1mm_P0.95mm
+AKM{space}CZ-381x{space}current{space}sensor,{space}6.5x8.1mm{space}body,{space}0.95mm{space}pitch{space}(http://www.akm.com/akm/en/product/detail/0009/)
+akm{space}cz-381x{space}10
+0
+10
+10
+Sensor_Current
+Allegro_CB_PFF
+Allegro{space}MicroSystems,{space}CB-PFF{space}Package{space}(http://www.allegromicro.com/en/Products/Current-Sensor-ICs/Fifty-To-Two-Hundred-Amp-Integrated-Conductor-Sensor-ICs/ACS758.aspx){space}!PADS{space}4-5{space}DO{space}NOT{space}MATCH{space}DATASHEET!
+Allegro{space}CB-PFF
+0
+37
+5
+Sensor_Current
+Allegro_CB_PSF
+Allegro{space}MicroSystems,{space}CB-PSF{space}Package{space}(http://www.allegromicro.com/en/Products/Current-Sensor-ICs/Fifty-To-Two-Hundred-Amp-Integrated-Conductor-Sensor-ICs/ACS758.aspx)
+Allegro{space}CB-PSF
+0
+5
+5
+Sensor_Current
+Allegro_CB_PSS
+Allegro{space}MicroSystems,{space}CB-PSS{space}Package{space}(http://www.allegromicro.com/en/Products/Current-Sensor-ICs/Fifty-To-Two-Hundred-Amp-Integrated-Conductor-Sensor-ICs/ACS758.aspx)
+Allegro{space}CB-PSS
+0
+5
+5
+Sensor_Current
+Allegro_PSOF-7_4.8x6.4mm_P1.60mm
+Allegro{space}Microsystems{space}PSOF-7,{space}4.8x6.4mm{space}Body,{space}1.60mm{space}Pitch{space}(http://www.allegromicro.com/~/media/Files/Datasheets/ACS780-Datasheet.ashx)
+Allegro{space}PSOF-7
+0
+7
+7
+Sensor_Current
+Allegro_QFN-12-10-1EP_3x3mm_P0.5mm
+Allegro{space}Microsystems{space}12-Lead{space}(10-Lead{space}Populated){space}Quad{space}Flat{space}Pack,{space}3x3mm{space}Body,{space}0.5mm{space}Pitch{space}(http://www.allegromicro.com/~/media/Files/Datasheets/ACS711-Datasheet.ashx)
+Allegro{space}QFN{space}0.5
+0
+14
+10
+Sensor_Current
+Allegro_QSOP-24_3.9x8.7mm_P0.635mm
+Allegro{space}Microsystems{space}24-Lead{space}Plastic{space}Shrink{space}Small{space}Outline{space}Narrow{space}Body{space}Body{space}[QSOP]{space}(http://www.allegromicro.com/~/media/Files/Datasheets/ACS726-Datasheet.ashx?la=en)
+Allegro{space}QSOP{space}0.635
+0
+24
+24
+Sensor_Current
+Allegro_SIP-3
+Allegro{space}Microsystems{space}SIP-3,{space}1.27mm{space}Pitch{space}(http://www.allegromicro.com/~/media/Files/Datasheets/A1369-Datasheet.ashx)
+Allegro{space}SIP-3
+0
+3
+3
+Sensor_Current
+Allegro_SIP-4
+Allegro{space}Microsystems{space}SIP-4,{space}1.27mm{space}Pitch{space}(http://www.allegromicro.com/~/media/Files/Datasheets/A1363-Datasheet.ashx)
+Allegro{space}SIP-4
+0
+4
+4
+Sensor_Current
+Diodes_SIP-3_4.1x1.5mm_P1.27mm
+Diodes{space}SIP-3{space}Bulk{space}Pack,{space}1.27mm{space}Pitch{space}(https://www.diodes.com/assets/Package-Files/SIP-3-Bulk-Pack.pdf)
+Diodes{space}SIP-3{space}Bulk{space}Pack
+0
+3
+3
+Sensor_Current
+Diodes_SIP-3_4.1x1.5mm_P2.65mm
+Diodes{space}SIP-3{space}Ammo{space}Pack,{space}2.65mm{space}Pitch{space}(https://www.diodes.com/assets/Package-Files/SIP-3-Ammo-Pack.pdf)
+Diodes{space}SIP-3{space}Ammo{space}Pack
+0
+3
+3
+Sensor_Current
+LEM_HO8-NP
+LEM{space}HO{space}8/15/25-NP{space}Current{space}Transducer{space}(https://www.lem.com/sites/default/files/products_datasheets/ho-np-0000_series.pdf)
+current{space}transducer
+0
+13
+13
+Sensor_Current
+LEM_HO8-NSM
+LEM{space}HO{space}8/15/25-NSM{space}Current{space}Transducer{space}(https://www.lem.com/sites/default/files/products_datasheets/ho-nsm-0000_series.pdf)
+current{space}transducer
+0
+13
+13
+Sensor_Current
+LEM_HO40-NP
+LEM{space}HO{space}40/60/120/150-NP{space}Current{space}Transducer{space}(https://www.lem.com/sites/default/files/products_datasheets/ho-np_0100__1100_series.pdf)
+current{space}transducer
+0
+13
+13
+Sensor_Current
+LEM_HTFS
+LEM{space}HTFS{space}x00-P{space}current{space}transducer{space}(https://www.lem.com/sites/default/files/products_datasheets/htfs_200_800-p.pdf)
+HTFS{space}current{space}transducer
+0
+4
+4
+Sensor_Current
+LEM_HX02-P
+LEM{space}HX02-P{space}hall{space}effect{space}current{space}transducer{space}(https://www.lem.com/sites/default/files/products_datasheets/hx%202_6-p_e%20v5.pdf)
+hall{space}current
+0
+6
+6
+Sensor_Current
+LEM_HX03-P-SP2
+LEM{space}HX03-P-SP2{space}hall{space}effect{space}current{space}transducer{space}(https://www.lem.com/sites/default/files/products_datasheets/hx%203_50-p_sp2_e%20v07.pdf)
+hall{space}current
+0
+6
+6
+Sensor_Current
+LEM_HX04-P
+LEM{space}HX04-P{space}hall{space}effect{space}current{space}transducer{space}(https://www.lem.com/sites/default/files/products_datasheets/hx%202_6-p_e%20v5.pdf)
+hall{space}current
+0
+6
+6
+Sensor_Current
+LEM_HX05-NP
+LEM{space}HX05-NP{space}hall{space}effect{space}current{space}transducer{space}(https://www.lem.com/sites/default/files/products_datasheets/hx%205_15-np_e%20v10.pdf)
+hall{space}current
+0
+8
+8
+Sensor_Current
+LEM_HX05-P-SP2
+LEM{space}HX05-P-SP2{space}hall{space}effect{space}current{space}transducer{space}(https://www.lem.com/sites/default/files/products_datasheets/hx%203_50-p_sp2_e%20v07.pdf)
+hall{space}current
+0
+6
+6
+Sensor_Current
+LEM_HX06-P
+LEM{space}HX06-P{space}hall{space}effect{space}current{space}transducer{space}(https://www.lem.com/sites/default/files/products_datasheets/hx%202_6-p_e%20v5.pdf)
+hall{space}current
+0
+6
+6
+Sensor_Current
+LEM_HX10-NP
+LEM{space}HX10-NP{space}hall{space}effect{space}current{space}transducer{space}(https://www.lem.com/sites/default/files/products_datasheets/hx%205_15-np_e%20v10.pdf)
+hall{space}current
+0
+8
+8
+Sensor_Current
+LEM_HX10-P-SP2
+LEM{space}HX10-P-SP2{space}hall{space}effect{space}current{space}transducer{space}(https://www.lem.com/sites/default/files/products_datasheets/hx%203_50-p_sp2_e%20v07.pdf)
+hall{space}current
+0
+6
+6
+Sensor_Current
+LEM_HX15-NP
+LEM{space}HX15-NP{space}hall{space}effect{space}current{space}transducer{space}(https://www.lem.com/sites/default/files/products_datasheets/hx%205_15-np_e%20v10.pdf)
+hall{space}current
+0
+8
+8
+Sensor_Current
+LEM_HX15-P-SP2
+LEM{space}HX15-P-SP2{space}hall{space}effect{space}current{space}transducer{space}(https://www.lem.com/sites/default/files/products_datasheets/hx%203_50-p_sp2_e%20v07.pdf)
+hall{space}current
+0
+6
+6
+Sensor_Current
+LEM_HX20-P-SP2
+LEM{space}HX20-P-SP2{space}hall{space}effect{space}current{space}transducer{space}(https://www.lem.com/sites/default/files/products_datasheets/hx%203_50-p_sp2_e%20v07.pdf)
+hall{space}current
+0
+6
+6
+Sensor_Current
+LEM_HX25-P-SP2
+LEM{space}HX25-P-SP2{space}hall{space}effect{space}current{space}transducer{space}(https://www.lem.com/sites/default/files/products_datasheets/hx%203_50-p_sp2_e%20v07.pdf)
+hall{space}current
+0
+6
+6
+Sensor_Current
+LEM_HX50-P-SP2
+LEM{space}HX50-P-SP2{space}hall{space}effect{space}current{space}transducer{space}(https://www.lem.com/sites/default/files/products_datasheets/hx%203_50-p_sp2_e%20v07.pdf)
+hall{space}current
+0
+6
+6
+Sensor_Current
+LEM_LA25-P
+LEM{space}Current{space}Transducer{space}(https://www.lem.com/sites/default/files/products_datasheets/la_25-p.pdf)
+current{space}transducer
+0
+3
+3
+Sensor_Current
+LEM_LTSR-NP
+LEM{space}current{space}transducer{space}LEM_LTSR-NP{space}5V{space}supply{space}voltage{space}series{space}https://www.lem.com/sites/default/files/products_datasheets/ltsr_6-np.pdf
+Current{space}transducer
+0
+10
+10
+Sensor_Motion
+InvenSense_QFN-24_3x3mm_P0.4mm
+24-Lead{space}Plastic{space}QFN{space}(3mm{space}x{space}3mm);{space}Pitch{space}0.4mm;{space}EP{space}1.7x1.54mm;{space}for{space}InvenSense{space}motion{space}sensors;{space}keepout{space}area{space}marked{space}(Package{space}see:{space}https://store.invensense.com/datasheets/invensense/MPU9250REV1.0.pdf;{space}See{space}also{space}https://www.invensense.com/wp-content/uploads/2015/02/InvenSense-MEMS-Handling.pdf)
+QFN{space}0.4
+0
+24
+24
+Sensor_Motion
+InvenSense_QFN-24_3x3mm_P0.4mm_NoMask
+24-Lead{space}Plastic{space}QFN{space}(3mm{space}x{space}3mm);{space}Pitch{space}0.4mm;{space}EP{space}1.7x1.54mm;{space}for{space}InvenSense{space}motion{space}sensors;{space}Mask{space}removed{space}below{space}exposed{space}pad;{space}keepout{space}area{space}marked{space}(Package{space}see:{space}https://store.invensense.com/datasheets/invensense/MPU9250REV1.0.pdf;{space}See{space}also{space}https://www.invensense.com/wp-content/uploads/2015/02/InvenSense-MEMS-Handling.pdf)
+QFN{space}0.4
+0
+25
+24
+Sensor_Motion
+InvenSense_QFN-24_4x4mm_P0.5mm
+24-Lead{space}Plastic{space}QFN{space}(4mm{space}x{space}4mm);{space}Pitch{space}0.5mm;{space}EP{space}2.7x2.6mm;{space}for{space}InvenSense{space}motion{space}sensors;{space}keepout{space}area{space}marked{space}(Package{space}see:{space}https://store.invensense.com/datasheets/invensense/MPU-6050_DataSheet_V3%204.pdf;{space}See{space}also{space}https://www.invensense.com/wp-content/uploads/2015/02/InvenSense-MEMS-Handling.pdf)
+QFN{space}0.5
+0
+24
+24
+Sensor_Motion
+InvenSense_QFN-24_4x4mm_P0.5mm_NoMask
+24-Lead{space}Plastic{space}QFN{space}(4mm{space}x{space}4mm);{space}Pitch{space}0.5mm;{space}EP{space}2.7x2.6mm;{space}for{space}InvenSense{space}motion{space}sensors;{space}Mask{space}removed{space}below{space}exposed{space}pad;{space}keepout{space}area{space}marked{space}(Package{space}see:{space}https://store.invensense.com/datasheets/invensense/MPU-6050_DataSheet_V3%204.pdf;{space}See{space}also{space}https://www.invensense.com/wp-content/uploads/2015/02/InvenSense-MEMS-Handling.pdf)
+QFN{space}0.5
+0
+25
+24
+Sensor_Pressure
+Freescale_98ARH99066A
+https://www.nxp.com/docs/en/data-sheet/MPXH6250A.pdf
+sensor{space}pressure{space}ssop{space}98ARH99066A
+0
+8
+8
+Sensor_Pressure
+Freescale_98ARH99089A
+https://www.nxp.com/docs/en/data-sheet/MPXH6250A.pdf
+sensor{space}pressure{space}ssop{space}98ARH99089A
+0
+8
+8
+Sensor_Pressure
+Honeywell_40PCxxxG1A
+https://www.honeywellscportal.com/index.php?ci_id=138832
+pressure{space}sensor{space}automotive{space}honeywell
+0
+3
+3
+Sensor_Voltage
+LEM_LV25-P
+LEM{space}LV25-P{space}Voltage{space}transducer,{space}https://www.lem.com/sites/default/files/products_datasheets/lv_25-p.pdf
+LEM{space}Hall{space}Effect{space}Voltage{space}transducer
+0
+5
+5
+Socket
+3M_Textool_240-1288-00-0602J_2x20_P2.54mm
+3M{space}40-pin{space}zero{space}insertion{space}force{space}socket,{space}though-hole,{space}row{space}spacing{space}25.4{space}mm{space}(1000{space}mils)
+THT{space}DIP{space}DIL{space}ZIF{space}25.4mm{space}1000mil{space}Socket
+0
+40
+40
+Socket
+DIP_Socket-14_W4.3_W5.08_W7.62_W10.16_W10.9_3M_214-3339-00-0602J
+3M{space}14-pin{space}zero{space}insertion{space}force{space}socket,{space}through-hole,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf
+THT{space}DIP{space}DIL{space}ZIF{space}7.62mm{space}300mil{space}Socket
+0
+14
+14
+Socket
+DIP_Socket-16_W4.3_W5.08_W7.62_W10.16_W10.9_3M_216-3340-00-0602J
+3M{space}16-pin{space}zero{space}insertion{space}force{space}socket,{space}through-hole,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf
+THT{space}DIP{space}DIL{space}ZIF{space}7.62mm{space}300mil{space}Socket
+0
+16
+16
+Socket
+DIP_Socket-18_W4.3_W5.08_W7.62_W10.16_W10.9_3M_218-3341-00-0602J
+3M{space}18-pin{space}zero{space}insertion{space}force{space}socket,{space}through-hole,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf
+THT{space}DIP{space}DIL{space}ZIF{space}7.62mm{space}300mil{space}Socket
+0
+18
+18
+Socket
+DIP_Socket-20_W4.3_W5.08_W7.62_W10.16_W10.9_3M_220-3342-00-0602J
+3M{space}20-pin{space}zero{space}insertion{space}force{space}socket,{space}through-hole,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf
+THT{space}DIP{space}DIL{space}ZIF{space}7.62mm{space}300mil{space}Socket
+0
+20
+20
+Socket
+DIP_Socket-22_W6.9_W7.62_W10.16_W12.7_W13.5_3M_222-3343-00-0602J
+3M{space}22-pin{space}zero{space}insertion{space}force{space}socket,{space}through-hole,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils),{space}http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf
+THT{space}DIP{space}DIL{space}ZIF{space}10.16mm{space}400mil{space}Socket
+0
+22
+22
+Socket
+DIP_Socket-24_W4.3_W5.08_W7.62_W10.16_W10.9_3M_224-5248-00-0602J
+3M{space}24-pin{space}zero{space}insertion{space}force{space}socket,{space}through-hole,{space}row{space}spacing{space}7.62{space}mm{space}(300{space}mils),{space}http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf
+THT{space}DIP{space}DIL{space}ZIF{space}7.62mm{space}300mil{space}Socket
+0
+24
+24
+Socket
+DIP_Socket-24_W11.9_W12.7_W15.24_W17.78_W18.5_3M_224-1275-00-0602J
+3M{space}24-pin{space}zero{space}insertion{space}force{space}socket,{space}through-hole,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf
+THT{space}DIP{space}DIL{space}ZIF{space}15.24mm{space}600mil{space}Socket
+0
+24
+24
+Socket
+DIP_Socket-28_W6.9_W7.62_W10.16_W12.7_W13.5_3M_228-4817-00-0602J
+3M{space}28-pin{space}zero{space}insertion{space}force{space}socket,{space}through-hole,{space}row{space}spacing{space}10.16{space}mm{space}(400{space}mils),{space}http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf
+THT{space}DIP{space}DIL{space}ZIF{space}10.16mm{space}400mil{space}Socket
+0
+28
+28
+Socket
+DIP_Socket-28_W11.9_W12.7_W15.24_W17.78_W18.5_3M_228-1277-00-0602J
+3M{space}28-pin{space}zero{space}insertion{space}force{space}socket,{space}through-hole,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf
+THT{space}DIP{space}DIL{space}ZIF{space}15.24mm{space}600mil{space}Socket
+0
+28
+28
+Socket
+DIP_Socket-32_W11.9_W12.7_W15.24_W17.78_W18.5_3M_232-1285-00-0602J
+3M{space}32-pin{space}zero{space}insertion{space}force{space}socket,{space}through-hole,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf
+THT{space}DIP{space}DIL{space}ZIF{space}15.24mm{space}600mil{space}Socket
+0
+32
+32
+Socket
+DIP_Socket-40_W11.9_W12.7_W15.24_W17.78_W18.5_3M_240-1280-00-0602J
+3M{space}40-pin{space}zero{space}insertion{space}force{space}socket,{space}through-hole,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf
+THT{space}DIP{space}DIL{space}ZIF{space}15.24mm{space}600mil{space}Socket
+0
+40
+40
+Socket
+DIP_Socket-40_W22.1_W22.86_W25.4_W27.94_W28.7_3M_240-3639-00-0602J
+3M{space}40-pin{space}zero{space}insertion{space}force{space}socket,{space}through-hole,{space}row{space}spacing{space}25.4{space}mm{space}(1000{space}mils),{space}http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf
+THT{space}DIP{space}DIL{space}ZIF{space}25.4mm{space}1000mil{space}Socket
+0
+40
+40
+Socket
+DIP_Socket-42_W11.9_W12.7_W15.24_W17.78_W18.5_3M_242-1281-00-0602J
+3M{space}42-pin{space}zero{space}insertion{space}force{space}socket,{space}through-hole,{space}row{space}spacing{space}15.24{space}mm{space}(600{space}mils),{space}http://multimedia.3m.com/mws/media/494546O/3mtm-dip-sockets-100-2-54-mm-ts0365.pdf
+THT{space}DIP{space}DIL{space}ZIF{space}15.24mm{space}600mil{space}Socket
+0
+42
+42
+Socket
+Wells_648-0482211SA01
+https://www.farnell.com/cad/316865.pdf?_ga=2.37208032.177107060.1530611323-249019997.1498114824
+48pin{space}TSOP{space}Socket
+0
+48
+48
+Symbol
+CE-Logo_8.5x6mm_SilkScreen
+CE{space}marking
+Logo{space}CE{space}certification
+0
+0
+0
+Symbol
+CE-Logo_11.2x8mm_SilkScreen
+CE{space}marking
+Logo{space}CE{space}certification
+0
+0
+0
+Symbol
+CE-Logo_16.8x12mm_SilkScreen
+CE{space}marking
+Logo{space}CE{space}certification
+0
+0
+0
+Symbol
+CE-Logo_28x20mm_SilkScreen
+CE{space}marking
+Logo{space}CE{space}certification
+0
+0
+0
+Symbol
+CE-Logo_42x30mm_SilkScreen
+CE{space}marking
+Logo{space}CE{space}certification
+0
+0
+0
+Symbol
+CE-Logo_56.1x40mm_SilkScreen
+CE{space}marking
+Logo{space}CE{space}certification
+0
+0
+0
+Symbol
+ESD-Logo_6.6x6mm_SilkScreen
+Electrostatic{space}discharge{space}Logo
+Logo{space}ESD
+0
+0
+0
+Symbol
+ESD-Logo_8.9x8mm_SilkScreen
+Electrostatic{space}discharge{space}Logo
+Logo{space}ESD
+0
+0
+0
+Symbol
+ESD-Logo_13.2x12mm_SilkScreen
+Electrostatic{space}discharge{space}Logo
+Logo{space}ESD
+0
+0
+0
+Symbol
+ESD-Logo_22x20mm_SilkScreen
+Electrostatic{space}discharge{space}Logo
+Logo{space}ESD
+0
+0
+0
+Symbol
+ESD-Logo_33x30mm_SilkScreen
+Electrostatic{space}discharge{space}Logo
+Logo{space}ESD
+0
+0
+0
+Symbol
+ESD-Logo_44.1x40mm_SilkScreen
+Electrostatic{space}discharge{space}Logo
+Logo{space}ESD
+0
+0
+0
+Symbol
+FCC-Logo_7.3x6mm_SilkScreen
+FCC{space}marking
+Logo{space}FCC{space}certification
+0
+0
+0
+Symbol
+FCC-Logo_9.6x8mm_SilkScreen
+FCC{space}marking
+Logo{space}FCC{space}certification
+0
+0
+0
+Symbol
+FCC-Logo_14.6x12mm_SilkScreen
+FCC{space}marking
+Logo{space}FCC{space}certification
+0
+0
+0
+Symbol
+FCC-Logo_24.2x20mm_SilkScreen
+FCC{space}marking
+Logo{space}FCC{space}certification
+0
+0
+0
+Symbol
+FCC-Logo_36.3x30mm_SilkScreen
+FCC{space}marking
+Logo{space}FCC{space}certification
+0
+0
+0
+Symbol
+FCC-Logo_48.3x40mm_SilkScreen
+FCC{space}marking
+Logo{space}FCC{space}certification
+0
+0
+0
+Symbol
+KiCad-Logo2_5mm_Copper
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo2_5mm_SilkScreen
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo2_6mm_Copper
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo2_6mm_SilkScreen
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo2_8mm_Copper
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo2_8mm_SilkScreen
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo2_12mm_Copper
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo2_12mm_SilkScreen
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo2_20mm_Copper
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo2_20mm_SilkScreen
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo2_30mm_Copper
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo2_30mm_SilkScreen
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo2_40mm_Copper
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo2_40mm_SilkScreen
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo_5mm_Copper
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo_5mm_SilkScreen
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo_6mm_Copper
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo_6mm_SilkScreen
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo_8mm_Copper
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo_8mm_SilkScreen
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo_12mm_Copper
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo_12mm_SilkScreen
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo_20mm_Copper
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo_20mm_SilkScreen
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo_30mm_Copper
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo_30mm_SilkScreen
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo_40mm_Copper
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+KiCad-Logo_40mm_SilkScreen
+KiCad{space}Logo
+Logo{space}KiCad
+0
+0
+0
+Symbol
+OSHW-Logo2_7.3x6mm_Copper
+Open{space}Source{space}Hardware{space}Symbol
+Logo{space}Symbol{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Logo2_7.3x6mm_SilkScreen
+Open{space}Source{space}Hardware{space}Symbol
+Logo{space}Symbol{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Logo2_9.8x8mm_Copper
+Open{space}Source{space}Hardware{space}Symbol
+Logo{space}Symbol{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Logo2_9.8x8mm_SilkScreen
+Open{space}Source{space}Hardware{space}Symbol
+Logo{space}Symbol{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Logo2_14.6x12mm_Copper
+Open{space}Source{space}Hardware{space}Symbol
+Logo{space}Symbol{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Logo2_14.6x12mm_SilkScreen
+Open{space}Source{space}Hardware{space}Symbol
+Logo{space}Symbol{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Logo2_24.3x20mm_Copper
+Open{space}Source{space}Hardware{space}Symbol
+Logo{space}Symbol{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Logo2_24.3x20mm_SilkScreen
+Open{space}Source{space}Hardware{space}Symbol
+Logo{space}Symbol{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Logo2_36.5x30mm_Copper
+Open{space}Source{space}Hardware{space}Symbol
+Logo{space}Symbol{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Logo2_36.5x30mm_SilkScreen
+Open{space}Source{space}Hardware{space}Symbol
+Logo{space}Symbol{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Logo2_48.7x40mm_Copper
+Open{space}Source{space}Hardware{space}Symbol
+Logo{space}Symbol{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Logo2_48.7x40mm_SilkScreen
+Open{space}Source{space}Hardware{space}Symbol
+Logo{space}Symbol{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Logo_5.7x6mm_Copper
+Open{space}Source{space}Hardware{space}Logo
+Logo{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Logo_5.7x6mm_SilkScreen
+Open{space}Source{space}Hardware{space}Logo
+Logo{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Logo_7.5x8mm_Copper
+Open{space}Source{space}Hardware{space}Logo
+Logo{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Logo_7.5x8mm_SilkScreen
+Open{space}Source{space}Hardware{space}Logo
+Logo{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Logo_11.4x12mm_Copper
+Open{space}Source{space}Hardware{space}Logo
+Logo{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Logo_11.4x12mm_SilkScreen
+Open{space}Source{space}Hardware{space}Logo
+Logo{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Logo_19x20mm_Copper
+Open{space}Source{space}Hardware{space}Logo
+Logo{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Logo_19x20mm_SilkScreen
+Open{space}Source{space}Hardware{space}Logo
+Logo{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Logo_28.5x30mm_Copper
+Open{space}Source{space}Hardware{space}Logo
+Logo{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Logo_28.5x30mm_SilkScreen
+Open{space}Source{space}Hardware{space}Logo
+Logo{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Logo_38.1x40mm_Copper
+Open{space}Source{space}Hardware{space}Logo
+Logo{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Logo_38.1x40mm_SilkScreen
+Open{space}Source{space}Hardware{space}Logo
+Logo{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Symbol_6.7x6mm_Copper
+Open{space}Source{space}Hardware{space}Symbol
+Logo{space}Symbol{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Symbol_6.7x6mm_SilkScreen
+Open{space}Source{space}Hardware{space}Symbol
+Logo{space}Symbol{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Symbol_8.9x8mm_Copper
+Open{space}Source{space}Hardware{space}Symbol
+Logo{space}Symbol{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Symbol_8.9x8mm_SilkScreen
+Open{space}Source{space}Hardware{space}Symbol
+Logo{space}Symbol{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Symbol_13.4x12mm_Copper
+Open{space}Source{space}Hardware{space}Symbol
+Logo{space}Symbol{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Symbol_13.4x12mm_SilkScreen
+Open{space}Source{space}Hardware{space}Symbol
+Logo{space}Symbol{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Symbol_22.3x20mm_Copper
+Open{space}Source{space}Hardware{space}Symbol
+Logo{space}Symbol{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Symbol_22.3x20mm_SilkScreen
+Open{space}Source{space}Hardware{space}Symbol
+Logo{space}Symbol{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Symbol_33.5x30mm_Copper
+Open{space}Source{space}Hardware{space}Symbol
+Logo{space}Symbol{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Symbol_33.5x30mm_SilkScreen
+Open{space}Source{space}Hardware{space}Symbol
+Logo{space}Symbol{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Symbol_44.5x40mm_Copper
+Open{space}Source{space}Hardware{space}Symbol
+Logo{space}Symbol{space}OSHW
+0
+0
+0
+Symbol
+OSHW-Symbol_44.5x40mm_SilkScreen
+Open{space}Source{space}Hardware{space}Symbol
+Logo{space}Symbol{space}OSHW
+0
+0
+0
+Symbol
+Polarity_Center_Negative_6mm_SilkScreen
+Polarity{space}Logo,{space}Center{space}Negative
+Logo{space}Polarity{space}Center{space}Negative
+0
+0
+0
+Symbol
+Polarity_Center_Negative_8mm_SilkScreen
+Polarity{space}Logo,{space}Center{space}Negative
+Logo{space}Polarity{space}Center{space}Negative
+0
+0
+0
+Symbol
+Polarity_Center_Negative_12mm_SilkScreen
+Polarity{space}Logo,{space}Center{space}Negative
+Logo{space}Polarity{space}Center{space}Negative
+0
+0
+0
+Symbol
+Polarity_Center_Negative_20mm_SilkScreen
+Polarity{space}Logo,{space}Center{space}Negative
+Logo{space}Polarity{space}Center{space}Negative
+0
+0
+0
+Symbol
+Polarity_Center_Negative_30mm_SilkScreen
+Polarity{space}Logo,{space}Center{space}Negative
+Logo{space}Polarity{space}Center{space}Negative
+0
+0
+0
+Symbol
+Polarity_Center_Negative_40mm_SilkScreen
+Polarity{space}Logo,{space}Center{space}Negative
+Logo{space}Polarity{space}Center{space}Negative
+0
+0
+0
+Symbol
+Polarity_Center_Positive_6mm_SilkScreen
+Polarity{space}Logo,{space}Center{space}Positive
+Logo{space}Polarity{space}Center{space}Positive
+0
+0
+0
+Symbol
+Polarity_Center_Positive_8mm_SilkScreen
+Polarity{space}Logo,{space}Center{space}Positive
+Logo{space}Polarity{space}Center{space}Positive
+0
+0
+0
+Symbol
+Polarity_Center_Positive_12mm_SilkScreen
+Polarity{space}Logo,{space}Center{space}Positive
+Logo{space}Polarity{space}Center{space}Positive
+0
+0
+0
+Symbol
+Polarity_Center_Positive_20mm_SilkScreen
+Polarity{space}Logo,{space}Center{space}Positive
+Logo{space}Polarity{space}Center{space}Positive
+0
+0
+0
+Symbol
+Polarity_Center_Positive_30mm_SilkScreen
+Polarity{space}Logo,{space}Center{space}Positive
+Logo{space}Polarity{space}Center{space}Positive
+0
+0
+0
+Symbol
+Polarity_Center_Positive_40mm_SilkScreen
+Polarity{space}Logo,{space}Center{space}Positive
+Logo{space}Polarity{space}Center{space}Positive
+0
+0
+0
+Symbol
+RoHS-Logo_6mm_SilkScreen
+Restriction{space}of{space}Hazardous{space}Substances{space}Directive{space}Logo
+Logo{space}RoHS
+0
+0
+0
+Symbol
+RoHS-Logo_8mm_SilkScreen
+Restriction{space}of{space}Hazardous{space}Substances{space}Directive{space}Logo
+Logo{space}RoHS
+0
+0
+0
+Symbol
+RoHS-Logo_12mm_SilkScreen
+Restriction{space}of{space}Hazardous{space}Substances{space}Directive{space}Logo
+Logo{space}RoHS
+0
+0
+0
+Symbol
+RoHS-Logo_20mm_SilkScreen
+Restriction{space}of{space}Hazardous{space}Substances{space}Directive{space}Logo
+Logo{space}RoHS
+0
+0
+0
+Symbol
+RoHS-Logo_30mm_SilkScreen
+Restriction{space}of{space}Hazardous{space}Substances{space}Directive{space}Logo
+Logo{space}RoHS
+0
+0
+0
+Symbol
+RoHS-Logo_40mm_SilkScreen
+Restriction{space}of{space}Hazardous{space}Substances{space}Directive{space}Logo
+Logo{space}RoHS
+0
+0
+0
+Symbol
+Symbol_Attention_CopperTop_Big
+Symbol,{space}Attention,{space}Copper{space}Top,{space}Big,
+Symbol,{space}Attention,{space}Copper{space}Top,{space}Big,
+0
+0
+0
+Symbol
+Symbol_Attention_CopperTop_Small
+Symbol,{space}Attention,{space}Copper{space}Top,{space}Small,
+Symbol,{space}Attention,{space}Copper{space}Top,{space}Small,
+0
+0
+0
+Symbol
+Symbol_Barrel_Polarity
+Barrel{space}connector{space}polarity{space}indicator
+barrel{space}polarity
+0
+0
+0
+Symbol
+Symbol_CC-Attribution_CopperTop_Big
+Symbol,{space}CC-Attribution,{space}Copper{space}Top,{space}Big,
+Symbol,{space}CC-Attribution,{space}Copper{space}Top,{space}Big,
+0
+0
+0
+Symbol
+Symbol_CC-Attribution_CopperTop_Small
+Symbol,{space}CC-Share{space}Alike,{space}Copper{space}Top,{space}Small,
+Symbol,{space}CC-Share{space}Alike,{space}Copper{space}Top,{space}Small,
+0
+0
+0
+Symbol
+Symbol_CC-Noncommercial_CopperTop_Big
+Symbol,{space}CC-Noncommercial,{space}Copper{space}Top,{space}Big,
+Symbol,{space}CC-Noncommercial,{space}Copper{space}Top,{space}Big,
+0
+0
+0
+Symbol
+Symbol_CC-Noncommercial_CopperTop_Small
+Symbol,{space}CC-Noncommercial{space}Alike,{space}Copper{space}Top,{space}Small,
+Symbol,{space}CC-Noncommercial{space}Alike,{space}Copper{space}Top,{space}Small,
+0
+0
+0
+Symbol
+Symbol_CC-PublicDomain_CopperTop_Big
+Symbol,{space}CC-PublicDomain,{space}Copper{space}Top,{space}Big,
+Symbol,{space}CC-PublicDomain,{space}Copper{space}Top,{space}Big,
+0
+0
+0
+Symbol
+Symbol_CC-PublicDomain_CopperTop_Small
+Symbol,{space}CC-Public{space}Domain,{space}Copper{space}Top,{space}Small,
+Symbol,{space}CC-Public{space}Domain,{space}Copper{space}Top,{space}Small,
+0
+0
+0
+Symbol
+Symbol_CC-PublicDomain_SilkScreenTop_Big
+Symbol,{space}CC-PublicDomain,{space}SilkScreen{space}Top,{space}Big,
+Symbol,{space}CC-PublicDomain,{space}SilkScreen{space}Top,{space}Big,
+0
+0
+0
+Symbol
+Symbol_CC-ShareAlike_CopperTop_Big
+Symbol,{space}CC-Share{space}Alike,{space}Copper{space}Top,{space}Big,
+Symbol,{space}CC-Share{space}Alike,{space}Copper{space}Top,{space}Big,
+0
+0
+0
+Symbol
+Symbol_CC-ShareAlike_CopperTop_Small
+Symbol,{space}CC-Share{space}Alike,{space}Copper{space}Top,{space}Small,
+Symbol,{space}CC-Share{space}Alike,{space}Copper{space}Top,{space}Small,
+0
+0
+0
+Symbol
+Symbol_CreativeCommonsPublicDomain_CopperTop_Small
+Symbol,{space}Creative{space}Commons{space}Public{space}Domain,{space}CopperTop,{space}Small,
+Symbol,{space}Creative{space}Commons{space}Public{space}Domain,{space}CopperTop,{space}Small,
+0
+0
+0
+Symbol
+Symbol_CreativeCommonsPublicDomain_SilkScreenTop_Small
+Symbol,{space}Creative{space}Commons{space}Public{space}Domain,{space}SilkScreenTop,{space}Small,
+Symbol,{space}Creative{space}Commons{space}Public{space}Domain,{space}SilkScreen{space}Top,{space}Small,
+0
+0
+0
+Symbol
+Symbol_CreativeCommons_CopperTop_Type1_Big
+Symbol,{space}Creative{space}Commons,{space}CopperTop,{space}Type{space}1,{space}Big,
+Symbol,{space}Creative{space}Commons,{space}CopperTop,{space}Type{space}1,{space}Big,
+0
+0
+0
+Symbol
+Symbol_CreativeCommons_CopperTop_Type2_Big
+Symbol,{space}Creative{space}Commons,{space}CopperTop,{space}Type{space}2,{space}Big,
+Symbol,{space}Creative{space}Commons,{space}CopperTop,{space}Type{space}2,{space}Big,
+0
+0
+0
+Symbol
+Symbol_CreativeCommons_CopperTop_Type2_Small
+Symbol,{space}Creative{space}Commons,{space}CopperTop,{space}Type{space}2,{space}Small,
+Symbol,{space}Creative{space}Commons,{space}CopperTop,{space}Type{space}2,{space}Small,
+0
+0
+0
+Symbol
+Symbol_CreativeCommons_SilkScreenTop_Type2_Big
+Symbol,{space}Creative{space}Commons,{space}SilkScreen{space}Top,{space}Type{space}2,{space}Big,
+Symbol,{space}Creative{space}Commons,{space}SilkScreen{space}Top,{space}Type{space}2,{space}Big,
+0
+0
+0
+Symbol
+Symbol_Danger_CopperTop_Big
+Symbol,{space}Danger,{space}CopperTop,{space}Big,
+Symbol,{space}Danger,{space}CopperTop,{space}Big,
+0
+0
+0
+Symbol
+Symbol_Danger_CopperTop_Small
+Symbol,{space}Danger,{space}Copper{space}Top,{space}Small,
+Symbol,{space}Danger,{space}Copper{space}Top,{space}Small,
+0
+0
+0
+Symbol
+Symbol_ESD-Logo-Text_CopperTop
+
+
+0
+0
+0
+Symbol
+Symbol_ESD-Logo_CopperTop
+ESD-Logo,{space}similar{space}JEDEC-14,{space}without{space}text,{space}ohne{space}Text,{space}Copper{space}Top,
+ESD-Logo,{space}similar{space}JEDEC-14,{space}without{space}text,{space}ohne{space}Text,{space}Copper{space}Top,
+0
+0
+0
+Symbol
+Symbol_GNU-GPL_CopperTop_Big
+Symbol,{space}GNU-GPL,{space}Copper{space}Top,{space}Big,
+Symbol,{space}GNU-GPL,{space}Copper{space}Top,{space}Big,
+0
+0
+0
+Symbol
+Symbol_GNU-GPL_CopperTop_Small
+Symbol,{space}GNU-GPL,{space}Copper{space}Top,{space}Small,
+Symbol,{space}GNU-GPL,{space}Copper{space}Top,{space}Small,
+0
+0
+0
+Symbol
+Symbol_GNU-Logo_CopperTop
+GNU-Logo,{space}GNU-Head,{space}GNU-Kopf,{space}Copper{space}Top,
+GNU-Logo,{space}GNU-Head,{space}GNU-Kopf,{space}Copper{space}Top,
+0
+0
+0
+Symbol
+Symbol_GNU-Logo_SilkscreenTop
+GNU-Logo,{space}GNU-Head,{space}GNU-Kopf,{space}Silkscreen,
+GNU-Logo,{space}GNU-Head,{space}GNU-Kopf,{space}Silkscreen,
+0
+0
+0
+Symbol
+Symbol_HighVoltage_Type1_CopperTop_Big
+Symbol,{space}HighVoltage,{space}Type1,{space}Copper{space}Top,{space}Big,
+Symbol,{space}HighVoltage,{space}Type1,{space}Copper{space}Top,{space}Big,
+0
+0
+0
+Symbol
+Symbol_HighVoltage_Type2_CopperTop_Big
+Symbol,{space}HighVoltage,{space}Type2,{space}Copper{space}Top,{space}Big,
+Symbol,{space}HighVoltage,{space}Type2,{space}Copper{space}Top,{space}Big,
+0
+0
+0
+Symbol
+Symbol_HighVoltage_Type2_CopperTop_VerySmall
+Symbol,{space}High{space}Voltage,{space}Type{space}2,{space}Copper{space}Top,{space}Very{space}Small,
+Symbol,{space}High{space}Voltage,{space}Type{space}2,{space}Copper{space}Top,{space}Very{space}Small,
+0
+0
+0
+Symbol
+Symbol_Highvoltage_Type1_CopperTop_Small
+Symbol,{space}Highvoltage,{space}Type{space}1,{space}Copper{space}Top,{space}Small,
+Symbol,{space}Highvoltage,{space}Type{space}1,{space}Copper{space}Top,{space}Small,
+0
+0
+0
+Symbol
+Symbol_Highvoltage_Type2_CopperTop_Small
+Symbol,{space}Highvoltage,{space}Type{space}2,{space}Copper{space}Top,{space}Small,
+Symbol,{space}Highvoltage,{space}Type{space}2,{space}Copper{space}Top,{space}Small,
+0
+0
+0
+Symbol
+WEEE-Logo_4.2x6mm_SilkScreen
+Waste{space}Electrical{space}and{space}Electronic{space}Equipment{space}Directive
+Logo{space}WEEE
+0
+0
+0
+Symbol
+WEEE-Logo_5.6x8mm_SilkScreen
+Waste{space}Electrical{space}and{space}Electronic{space}Equipment{space}Directive
+Logo{space}WEEE
+0
+0
+0
+Symbol
+WEEE-Logo_8.4x12mm_SilkScreen
+Waste{space}Electrical{space}and{space}Electronic{space}Equipment{space}Directive
+Logo{space}WEEE
+0
+0
+0
+Symbol
+WEEE-Logo_14x20mm_SilkScreen
+Waste{space}Electrical{space}and{space}Electronic{space}Equipment{space}Directive
+Logo{space}WEEE
+0
+0
+0
+Symbol
+WEEE-Logo_21x30mm_SilkScreen
+Waste{space}Electrical{space}and{space}Electronic{space}Equipment{space}Directive
+Logo{space}WEEE
+0
+0
+0
+Symbol
+WEEE-Logo_28.1x40mm_SilkScreen
+Waste{space}Electrical{space}and{space}Electronic{space}Equipment{space}Directive
+Logo{space}WEEE
+0
+0
+0
+TerminalBlock
+TerminalBlock_Altech_AK300-2_P5.00mm
+Altech{space}AK300{space}terminal{space}block,{space}pitch{space}5.0mm,{space}45{space}degree{space}angled,{space}see{space}http://www.mouser.com/ds/2/16/PCBMETRC-24178.pdf
+Altech{space}AK300{space}terminal{space}block{space}pitch{space}5.0mm
+0
+2
+2
+TerminalBlock
+TerminalBlock_Altech_AK300-3_P5.00mm
+Altech{space}AK300{space}terminal{space}block,{space}pitch{space}5.0mm,{space}45{space}degree{space}angled,{space}see{space}http://www.mouser.com/ds/2/16/PCBMETRC-24178.pdf
+Altech{space}AK300{space}terminal{space}block{space}pitch{space}5.0mm
+0
+3
+3
+TerminalBlock
+TerminalBlock_Altech_AK300-4_P5.00mm
+Altech{space}AK300{space}terminal{space}block,{space}pitch{space}5.0mm,{space}45{space}degree{space}angled,{space}see{space}http://www.mouser.com/ds/2/16/PCBMETRC-24178.pdf
+Altech{space}AK300{space}terminal{space}block{space}pitch{space}5.0mm
+0
+4
+4
+TerminalBlock
+TerminalBlock_Wuerth_691311400102_P7.62mm
+https://katalog.we-online.de/em/datasheet/6913114001xx.pdf
+Wuerth{space}WR-TBL{space}Series{space}3114{space}terminal{space}block{space}pitch{space}7.62mm
+0
+2
+2
+TerminalBlock
+TerminalBlock_bornier-2_P5.08mm
+simple{space}2-pin{space}terminal{space}block,{space}pitch{space}5.08mm,{space}revamped{space}version{space}of{space}bornier2
+terminal{space}block{space}bornier2
+0
+2
+2
+TerminalBlock
+TerminalBlock_bornier-3_P5.08mm
+simple{space}3-pin{space}terminal{space}block,{space}pitch{space}5.08mm,{space}revamped{space}version{space}of{space}bornier3
+terminal{space}block{space}bornier3
+0
+3
+3
+TerminalBlock
+TerminalBlock_bornier-4_P5.08mm
+simple{space}4-pin{space}terminal{space}block,{space}pitch{space}5.08mm,{space}revamped{space}version{space}of{space}bornier4
+terminal{space}block{space}bornier4
+0
+4
+4
+TerminalBlock
+TerminalBlock_bornier-5_P5.08mm
+simple{space}5-pin{space}terminal{space}block,{space}pitch{space}5.08mm,{space}revamped{space}version{space}of{space}bornier5
+terminal{space}block{space}bornier5
+0
+5
+5
+TerminalBlock
+TerminalBlock_bornier-6_P5.08mm
+simple{space}6pin{space}terminal{space}block,{space}pitch{space}5.08mm,{space}revamped{space}version{space}of{space}bornier6
+terminal{space}block{space}bornier6
+0
+6
+6
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x02_P3.50mm_Horizontal
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}19963,{space}2{space}pins,{space}pitch{space}3.5mm,{space}size{space}7.7x7mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/19963.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}19963{space}pitch{space}3.5mm{space}size{space}7.7x7mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+2
+2
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x02_P3.50mm_Vertical
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10693,{space}vertical{space}(cable{space}from{space}top),{space}2{space}pins,{space}pitch{space}3.5mm,{space}size{space}8x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/10693.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10693{space}vertical{space}pitch{space}3.5mm{space}size{space}8x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+2
+2
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x03_P3.50mm_Horizontal
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}20193,{space}3{space}pins,{space}pitch{space}3.5mm,{space}size{space}11.2x7mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/20193.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}20193{space}pitch{space}3.5mm{space}size{space}11.2x7mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+3
+3
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x03_P3.50mm_Vertical
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10694,{space}vertical{space}(cable{space}from{space}top),{space}3{space}pins,{space}pitch{space}3.5mm,{space}size{space}11.5x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/10694.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10694{space}vertical{space}pitch{space}3.5mm{space}size{space}11.5x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+3
+3
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x04_P3.50mm_Horizontal
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}20001,{space}4{space}pins,{space}pitch{space}3.5mm,{space}size{space}14.7x7mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/20001.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}20001{space}pitch{space}3.5mm{space}size{space}14.7x7mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+4
+4
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x04_P3.50mm_Vertical
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10695,{space}vertical{space}(cable{space}from{space}top),{space}4{space}pins,{space}pitch{space}3.5mm,{space}size{space}15x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/10695.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10695{space}vertical{space}pitch{space}3.5mm{space}size{space}15x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+4
+4
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x05_P3.50mm_Horizontal
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}20223,{space}5{space}pins,{space}pitch{space}3.5mm,{space}size{space}18.2x7mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/20223.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}20223{space}pitch{space}3.5mm{space}size{space}18.2x7mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+5
+5
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x05_P3.50mm_Vertical
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10696,{space}vertical{space}(cable{space}from{space}top),{space}5{space}pins,{space}pitch{space}3.5mm,{space}size{space}18.5x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/10696.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10696{space}vertical{space}pitch{space}3.5mm{space}size{space}18.5x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+5
+5
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x06_P3.50mm_Horizontal
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}19964,{space}6{space}pins,{space}pitch{space}3.5mm,{space}size{space}21.7x7mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/19964.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}19964{space}pitch{space}3.5mm{space}size{space}21.7x7mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+6
+6
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x06_P3.50mm_Vertical
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10697,{space}vertical{space}(cable{space}from{space}top),{space}6{space}pins,{space}pitch{space}3.5mm,{space}size{space}22x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/10697.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10697{space}vertical{space}pitch{space}3.5mm{space}size{space}22x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+6
+6
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x07_P3.50mm_Horizontal
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10684,{space}7{space}pins,{space}pitch{space}3.5mm,{space}size{space}25.2x7mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/10684.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10684{space}pitch{space}3.5mm{space}size{space}25.2x7mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+7
+7
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x07_P3.50mm_Vertical
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10698,{space}vertical{space}(cable{space}from{space}top),{space}7{space}pins,{space}pitch{space}3.5mm,{space}size{space}25.5x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/10698.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10698{space}vertical{space}pitch{space}3.5mm{space}size{space}25.5x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+7
+7
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x08_P3.50mm_Horizontal
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}19965,{space}8{space}pins,{space}pitch{space}3.5mm,{space}size{space}28.7x7mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/19965.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}19965{space}pitch{space}3.5mm{space}size{space}28.7x7mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+8
+8
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x08_P3.50mm_Vertical
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10699,{space}vertical{space}(cable{space}from{space}top),{space}8{space}pins,{space}pitch{space}3.5mm,{space}size{space}29x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/10699.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10699{space}vertical{space}pitch{space}3.5mm{space}size{space}29x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+8
+8
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x09_P3.50mm_Horizontal
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10686,{space}9{space}pins,{space}pitch{space}3.5mm,{space}size{space}32.2x7mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/10686.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10686{space}pitch{space}3.5mm{space}size{space}32.2x7mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+9
+9
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x09_P3.50mm_Vertical
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10700,{space}vertical{space}(cable{space}from{space}top),{space}9{space}pins,{space}pitch{space}3.5mm,{space}size{space}32.5x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/10700.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10700{space}vertical{space}pitch{space}3.5mm{space}size{space}32.5x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+9
+9
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x10_P3.50mm_Horizontal
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10687,{space}10{space}pins,{space}pitch{space}3.5mm,{space}size{space}35.7x7mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/10687.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10687{space}pitch{space}3.5mm{space}size{space}35.7x7mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+10
+10
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x10_P3.50mm_Vertical
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10701,{space}vertical{space}(cable{space}from{space}top),{space}10{space}pins,{space}pitch{space}3.5mm,{space}size{space}36x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/10701.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10701{space}vertical{space}pitch{space}3.5mm{space}size{space}36x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+10
+10
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x11_P3.50mm_Horizontal
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10688,{space}11{space}pins,{space}pitch{space}3.5mm,{space}size{space}39.2x7mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/10688.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10688{space}pitch{space}3.5mm{space}size{space}39.2x7mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+11
+11
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x11_P3.50mm_Vertical
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10702,{space}vertical{space}(cable{space}from{space}top),{space}11{space}pins,{space}pitch{space}3.5mm,{space}size{space}39.5x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/10702.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10702{space}vertical{space}pitch{space}3.5mm{space}size{space}39.5x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+11
+11
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x12_P3.50mm_Horizontal
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10689,{space}12{space}pins,{space}pitch{space}3.5mm,{space}size{space}42.7x7mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/10689.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10689{space}pitch{space}3.5mm{space}size{space}42.7x7mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+12
+12
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x12_P3.50mm_Vertical
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10703,{space}vertical{space}(cable{space}from{space}top),{space}12{space}pins,{space}pitch{space}3.5mm,{space}size{space}43x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/10703.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10703{space}vertical{space}pitch{space}3.5mm{space}size{space}43x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+12
+12
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x13_P3.50mm_Horizontal
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10690,{space}13{space}pins,{space}pitch{space}3.5mm,{space}size{space}46.2x7mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/10690.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10690{space}pitch{space}3.5mm{space}size{space}46.2x7mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+13
+13
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x13_P3.50mm_Vertical
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10704,{space}vertical{space}(cable{space}from{space}top),{space}13{space}pins,{space}pitch{space}3.5mm,{space}size{space}46.5x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/10704.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10704{space}vertical{space}pitch{space}3.5mm{space}size{space}46.5x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+13
+13
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x14_P3.50mm_Horizontal
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10691,{space}14{space}pins,{space}pitch{space}3.5mm,{space}size{space}49.7x7mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/10691.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10691{space}pitch{space}3.5mm{space}size{space}49.7x7mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+14
+14
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x14_P3.50mm_Vertical
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10705,{space}vertical{space}(cable{space}from{space}top),{space}14{space}pins,{space}pitch{space}3.5mm,{space}size{space}50x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/10705.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10705{space}vertical{space}pitch{space}3.5mm{space}size{space}50x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+14
+14
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x15_P3.50mm_Horizontal
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10692,{space}15{space}pins,{space}pitch{space}3.5mm,{space}size{space}53.2x7mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/10692.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10692{space}pitch{space}3.5mm{space}size{space}53.2x7mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+15
+15
+TerminalBlock_4Ucon
+TerminalBlock_4Ucon_1x15_P3.50mm_Vertical
+Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10706,{space}vertical{space}(cable{space}from{space}top),{space}15{space}pins,{space}pitch{space}3.5mm,{space}size{space}53.5x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.4uconnector.com/online/object/4udrawing/10706.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_4Ucon
+THT{space}Terminal{space}Block{space}4Ucon{space}ItemNo.{space}10706{space}vertical{space}pitch{space}3.5mm{space}size{space}53.5x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+15
+15
+TerminalBlock_Altech
+Altech_AK300_1x02_P5.00mm_45-Degree
+Altech{space}AK300{space}serie{space}terminal{space}block{space}(Script{space}generated{space}with{space}StandardBox.py){space}(http://www.altechcorp.com/PDFS/PCBMETRC.PDF)
+Altech{space}AK300{space}serie{space}connector
+0
+2
+2
+TerminalBlock_Altech
+Altech_AK300_1x03_P5.00mm_45-Degree
+Altech{space}AK300{space}serie{space}terminal{space}block{space}(Script{space}generated{space}with{space}StandardBox.py){space}(http://www.altechcorp.com/PDFS/PCBMETRC.PDF)
+Altech{space}AK300{space}serie{space}connector
+0
+3
+3
+TerminalBlock_Altech
+Altech_AK300_1x04_P5.00mm_45-Degree
+Altech{space}AK300{space}serie{space}terminal{space}block{space}(Script{space}generated{space}with{space}StandardBox.py){space}(http://www.altechcorp.com/PDFS/PCBMETRC.PDF)
+Altech{space}AK300{space}serie{space}connector
+0
+4
+4
+TerminalBlock_Altech
+Altech_AK300_1x05_P5.00mm_45-Degree
+Altech{space}AK300{space}serie{space}terminal{space}block{space}(Script{space}generated{space}with{space}StandardBox.py){space}(http://www.altechcorp.com/PDFS/PCBMETRC.PDF)
+Altech{space}AK300{space}serie{space}connector
+0
+5
+5
+TerminalBlock_Altech
+Altech_AK300_1x06_P5.00mm_45-Degree
+Altech{space}AK300{space}serie{space}terminal{space}block{space}(Script{space}generated{space}with{space}StandardBox.py){space}(http://www.altechcorp.com/PDFS/PCBMETRC.PDF)
+Altech{space}AK300{space}serie{space}connector
+0
+6
+6
+TerminalBlock_Altech
+Altech_AK300_1x07_P5.00mm_45-Degree
+Altech{space}AK300{space}serie{space}terminal{space}block{space}(Script{space}generated{space}with{space}StandardBox.py){space}(http://www.altechcorp.com/PDFS/PCBMETRC.PDF)
+Altech{space}AK300{space}serie{space}connector
+0
+7
+7
+TerminalBlock_Altech
+Altech_AK300_1x08_P5.00mm_45-Degree
+Altech{space}AK300{space}serie{space}terminal{space}block{space}(Script{space}generated{space}with{space}StandardBox.py){space}(http://www.altechcorp.com/PDFS/PCBMETRC.PDF)
+Altech{space}AK300{space}serie{space}connector
+0
+8
+8
+TerminalBlock_Altech
+Altech_AK300_1x09_P5.00mm_45-Degree
+Altech{space}AK300{space}serie{space}terminal{space}block{space}(Script{space}generated{space}with{space}StandardBox.py){space}(http://www.altechcorp.com/PDFS/PCBMETRC.PDF)
+Altech{space}AK300{space}serie{space}connector
+0
+9
+9
+TerminalBlock_Altech
+Altech_AK300_1x10_P5.00mm_45-Degree
+Altech{space}AK300{space}serie{space}terminal{space}block{space}(Script{space}generated{space}with{space}StandardBox.py){space}(http://www.altechcorp.com/PDFS/PCBMETRC.PDF)
+Altech{space}AK300{space}serie{space}connector
+0
+10
+10
+TerminalBlock_Altech
+Altech_AK300_1x11_P5.00mm_45-Degree
+Altech{space}AK300{space}serie{space}terminal{space}block{space}(Script{space}generated{space}with{space}StandardBox.py){space}(http://www.altechcorp.com/PDFS/PCBMETRC.PDF)
+Altech{space}AK300{space}serie{space}connector
+0
+11
+11
+TerminalBlock_Altech
+Altech_AK300_1x12_P5.00mm_45-Degree
+Altech{space}AK300{space}serie{space}terminal{space}block{space}(Script{space}generated{space}with{space}StandardBox.py){space}(http://www.altechcorp.com/PDFS/PCBMETRC.PDF)
+Altech{space}AK300{space}serie{space}connector
+0
+12
+12
+TerminalBlock_Altech
+Altech_AK300_1x13_P5.00mm_45-Degree
+Altech{space}AK300{space}serie{space}terminal{space}block{space}(Script{space}generated{space}with{space}StandardBox.py){space}(http://www.altechcorp.com/PDFS/PCBMETRC.PDF)
+Altech{space}AK300{space}serie{space}connector
+0
+13
+13
+TerminalBlock_Altech
+Altech_AK300_1x14_P5.00mm_45-Degree
+Altech{space}AK300{space}serie{space}terminal{space}block{space}(Script{space}generated{space}with{space}StandardBox.py){space}(http://www.altechcorp.com/PDFS/PCBMETRC.PDF)
+Altech{space}AK300{space}serie{space}connector
+0
+14
+14
+TerminalBlock_Altech
+Altech_AK300_1x15_P5.00mm_45-Degree
+Altech{space}AK300{space}serie{space}terminal{space}block{space}(Script{space}generated{space}with{space}StandardBox.py){space}(http://www.altechcorp.com/PDFS/PCBMETRC.PDF)
+Altech{space}AK300{space}serie{space}connector
+0
+15
+15
+TerminalBlock_Altech
+Altech_AK300_1x16_P5.00mm_45-Degree
+Altech{space}AK300{space}serie{space}terminal{space}block{space}(Script{space}generated{space}with{space}StandardBox.py){space}(http://www.altechcorp.com/PDFS/PCBMETRC.PDF)
+Altech{space}AK300{space}serie{space}connector
+0
+16
+16
+TerminalBlock_Altech
+Altech_AK300_1x17_P5.00mm_45-Degree
+Altech{space}AK300{space}serie{space}terminal{space}block{space}(Script{space}generated{space}with{space}StandardBox.py){space}(http://www.altechcorp.com/PDFS/PCBMETRC.PDF)
+Altech{space}AK300{space}serie{space}connector
+0
+17
+17
+TerminalBlock_Altech
+Altech_AK300_1x18_P5.00mm_45-Degree
+Altech{space}AK300{space}serie{space}terminal{space}block{space}(Script{space}generated{space}with{space}StandardBox.py){space}(http://www.altechcorp.com/PDFS/PCBMETRC.PDF)
+Altech{space}AK300{space}serie{space}connector
+0
+18
+18
+TerminalBlock_Altech
+Altech_AK300_1x19_P5.00mm_45-Degree
+Altech{space}AK300{space}serie{space}terminal{space}block{space}(Script{space}generated{space}with{space}StandardBox.py){space}(http://www.altechcorp.com/PDFS/PCBMETRC.PDF)
+Altech{space}AK300{space}serie{space}connector
+0
+19
+19
+TerminalBlock_Altech
+Altech_AK300_1x20_P5.00mm_45-Degree
+Altech{space}AK300{space}serie{space}terminal{space}block{space}(Script{space}generated{space}with{space}StandardBox.py){space}(http://www.altechcorp.com/PDFS/PCBMETRC.PDF)
+Altech{space}AK300{space}serie{space}connector
+0
+20
+20
+TerminalBlock_Altech
+Altech_AK300_1x21_P5.00mm_45-Degree
+Altech{space}AK300{space}serie{space}terminal{space}block{space}(Script{space}generated{space}with{space}StandardBox.py){space}(http://www.altechcorp.com/PDFS/PCBMETRC.PDF)
+Altech{space}AK300{space}serie{space}connector
+0
+21
+21
+TerminalBlock_Altech
+Altech_AK300_1x22_P5.00mm_45-Degree
+Altech{space}AK300{space}serie{space}terminal{space}block{space}(Script{space}generated{space}with{space}StandardBox.py){space}(http://www.altechcorp.com/PDFS/PCBMETRC.PDF)
+Altech{space}AK300{space}serie{space}connector
+0
+22
+22
+TerminalBlock_Altech
+Altech_AK300_1x23_P5.00mm_45-Degree
+Altech{space}AK300{space}serie{space}terminal{space}block{space}(Script{space}generated{space}with{space}StandardBox.py){space}(http://www.altechcorp.com/PDFS/PCBMETRC.PDF)
+Altech{space}AK300{space}serie{space}connector
+0
+23
+23
+TerminalBlock_Altech
+Altech_AK300_1x24_P5.00mm_45-Degree
+Altech{space}AK300{space}serie{space}terminal{space}block{space}(Script{space}generated{space}with{space}StandardBox.py){space}(http://www.altechcorp.com/PDFS/PCBMETRC.PDF)
+Altech{space}AK300{space}serie{space}connector
+0
+24
+24
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-02_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+2
+2
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-03_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+3
+3
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-04_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+4
+4
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-05_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+5
+5
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-06_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+6
+6
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-07_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+7
+7
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-08_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+8
+8
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-09_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+9
+9
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-10_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+10
+10
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-11_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+11
+11
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-12_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+12
+12
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-13_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+13
+13
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-14_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+14
+14
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-15_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+15
+15
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-16_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+16
+16
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-17_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+17
+17
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-18_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+18
+18
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-19_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+19
+19
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-20_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+20
+20
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-21_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+21
+21
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-22_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+22
+22
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-23_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+23
+23
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-24_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+24
+24
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-25_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+25
+25
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-26_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+26
+26
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-27_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+27
+27
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-28_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+28
+28
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-29_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+29
+29
+TerminalBlock_Dinkle
+TerminalBlock_Dinkle_DT-55-B01X-30_P10.00mm
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm{space}https://www.dinkle.com/en/terminal/DT-55-B01W-XX
+Dinkle{space}DT-55-B01X{space}Terminal{space}Block{space}{space}pitch{space}10.00mm
+0
+30
+30
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_360271_1x01_Horizontal_ScrewM3.0_Boxed
+single{space}screw{space}terminal{space}block{space}Metz{space}Connect{space}360271,{space}block{space}size{space}9x7.3mm^2,{space}drill{space}diamater{space}1.5mm,{space}1{space}pads,{space}pad{space}diameter{space}3mm,{space}see{space}http://www.metz-connect.com/de/system/files/METZ_CONNECT_U_Contact_Katalog_Anschlusssysteme_fuer_Leiterplatten_DE_31_07_2017_OFF_024803.pdf?language=en{space}page{space}134,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}single{space}screw{space}terminal{space}block{space}Metz{space}Connect{space}360271{space}size{space}9x7.3mm^2{space}drill{space}1.5mm{space}pad{space}3mm
+0
+1
+1
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_360272_1x01_Horizontal_ScrewM2.6
+single{space}screw{space}terminal{space}block{space}Metz{space}Connect{space}360272,{space}block{space}size{space}4x4mm^2,{space}drill{space}diamater{space}1.5mm,{space}2{space}pads,{space}pad{space}diameter{space}3mm,{space}see{space}http://www.metz-connect.com/de/system/files/METZ_CONNECT_U_Contact_Katalog_Anschlusssysteme_fuer_Leiterplatten_DE_31_07_2017_OFF_024803.pdf?language=en{space}page{space}131,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}single{space}screw{space}terminal{space}block{space}Metz{space}Connect{space}360272{space}size{space}4x4mm^2{space}drill{space}1.5mm{space}pad{space}3mm
+0
+2
+1
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_360273_1x01_Horizontal_ScrewM2.6_WireProtection
+single{space}screw{space}terminal{space}block{space}Metz{space}Connect{space}360273,{space}block{space}size{space}5x4mm^2,{space}drill{space}diamater{space}1.5mm,{space}2{space}pads,{space}pad{space}diameter{space}3mm,{space}see{space}http://www.metz-connect.com/de/system/files/METZ_CONNECT_U_Contact_Katalog_Anschlusssysteme_fuer_Leiterplatten_DE_31_07_2017_OFF_024803.pdf?language=en{space}page{space}131,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}single{space}screw{space}terminal{space}block{space}Metz{space}Connect{space}360273{space}size{space}5x4mm^2{space}drill{space}1.5mm{space}pad{space}3mm
+0
+2
+1
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_360291_1x01_Horizontal_ScrewM3.0_Boxed
+single{space}screw{space}terminal{space}block{space}Metz{space}Connect{space}360291,{space}block{space}size{space}9x7.3mm^2,{space}drill{space}diamater{space}1.5mm,{space}2{space}pads,{space}pad{space}diameter{space}3mm,{space}see{space}http://www.metz-connect.com/de/system/files/METZ_CONNECT_U_Contact_Katalog_Anschlusssysteme_fuer_Leiterplatten_DE_31_07_2017_OFF_024803.pdf?language=en{space}page{space}133,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}single{space}screw{space}terminal{space}block{space}Metz{space}Connect{space}360291{space}size{space}9x7.3mm^2{space}drill{space}1.5mm{space}pad{space}3mm
+0
+2
+1
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_360322_1x01_Horizontal_ScrewM3.0_WireProtection
+single{space}screw{space}terminal{space}block{space}Metz{space}Connect{space}360322,{space}block{space}size{space}6x4mm^2,{space}drill{space}diamater{space}1.5mm,{space}2{space}pads,{space}pad{space}diameter{space}3mm,{space}see{space}http://www.metz-connect.com/de/system/files/METZ_CONNECT_U_Contact_Katalog_Anschlusssysteme_fuer_Leiterplatten_DE_31_07_2017_OFF_024803.pdf?language=en{space}page{space}133,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}single{space}screw{space}terminal{space}block{space}Metz{space}Connect{space}360322{space}size{space}6x4mm^2{space}drill{space}1.5mm{space}pad{space}3mm
+0
+2
+1
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_360381_1x01_Horizontal_ScrewM3.0
+single{space}screw{space}terminal{space}block{space}Metz{space}Connect{space}360381,{space}block{space}size{space}5x5mm^2,{space}drill{space}diamater{space}1.5mm,{space}2{space}pads,{space}pad{space}diameter{space}3mm,{space}see{space}http://www.metz-connect.com/de/system/files/METZ_CONNECT_U_Contact_Katalog_Anschlusssysteme_fuer_Leiterplatten_DE_31_07_2017_OFF_024803.pdf?language=en{space}page{space}133,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}single{space}screw{space}terminal{space}block{space}Metz{space}Connect{space}360381{space}size{space}5x5mm^2{space}drill{space}1.5mm{space}pad{space}3mm
+0
+2
+1
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_360410_1x01_Horizontal_ScrewM3.0
+single{space}screw{space}terminal{space}block{space}Metz{space}Connect{space}360410,{space}block{space}size{space}5x5mm^2,{space}drill{space}diamater{space}1.5mm,{space}2{space}pads,{space}pad{space}diameter{space}3mm,{space}see{space}http://www.metz-connect.com/de/system/files/METZ_CONNECT_U_Contact_Katalog_Anschlusssysteme_fuer_Leiterplatten_DE_31_07_2017_OFF_024803.pdf?language=en{space}page{space}132,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}single{space}screw{space}terminal{space}block{space}Metz{space}Connect{space}360410{space}size{space}5x5mm^2{space}drill{space}1.5mm{space}pad{space}3mm
+0
+2
+1
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_360425_1x01_Horizontal_ScrewM4.0_Boxed
+single{space}screw{space}terminal{space}block{space}Metz{space}Connect{space}360425,{space}block{space}size{space}9x9mm^2,{space}drill{space}diamater{space}1.6mm,{space}4{space}pads,{space}pad{space}diameter{space}3.2mm,{space}see{space}http://www.metz-connect.com/de/system/files/METZ_CONNECT_U_Contact_Katalog_Anschlusssysteme_fuer_Leiterplatten_DE_31_07_2017_OFF_024803.pdf?language=en{space}page{space}134,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}single{space}screw{space}terminal{space}block{space}Metz{space}Connect{space}360425{space}size{space}9x9mm^2{space}drill{space}1.6mm{space}pad{space}3.2mm
+0
+4
+1
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type011_RT05502HBWC_1x02_P5.00mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type011_RT05502HBWC,{space}2{space}pins,{space}pitch{space}5mm,{space}size{space}10x10.5mm^2,{space}drill{space}diamater{space}1.4mm,{space}pad{space}diameter{space}2.8mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310111_RT055xxHBLC_OFF-022717S.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type011_RT05502HBWC{space}pitch{space}5mm{space}size{space}10x10.5mm^2{space}drill{space}1.4mm{space}pad{space}2.8mm
+0
+2
+2
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type011_RT05503HBWC_1x03_P5.00mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type011_RT05503HBWC,{space}3{space}pins,{space}pitch{space}5mm,{space}size{space}15x10.5mm^2,{space}drill{space}diamater{space}1.4mm,{space}pad{space}diameter{space}2.8mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310111_RT055xxHBLC_OFF-022717S.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type011_RT05503HBWC{space}pitch{space}5mm{space}size{space}15x10.5mm^2{space}drill{space}1.4mm{space}pad{space}2.8mm
+0
+3
+3
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type011_RT05504HBWC_1x04_P5.00mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type011_RT05504HBWC,{space}4{space}pins,{space}pitch{space}5mm,{space}size{space}20x10.5mm^2,{space}drill{space}diamater{space}1.4mm,{space}pad{space}diameter{space}2.8mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310111_RT055xxHBLC_OFF-022717S.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type011_RT05504HBWC{space}pitch{space}5mm{space}size{space}20x10.5mm^2{space}drill{space}1.4mm{space}pad{space}2.8mm
+0
+4
+4
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type011_RT05505HBWC_1x05_P5.00mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type011_RT05505HBWC,{space}5{space}pins,{space}pitch{space}5mm,{space}size{space}25x10.5mm^2,{space}drill{space}diamater{space}1.4mm,{space}pad{space}diameter{space}2.8mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310111_RT055xxHBLC_OFF-022717S.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type011_RT05505HBWC{space}pitch{space}5mm{space}size{space}25x10.5mm^2{space}drill{space}1.4mm{space}pad{space}2.8mm
+0
+5
+5
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type011_RT05506HBWC_1x06_P5.00mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type011_RT05506HBWC,{space}6{space}pins,{space}pitch{space}5mm,{space}size{space}30x10.5mm^2,{space}drill{space}diamater{space}1.4mm,{space}pad{space}diameter{space}2.8mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310111_RT055xxHBLC_OFF-022717S.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type011_RT05506HBWC{space}pitch{space}5mm{space}size{space}30x10.5mm^2{space}drill{space}1.4mm{space}pad{space}2.8mm
+0
+6
+6
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type055_RT01502HDWU_1x02_P5.00mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type055_RT01502HDWU,{space}2{space}pins,{space}pitch{space}5mm,{space}size{space}10x8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310551_RT015xxHDWU_OFF-022723S.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type055_RT01502HDWU{space}pitch{space}5mm{space}size{space}10x8mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+2
+2
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type055_RT01503HDWU_1x03_P5.00mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type055_RT01503HDWU,{space}3{space}pins,{space}pitch{space}5mm,{space}size{space}15x8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310551_RT015xxHDWU_OFF-022723S.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type055_RT01503HDWU{space}pitch{space}5mm{space}size{space}15x8mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+3
+3
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type055_RT01504HDWU_1x04_P5.00mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type055_RT01504HDWU,{space}4{space}pins,{space}pitch{space}5mm,{space}size{space}20x8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310551_RT015xxHDWU_OFF-022723S.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type055_RT01504HDWU{space}pitch{space}5mm{space}size{space}20x8mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+4
+4
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type059_RT06302HBWC_1x02_P3.50mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type059_RT06302HBWC,{space}2{space}pins,{space}pitch{space}3.5mm,{space}size{space}7x6.5mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.3mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310591_RT063xxHBWC_OFF-022684T.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type059_RT06302HBWC{space}pitch{space}3.5mm{space}size{space}7x6.5mm^2{space}drill{space}1.2mm{space}pad{space}2.3mm
+0
+2
+2
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type059_RT06303HBWC_1x03_P3.50mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type059_RT06303HBWC,{space}3{space}pins,{space}pitch{space}3.5mm,{space}size{space}10.5x6.5mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.3mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310591_RT063xxHBWC_OFF-022684T.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type059_RT06303HBWC{space}pitch{space}3.5mm{space}size{space}10.5x6.5mm^2{space}drill{space}1.2mm{space}pad{space}2.3mm
+0
+3
+3
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type059_RT06304HBWC_1x04_P3.50mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type059_RT06304HBWC,{space}4{space}pins,{space}pitch{space}3.5mm,{space}size{space}14x6.5mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.3mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310591_RT063xxHBWC_OFF-022684T.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type059_RT06304HBWC{space}pitch{space}3.5mm{space}size{space}14x6.5mm^2{space}drill{space}1.2mm{space}pad{space}2.3mm
+0
+4
+4
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type059_RT06305HBWC_1x05_P3.50mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type059_RT06305HBWC,{space}5{space}pins,{space}pitch{space}3.5mm,{space}size{space}17.5x6.5mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.3mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310591_RT063xxHBWC_OFF-022684T.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type059_RT06305HBWC{space}pitch{space}3.5mm{space}size{space}17.5x6.5mm^2{space}drill{space}1.2mm{space}pad{space}2.3mm
+0
+5
+5
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type059_RT06306HBWC_1x06_P3.50mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type059_RT06306HBWC,{space}6{space}pins,{space}pitch{space}3.5mm,{space}size{space}21x6.5mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.3mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310591_RT063xxHBWC_OFF-022684T.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type059_RT06306HBWC{space}pitch{space}3.5mm{space}size{space}21x6.5mm^2{space}drill{space}1.2mm{space}pad{space}2.3mm
+0
+6
+6
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type067_RT01902HDWC_1x02_P10.00mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type067_RT01902HDWC,{space}2{space}pins,{space}pitch{space}10mm,{space}size{space}15.8x8.2mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310671_RT019xxHDWC_OFF-023605N.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type067_RT01902HDWC{space}pitch{space}10mm{space}size{space}15.8x8.2mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+2
+2
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type067_RT01903HDWC_1x03_P10.00mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type067_RT01903HDWC,{space}3{space}pins,{space}pitch{space}10mm,{space}size{space}25.8x8.2mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310671_RT019xxHDWC_OFF-023605N.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type067_RT01903HDWC{space}pitch{space}10mm{space}size{space}25.8x8.2mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+3
+3
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type067_RT01904HDWC_1x04_P10.00mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type067_RT01904HDWC,{space}4{space}pins,{space}pitch{space}10mm,{space}size{space}35.8x8.2mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310671_RT019xxHDWC_OFF-023605N.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type067_RT01904HDWC{space}pitch{space}10mm{space}size{space}35.8x8.2mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+4
+4
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type067_RT01905HDWC_1x05_P10.00mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type067_RT01905HDWC,{space}5{space}pins,{space}pitch{space}10mm,{space}size{space}45.8x8.2mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310671_RT019xxHDWC_OFF-023605N.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type067_RT01905HDWC{space}pitch{space}10mm{space}size{space}45.8x8.2mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+5
+5
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type073_RT02602HBLU_1x02_P5.08mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type073_RT02602HBLU,{space}2{space}pins,{space}pitch{space}5.08mm,{space}size{space}10.2x11mm^2,{space}drill{space}diamater{space}1.4mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310731_RT026xxHBLU_OFF-022792U.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type073_RT02602HBLU{space}pitch{space}5.08mm{space}size{space}10.2x11mm^2{space}drill{space}1.4mm{space}pad{space}2.6mm
+0
+2
+2
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type073_RT02603HBLU_1x03_P5.08mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type073_RT02603HBLU,{space}3{space}pins,{space}pitch{space}5.08mm,{space}size{space}15.2x11mm^2,{space}drill{space}diamater{space}1.4mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310731_RT026xxHBLU_OFF-022792U.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type073_RT02603HBLU{space}pitch{space}5.08mm{space}size{space}15.2x11mm^2{space}drill{space}1.4mm{space}pad{space}2.6mm
+0
+3
+3
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type086_RT03402HBLC_1x02_P3.81mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type086_RT03402HBLC,{space}2{space}pins,{space}pitch{space}3.81mm,{space}size{space}7.51x7.3mm^2,{space}drill{space}diamater{space}0.7mm,{space}pad{space}diameter{space}1.4mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310861_RT034xxHBLC_OFF-026114K.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type086_RT03402HBLC{space}pitch{space}3.81mm{space}size{space}7.51x7.3mm^2{space}drill{space}0.7mm{space}pad{space}1.4mm
+0
+2
+2
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type086_RT03403HBLC_1x03_P3.81mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type086_RT03403HBLC,{space}3{space}pins,{space}pitch{space}3.81mm,{space}size{space}11.3x7.3mm^2,{space}drill{space}diamater{space}0.7mm,{space}pad{space}diameter{space}1.4mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310861_RT034xxHBLC_OFF-026114K.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type086_RT03403HBLC{space}pitch{space}3.81mm{space}size{space}11.3x7.3mm^2{space}drill{space}0.7mm{space}pad{space}1.4mm
+0
+3
+3
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type086_RT03404HBLC_1x04_P3.81mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type086_RT03404HBLC,{space}4{space}pins,{space}pitch{space}3.81mm,{space}size{space}15.1x7.3mm^2,{space}drill{space}diamater{space}0.7mm,{space}pad{space}diameter{space}1.4mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310861_RT034xxHBLC_OFF-026114K.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type086_RT03404HBLC{space}pitch{space}3.81mm{space}size{space}15.1x7.3mm^2{space}drill{space}0.7mm{space}pad{space}1.4mm
+0
+4
+4
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type086_RT03405HBLC_1x05_P3.81mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type086_RT03405HBLC,{space}5{space}pins,{space}pitch{space}3.81mm,{space}size{space}18.9x7.3mm^2,{space}drill{space}diamater{space}0.7mm,{space}pad{space}diameter{space}1.4mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310861_RT034xxHBLC_OFF-026114K.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type086_RT03405HBLC{space}pitch{space}3.81mm{space}size{space}18.9x7.3mm^2{space}drill{space}0.7mm{space}pad{space}1.4mm
+0
+5
+5
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type086_RT03406HBLC_1x06_P3.81mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type086_RT03406HBLC,{space}6{space}pins,{space}pitch{space}3.81mm,{space}size{space}22.8x7.3mm^2,{space}drill{space}diamater{space}0.7mm,{space}pad{space}diameter{space}1.4mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_310861_RT034xxHBLC_OFF-026114K.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type086_RT03406HBLC{space}pitch{space}3.81mm{space}size{space}22.8x7.3mm^2{space}drill{space}0.7mm{space}pad{space}1.4mm
+0
+6
+6
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type094_RT03502HBLU_1x02_P5.00mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type094_RT03502HBLU,{space}2{space}pins,{space}pitch{space}5mm,{space}size{space}10x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.metz-connect.com/ru/system/files/productfiles/Data_sheet_310941_RT035xxHBLU_OFF-022742T.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type094_RT03502HBLU{space}pitch{space}5mm{space}size{space}10x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+2
+2
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type094_RT03503HBLU_1x03_P5.00mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type094_RT03503HBLU,{space}3{space}pins,{space}pitch{space}5mm,{space}size{space}15x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.metz-connect.com/ru/system/files/productfiles/Data_sheet_310941_RT035xxHBLU_OFF-022742T.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type094_RT03503HBLU{space}pitch{space}5mm{space}size{space}15x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+3
+3
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type094_RT03504HBLU_1x04_P5.00mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type094_RT03504HBLU,{space}4{space}pins,{space}pitch{space}5mm,{space}size{space}20x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.metz-connect.com/ru/system/files/productfiles/Data_sheet_310941_RT035xxHBLU_OFF-022742T.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type094_RT03504HBLU{space}pitch{space}5mm{space}size{space}20x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+4
+4
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type094_RT03505HBLU_1x05_P5.00mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type094_RT03505HBLU,{space}5{space}pins,{space}pitch{space}5mm,{space}size{space}25x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.metz-connect.com/ru/system/files/productfiles/Data_sheet_310941_RT035xxHBLU_OFF-022742T.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type094_RT03505HBLU{space}pitch{space}5mm{space}size{space}25x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+5
+5
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type094_RT03506HBLU_1x06_P5.00mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type094_RT03506HBLU,{space}6{space}pins,{space}pitch{space}5mm,{space}size{space}30x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.metz-connect.com/ru/system/files/productfiles/Data_sheet_310941_RT035xxHBLU_OFF-022742T.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type094_RT03506HBLU{space}pitch{space}5mm{space}size{space}30x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+6
+6
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type101_RT01602HBWC_1x02_P5.08mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type101_RT01602HBWC,{space}2{space}pins,{space}pitch{space}5.08mm,{space}size{space}10.2x8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311011_RT016xxHBWC_OFF-022771S.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type101_RT01602HBWC{space}pitch{space}5.08mm{space}size{space}10.2x8mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+2
+2
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type101_RT01603HBWC_1x03_P5.08mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type101_RT01603HBWC,{space}3{space}pins,{space}pitch{space}5.08mm,{space}size{space}15.2x8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311011_RT016xxHBWC_OFF-022771S.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type101_RT01603HBWC{space}pitch{space}5.08mm{space}size{space}15.2x8mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+3
+3
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type101_RT01604HBWC_1x04_P5.08mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type101_RT01604HBWC,{space}4{space}pins,{space}pitch{space}5.08mm,{space}size{space}20.3x8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311011_RT016xxHBWC_OFF-022771S.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type101_RT01604HBWC{space}pitch{space}5.08mm{space}size{space}20.3x8mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+4
+4
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type101_RT01605HBWC_1x05_P5.08mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type101_RT01605HBWC,{space}5{space}pins,{space}pitch{space}5.08mm,{space}size{space}25.4x8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311011_RT016xxHBWC_OFF-022771S.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type101_RT01605HBWC{space}pitch{space}5.08mm{space}size{space}25.4x8mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+5
+5
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type101_RT01606HBWC_1x06_P5.08mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type101_RT01606HBWC,{space}6{space}pins,{space}pitch{space}5.08mm,{space}size{space}30.5x8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311011_RT016xxHBWC_OFF-022771S.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type101_RT01606HBWC{space}pitch{space}5.08mm{space}size{space}30.5x8mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+6
+6
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type171_RT13702HBWC_1x02_P7.50mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type171_RT13702HBWC,{space}2{space}pins,{space}pitch{space}7.5mm,{space}size{space}15x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311711_RT137xxHBWC_OFF-022811Q.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type171_RT13702HBWC{space}pitch{space}7.5mm{space}size{space}15x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+2
+2
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type171_RT13703HBWC_1x03_P7.50mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type171_RT13703HBWC,{space}3{space}pins,{space}pitch{space}7.5mm,{space}size{space}22.5x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311711_RT137xxHBWC_OFF-022811Q.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type171_RT13703HBWC{space}pitch{space}7.5mm{space}size{space}22.5x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+3
+3
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type171_RT13704HBWC_1x04_P7.50mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type171_RT13704HBWC,{space}4{space}pins,{space}pitch{space}7.5mm,{space}size{space}30x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311711_RT137xxHBWC_OFF-022811Q.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type171_RT13704HBWC{space}pitch{space}7.5mm{space}size{space}30x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+4
+4
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type171_RT13705HBWC_1x05_P7.50mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type171_RT13705HBWC,{space}5{space}pins,{space}pitch{space}7.5mm,{space}size{space}37.5x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311711_RT137xxHBWC_OFF-022811Q.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type171_RT13705HBWC{space}pitch{space}7.5mm{space}size{space}37.5x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+5
+5
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type171_RT13706HBWC_1x06_P7.50mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type171_RT13706HBWC,{space}6{space}pins,{space}pitch{space}7.5mm,{space}size{space}45x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311711_RT137xxHBWC_OFF-022811Q.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type171_RT13706HBWC{space}pitch{space}7.5mm{space}size{space}45x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+6
+6
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type175_RT02702HBLC_1x02_P7.50mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type175_RT02702HBLC,{space}2{space}pins,{space}pitch{space}7.5mm,{space}size{space}15x11mm^2,{space}drill{space}diamater{space}1.4mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311751_RT027xxHBLC_OFF-022814U.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type175_RT02702HBLC{space}pitch{space}7.5mm{space}size{space}15x11mm^2{space}drill{space}1.4mm{space}pad{space}2.6mm
+0
+2
+2
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type175_RT02703HBLC_1x03_P7.50mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type175_RT02703HBLC,{space}3{space}pins,{space}pitch{space}7.5mm,{space}size{space}22.5x11mm^2,{space}drill{space}diamater{space}1.4mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311751_RT027xxHBLC_OFF-022814U.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type175_RT02703HBLC{space}pitch{space}7.5mm{space}size{space}22.5x11mm^2{space}drill{space}1.4mm{space}pad{space}2.6mm
+0
+3
+3
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type175_RT02704HBLC_1x04_P7.50mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type175_RT02704HBLC,{space}4{space}pins,{space}pitch{space}7.5mm,{space}size{space}30x11mm^2,{space}drill{space}diamater{space}1.4mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311751_RT027xxHBLC_OFF-022814U.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type175_RT02704HBLC{space}pitch{space}7.5mm{space}size{space}30x11mm^2{space}drill{space}1.4mm{space}pad{space}2.6mm
+0
+4
+4
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type175_RT02705HBLC_1x05_P7.50mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type175_RT02705HBLC,{space}5{space}pins,{space}pitch{space}7.5mm,{space}size{space}37.5x11mm^2,{space}drill{space}diamater{space}1.4mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311751_RT027xxHBLC_OFF-022814U.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type175_RT02705HBLC{space}pitch{space}7.5mm{space}size{space}37.5x11mm^2{space}drill{space}1.4mm{space}pad{space}2.6mm
+0
+5
+5
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type175_RT02706HBLC_1x06_P7.50mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type175_RT02706HBLC,{space}6{space}pins,{space}pitch{space}7.5mm,{space}size{space}45x11mm^2,{space}drill{space}diamater{space}1.4mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_311751_RT027xxHBLC_OFF-022814U.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type175_RT02706HBLC{space}pitch{space}7.5mm{space}size{space}45x11mm^2{space}drill{space}1.4mm{space}pad{space}2.6mm
+0
+6
+6
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type205_RT04502UBLC_1x02_P5.00mm_45Degree
+terminal{space}block{space}Metz{space}Connect{space}Type205_RT04502UBLC,{space}45Degree{space}(cable{space}under{space}45degree),{space}2{space}pins,{space}pitch{space}5mm,{space}size{space}10x12.5mm^2,{space}drill{space}diamater{space}1.4mm,{space}pad{space}diameter{space}2.7mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_312051_RT045xxUBLC_OFF-022759T.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type205_RT04502UBLC{space}45Degree{space}pitch{space}5mm{space}size{space}10x12.5mm^2{space}drill{space}1.4mm{space}pad{space}2.7mm
+0
+2
+2
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type205_RT04503UBLC_1x03_P5.00mm_45Degree
+terminal{space}block{space}Metz{space}Connect{space}Type205_RT04503UBLC,{space}45Degree{space}(cable{space}under{space}45degree),{space}3{space}pins,{space}pitch{space}5mm,{space}size{space}15x12.5mm^2,{space}drill{space}diamater{space}1.4mm,{space}pad{space}diameter{space}2.7mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_312051_RT045xxUBLC_OFF-022759T.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type205_RT04503UBLC{space}45Degree{space}pitch{space}5mm{space}size{space}15x12.5mm^2{space}drill{space}1.4mm{space}pad{space}2.7mm
+0
+3
+3
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type205_RT04504UBLC_1x04_P5.00mm_45Degree
+terminal{space}block{space}Metz{space}Connect{space}Type205_RT04504UBLC,{space}45Degree{space}(cable{space}under{space}45degree),{space}4{space}pins,{space}pitch{space}5mm,{space}size{space}20x12.5mm^2,{space}drill{space}diamater{space}1.4mm,{space}pad{space}diameter{space}2.7mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_312051_RT045xxUBLC_OFF-022759T.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type205_RT04504UBLC{space}45Degree{space}pitch{space}5mm{space}size{space}20x12.5mm^2{space}drill{space}1.4mm{space}pad{space}2.7mm
+0
+4
+4
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type205_RT04505UBLC_1x05_P5.00mm_45Degree
+terminal{space}block{space}Metz{space}Connect{space}Type205_RT04505UBLC,{space}45Degree{space}(cable{space}under{space}45degree),{space}5{space}pins,{space}pitch{space}5mm,{space}size{space}25x12.5mm^2,{space}drill{space}diamater{space}1.4mm,{space}pad{space}diameter{space}2.7mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_312051_RT045xxUBLC_OFF-022759T.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type205_RT04505UBLC{space}45Degree{space}pitch{space}5mm{space}size{space}25x12.5mm^2{space}drill{space}1.4mm{space}pad{space}2.7mm
+0
+5
+5
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type205_RT04506UBLC_1x06_P5.00mm_45Degree
+terminal{space}block{space}Metz{space}Connect{space}Type205_RT04506UBLC,{space}45Degree{space}(cable{space}under{space}45degree),{space}6{space}pins,{space}pitch{space}5mm,{space}size{space}30x12.5mm^2,{space}drill{space}diamater{space}1.4mm,{space}pad{space}diameter{space}2.7mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_312051_RT045xxUBLC_OFF-022759T.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type205_RT04506UBLC{space}45Degree{space}pitch{space}5mm{space}size{space}30x12.5mm^2{space}drill{space}1.4mm{space}pad{space}2.7mm
+0
+6
+6
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type701_RT11L02HGLU_1x02_P6.35mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type701_RT11L02HGLU,{space}2{space}pins,{space}pitch{space}6.35mm,{space}size{space}12.7x12.5mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_317011_RT11LxxHGLU_OFF-022798U.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type701_RT11L02HGLU{space}pitch{space}6.35mm{space}size{space}12.7x12.5mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+2
+2
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type701_RT11L03HGLU_1x03_P6.35mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type701_RT11L03HGLU,{space}3{space}pins,{space}pitch{space}6.35mm,{space}size{space}19x12.5mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_317011_RT11LxxHGLU_OFF-022798U.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type701_RT11L03HGLU{space}pitch{space}6.35mm{space}size{space}19x12.5mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+3
+3
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type703_RT10N02HGLU_1x02_P9.52mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type703_RT10N02HGLU,{space}2{space}pins,{space}pitch{space}9.52mm,{space}size{space}19x12.5mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_317031_RT10NxxHGLU_OFF-022897S.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type703_RT10N02HGLU{space}pitch{space}9.52mm{space}size{space}19x12.5mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+2
+2
+TerminalBlock_MetzConnect
+TerminalBlock_MetzConnect_Type703_RT10N03HGLU_1x03_P9.52mm_Horizontal
+terminal{space}block{space}Metz{space}Connect{space}Type703_RT10N03HGLU,{space}3{space}pins,{space}pitch{space}9.52mm,{space}size{space}28.6x12.5mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.metz-connect.com/de/system/files/productfiles/Datenblatt_317031_RT10NxxHGLU_OFF-022897S.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_MetzConnect
+THT{space}terminal{space}block{space}Metz{space}Connect{space}Type703_RT10N03HGLU{space}pitch{space}9.52mm{space}size{space}28.6x12.5mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+3
+3
+TerminalBlock_Philmore
+TerminalBlock_Philmore_TB132_1x02_P5.00mm_Horizontal
+Terminal{space}Block{space}Philmore{space},{space}2{space}pins,{space}pitch{space}5mm,{space}size{space}10x10.2mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space}http://www.philmore-datak.com/mc/Page%20197.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Philmore
+THT{space}Terminal{space}Block{space}Philmore{space}{space}pitch{space}5mm{space}size{space}10x10.2mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+2
+2
+TerminalBlock_Philmore
+TerminalBlock_Philmore_TB133_1x03_P5.00mm_Horizontal
+Terminal{space}Block{space}Philmore{space},{space}3{space}pins,{space}pitch{space}5mm,{space}size{space}15x10.2mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space}http://www.philmore-datak.com/mc/Page%20197.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Philmore
+THT{space}Terminal{space}Block{space}Philmore{space}{space}pitch{space}5mm{space}size{space}15x10.2mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+3
+3
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-2-5.08_1x02_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-2-5.08,{space}2{space}pins,{space}pitch{space}5.08mm,{space}size{space}10.2x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-2-5.08{space}pitch{space}5.08mm{space}size{space}10.2x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+2
+2
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-2_1x02_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-2,{space}2{space}pins,{space}pitch{space}5mm,{space}size{space}10x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-2{space}pitch{space}5mm{space}size{space}10x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+2
+2
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-3-5.08_1x03_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-3-5.08,{space}3{space}pins,{space}pitch{space}5.08mm,{space}size{space}15.2x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-3-5.08{space}pitch{space}5.08mm{space}size{space}15.2x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+3
+3
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-3_1x03_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-3,{space}3{space}pins,{space}pitch{space}5mm,{space}size{space}15x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-3{space}pitch{space}5mm{space}size{space}15x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+3
+3
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-4-5.08_1x04_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-4-5.08,{space}4{space}pins,{space}pitch{space}5.08mm,{space}size{space}20.3x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-4-5.08{space}pitch{space}5.08mm{space}size{space}20.3x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+4
+4
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-4_1x04_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-4,{space}4{space}pins,{space}pitch{space}5mm,{space}size{space}20x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-4{space}pitch{space}5mm{space}size{space}20x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+4
+4
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-5-5.08_1x05_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-5-5.08,{space}5{space}pins,{space}pitch{space}5.08mm,{space}size{space}25.4x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-5-5.08{space}pitch{space}5.08mm{space}size{space}25.4x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+5
+5
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-5_1x05_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-5,{space}5{space}pins,{space}pitch{space}5mm,{space}size{space}25x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-5{space}pitch{space}5mm{space}size{space}25x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+5
+5
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-6-5.08_1x06_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-6-5.08,{space}6{space}pins,{space}pitch{space}5.08mm,{space}size{space}30.5x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-6-5.08{space}pitch{space}5.08mm{space}size{space}30.5x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+6
+6
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-6_1x06_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-6,{space}6{space}pins,{space}pitch{space}5mm,{space}size{space}30x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-6{space}pitch{space}5mm{space}size{space}30x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+6
+6
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-7-5.08_1x07_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-7-5.08,{space}7{space}pins,{space}pitch{space}5.08mm,{space}size{space}35.6x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-7-5.08{space}pitch{space}5.08mm{space}size{space}35.6x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+7
+7
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-7_1x07_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-7,{space}7{space}pins,{space}pitch{space}5mm,{space}size{space}35x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-7{space}pitch{space}5mm{space}size{space}35x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+7
+7
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-8-5.08_1x08_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-8-5.08,{space}8{space}pins,{space}pitch{space}5.08mm,{space}size{space}40.6x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-8-5.08{space}pitch{space}5.08mm{space}size{space}40.6x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+8
+8
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-8_1x08_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-8,{space}8{space}pins,{space}pitch{space}5mm,{space}size{space}40x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-8{space}pitch{space}5mm{space}size{space}40x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+8
+8
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-9-5.08_1x09_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-9-5.08,{space}9{space}pins,{space}pitch{space}5.08mm,{space}size{space}45.7x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-9-5.08{space}pitch{space}5.08mm{space}size{space}45.7x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+9
+9
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-9_1x09_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-9,{space}9{space}pins,{space}pitch{space}5mm,{space}size{space}45x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-9{space}pitch{space}5mm{space}size{space}45x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+9
+9
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-10-5.08_1x10_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-10-5.08,{space}10{space}pins,{space}pitch{space}5.08mm,{space}size{space}50.8x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-10-5.08{space}pitch{space}5.08mm{space}size{space}50.8x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+10
+10
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-10_1x10_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-10,{space}10{space}pins,{space}pitch{space}5mm,{space}size{space}50x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-10{space}pitch{space}5mm{space}size{space}50x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+10
+10
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-11-5.08_1x11_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-11-5.08,{space}11{space}pins,{space}pitch{space}5.08mm,{space}size{space}55.9x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-11-5.08{space}pitch{space}5.08mm{space}size{space}55.9x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+11
+11
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-11_1x11_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-11,{space}11{space}pins,{space}pitch{space}5mm,{space}size{space}55x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-11{space}pitch{space}5mm{space}size{space}55x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+11
+11
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-12-5.08_1x12_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-12-5.08,{space}12{space}pins,{space}pitch{space}5.08mm,{space}size{space}61x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-12-5.08{space}pitch{space}5.08mm{space}size{space}61x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+12
+12
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-12_1x12_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-12,{space}12{space}pins,{space}pitch{space}5mm,{space}size{space}60x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-12{space}pitch{space}5mm{space}size{space}60x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+12
+12
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-13-5.08_1x13_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-13-5.08,{space}13{space}pins,{space}pitch{space}5.08mm,{space}size{space}66x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-13-5.08{space}pitch{space}5.08mm{space}size{space}66x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+13
+13
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-13_1x13_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-13,{space}13{space}pins,{space}pitch{space}5mm,{space}size{space}65x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-13{space}pitch{space}5mm{space}size{space}65x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+13
+13
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-14-5.08_1x14_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-14-5.08,{space}14{space}pins,{space}pitch{space}5.08mm,{space}size{space}71.1x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-14-5.08{space}pitch{space}5.08mm{space}size{space}71.1x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+14
+14
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-14_1x14_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-14,{space}14{space}pins,{space}pitch{space}5mm,{space}size{space}70x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-14{space}pitch{space}5mm{space}size{space}70x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+14
+14
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-15-5.08_1x15_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-15-5.08,{space}15{space}pins,{space}pitch{space}5.08mm,{space}size{space}76.2x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-15-5.08{space}pitch{space}5.08mm{space}size{space}76.2x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+15
+15
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-15_1x15_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-15,{space}15{space}pins,{space}pitch{space}5mm,{space}size{space}75x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-15{space}pitch{space}5mm{space}size{space}75x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+15
+15
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-16-5.08_1x16_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-16-5.08,{space}16{space}pins,{space}pitch{space}5.08mm,{space}size{space}81.3x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-16-5.08{space}pitch{space}5.08mm{space}size{space}81.3x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+16
+16
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-1,5-16_1x16_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-1,5-16,{space}16{space}pins,{space}pitch{space}5mm,{space}size{space}80x9.8mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/100425.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-1,5-16{space}pitch{space}5mm{space}size{space}80x9.8mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+16
+16
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-3-2-5.08_1x02_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-3-2-5.08,{space}2{space}pins,{space}pitch{space}5.08mm,{space}size{space}10.2x11.2mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/2138224.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-3-2-5.08{space}pitch{space}5.08mm{space}size{space}10.2x11.2mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+2
+2
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-3-3-5.08_1x03_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-3-3-5.08,{space}3{space}pins,{space}pitch{space}5.08mm,{space}size{space}15.2x11.2mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/2138224.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-3-3-5.08{space}pitch{space}5.08mm{space}size{space}15.2x11.2mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+3
+3
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-3-4-5.08_1x04_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-3-4-5.08,{space}4{space}pins,{space}pitch{space}5.08mm,{space}size{space}20.3x11.2mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/2138224.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-3-4-5.08{space}pitch{space}5.08mm{space}size{space}20.3x11.2mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+4
+4
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-3-5-5.08_1x05_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-3-5-5.08,{space}5{space}pins,{space}pitch{space}5.08mm,{space}size{space}25.4x11.2mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/2138224.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-3-5-5.08{space}pitch{space}5.08mm{space}size{space}25.4x11.2mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+5
+5
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-3-6-5.08_1x06_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-3-6-5.08,{space}6{space}pins,{space}pitch{space}5.08mm,{space}size{space}30.5x11.2mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/2138224.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-3-6-5.08{space}pitch{space}5.08mm{space}size{space}30.5x11.2mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+6
+6
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-3-7-5.08_1x07_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-3-7-5.08,{space}7{space}pins,{space}pitch{space}5.08mm,{space}size{space}35.6x11.2mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/2138224.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-3-7-5.08{space}pitch{space}5.08mm{space}size{space}35.6x11.2mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+7
+7
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-3-8-5.08_1x08_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-3-8-5.08,{space}8{space}pins,{space}pitch{space}5.08mm,{space}size{space}40.6x11.2mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/2138224.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-3-8-5.08{space}pitch{space}5.08mm{space}size{space}40.6x11.2mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+8
+8
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-3-9-5.08_1x09_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-3-9-5.08,{space}9{space}pins,{space}pitch{space}5.08mm,{space}size{space}45.7x11.2mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/2138224.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-3-9-5.08{space}pitch{space}5.08mm{space}size{space}45.7x11.2mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+9
+9
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-3-10-5.08_1x10_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-3-10-5.08,{space}10{space}pins,{space}pitch{space}5.08mm,{space}size{space}50.8x11.2mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/2138224.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-3-10-5.08{space}pitch{space}5.08mm{space}size{space}50.8x11.2mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+10
+10
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-3-11-5.08_1x11_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-3-11-5.08,{space}11{space}pins,{space}pitch{space}5.08mm,{space}size{space}55.9x11.2mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/2138224.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-3-11-5.08{space}pitch{space}5.08mm{space}size{space}55.9x11.2mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+11
+11
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-3-12-5.08_1x12_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-3-12-5.08,{space}12{space}pins,{space}pitch{space}5.08mm,{space}size{space}61x11.2mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/2138224.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-3-12-5.08{space}pitch{space}5.08mm{space}size{space}61x11.2mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+12
+12
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-3-13-5.08_1x13_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-3-13-5.08,{space}13{space}pins,{space}pitch{space}5.08mm,{space}size{space}66x11.2mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/2138224.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-3-13-5.08{space}pitch{space}5.08mm{space}size{space}66x11.2mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+13
+13
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-3-14-5.08_1x14_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-3-14-5.08,{space}14{space}pins,{space}pitch{space}5.08mm,{space}size{space}71.1x11.2mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/2138224.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-3-14-5.08{space}pitch{space}5.08mm{space}size{space}71.1x11.2mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+14
+14
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-3-15-5.08_1x15_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-3-15-5.08,{space}15{space}pins,{space}pitch{space}5.08mm,{space}size{space}76.2x11.2mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/2138224.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-3-15-5.08{space}pitch{space}5.08mm{space}size{space}76.2x11.2mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+15
+15
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MKDS-3-16-5.08_1x16_P5.08mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MKDS-3-16-5.08,{space}16{space}pins,{space}pitch{space}5.08mm,{space}size{space}81.3x11.2mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.farnell.com/datasheets/2138224.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MKDS-3-16-5.08{space}pitch{space}5.08mm{space}size{space}81.3x11.2mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+16
+16
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MPT-0,5-2-2.54_1x02_P2.54mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MPT-0,5-2-2.54,{space}2{space}pins,{space}pitch{space}2.54mm,{space}size{space}5.54x6.2mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.2mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1725656-920552.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MPT-0,5-2-2.54{space}pitch{space}2.54mm{space}size{space}5.54x6.2mm^2{space}drill{space}1.1mm{space}pad{space}2.2mm
+0
+2
+2
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MPT-0,5-3-2.54_1x03_P2.54mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MPT-0,5-3-2.54,{space}3{space}pins,{space}pitch{space}2.54mm,{space}size{space}8.08x6.2mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.2mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1725656-920552.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MPT-0,5-3-2.54{space}pitch{space}2.54mm{space}size{space}8.08x6.2mm^2{space}drill{space}1.1mm{space}pad{space}2.2mm
+0
+3
+3
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MPT-0,5-4-2.54_1x04_P2.54mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MPT-0,5-4-2.54,{space}4{space}pins,{space}pitch{space}2.54mm,{space}size{space}10.6x6.2mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.2mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1725672-916605.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MPT-0,5-4-2.54{space}pitch{space}2.54mm{space}size{space}10.6x6.2mm^2{space}drill{space}1.1mm{space}pad{space}2.2mm
+0
+4
+4
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MPT-0,5-5-2.54_1x05_P2.54mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MPT-0,5-5-2.54,{space}5{space}pins,{space}pitch{space}2.54mm,{space}size{space}13.2x6.2mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.2mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1725672-916605.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MPT-0,5-5-2.54{space}pitch{space}2.54mm{space}size{space}13.2x6.2mm^2{space}drill{space}1.1mm{space}pad{space}2.2mm
+0
+5
+5
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MPT-0,5-6-2.54_1x06_P2.54mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MPT-0,5-6-2.54,{space}6{space}pins,{space}pitch{space}2.54mm,{space}size{space}15.7x6.2mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.2mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1725672-916605.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MPT-0,5-6-2.54{space}pitch{space}2.54mm{space}size{space}15.7x6.2mm^2{space}drill{space}1.1mm{space}pad{space}2.2mm
+0
+6
+6
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MPT-0,5-7-2.54_1x07_P2.54mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MPT-0,5-7-2.54,{space}7{space}pins,{space}pitch{space}2.54mm,{space}size{space}18.2x6.2mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.2mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1725672-916605.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MPT-0,5-7-2.54{space}pitch{space}2.54mm{space}size{space}18.2x6.2mm^2{space}drill{space}1.1mm{space}pad{space}2.2mm
+0
+7
+7
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MPT-0,5-8-2.54_1x08_P2.54mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MPT-0,5-8-2.54,{space}8{space}pins,{space}pitch{space}2.54mm,{space}size{space}20.8x6.2mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.2mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1725672-916605.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MPT-0,5-8-2.54{space}pitch{space}2.54mm{space}size{space}20.8x6.2mm^2{space}drill{space}1.1mm{space}pad{space}2.2mm
+0
+8
+8
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MPT-0,5-9-2.54_1x09_P2.54mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MPT-0,5-9-2.54,{space}9{space}pins,{space}pitch{space}2.54mm,{space}size{space}23.3x6.2mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.2mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1725672-916605.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MPT-0,5-9-2.54{space}pitch{space}2.54mm{space}size{space}23.3x6.2mm^2{space}drill{space}1.1mm{space}pad{space}2.2mm
+0
+9
+9
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MPT-0,5-10-2.54_1x10_P2.54mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MPT-0,5-10-2.54,{space}10{space}pins,{space}pitch{space}2.54mm,{space}size{space}25.9x6.2mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.2mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1725672-916605.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MPT-0,5-10-2.54{space}pitch{space}2.54mm{space}size{space}25.9x6.2mm^2{space}drill{space}1.1mm{space}pad{space}2.2mm
+0
+10
+10
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MPT-0,5-11-2.54_1x11_P2.54mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MPT-0,5-11-2.54,{space}11{space}pins,{space}pitch{space}2.54mm,{space}size{space}28.4x6.2mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.2mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1725672-916605.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MPT-0,5-11-2.54{space}pitch{space}2.54mm{space}size{space}28.4x6.2mm^2{space}drill{space}1.1mm{space}pad{space}2.2mm
+0
+11
+11
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_MPT-0,5-12-2.54_1x12_P2.54mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}MPT-0,5-12-2.54,{space}12{space}pins,{space}pitch{space}2.54mm,{space}size{space}30.9x6.2mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.2mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1725672-916605.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}MPT-0,5-12-2.54{space}pitch{space}2.54mm{space}size{space}30.9x6.2mm^2{space}drill{space}1.1mm{space}pad{space}2.2mm
+0
+12
+12
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-2-3.5-H_1x02_P3.50mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-2-3.5-H,{space}2{space}pins,{space}pitch{space}3.5mm,{space}size{space}7x7.6mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-2-3.5-H{space}pitch{space}3.5mm{space}size{space}7x7.6mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+2
+2
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-2-5.0-H_1x02_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-2-5.0-H,{space}2{space}pins,{space}pitch{space}5mm,{space}size{space}10x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-2-5.0-H{space}pitch{space}5mm{space}size{space}10x9mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+2
+2
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-3-3.5-H_1x03_P3.50mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-3-3.5-H,{space}3{space}pins,{space}pitch{space}3.5mm,{space}size{space}10.5x7.6mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-3-3.5-H{space}pitch{space}3.5mm{space}size{space}10.5x7.6mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+3
+3
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-3-5.0-H_1x03_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-3-5.0-H,{space}3{space}pins,{space}pitch{space}5mm,{space}size{space}15x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-3-5.0-H{space}pitch{space}5mm{space}size{space}15x9mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+3
+3
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-4-3.5-H_1x04_P3.50mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-4-3.5-H,{space}4{space}pins,{space}pitch{space}3.5mm,{space}size{space}14x7.6mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-4-3.5-H{space}pitch{space}3.5mm{space}size{space}14x7.6mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+4
+4
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-4-5.0-H_1x04_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-4-5.0-H,{space}4{space}pins,{space}pitch{space}5mm,{space}size{space}20x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-4-5.0-H{space}pitch{space}5mm{space}size{space}20x9mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+4
+4
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-5-3.5-H_1x05_P3.50mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-5-3.5-H,{space}5{space}pins,{space}pitch{space}3.5mm,{space}size{space}17.5x7.6mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-5-3.5-H{space}pitch{space}3.5mm{space}size{space}17.5x7.6mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+5
+5
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-5-5.0-H_1x05_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-5-5.0-H,{space}5{space}pins,{space}pitch{space}5mm,{space}size{space}25x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-5-5.0-H{space}pitch{space}5mm{space}size{space}25x9mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+5
+5
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-6-3.5-H_1x06_P3.50mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-6-3.5-H,{space}6{space}pins,{space}pitch{space}3.5mm,{space}size{space}21x7.6mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-6-3.5-H{space}pitch{space}3.5mm{space}size{space}21x7.6mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+6
+6
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-6-5.0-H_1x06_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-6-5.0-H,{space}6{space}pins,{space}pitch{space}5mm,{space}size{space}30x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-6-5.0-H{space}pitch{space}5mm{space}size{space}30x9mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+6
+6
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-7-3.5-H_1x07_P3.50mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-7-3.5-H,{space}7{space}pins,{space}pitch{space}3.5mm,{space}size{space}24.5x7.6mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-7-3.5-H{space}pitch{space}3.5mm{space}size{space}24.5x7.6mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+7
+7
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-7-5.0-H_1x07_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-7-5.0-H,{space}7{space}pins,{space}pitch{space}5mm,{space}size{space}35x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-7-5.0-H{space}pitch{space}5mm{space}size{space}35x9mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+7
+7
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-8-3.5-H_1x08_P3.50mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-8-3.5-H,{space}8{space}pins,{space}pitch{space}3.5mm,{space}size{space}28x7.6mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-8-3.5-H{space}pitch{space}3.5mm{space}size{space}28x7.6mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+8
+8
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-8-5.0-H_1x08_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-8-5.0-H,{space}8{space}pins,{space}pitch{space}5mm,{space}size{space}40x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-8-5.0-H{space}pitch{space}5mm{space}size{space}40x9mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+8
+8
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-9-3.5-H_1x09_P3.50mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-9-3.5-H,{space}9{space}pins,{space}pitch{space}3.5mm,{space}size{space}31.5x7.6mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-9-3.5-H{space}pitch{space}3.5mm{space}size{space}31.5x7.6mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+9
+9
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-9-5.0-H_1x09_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-9-5.0-H,{space}9{space}pins,{space}pitch{space}5mm,{space}size{space}45x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-9-5.0-H{space}pitch{space}5mm{space}size{space}45x9mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+9
+9
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-10-3.5-H_1x10_P3.50mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-10-3.5-H,{space}10{space}pins,{space}pitch{space}3.5mm,{space}size{space}35x7.6mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-10-3.5-H{space}pitch{space}3.5mm{space}size{space}35x7.6mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+10
+10
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-10-5.0-H_1x10_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-10-5.0-H,{space}10{space}pins,{space}pitch{space}5mm,{space}size{space}50x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-10-5.0-H{space}pitch{space}5mm{space}size{space}50x9mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+10
+10
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-11-3.5-H_1x11_P3.50mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-11-3.5-H,{space}11{space}pins,{space}pitch{space}3.5mm,{space}size{space}38.5x7.6mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-11-3.5-H{space}pitch{space}3.5mm{space}size{space}38.5x7.6mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+11
+11
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-11-5.0-H_1x11_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-11-5.0-H,{space}11{space}pins,{space}pitch{space}5mm,{space}size{space}55x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-11-5.0-H{space}pitch{space}5mm{space}size{space}55x9mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+11
+11
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-12-3.5-H_1x12_P3.50mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-12-3.5-H,{space}12{space}pins,{space}pitch{space}3.5mm,{space}size{space}42x7.6mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-12-3.5-H{space}pitch{space}3.5mm{space}size{space}42x7.6mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+12
+12
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-12-5.0-H_1x12_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-12-5.0-H,{space}12{space}pins,{space}pitch{space}5mm,{space}size{space}60x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-12-5.0-H{space}pitch{space}5mm{space}size{space}60x9mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+12
+12
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-13-3.5-H_1x13_P3.50mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-13-3.5-H,{space}13{space}pins,{space}pitch{space}3.5mm,{space}size{space}45.5x7.6mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-13-3.5-H{space}pitch{space}3.5mm{space}size{space}45.5x7.6mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+13
+13
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-13-5.0-H_1x13_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-13-5.0-H,{space}13{space}pins,{space}pitch{space}5mm,{space}size{space}65x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-13-5.0-H{space}pitch{space}5mm{space}size{space}65x9mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+13
+13
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-14-3.5-H_1x14_P3.50mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-14-3.5-H,{space}14{space}pins,{space}pitch{space}3.5mm,{space}size{space}49x7.6mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-14-3.5-H{space}pitch{space}3.5mm{space}size{space}49x7.6mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+14
+14
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-14-5.0-H_1x14_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-14-5.0-H,{space}14{space}pins,{space}pitch{space}5mm,{space}size{space}70x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-14-5.0-H{space}pitch{space}5mm{space}size{space}70x9mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+14
+14
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-15-3.5-H_1x15_P3.50mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-15-3.5-H,{space}15{space}pins,{space}pitch{space}3.5mm,{space}size{space}52.5x7.6mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-15-3.5-H{space}pitch{space}3.5mm{space}size{space}52.5x7.6mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+15
+15
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-15-5.0-H_1x15_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-15-5.0-H,{space}15{space}pins,{space}pitch{space}5mm,{space}size{space}75x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-15-5.0-H{space}pitch{space}5mm{space}size{space}75x9mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+15
+15
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-16-3.5-H_1x16_P3.50mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-16-3.5-H,{space}16{space}pins,{space}pitch{space}3.5mm,{space}size{space}56x7.6mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2.4mm,{space}see{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-16-3.5-H{space}pitch{space}3.5mm{space}size{space}56x7.6mm^2{space}drill{space}1.2mm{space}pad{space}2.4mm
+0
+16
+16
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PT-1,5-16-5.0-H_1x16_P5.00mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PT-1,5-16-5.0-H,{space}16{space}pins,{space}pitch{space}5mm,{space}size{space}80x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.6mm,{space}see{space}http://www.mouser.com/ds/2/324/ItemDetail_1935161-922578.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PT-1,5-16-5.0-H{space}pitch{space}5mm{space}size{space}80x9mm^2{space}drill{space}1.3mm{space}pad{space}2.6mm
+0
+16
+16
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-2-2,5-V-SMD_1x02-1MP_P2.50mm_Vertical
+PhoenixContact{space}PTSM0,5{space}2{space}2,5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}http://www.phoenixcontact.com/us/products/1814702/pdf
+PhoenixContact{space}PTSM0.5{space}2{space}2.5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}
+0
+4
+3
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-2-2.5-H-THR_1x02_P2.50mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PTSM-0,5-2-2.5-H-THR,{space}2{space}pins,{space}pitch{space}2.5mm,{space}size{space}7.2x10mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space}http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556441-da-01-de-LEITERPLATTENKL__PTSM_0_5__8_2_5_H_THR.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PTSM-0,5-2-2.5-H-THR{space}pitch{space}2.5mm{space}size{space}7.2x10mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+4
+2
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-2-2.5-V-THR_1x02_P2.50mm_Vertical
+Terminal{space}Block{space}Phoenix{space}PTSM-0,5-2-2.5-V-THR,{space}vertical{space}(cable{space}from{space}top),{space}2{space}pins,{space}pitch{space}2.5mm,{space}size{space}5.5x5mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2mm,{space}see{space}http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556444-da-01-de-LEITERPLATTENKL__PTSM_0_5__4_2_5_V_THR.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PTSM-0,5-2-2.5-V-THR{space}vertical{space}pitch{space}2.5mm{space}size{space}5.5x5mm^2{space}drill{space}1.2mm{space}pad{space}2mm
+0
+4
+2
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-2-HV-2.5-SMD_1x02-1MP_P2.50mm_Vertical
+PhoenixContact{space}PTSM0,5{space}2{space}HV{space}2,5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}http://www.phoenixcontact.com/us/products/1778696/pdf
+2.5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}
+0
+4
+3
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-3-2,5-V-SMD_1x03-1MP_P2.50mm_Vertical
+PhoenixContact{space}PTSM0,5{space}3{space}2,5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}http://www.phoenixcontact.com/us/products/1814715/pdf
+PhoenixContact{space}PTSM0.5{space}3{space}2.5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}
+0
+5
+4
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-3-2.5-H-THR_1x03_P2.50mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PTSM-0,5-3-2.5-H-THR,{space}3{space}pins,{space}pitch{space}2.5mm,{space}size{space}9.7x10mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space}http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556441-da-01-de-LEITERPLATTENKL__PTSM_0_5__8_2_5_H_THR.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PTSM-0,5-3-2.5-H-THR{space}pitch{space}2.5mm{space}size{space}9.7x10mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+6
+3
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-3-2.5-V-THR_1x03_P2.50mm_Vertical
+Terminal{space}Block{space}Phoenix{space}PTSM-0,5-3-2.5-V-THR,{space}vertical{space}(cable{space}from{space}top),{space}3{space}pins,{space}pitch{space}2.5mm,{space}size{space}8x5mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2mm,{space}see{space}http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556444-da-01-de-LEITERPLATTENKL__PTSM_0_5__4_2_5_V_THR.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PTSM-0,5-3-2.5-V-THR{space}vertical{space}pitch{space}2.5mm{space}size{space}8x5mm^2{space}drill{space}1.2mm{space}pad{space}2mm
+0
+6
+3
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-3-HV-2.5-SMD_1x03-1MP_P2.50mm_Vertical
+PhoenixContact{space}PTSM0,5{space}3{space}HV{space}2,5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}http://www.phoenixcontact.com/us/products/1778706/pdf
+2.5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}
+0
+5
+4
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-4-2,5-V-SMD_1x04-1MP_P2.50mm_Vertical
+PhoenixContact{space}PTSM0,5{space}4{space}2,5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}http://www.phoenixcontact.com/us/products/1814728/pdf
+PhoenixContact{space}PTSM0.5{space}4{space}2.5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}
+0
+6
+5
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-4-2.5-H-THR_1x04_P2.50mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PTSM-0,5-4-2.5-H-THR,{space}4{space}pins,{space}pitch{space}2.5mm,{space}size{space}12.2x10mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space}http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556441-da-01-de-LEITERPLATTENKL__PTSM_0_5__8_2_5_H_THR.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PTSM-0,5-4-2.5-H-THR{space}pitch{space}2.5mm{space}size{space}12.2x10mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+8
+4
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-4-2.5-V-THR_1x04_P2.50mm_Vertical
+Terminal{space}Block{space}Phoenix{space}PTSM-0,5-4-2.5-V-THR,{space}vertical{space}(cable{space}from{space}top),{space}4{space}pins,{space}pitch{space}2.5mm,{space}size{space}10.5x5mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2mm,{space}see{space}http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556444-da-01-de-LEITERPLATTENKL__PTSM_0_5__4_2_5_V_THR.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PTSM-0,5-4-2.5-V-THR{space}vertical{space}pitch{space}2.5mm{space}size{space}10.5x5mm^2{space}drill{space}1.2mm{space}pad{space}2mm
+0
+8
+4
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-4-HV-2.5-SMD_1x04-1MP_P2.50mm_Vertical
+PhoenixContact{space}PTSM0,5{space}4{space}HV{space}2,5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}http://www.phoenixcontact.com/us/products/1778719/pdf
+2.5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}
+0
+4
+3
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-5-2,5-V-SMD_1x05-1MP_P2.50mm_Vertical
+PhoenixContact{space}PTSM0,5{space}5{space}2,5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}http://www.phoenixcontact.com/us/products/1814731/pdf
+PhoenixContact{space}PTSM0.5{space}5{space}2.5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}
+0
+7
+6
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-5-2.5-H-THR_1x05_P2.50mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PTSM-0,5-5-2.5-H-THR,{space}5{space}pins,{space}pitch{space}2.5mm,{space}size{space}14.7x10mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space}http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556441-da-01-de-LEITERPLATTENKL__PTSM_0_5__8_2_5_H_THR.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PTSM-0,5-5-2.5-H-THR{space}pitch{space}2.5mm{space}size{space}14.7x10mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+10
+5
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-5-2.5-V-THR_1x05_P2.50mm_Vertical
+Terminal{space}Block{space}Phoenix{space}PTSM-0,5-5-2.5-V-THR,{space}vertical{space}(cable{space}from{space}top),{space}5{space}pins,{space}pitch{space}2.5mm,{space}size{space}13x5mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2mm,{space}see{space}http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556444-da-01-de-LEITERPLATTENKL__PTSM_0_5__4_2_5_V_THR.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PTSM-0,5-5-2.5-V-THR{space}vertical{space}pitch{space}2.5mm{space}size{space}13x5mm^2{space}drill{space}1.2mm{space}pad{space}2mm
+0
+10
+5
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-5-HV-2.5-SMD_1x05-1MP_P2.50mm_Vertical
+PhoenixContact{space}PTSM0,5{space}5{space}HV{space}2,5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}http://www.phoenixcontact.com/us/products/1778722/pdf
+2.5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}
+0
+7
+6
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-6-2,5-V-SMD_1x06-1MP_P2.50mm_Vertical
+PhoenixContact{space}PTSM0,5{space}6{space}2,5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}http://www.phoenixcontact.com/us/products/1814744/pdf
+PhoenixContact{space}PTSM0.5{space}6{space}2.5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}
+0
+8
+7
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-6-2.5-H-THR_1x06_P2.50mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PTSM-0,5-6-2.5-H-THR,{space}6{space}pins,{space}pitch{space}2.5mm,{space}size{space}17.2x10mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space}http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556441-da-01-de-LEITERPLATTENKL__PTSM_0_5__8_2_5_H_THR.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PTSM-0,5-6-2.5-H-THR{space}pitch{space}2.5mm{space}size{space}17.2x10mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+12
+6
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-6-2.5-V-THR_1x06_P2.50mm_Vertical
+Terminal{space}Block{space}Phoenix{space}PTSM-0,5-6-2.5-V-THR,{space}vertical{space}(cable{space}from{space}top),{space}6{space}pins,{space}pitch{space}2.5mm,{space}size{space}15.5x5mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2mm,{space}see{space}http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556444-da-01-de-LEITERPLATTENKL__PTSM_0_5__4_2_5_V_THR.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PTSM-0,5-6-2.5-V-THR{space}vertical{space}pitch{space}2.5mm{space}size{space}15.5x5mm^2{space}drill{space}1.2mm{space}pad{space}2mm
+0
+12
+6
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-6-HV-2.5-SMD_1x06-1MP_P2.50mm_Vertical
+PhoenixContact{space}PTSM0,5{space}6{space}HV{space}2,5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}http://www.phoenixcontact.com/us/products/1778735/pdf
+2.5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}
+0
+8
+7
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-7-2,5-V-SMD_1x07-1MP_P2.50mm_Vertical
+PhoenixContact{space}PTSM0,5{space}7{space}2,5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}http://www.phoenixcontact.com/us/products/1814757/pdf
+PhoenixContact{space}PTSM0.5{space}7{space}2.5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}
+0
+9
+8
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-7-2.5-H-THR_1x07_P2.50mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PTSM-0,5-7-2.5-H-THR,{space}7{space}pins,{space}pitch{space}2.5mm,{space}size{space}19.7x10mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space}http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556441-da-01-de-LEITERPLATTENKL__PTSM_0_5__8_2_5_H_THR.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PTSM-0,5-7-2.5-H-THR{space}pitch{space}2.5mm{space}size{space}19.7x10mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+14
+7
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-7-2.5-V-THR_1x07_P2.50mm_Vertical
+Terminal{space}Block{space}Phoenix{space}PTSM-0,5-7-2.5-V-THR,{space}vertical{space}(cable{space}from{space}top),{space}7{space}pins,{space}pitch{space}2.5mm,{space}size{space}18x5mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2mm,{space}see{space}http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556444-da-01-de-LEITERPLATTENKL__PTSM_0_5__4_2_5_V_THR.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PTSM-0,5-7-2.5-V-THR{space}vertical{space}pitch{space}2.5mm{space}size{space}18x5mm^2{space}drill{space}1.2mm{space}pad{space}2mm
+0
+14
+7
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-7-HV-2.5-SMD_1x07-1MP_P2.50mm_Vertical
+PhoenixContact{space}PTSM0,5{space}7{space}HV{space}2,5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}http://www.phoenixcontact.com/us/products/1778748/pdf
+2.5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}
+0
+9
+8
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-8-2,5-V-SMD_1x08-1MP_P2.50mm_Vertical
+PhoenixContact{space}PTSM0,5{space}8{space}2,5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}http://www.phoenixcontact.com/us/products/1814760/pdf
+PhoenixContact{space}PTSM0.5{space}8{space}2.5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}
+0
+10
+9
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-8-2.5-H-THR_1x08_P2.50mm_Horizontal
+Terminal{space}Block{space}Phoenix{space}PTSM-0,5-8-2.5-H-THR,{space}8{space}pins,{space}pitch{space}2.5mm,{space}size{space}22.2x10mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space}http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556441-da-01-de-LEITERPLATTENKL__PTSM_0_5__8_2_5_H_THR.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PTSM-0,5-8-2.5-H-THR{space}pitch{space}2.5mm{space}size{space}22.2x10mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+16
+8
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-8-2.5-V-THR_1x08_P2.50mm_Vertical
+Terminal{space}Block{space}Phoenix{space}PTSM-0,5-8-2.5-V-THR,{space}vertical{space}(cable{space}from{space}top),{space}8{space}pins,{space}pitch{space}2.5mm,{space}size{space}20.5x5mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}2mm,{space}see{space}http://www.produktinfo.conrad.com/datenblaetter/550000-574999/556444-da-01-de-LEITERPLATTENKL__PTSM_0_5__4_2_5_V_THR.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix
+THT{space}Terminal{space}Block{space}Phoenix{space}PTSM-0,5-8-2.5-V-THR{space}vertical{space}pitch{space}2.5mm{space}size{space}20.5x5mm^2{space}drill{space}1.2mm{space}pad{space}2mm
+0
+16
+8
+TerminalBlock_Phoenix
+TerminalBlock_Phoenix_PTSM-0,5-8-HV-2.5-SMD_1x08-1MP_P2.50mm_Vertical
+PhoenixContact{space}PTSM0,5{space}8{space}HV{space}2,5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}http://www.phoenixcontact.com/us/products/1778751/pdf
+2.5mm{space}vertical{space}SMD{space}spring{space}clamp{space}terminal{space}block{space}connector{space}
+0
+10
+9
+TerminalBlock_RND
+TerminalBlock_RND_205-00001_1x02_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00001,{space}2{space}pins,{space}pitch{space}5mm,{space}size{space}10x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00001_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00001{space}pitch{space}5mm{space}size{space}10x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+2
+2
+TerminalBlock_RND
+TerminalBlock_RND_205-00002_1x03_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00002,{space}3{space}pins,{space}pitch{space}5mm,{space}size{space}15x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00001_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00002{space}pitch{space}5mm{space}size{space}15x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+3
+3
+TerminalBlock_RND
+TerminalBlock_RND_205-00003_1x04_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00003,{space}4{space}pins,{space}pitch{space}5mm,{space}size{space}20x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00001_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00003{space}pitch{space}5mm{space}size{space}20x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+4
+4
+TerminalBlock_RND
+TerminalBlock_RND_205-00004_1x05_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00004,{space}5{space}pins,{space}pitch{space}5mm,{space}size{space}25x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00001_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00004{space}pitch{space}5mm{space}size{space}25x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+5
+5
+TerminalBlock_RND
+TerminalBlock_RND_205-00005_1x06_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00005,{space}6{space}pins,{space}pitch{space}5mm,{space}size{space}30x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00001_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00005{space}pitch{space}5mm{space}size{space}30x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+6
+6
+TerminalBlock_RND
+TerminalBlock_RND_205-00006_1x07_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00006,{space}7{space}pins,{space}pitch{space}5mm,{space}size{space}35x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00001_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00006{space}pitch{space}5mm{space}size{space}35x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+7
+7
+TerminalBlock_RND
+TerminalBlock_RND_205-00007_1x08_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00007,{space}8{space}pins,{space}pitch{space}5mm,{space}size{space}40x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00001_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00007{space}pitch{space}5mm{space}size{space}40x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+8
+8
+TerminalBlock_RND
+TerminalBlock_RND_205-00008_1x09_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00008,{space}9{space}pins,{space}pitch{space}5mm,{space}size{space}45x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00001_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00008{space}pitch{space}5mm{space}size{space}45x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+9
+9
+TerminalBlock_RND
+TerminalBlock_RND_205-00009_1x10_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00009,{space}10{space}pins,{space}pitch{space}5mm,{space}size{space}50x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00001_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00009{space}pitch{space}5mm{space}size{space}50x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+10
+10
+TerminalBlock_RND
+TerminalBlock_RND_205-00010_1x11_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00010,{space}11{space}pins,{space}pitch{space}5mm,{space}size{space}55x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00001_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00010{space}pitch{space}5mm{space}size{space}55x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+11
+11
+TerminalBlock_RND
+TerminalBlock_RND_205-00011_1x12_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00011,{space}12{space}pins,{space}pitch{space}5mm,{space}size{space}60x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00001_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00011{space}pitch{space}5mm{space}size{space}60x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+12
+12
+TerminalBlock_RND
+TerminalBlock_RND_205-00012_1x02_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00012,{space}2{space}pins,{space}pitch{space}5mm,{space}size{space}10x7.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00012_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00012{space}pitch{space}5mm{space}size{space}10x7.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+2
+2
+TerminalBlock_RND
+TerminalBlock_RND_205-00013_1x03_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00013,{space}3{space}pins,{space}pitch{space}5mm,{space}size{space}15x7.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00012_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00013{space}pitch{space}5mm{space}size{space}15x7.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+3
+3
+TerminalBlock_RND
+TerminalBlock_RND_205-00014_1x04_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00014,{space}4{space}pins,{space}pitch{space}5mm,{space}size{space}20x7.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00012_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00014{space}pitch{space}5mm{space}size{space}20x7.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+4
+4
+TerminalBlock_RND
+TerminalBlock_RND_205-00015_1x05_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00015,{space}5{space}pins,{space}pitch{space}5mm,{space}size{space}25x7.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00012_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00015{space}pitch{space}5mm{space}size{space}25x7.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+5
+5
+TerminalBlock_RND
+TerminalBlock_RND_205-00016_1x06_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00016,{space}6{space}pins,{space}pitch{space}5mm,{space}size{space}30x7.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00012_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00016{space}pitch{space}5mm{space}size{space}30x7.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+6
+6
+TerminalBlock_RND
+TerminalBlock_RND_205-00017_1x07_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00017,{space}7{space}pins,{space}pitch{space}5mm,{space}size{space}35x7.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00012_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00017{space}pitch{space}5mm{space}size{space}35x7.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+7
+7
+TerminalBlock_RND
+TerminalBlock_RND_205-00018_1x08_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00018,{space}8{space}pins,{space}pitch{space}5mm,{space}size{space}40x7.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00012_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00018{space}pitch{space}5mm{space}size{space}40x7.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+8
+8
+TerminalBlock_RND
+TerminalBlock_RND_205-00019_1x09_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00019,{space}9{space}pins,{space}pitch{space}5mm,{space}size{space}45x7.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00012_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00019{space}pitch{space}5mm{space}size{space}45x7.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+9
+9
+TerminalBlock_RND
+TerminalBlock_RND_205-00020_1x10_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00020,{space}10{space}pins,{space}pitch{space}5mm,{space}size{space}50x7.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00012_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00020{space}pitch{space}5mm{space}size{space}50x7.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+10
+10
+TerminalBlock_RND
+TerminalBlock_RND_205-00021_1x11_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00021,{space}11{space}pins,{space}pitch{space}5mm,{space}size{space}55x7.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00012_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00021{space}pitch{space}5mm{space}size{space}55x7.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+11
+11
+TerminalBlock_RND
+TerminalBlock_RND_205-00022_1x12_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00022,{space}12{space}pins,{space}pitch{space}5mm,{space}size{space}60x7.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00012_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00022{space}pitch{space}5mm{space}size{space}60x7.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+12
+12
+TerminalBlock_RND
+TerminalBlock_RND_205-00023_1x02_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00023,{space}2{space}pins,{space}pitch{space}10mm,{space}size{space}15x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00023{space}pitch{space}10mm{space}size{space}15x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+2
+2
+TerminalBlock_RND
+TerminalBlock_RND_205-00024_1x03_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00024,{space}3{space}pins,{space}pitch{space}10mm,{space}size{space}25x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00024{space}pitch{space}10mm{space}size{space}25x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+3
+3
+TerminalBlock_RND
+TerminalBlock_RND_205-00025_1x04_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00025,{space}4{space}pins,{space}pitch{space}10mm,{space}size{space}35x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00025{space}pitch{space}10mm{space}size{space}35x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+4
+4
+TerminalBlock_RND
+TerminalBlock_RND_205-00026_1x05_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00026,{space}5{space}pins,{space}pitch{space}10mm,{space}size{space}45x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00026{space}pitch{space}10mm{space}size{space}45x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+5
+5
+TerminalBlock_RND
+TerminalBlock_RND_205-00027_1x06_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00027,{space}6{space}pins,{space}pitch{space}10mm,{space}size{space}55x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00027{space}pitch{space}10mm{space}size{space}55x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+6
+6
+TerminalBlock_RND
+TerminalBlock_RND_205-00028_1x07_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00028,{space}7{space}pins,{space}pitch{space}10mm,{space}size{space}65x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00028{space}pitch{space}10mm{space}size{space}65x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+7
+7
+TerminalBlock_RND
+TerminalBlock_RND_205-00029_1x08_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00029,{space}8{space}pins,{space}pitch{space}10mm,{space}size{space}75x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00029{space}pitch{space}10mm{space}size{space}75x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+8
+8
+TerminalBlock_RND
+TerminalBlock_RND_205-00030_1x09_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00030,{space}9{space}pins,{space}pitch{space}10mm,{space}size{space}85x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00030{space}pitch{space}10mm{space}size{space}85x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+9
+9
+TerminalBlock_RND
+TerminalBlock_RND_205-00031_1x10_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00031,{space}10{space}pins,{space}pitch{space}10mm,{space}size{space}95x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00031{space}pitch{space}10mm{space}size{space}95x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+10
+10
+TerminalBlock_RND
+TerminalBlock_RND_205-00032_1x11_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00032,{space}11{space}pins,{space}pitch{space}10mm,{space}size{space}105x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00032{space}pitch{space}10mm{space}size{space}105x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+11
+11
+TerminalBlock_RND
+TerminalBlock_RND_205-00033_1x12_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00033,{space}12{space}pins,{space}pitch{space}10mm,{space}size{space}115x9mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00033{space}pitch{space}10mm{space}size{space}115x9mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+12
+12
+TerminalBlock_RND
+TerminalBlock_RND_205-00045_1x02_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00045,{space}2{space}pins,{space}pitch{space}5mm,{space}size{space}10x8.1mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00045_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00045{space}pitch{space}5mm{space}size{space}10x8.1mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+2
+2
+TerminalBlock_RND
+TerminalBlock_RND_205-00046_1x03_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00046,{space}3{space}pins,{space}pitch{space}5mm,{space}size{space}15x8.1mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00045_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00046{space}pitch{space}5mm{space}size{space}15x8.1mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+3
+3
+TerminalBlock_RND
+TerminalBlock_RND_205-00047_1x04_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00047,{space}4{space}pins,{space}pitch{space}5mm,{space}size{space}20x8.1mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00045_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00047{space}pitch{space}5mm{space}size{space}20x8.1mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+4
+4
+TerminalBlock_RND
+TerminalBlock_RND_205-00048_1x05_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00048,{space}5{space}pins,{space}pitch{space}5mm,{space}size{space}25x8.1mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00045_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00048{space}pitch{space}5mm{space}size{space}25x8.1mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+5
+5
+TerminalBlock_RND
+TerminalBlock_RND_205-00049_1x06_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00049,{space}6{space}pins,{space}pitch{space}5mm,{space}size{space}30x8.1mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00045_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00049{space}pitch{space}5mm{space}size{space}30x8.1mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+6
+6
+TerminalBlock_RND
+TerminalBlock_RND_205-00050_1x07_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00050,{space}7{space}pins,{space}pitch{space}5mm,{space}size{space}35x8.1mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00045_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00050{space}pitch{space}5mm{space}size{space}35x8.1mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+7
+7
+TerminalBlock_RND
+TerminalBlock_RND_205-00051_1x08_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00051,{space}8{space}pins,{space}pitch{space}5mm,{space}size{space}40x8.1mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00045_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00051{space}pitch{space}5mm{space}size{space}40x8.1mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+8
+8
+TerminalBlock_RND
+TerminalBlock_RND_205-00052_1x09_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00052,{space}9{space}pins,{space}pitch{space}5mm,{space}size{space}45x8.1mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00045_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00052{space}pitch{space}5mm{space}size{space}45x8.1mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+9
+9
+TerminalBlock_RND
+TerminalBlock_RND_205-00053_1x10_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00053,{space}10{space}pins,{space}pitch{space}5mm,{space}size{space}50x8.1mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00045_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00053{space}pitch{space}5mm{space}size{space}50x8.1mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+10
+10
+TerminalBlock_RND
+TerminalBlock_RND_205-00054_1x11_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00054,{space}11{space}pins,{space}pitch{space}5mm,{space}size{space}55x8.1mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00045_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00054{space}pitch{space}5mm{space}size{space}55x8.1mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+11
+11
+TerminalBlock_RND
+TerminalBlock_RND_205-00055_1x12_P5.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00055,{space}12{space}pins,{space}pitch{space}5mm,{space}size{space}60x8.1mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00045_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00055{space}pitch{space}5mm{space}size{space}60x8.1mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+12
+12
+TerminalBlock_RND
+TerminalBlock_RND_205-00056_1x02_P5.00mm_45Degree
+terminal{space}block{space}RND{space}205-00056,{space}45Degree{space}(cable{space}under{space}45degree),{space}2{space}pins,{space}pitch{space}5mm,{space}size{space}10x12.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00056_DB_EN.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00056{space}45Degree{space}pitch{space}5mm{space}size{space}10x12.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+2
+2
+TerminalBlock_RND
+TerminalBlock_RND_205-00057_1x03_P5.00mm_45Degree
+terminal{space}block{space}RND{space}205-00057,{space}45Degree{space}(cable{space}under{space}45degree),{space}3{space}pins,{space}pitch{space}5mm,{space}size{space}15x12.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00056_DB_EN.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00057{space}45Degree{space}pitch{space}5mm{space}size{space}15x12.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+3
+3
+TerminalBlock_RND
+TerminalBlock_RND_205-00058_1x04_P5.00mm_45Degree
+terminal{space}block{space}RND{space}205-00058,{space}45Degree{space}(cable{space}under{space}45degree),{space}4{space}pins,{space}pitch{space}5mm,{space}size{space}20x12.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00056_DB_EN.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00058{space}45Degree{space}pitch{space}5mm{space}size{space}20x12.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+4
+4
+TerminalBlock_RND
+TerminalBlock_RND_205-00059_1x05_P5.00mm_45Degree
+terminal{space}block{space}RND{space}205-00059,{space}45Degree{space}(cable{space}under{space}45degree),{space}5{space}pins,{space}pitch{space}5mm,{space}size{space}25x12.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00056_DB_EN.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00059{space}45Degree{space}pitch{space}5mm{space}size{space}25x12.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+5
+5
+TerminalBlock_RND
+TerminalBlock_RND_205-00060_1x06_P5.00mm_45Degree
+terminal{space}block{space}RND{space}205-00060,{space}45Degree{space}(cable{space}under{space}45degree),{space}6{space}pins,{space}pitch{space}5mm,{space}size{space}30x12.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00056_DB_EN.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00060{space}45Degree{space}pitch{space}5mm{space}size{space}30x12.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+6
+6
+TerminalBlock_RND
+TerminalBlock_RND_205-00061_1x07_P5.00mm_45Degree
+terminal{space}block{space}RND{space}205-00061,{space}45Degree{space}(cable{space}under{space}45degree),{space}7{space}pins,{space}pitch{space}5mm,{space}size{space}35x12.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00056_DB_EN.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00061{space}45Degree{space}pitch{space}5mm{space}size{space}35x12.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+7
+7
+TerminalBlock_RND
+TerminalBlock_RND_205-00062_1x08_P5.00mm_45Degree
+terminal{space}block{space}RND{space}205-00062,{space}45Degree{space}(cable{space}under{space}45degree),{space}8{space}pins,{space}pitch{space}5mm,{space}size{space}40x12.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00056_DB_EN.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00062{space}45Degree{space}pitch{space}5mm{space}size{space}40x12.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+8
+8
+TerminalBlock_RND
+TerminalBlock_RND_205-00063_1x09_P5.00mm_45Degree
+terminal{space}block{space}RND{space}205-00063,{space}45Degree{space}(cable{space}under{space}45degree),{space}9{space}pins,{space}pitch{space}5mm,{space}size{space}45x12.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00056_DB_EN.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00063{space}45Degree{space}pitch{space}5mm{space}size{space}45x12.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+9
+9
+TerminalBlock_RND
+TerminalBlock_RND_205-00064_1x10_P5.00mm_45Degree
+terminal{space}block{space}RND{space}205-00064,{space}45Degree{space}(cable{space}under{space}45degree),{space}10{space}pins,{space}pitch{space}5mm,{space}size{space}50x12.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00056_DB_EN.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00064{space}45Degree{space}pitch{space}5mm{space}size{space}50x12.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+10
+10
+TerminalBlock_RND
+TerminalBlock_RND_205-00065_1x11_P5.00mm_45Degree
+terminal{space}block{space}RND{space}205-00065,{space}45Degree{space}(cable{space}under{space}45degree),{space}11{space}pins,{space}pitch{space}5mm,{space}size{space}55x12.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00056_DB_EN.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00065{space}45Degree{space}pitch{space}5mm{space}size{space}55x12.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+11
+11
+TerminalBlock_RND
+TerminalBlock_RND_205-00066_1x12_P5.00mm_45Degree
+terminal{space}block{space}RND{space}205-00066,{space}45Degree{space}(cable{space}under{space}45degree),{space}12{space}pins,{space}pitch{space}5mm,{space}size{space}60x12.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00056_DB_EN.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00066{space}45Degree{space}pitch{space}5mm{space}size{space}60x12.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+12
+12
+TerminalBlock_RND
+TerminalBlock_RND_205-00067_1x02_P7.50mm_Horizontal
+terminal{space}block{space}RND{space}205-00067,{space}2{space}pins,{space}pitch{space}7.5mm,{space}size{space}15x10.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00067_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00067{space}pitch{space}7.5mm{space}size{space}15x10.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+2
+2
+TerminalBlock_RND
+TerminalBlock_RND_205-00068_1x03_P7.50mm_Horizontal
+terminal{space}block{space}RND{space}205-00068,{space}3{space}pins,{space}pitch{space}7.5mm,{space}size{space}22.5x10.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00067_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00068{space}pitch{space}7.5mm{space}size{space}22.5x10.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+3
+3
+TerminalBlock_RND
+TerminalBlock_RND_205-00069_1x04_P7.50mm_Horizontal
+terminal{space}block{space}RND{space}205-00069,{space}4{space}pins,{space}pitch{space}7.5mm,{space}size{space}30x10.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00067_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00069{space}pitch{space}7.5mm{space}size{space}30x10.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+4
+4
+TerminalBlock_RND
+TerminalBlock_RND_205-00070_1x05_P7.50mm_Horizontal
+terminal{space}block{space}RND{space}205-00070,{space}5{space}pins,{space}pitch{space}7.5mm,{space}size{space}37.5x10.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00067_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00070{space}pitch{space}7.5mm{space}size{space}37.5x10.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+5
+5
+TerminalBlock_RND
+TerminalBlock_RND_205-00071_1x06_P7.50mm_Horizontal
+terminal{space}block{space}RND{space}205-00071,{space}6{space}pins,{space}pitch{space}7.5mm,{space}size{space}45x10.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00067_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00071{space}pitch{space}7.5mm{space}size{space}45x10.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+6
+6
+TerminalBlock_RND
+TerminalBlock_RND_205-00072_1x07_P7.50mm_Horizontal
+terminal{space}block{space}RND{space}205-00072,{space}7{space}pins,{space}pitch{space}7.5mm,{space}size{space}52.5x10.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00067_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00072{space}pitch{space}7.5mm{space}size{space}52.5x10.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+7
+7
+TerminalBlock_RND
+TerminalBlock_RND_205-00073_1x08_P7.50mm_Horizontal
+terminal{space}block{space}RND{space}205-00073,{space}8{space}pins,{space}pitch{space}7.5mm,{space}size{space}60x10.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00067_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00073{space}pitch{space}7.5mm{space}size{space}60x10.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+8
+8
+TerminalBlock_RND
+TerminalBlock_RND_205-00074_1x09_P7.50mm_Horizontal
+terminal{space}block{space}RND{space}205-00074,{space}9{space}pins,{space}pitch{space}7.5mm,{space}size{space}67.5x10.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00067_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00074{space}pitch{space}7.5mm{space}size{space}67.5x10.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+9
+9
+TerminalBlock_RND
+TerminalBlock_RND_205-00075_1x10_P7.50mm_Horizontal
+terminal{space}block{space}RND{space}205-00075,{space}10{space}pins,{space}pitch{space}7.5mm,{space}size{space}75x10.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00067_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00075{space}pitch{space}7.5mm{space}size{space}75x10.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+10
+10
+TerminalBlock_RND
+TerminalBlock_RND_205-00076_1x11_P7.50mm_Horizontal
+terminal{space}block{space}RND{space}205-00076,{space}11{space}pins,{space}pitch{space}7.5mm,{space}size{space}82.5x10.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00067_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00076{space}pitch{space}7.5mm{space}size{space}82.5x10.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+11
+11
+TerminalBlock_RND
+TerminalBlock_RND_205-00077_1x12_P7.50mm_Horizontal
+terminal{space}block{space}RND{space}205-00077,{space}12{space}pins,{space}pitch{space}7.5mm,{space}size{space}90x10.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00067_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00077{space}pitch{space}7.5mm{space}size{space}90x10.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+12
+12
+TerminalBlock_RND
+TerminalBlock_RND_205-00078_1x02_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00078,{space}2{space}pins,{space}pitch{space}10mm,{space}size{space}15x10.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00078_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00078{space}pitch{space}10mm{space}size{space}15x10.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+2
+2
+TerminalBlock_RND
+TerminalBlock_RND_205-00079_1x03_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00079,{space}3{space}pins,{space}pitch{space}10mm,{space}size{space}25x10.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00078_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00079{space}pitch{space}10mm{space}size{space}25x10.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+3
+3
+TerminalBlock_RND
+TerminalBlock_RND_205-00080_1x04_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00080,{space}4{space}pins,{space}pitch{space}10mm,{space}size{space}35x10.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00078_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00080{space}pitch{space}10mm{space}size{space}35x10.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+4
+4
+TerminalBlock_RND
+TerminalBlock_RND_205-00081_1x05_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00081,{space}5{space}pins,{space}pitch{space}10mm,{space}size{space}45x10.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00078_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00081{space}pitch{space}10mm{space}size{space}45x10.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+5
+5
+TerminalBlock_RND
+TerminalBlock_RND_205-00082_1x06_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00082,{space}6{space}pins,{space}pitch{space}10mm,{space}size{space}55x10.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00078_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00082{space}pitch{space}10mm{space}size{space}55x10.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+6
+6
+TerminalBlock_RND
+TerminalBlock_RND_205-00083_1x07_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00083,{space}7{space}pins,{space}pitch{space}10mm,{space}size{space}65x10.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00078_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00083{space}pitch{space}10mm{space}size{space}65x10.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+7
+7
+TerminalBlock_RND
+TerminalBlock_RND_205-00084_1x08_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00084,{space}8{space}pins,{space}pitch{space}10mm,{space}size{space}75x10.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00078_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00084{space}pitch{space}10mm{space}size{space}75x10.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+8
+8
+TerminalBlock_RND
+TerminalBlock_RND_205-00085_1x09_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00085,{space}9{space}pins,{space}pitch{space}10mm,{space}size{space}85x10.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00078_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00085{space}pitch{space}10mm{space}size{space}85x10.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+9
+9
+TerminalBlock_RND
+TerminalBlock_RND_205-00086_1x10_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00086,{space}10{space}pins,{space}pitch{space}10mm,{space}size{space}95x10.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00078_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00086{space}pitch{space}10mm{space}size{space}95x10.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+10
+10
+TerminalBlock_RND
+TerminalBlock_RND_205-00087_1x11_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00087,{space}11{space}pins,{space}pitch{space}10mm,{space}size{space}105x10.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00078_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00087{space}pitch{space}10mm{space}size{space}105x10.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+11
+11
+TerminalBlock_RND
+TerminalBlock_RND_205-00088_1x12_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00088,{space}12{space}pins,{space}pitch{space}10mm,{space}size{space}115x10.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00078_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00088{space}pitch{space}10mm{space}size{space}115x10.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+12
+12
+TerminalBlock_RND
+TerminalBlock_RND_205-00232_1x02_P5.08mm_Horizontal
+terminal{space}block{space}RND{space}205-00232,{space}2{space}pins,{space}pitch{space}5.08mm,{space}size{space}10.2x8.45mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00232_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00232{space}pitch{space}5.08mm{space}size{space}10.2x8.45mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+2
+2
+TerminalBlock_RND
+TerminalBlock_RND_205-00233_1x03_P5.08mm_Horizontal
+terminal{space}block{space}RND{space}205-00233,{space}3{space}pins,{space}pitch{space}5.08mm,{space}size{space}15.2x8.45mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00232_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00233{space}pitch{space}5.08mm{space}size{space}15.2x8.45mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+3
+3
+TerminalBlock_RND
+TerminalBlock_RND_205-00234_1x04_P5.08mm_Horizontal
+terminal{space}block{space}RND{space}205-00234,{space}4{space}pins,{space}pitch{space}5.08mm,{space}size{space}20.3x8.45mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00232_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00234{space}pitch{space}5.08mm{space}size{space}20.3x8.45mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+4
+4
+TerminalBlock_RND
+TerminalBlock_RND_205-00235_1x05_P5.08mm_Horizontal
+terminal{space}block{space}RND{space}205-00235,{space}5{space}pins,{space}pitch{space}5.08mm,{space}size{space}25.4x8.45mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00232_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00235{space}pitch{space}5.08mm{space}size{space}25.4x8.45mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+5
+5
+TerminalBlock_RND
+TerminalBlock_RND_205-00236_1x06_P5.08mm_Horizontal
+terminal{space}block{space}RND{space}205-00236,{space}6{space}pins,{space}pitch{space}5.08mm,{space}size{space}30.5x8.45mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00232_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00236{space}pitch{space}5.08mm{space}size{space}30.5x8.45mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+6
+6
+TerminalBlock_RND
+TerminalBlock_RND_205-00237_1x07_P5.08mm_Horizontal
+terminal{space}block{space}RND{space}205-00237,{space}7{space}pins,{space}pitch{space}5.08mm,{space}size{space}35.6x8.45mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00232_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00237{space}pitch{space}5.08mm{space}size{space}35.6x8.45mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+7
+7
+TerminalBlock_RND
+TerminalBlock_RND_205-00238_1x08_P5.08mm_Horizontal
+terminal{space}block{space}RND{space}205-00238,{space}8{space}pins,{space}pitch{space}5.08mm,{space}size{space}40.6x8.45mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00232_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00238{space}pitch{space}5.08mm{space}size{space}40.6x8.45mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+8
+8
+TerminalBlock_RND
+TerminalBlock_RND_205-00239_1x09_P5.08mm_Horizontal
+terminal{space}block{space}RND{space}205-00239,{space}9{space}pins,{space}pitch{space}5.08mm,{space}size{space}45.7x8.45mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00232_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00239{space}pitch{space}5.08mm{space}size{space}45.7x8.45mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+9
+9
+TerminalBlock_RND
+TerminalBlock_RND_205-00240_1x10_P5.08mm_Horizontal
+terminal{space}block{space}RND{space}205-00240,{space}10{space}pins,{space}pitch{space}5.08mm,{space}size{space}50.8x8.45mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00232_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00240{space}pitch{space}5.08mm{space}size{space}50.8x8.45mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+10
+10
+TerminalBlock_RND
+TerminalBlock_RND_205-00241_1x02_P10.16mm_Horizontal
+terminal{space}block{space}RND{space}205-00241,{space}2{space}pins,{space}pitch{space}10.2mm,{space}size{space}15.2x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00241{space}pitch{space}10.2mm{space}size{space}15.2x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+2
+2
+TerminalBlock_RND
+TerminalBlock_RND_205-00242_1x03_P10.16mm_Horizontal
+terminal{space}block{space}RND{space}205-00242,{space}3{space}pins,{space}pitch{space}10.2mm,{space}size{space}25.4x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00242{space}pitch{space}10.2mm{space}size{space}25.4x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+3
+3
+TerminalBlock_RND
+TerminalBlock_RND_205-00243_1x04_P10.16mm_Horizontal
+terminal{space}block{space}RND{space}205-00243,{space}4{space}pins,{space}pitch{space}10.2mm,{space}size{space}35.6x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00243{space}pitch{space}10.2mm{space}size{space}35.6x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+4
+4
+TerminalBlock_RND
+TerminalBlock_RND_205-00244_1x05_P10.16mm_Horizontal
+terminal{space}block{space}RND{space}205-00244,{space}5{space}pins,{space}pitch{space}10.2mm,{space}size{space}45.7x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00244{space}pitch{space}10.2mm{space}size{space}45.7x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+5
+5
+TerminalBlock_RND
+TerminalBlock_RND_205-00245_1x06_P10.16mm_Horizontal
+terminal{space}block{space}RND{space}205-00245,{space}6{space}pins,{space}pitch{space}10.2mm,{space}size{space}55.9x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00245{space}pitch{space}10.2mm{space}size{space}55.9x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+6
+6
+TerminalBlock_RND
+TerminalBlock_RND_205-00246_1x07_P10.16mm_Horizontal
+terminal{space}block{space}RND{space}205-00246,{space}7{space}pins,{space}pitch{space}10.2mm,{space}size{space}66x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00246{space}pitch{space}10.2mm{space}size{space}66x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+7
+7
+TerminalBlock_RND
+TerminalBlock_RND_205-00247_1x08_P10.16mm_Horizontal
+terminal{space}block{space}RND{space}205-00247,{space}8{space}pins,{space}pitch{space}10.2mm,{space}size{space}76.2x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00247{space}pitch{space}10.2mm{space}size{space}76.2x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+8
+8
+TerminalBlock_RND
+TerminalBlock_RND_205-00248_1x09_P10.16mm_Horizontal
+terminal{space}block{space}RND{space}205-00248,{space}9{space}pins,{space}pitch{space}10.2mm,{space}size{space}86.4x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00248{space}pitch{space}10.2mm{space}size{space}86.4x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+9
+9
+TerminalBlock_RND
+TerminalBlock_RND_205-00249_1x10_P10.16mm_Horizontal
+terminal{space}block{space}RND{space}205-00249,{space}10{space}pins,{space}pitch{space}10.2mm,{space}size{space}96.5x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00249{space}pitch{space}10.2mm{space}size{space}96.5x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+10
+10
+TerminalBlock_RND
+TerminalBlock_RND_205-00250_1x11_P10.16mm_Horizontal
+terminal{space}block{space}RND{space}205-00250,{space}11{space}pins,{space}pitch{space}10.2mm,{space}size{space}107x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00250{space}pitch{space}10.2mm{space}size{space}107x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+11
+11
+TerminalBlock_RND
+TerminalBlock_RND_205-00251_1x12_P10.16mm_Horizontal
+terminal{space}block{space}RND{space}205-00251,{space}12{space}pins,{space}pitch{space}10.2mm,{space}size{space}117x8.3mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00023_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00251{space}pitch{space}10.2mm{space}size{space}117x8.3mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+12
+12
+TerminalBlock_RND
+TerminalBlock_RND_205-00276_1x02_P5.00mm_Vertical
+terminal{space}block{space}RND{space}205-00078,{space}vertical{space}(cable{space}from{space}top),{space}2{space}pins,{space}pitch{space}5mm,{space}size{space}10x10mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00276_DB_EN.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00078{space}vertical{space}pitch{space}5mm{space}size{space}10x10mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+2
+2
+TerminalBlock_RND
+TerminalBlock_RND_205-00277_1x03_P5.00mm_Vertical
+terminal{space}block{space}RND{space}205-00079,{space}vertical{space}(cable{space}from{space}top),{space}3{space}pins,{space}pitch{space}5mm,{space}size{space}15x10mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00276_DB_EN.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00079{space}vertical{space}pitch{space}5mm{space}size{space}15x10mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+3
+3
+TerminalBlock_RND
+TerminalBlock_RND_205-00278_1x04_P5.00mm_Vertical
+terminal{space}block{space}RND{space}205-00080,{space}vertical{space}(cable{space}from{space}top),{space}4{space}pins,{space}pitch{space}5mm,{space}size{space}20x10mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00276_DB_EN.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00080{space}vertical{space}pitch{space}5mm{space}size{space}20x10mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+4
+4
+TerminalBlock_RND
+TerminalBlock_RND_205-00279_1x05_P5.00mm_Vertical
+terminal{space}block{space}RND{space}205-00081,{space}vertical{space}(cable{space}from{space}top),{space}5{space}pins,{space}pitch{space}5mm,{space}size{space}25x10mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00276_DB_EN.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00081{space}vertical{space}pitch{space}5mm{space}size{space}25x10mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+5
+5
+TerminalBlock_RND
+TerminalBlock_RND_205-00280_1x06_P5.00mm_Vertical
+terminal{space}block{space}RND{space}205-00082,{space}vertical{space}(cable{space}from{space}top),{space}6{space}pins,{space}pitch{space}5mm,{space}size{space}30x10mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00276_DB_EN.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00082{space}vertical{space}pitch{space}5mm{space}size{space}30x10mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+6
+6
+TerminalBlock_RND
+TerminalBlock_RND_205-00281_1x07_P5.00mm_Vertical
+terminal{space}block{space}RND{space}205-00083,{space}vertical{space}(cable{space}from{space}top),{space}7{space}pins,{space}pitch{space}5mm,{space}size{space}35x10mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00276_DB_EN.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00083{space}vertical{space}pitch{space}5mm{space}size{space}35x10mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+7
+7
+TerminalBlock_RND
+TerminalBlock_RND_205-00282_1x08_P5.00mm_Vertical
+terminal{space}block{space}RND{space}205-00084,{space}vertical{space}(cable{space}from{space}top),{space}8{space}pins,{space}pitch{space}5mm,{space}size{space}40x10mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00276_DB_EN.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00084{space}vertical{space}pitch{space}5mm{space}size{space}40x10mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+8
+8
+TerminalBlock_RND
+TerminalBlock_RND_205-00283_1x09_P5.00mm_Vertical
+terminal{space}block{space}RND{space}205-00085,{space}vertical{space}(cable{space}from{space}top),{space}9{space}pins,{space}pitch{space}5mm,{space}size{space}45x10mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00276_DB_EN.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00085{space}vertical{space}pitch{space}5mm{space}size{space}45x10mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+9
+9
+TerminalBlock_RND
+TerminalBlock_RND_205-00284_1x10_P5.00mm_Vertical
+terminal{space}block{space}RND{space}205-00086,{space}vertical{space}(cable{space}from{space}top),{space}10{space}pins,{space}pitch{space}5mm,{space}size{space}50x10mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00276_DB_EN.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00086{space}vertical{space}pitch{space}5mm{space}size{space}50x10mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+10
+10
+TerminalBlock_RND
+TerminalBlock_RND_205-00285_1x11_P5.00mm_Vertical
+terminal{space}block{space}RND{space}205-00087,{space}vertical{space}(cable{space}from{space}top),{space}11{space}pins,{space}pitch{space}5mm,{space}size{space}55x10mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00276_DB_EN.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00087{space}vertical{space}pitch{space}5mm{space}size{space}55x10mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+11
+11
+TerminalBlock_RND
+TerminalBlock_RND_205-00286_1x12_P5.00mm_Vertical
+terminal{space}block{space}RND{space}205-00088,{space}vertical{space}(cable{space}from{space}top),{space}12{space}pins,{space}pitch{space}5mm,{space}size{space}60x10mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00276_DB_EN.pdf,{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00088{space}vertical{space}pitch{space}5mm{space}size{space}60x10mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+12
+12
+TerminalBlock_RND
+TerminalBlock_RND_205-00287_1x02_P5.08mm_Horizontal
+terminal{space}block{space}RND{space}205-00287,{space}2{space}pins,{space}pitch{space}5.08mm,{space}size{space}10.2x10.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00287_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00287{space}pitch{space}5.08mm{space}size{space}10.2x10.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+2
+2
+TerminalBlock_RND
+TerminalBlock_RND_205-00288_1x03_P5.08mm_Horizontal
+terminal{space}block{space}RND{space}205-00288,{space}3{space}pins,{space}pitch{space}5.08mm,{space}size{space}15.2x10.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00287_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00288{space}pitch{space}5.08mm{space}size{space}15.2x10.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+3
+3
+TerminalBlock_RND
+TerminalBlock_RND_205-00289_1x04_P5.08mm_Horizontal
+terminal{space}block{space}RND{space}205-00289,{space}4{space}pins,{space}pitch{space}5.08mm,{space}size{space}20.3x10.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00287_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00289{space}pitch{space}5.08mm{space}size{space}20.3x10.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+4
+4
+TerminalBlock_RND
+TerminalBlock_RND_205-00290_1x05_P5.08mm_Horizontal
+terminal{space}block{space}RND{space}205-00290,{space}5{space}pins,{space}pitch{space}5.08mm,{space}size{space}25.4x10.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00287_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00290{space}pitch{space}5.08mm{space}size{space}25.4x10.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+5
+5
+TerminalBlock_RND
+TerminalBlock_RND_205-00291_1x06_P5.08mm_Horizontal
+terminal{space}block{space}RND{space}205-00291,{space}6{space}pins,{space}pitch{space}5.08mm,{space}size{space}30.5x10.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00287_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00291{space}pitch{space}5.08mm{space}size{space}30.5x10.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+6
+6
+TerminalBlock_RND
+TerminalBlock_RND_205-00292_1x07_P5.08mm_Horizontal
+terminal{space}block{space}RND{space}205-00292,{space}7{space}pins,{space}pitch{space}5.08mm,{space}size{space}35.6x10.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00287_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00292{space}pitch{space}5.08mm{space}size{space}35.6x10.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+7
+7
+TerminalBlock_RND
+TerminalBlock_RND_205-00293_1x08_P5.08mm_Horizontal
+terminal{space}block{space}RND{space}205-00293,{space}8{space}pins,{space}pitch{space}5.08mm,{space}size{space}40.6x10.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00287_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00293{space}pitch{space}5.08mm{space}size{space}40.6x10.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+8
+8
+TerminalBlock_RND
+TerminalBlock_RND_205-00294_1x09_P5.08mm_Horizontal
+terminal{space}block{space}RND{space}205-00294,{space}9{space}pins,{space}pitch{space}5.08mm,{space}size{space}45.7x10.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00287_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00294{space}pitch{space}5.08mm{space}size{space}45.7x10.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+9
+9
+TerminalBlock_RND
+TerminalBlock_RND_205-00295_1x10_P5.08mm_Horizontal
+terminal{space}block{space}RND{space}205-00295,{space}10{space}pins,{space}pitch{space}5.08mm,{space}size{space}50.8x10.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00287_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00295{space}pitch{space}5.08mm{space}size{space}50.8x10.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+10
+10
+TerminalBlock_RND
+TerminalBlock_RND_205-00296_1x11_P5.08mm_Horizontal
+terminal{space}block{space}RND{space}205-00296,{space}11{space}pins,{space}pitch{space}5.08mm,{space}size{space}55.9x10.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00287_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00296{space}pitch{space}5.08mm{space}size{space}55.9x10.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+11
+11
+TerminalBlock_RND
+TerminalBlock_RND_205-00297_1x12_P5.08mm_Horizontal
+terminal{space}block{space}RND{space}205-00297,{space}12{space}pins,{space}pitch{space}5.08mm,{space}size{space}61x10.6mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00287_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00297{space}pitch{space}5.08mm{space}size{space}61x10.6mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+12
+12
+TerminalBlock_RND
+TerminalBlock_RND_205-00298_1x02_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00298,{space}2{space}pins,{space}pitch{space}10mm,{space}size{space}15x8.1mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00298_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00298{space}pitch{space}10mm{space}size{space}15x8.1mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+2
+2
+TerminalBlock_RND
+TerminalBlock_RND_205-00299_1x03_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00299,{space}3{space}pins,{space}pitch{space}10mm,{space}size{space}25x8.1mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00298_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00299{space}pitch{space}10mm{space}size{space}25x8.1mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+3
+3
+TerminalBlock_RND
+TerminalBlock_RND_205-00300_1x04_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00300,{space}4{space}pins,{space}pitch{space}10mm,{space}size{space}35x8.1mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00298_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00300{space}pitch{space}10mm{space}size{space}35x8.1mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+4
+4
+TerminalBlock_RND
+TerminalBlock_RND_205-00301_1x05_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00301,{space}5{space}pins,{space}pitch{space}10mm,{space}size{space}45x8.1mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00298_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00301{space}pitch{space}10mm{space}size{space}45x8.1mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+5
+5
+TerminalBlock_RND
+TerminalBlock_RND_205-00302_1x06_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00302,{space}6{space}pins,{space}pitch{space}10mm,{space}size{space}55x8.1mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00298_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00302{space}pitch{space}10mm{space}size{space}55x8.1mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+6
+6
+TerminalBlock_RND
+TerminalBlock_RND_205-00303_1x07_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00303,{space}7{space}pins,{space}pitch{space}10mm,{space}size{space}65x8.1mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00298_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00303{space}pitch{space}10mm{space}size{space}65x8.1mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+7
+7
+TerminalBlock_RND
+TerminalBlock_RND_205-00304_1x08_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00304,{space}8{space}pins,{space}pitch{space}10mm,{space}size{space}75x8.1mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00298_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00304{space}pitch{space}10mm{space}size{space}75x8.1mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+8
+8
+TerminalBlock_RND
+TerminalBlock_RND_205-00305_1x09_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00305,{space}9{space}pins,{space}pitch{space}10mm,{space}size{space}85x8.1mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00298_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00305{space}pitch{space}10mm{space}size{space}85x8.1mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+9
+9
+TerminalBlock_RND
+TerminalBlock_RND_205-00306_1x10_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00306,{space}10{space}pins,{space}pitch{space}10mm,{space}size{space}95x8.1mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00298_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00306{space}pitch{space}10mm{space}size{space}95x8.1mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+10
+10
+TerminalBlock_RND
+TerminalBlock_RND_205-00307_1x11_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00307,{space}11{space}pins,{space}pitch{space}10mm,{space}size{space}105x8.1mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00298_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00307{space}pitch{space}10mm{space}size{space}105x8.1mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+11
+11
+TerminalBlock_RND
+TerminalBlock_RND_205-00308_1x12_P10.00mm_Horizontal
+terminal{space}block{space}RND{space}205-00308,{space}12{space}pins,{space}pitch{space}10mm,{space}size{space}115x8.1mm^2,{space}drill{space}diamater{space}1.3mm,{space}pad{space}diameter{space}2.5mm,{space}see{space}http://cdn-reichelt.de/documents/datenblatt/C151/RND_205-00298_DB_EN.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_RND
+THT{space}terminal{space}block{space}RND{space}205-00308{space}pitch{space}10mm{space}size{space}115x8.1mm^2{space}drill{space}1.3mm{space}pad{space}2.5mm
+0
+12
+12
+TerminalBlock_TE-Connectivity
+TerminalBlock_TE_1-282834-0_1x10_P2.54mm_Horizontal
+Terminal{space}Block{space}TE{space}1-282834-0,{space}10{space}pins,{space}pitch{space}2.54mm,{space}size{space}25.86x6.5mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F282834%7FC1%7Fpdf%7FEnglish%7FENG_CD_282834_C1.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_TE-Connectivity
+THT{space}Terminal{space}Block{space}TE{space}1-282834-0{space}pitch{space}2.54mm{space}size{space}25.86x6.5mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+10
+10
+TerminalBlock_TE-Connectivity
+TerminalBlock_TE_1-282834-1_1x11_P2.54mm_Horizontal
+Terminal{space}Block{space}TE{space}1-282834-1,{space}11{space}pins,{space}pitch{space}2.54mm,{space}size{space}28.4x6.5mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F282834%7FC1%7Fpdf%7FEnglish%7FENG_CD_282834_C1.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_TE-Connectivity
+THT{space}Terminal{space}Block{space}TE{space}1-282834-1{space}pitch{space}2.54mm{space}size{space}28.4x6.5mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+11
+11
+TerminalBlock_TE-Connectivity
+TerminalBlock_TE_1-282834-2_1x12_P2.54mm_Horizontal
+Terminal{space}Block{space}TE{space}1-282834-2,{space}12{space}pins,{space}pitch{space}2.54mm,{space}size{space}30.94x6.5mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F282834%7FC1%7Fpdf%7FEnglish%7FENG_CD_282834_C1.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_TE-Connectivity
+THT{space}Terminal{space}Block{space}TE{space}1-282834-2{space}pitch{space}2.54mm{space}size{space}30.94x6.5mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+12
+12
+TerminalBlock_TE-Connectivity
+TerminalBlock_TE_282834-2_1x02_P2.54mm_Horizontal
+Terminal{space}Block{space}TE{space}282834-2,{space}2{space}pins,{space}pitch{space}2.54mm,{space}size{space}5.54x6.5mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F282834%7FC1%7Fpdf%7FEnglish%7FENG_CD_282834_C1.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_TE-Connectivity
+THT{space}Terminal{space}Block{space}TE{space}282834-2{space}pitch{space}2.54mm{space}size{space}5.54x6.5mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+2
+2
+TerminalBlock_TE-Connectivity
+TerminalBlock_TE_282834-3_1x03_P2.54mm_Horizontal
+Terminal{space}Block{space}TE{space}282834-3,{space}3{space}pins,{space}pitch{space}2.54mm,{space}size{space}8.08x6.5mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F282834%7FC1%7Fpdf%7FEnglish%7FENG_CD_282834_C1.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_TE-Connectivity
+THT{space}Terminal{space}Block{space}TE{space}282834-3{space}pitch{space}2.54mm{space}size{space}8.08x6.5mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+3
+3
+TerminalBlock_TE-Connectivity
+TerminalBlock_TE_282834-4_1x04_P2.54mm_Horizontal
+Terminal{space}Block{space}TE{space}282834-4,{space}4{space}pins,{space}pitch{space}2.54mm,{space}size{space}10.620000000000001x6.5mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F282834%7FC1%7Fpdf%7FEnglish%7FENG_CD_282834_C1.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_TE-Connectivity
+THT{space}Terminal{space}Block{space}TE{space}282834-4{space}pitch{space}2.54mm{space}size{space}10.620000000000001x6.5mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+4
+4
+TerminalBlock_TE-Connectivity
+TerminalBlock_TE_282834-5_1x05_P2.54mm_Horizontal
+Terminal{space}Block{space}TE{space}282834-5,{space}5{space}pins,{space}pitch{space}2.54mm,{space}size{space}13.16x6.5mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F282834%7FC1%7Fpdf%7FEnglish%7FENG_CD_282834_C1.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_TE-Connectivity
+THT{space}Terminal{space}Block{space}TE{space}282834-5{space}pitch{space}2.54mm{space}size{space}13.16x6.5mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+5
+5
+TerminalBlock_TE-Connectivity
+TerminalBlock_TE_282834-6_1x06_P2.54mm_Horizontal
+Terminal{space}Block{space}TE{space}282834-6,{space}6{space}pins,{space}pitch{space}2.54mm,{space}size{space}15.7x6.5mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F282834%7FC1%7Fpdf%7FEnglish%7FENG_CD_282834_C1.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_TE-Connectivity
+THT{space}Terminal{space}Block{space}TE{space}282834-6{space}pitch{space}2.54mm{space}size{space}15.7x6.5mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+6
+6
+TerminalBlock_TE-Connectivity
+TerminalBlock_TE_282834-7_1x07_P2.54mm_Horizontal
+Terminal{space}Block{space}TE{space}282834-7,{space}7{space}pins,{space}pitch{space}2.54mm,{space}size{space}18.240000000000002x6.5mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F282834%7FC1%7Fpdf%7FEnglish%7FENG_CD_282834_C1.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_TE-Connectivity
+THT{space}Terminal{space}Block{space}TE{space}282834-7{space}pitch{space}2.54mm{space}size{space}18.240000000000002x6.5mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+7
+7
+TerminalBlock_TE-Connectivity
+TerminalBlock_TE_282834-8_1x08_P2.54mm_Horizontal
+Terminal{space}Block{space}TE{space}282834-8,{space}8{space}pins,{space}pitch{space}2.54mm,{space}size{space}20.78x6.5mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F282834%7FC1%7Fpdf%7FEnglish%7FENG_CD_282834_C1.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_TE-Connectivity
+THT{space}Terminal{space}Block{space}TE{space}282834-8{space}pitch{space}2.54mm{space}size{space}20.78x6.5mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+8
+8
+TerminalBlock_TE-Connectivity
+TerminalBlock_TE_282834-9_1x09_P2.54mm_Horizontal
+Terminal{space}Block{space}TE{space}282834-9,{space}9{space}pins,{space}pitch{space}2.54mm,{space}size{space}23.32x6.5mm^2,{space}drill{space}diamater{space}1.1mm,{space}pad{space}diameter{space}2.1mm,{space}see{space}http://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F282834%7FC1%7Fpdf%7FEnglish%7FENG_CD_282834_C1.pdf,{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_TE-Connectivity
+THT{space}Terminal{space}Block{space}TE{space}282834-9{space}pitch{space}2.54mm{space}size{space}23.32x6.5mm^2{space}drill{space}1.1mm{space}pad{space}2.1mm
+0
+9
+9
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-101_1x01_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-101,{space}45Degree{space}(cable{space}under{space}45degree),{space}1{space}pins,{space}pitch{space}5mm,{space}size{space}6.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-101{space}45Degree{space}pitch{space}5mm{space}size{space}6.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+2
+1
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-102_1x02_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-102,{space}45Degree{space}(cable{space}under{space}45degree),{space}2{space}pins,{space}pitch{space}5mm,{space}size{space}11.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-102{space}45Degree{space}pitch{space}5mm{space}size{space}11.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+4
+2
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-103_1x03_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-103,{space}45Degree{space}(cable{space}under{space}45degree),{space}3{space}pins,{space}pitch{space}5mm,{space}size{space}16.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-103{space}45Degree{space}pitch{space}5mm{space}size{space}16.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+6
+3
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-104_1x04_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-104,{space}45Degree{space}(cable{space}under{space}45degree),{space}4{space}pins,{space}pitch{space}5mm,{space}size{space}21.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-104{space}45Degree{space}pitch{space}5mm{space}size{space}21.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+8
+4
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-105_1x05_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-105,{space}45Degree{space}(cable{space}under{space}45degree),{space}5{space}pins,{space}pitch{space}5mm,{space}size{space}26.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-105{space}45Degree{space}pitch{space}5mm{space}size{space}26.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+10
+5
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-106_1x06_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-106,{space}45Degree{space}(cable{space}under{space}45degree),{space}6{space}pins,{space}pitch{space}5mm,{space}size{space}31.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-106{space}45Degree{space}pitch{space}5mm{space}size{space}31.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+12
+6
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-107_1x07_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-107,{space}45Degree{space}(cable{space}under{space}45degree),{space}7{space}pins,{space}pitch{space}5mm,{space}size{space}36.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-107{space}45Degree{space}pitch{space}5mm{space}size{space}36.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+14
+7
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-108_1x08_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-108,{space}45Degree{space}(cable{space}under{space}45degree),{space}8{space}pins,{space}pitch{space}5mm,{space}size{space}41.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-108{space}45Degree{space}pitch{space}5mm{space}size{space}41.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+16
+8
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-109_1x09_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-109,{space}45Degree{space}(cable{space}under{space}45degree),{space}9{space}pins,{space}pitch{space}5mm,{space}size{space}46.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-109{space}45Degree{space}pitch{space}5mm{space}size{space}46.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+18
+9
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-110_1x10_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-110,{space}45Degree{space}(cable{space}under{space}45degree),{space}10{space}pins,{space}pitch{space}5mm,{space}size{space}51.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-110{space}45Degree{space}pitch{space}5mm{space}size{space}51.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+20
+10
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-112_1x12_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-112,{space}45Degree{space}(cable{space}under{space}45degree),{space}12{space}pins,{space}pitch{space}5mm,{space}size{space}61.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-112{space}45Degree{space}pitch{space}5mm{space}size{space}61.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+24
+12
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-116_1x16_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-116,{space}45Degree{space}(cable{space}under{space}45degree),{space}16{space}pins,{space}pitch{space}5mm,{space}size{space}81.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-116{space}45Degree{space}pitch{space}5mm{space}size{space}81.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+32
+16
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-124_1x24_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-124,{space}45Degree{space}(cable{space}under{space}45degree),{space}24{space}pins,{space}pitch{space}5mm,{space}size{space}122x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-124{space}45Degree{space}pitch{space}5mm{space}size{space}122x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+48
+24
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-201_1x01_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-201,{space}45Degree{space}(cable{space}under{space}45degree),{space}1{space}pins,{space}pitch{space}7.5mm,{space}size{space}9x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-201{space}45Degree{space}pitch{space}7.5mm{space}size{space}9x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+2
+1
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-202_1x02_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-202,{space}45Degree{space}(cable{space}under{space}45degree),{space}2{space}pins,{space}pitch{space}7.5mm,{space}size{space}16.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-202{space}45Degree{space}pitch{space}7.5mm{space}size{space}16.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+4
+2
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-203_1x03_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-203,{space}45Degree{space}(cable{space}under{space}45degree),{space}3{space}pins,{space}pitch{space}7.5mm,{space}size{space}24x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-203{space}45Degree{space}pitch{space}7.5mm{space}size{space}24x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+6
+3
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-204_1x04_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-204,{space}45Degree{space}(cable{space}under{space}45degree),{space}4{space}pins,{space}pitch{space}7.5mm,{space}size{space}31.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-204{space}45Degree{space}pitch{space}7.5mm{space}size{space}31.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+8
+4
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-205_1x05_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-205,{space}45Degree{space}(cable{space}under{space}45degree),{space}5{space}pins,{space}pitch{space}7.5mm,{space}size{space}39x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-205{space}45Degree{space}pitch{space}7.5mm{space}size{space}39x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+10
+5
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-206_1x06_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-206,{space}45Degree{space}(cable{space}under{space}45degree),{space}6{space}pins,{space}pitch{space}7.5mm,{space}size{space}46.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-206{space}45Degree{space}pitch{space}7.5mm{space}size{space}46.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+12
+6
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-207_1x07_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-207,{space}45Degree{space}(cable{space}under{space}45degree),{space}7{space}pins,{space}pitch{space}7.5mm,{space}size{space}54x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-207{space}45Degree{space}pitch{space}7.5mm{space}size{space}54x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+14
+7
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-208_1x08_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-208,{space}45Degree{space}(cable{space}under{space}45degree),{space}8{space}pins,{space}pitch{space}7.5mm,{space}size{space}61.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-208{space}45Degree{space}pitch{space}7.5mm{space}size{space}61.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+16
+8
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-209_1x09_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-209,{space}45Degree{space}(cable{space}under{space}45degree),{space}9{space}pins,{space}pitch{space}7.5mm,{space}size{space}69x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-209{space}45Degree{space}pitch{space}7.5mm{space}size{space}69x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+18
+9
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-210_1x10_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-210,{space}45Degree{space}(cable{space}under{space}45degree),{space}10{space}pins,{space}pitch{space}7.5mm,{space}size{space}76.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-210{space}45Degree{space}pitch{space}7.5mm{space}size{space}76.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+20
+10
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-212_1x12_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-212,{space}45Degree{space}(cable{space}under{space}45degree),{space}12{space}pins,{space}pitch{space}7.5mm,{space}size{space}91.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-212{space}45Degree{space}pitch{space}7.5mm{space}size{space}91.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+24
+12
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-216_1x16_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-216,{space}45Degree{space}(cable{space}under{space}45degree),{space}16{space}pins,{space}pitch{space}7.5mm,{space}size{space}122x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-216{space}45Degree{space}pitch{space}7.5mm{space}size{space}122x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+32
+16
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-224_1x24_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-224,{space}45Degree{space}(cable{space}under{space}45degree),{space}24{space}pins,{space}pitch{space}7.5mm,{space}size{space}182x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-224{space}45Degree{space}pitch{space}7.5mm{space}size{space}182x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+48
+24
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-301_1x01_P10.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-301,{space}45Degree{space}(cable{space}under{space}45degree),{space}1{space}pins,{space}pitch{space}10mm,{space}size{space}11.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-301{space}45Degree{space}pitch{space}10mm{space}size{space}11.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+2
+1
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-302_1x02_P10.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-302,{space}45Degree{space}(cable{space}under{space}45degree),{space}2{space}pins,{space}pitch{space}10mm,{space}size{space}21.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-302{space}45Degree{space}pitch{space}10mm{space}size{space}21.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+4
+2
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-303_1x03_P10.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-303,{space}45Degree{space}(cable{space}under{space}45degree),{space}3{space}pins,{space}pitch{space}10mm,{space}size{space}31.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-303{space}45Degree{space}pitch{space}10mm{space}size{space}31.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+6
+3
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-304_1x04_P10.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-304,{space}45Degree{space}(cable{space}under{space}45degree),{space}4{space}pins,{space}pitch{space}10mm,{space}size{space}41.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-304{space}45Degree{space}pitch{space}10mm{space}size{space}41.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+8
+4
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-305_1x05_P10.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-305,{space}45Degree{space}(cable{space}under{space}45degree),{space}5{space}pins,{space}pitch{space}10mm,{space}size{space}51.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-305{space}45Degree{space}pitch{space}10mm{space}size{space}51.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+10
+5
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-306_1x06_P10.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-306,{space}45Degree{space}(cable{space}under{space}45degree),{space}6{space}pins,{space}pitch{space}10mm,{space}size{space}61.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-306{space}45Degree{space}pitch{space}10mm{space}size{space}61.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+12
+6
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-307_1x07_P10.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-307,{space}45Degree{space}(cable{space}under{space}45degree),{space}7{space}pins,{space}pitch{space}10mm,{space}size{space}71.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-307{space}45Degree{space}pitch{space}10mm{space}size{space}71.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+14
+7
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-308_1x08_P10.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-308,{space}45Degree{space}(cable{space}under{space}45degree),{space}8{space}pins,{space}pitch{space}10mm,{space}size{space}81.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-308{space}45Degree{space}pitch{space}10mm{space}size{space}81.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+16
+8
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-309_1x09_P10.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-309,{space}45Degree{space}(cable{space}under{space}45degree),{space}9{space}pins,{space}pitch{space}10mm,{space}size{space}91.5x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-309{space}45Degree{space}pitch{space}10mm{space}size{space}91.5x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+18
+9
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-310_1x10_P10.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-310,{space}45Degree{space}(cable{space}under{space}45degree),{space}10{space}pins,{space}pitch{space}10mm,{space}size{space}102x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-310{space}45Degree{space}pitch{space}10mm{space}size{space}102x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+20
+10
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-312_1x12_P10.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-312,{space}45Degree{space}(cable{space}under{space}45degree),{space}12{space}pins,{space}pitch{space}10mm,{space}size{space}122x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-312{space}45Degree{space}pitch{space}10mm{space}size{space}122x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+24
+12
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-316_1x16_P10.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-316,{space}45Degree{space}(cable{space}under{space}45degree),{space}16{space}pins,{space}pitch{space}10mm,{space}size{space}162x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-316{space}45Degree{space}pitch{space}10mm{space}size{space}162x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+32
+16
+TerminalBlock_WAGO
+TerminalBlock_WAGO_236-324_1x24_P10.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}236-324,{space}45Degree{space}(cable{space}under{space}45degree),{space}24{space}pins,{space}pitch{space}10mm,{space}size{space}242x14mm^2,{space}drill{space}diamater{space}1mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}236-324{space}45Degree{space}pitch{space}10mm{space}size{space}242x14mm^2{space}drill{space}1mm{space}pad{space}3mm
+0
+48
+24
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-101_1x01_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-101,{space}45Degree{space}(cable{space}under{space}45degree),{space}1{space}pins,{space}pitch{space}5mm,{space}size{space}6.5x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-101{space}45Degree{space}pitch{space}5mm{space}size{space}6.5x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+2
+1
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-102_1x02_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-102,{space}45Degree{space}(cable{space}under{space}45degree),{space}2{space}pins,{space}pitch{space}5mm,{space}size{space}11.5x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-102{space}45Degree{space}pitch{space}5mm{space}size{space}11.5x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+4
+2
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-103_1x03_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-103,{space}45Degree{space}(cable{space}under{space}45degree),{space}3{space}pins,{space}pitch{space}5mm,{space}size{space}16.5x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-103{space}45Degree{space}pitch{space}5mm{space}size{space}16.5x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+6
+3
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-104_1x04_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-104,{space}45Degree{space}(cable{space}under{space}45degree),{space}4{space}pins,{space}pitch{space}5mm,{space}size{space}21.5x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-104{space}45Degree{space}pitch{space}5mm{space}size{space}21.5x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+8
+4
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-105_1x05_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-105,{space}45Degree{space}(cable{space}under{space}45degree),{space}5{space}pins,{space}pitch{space}5mm,{space}size{space}26.5x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-105{space}45Degree{space}pitch{space}5mm{space}size{space}26.5x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+10
+5
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-106_1x06_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-106,{space}45Degree{space}(cable{space}under{space}45degree),{space}6{space}pins,{space}pitch{space}5mm,{space}size{space}31.5x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-106{space}45Degree{space}pitch{space}5mm{space}size{space}31.5x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+12
+6
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-107_1x07_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-107,{space}45Degree{space}(cable{space}under{space}45degree),{space}7{space}pins,{space}pitch{space}5mm,{space}size{space}36.5x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-107{space}45Degree{space}pitch{space}5mm{space}size{space}36.5x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+14
+7
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-108_1x08_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-108,{space}45Degree{space}(cable{space}under{space}45degree),{space}8{space}pins,{space}pitch{space}5mm,{space}size{space}41.5x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-108{space}45Degree{space}pitch{space}5mm{space}size{space}41.5x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+16
+8
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-109_1x09_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-109,{space}45Degree{space}(cable{space}under{space}45degree),{space}9{space}pins,{space}pitch{space}5mm,{space}size{space}46.5x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-109{space}45Degree{space}pitch{space}5mm{space}size{space}46.5x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+18
+9
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-110_1x10_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-110,{space}45Degree{space}(cable{space}under{space}45degree),{space}10{space}pins,{space}pitch{space}5mm,{space}size{space}51.5x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-110{space}45Degree{space}pitch{space}5mm{space}size{space}51.5x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+20
+10
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-112_1x12_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-112,{space}45Degree{space}(cable{space}under{space}45degree),{space}12{space}pins,{space}pitch{space}5mm,{space}size{space}61.5x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-112{space}45Degree{space}pitch{space}5mm{space}size{space}61.5x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+24
+12
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-116_1x16_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-116,{space}45Degree{space}(cable{space}under{space}45degree),{space}16{space}pins,{space}pitch{space}5mm,{space}size{space}81.5x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-116{space}45Degree{space}pitch{space}5mm{space}size{space}81.5x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+32
+16
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-124_1x24_P5.00mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-124,{space}45Degree{space}(cable{space}under{space}45degree),{space}24{space}pins,{space}pitch{space}5mm,{space}size{space}122x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-124{space}45Degree{space}pitch{space}5mm{space}size{space}122x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+48
+24
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-301_1x01_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-301,{space}45Degree{space}(cable{space}under{space}45degree),{space}1{space}pins,{space}pitch{space}7.5mm,{space}size{space}6.5x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-301{space}45Degree{space}pitch{space}7.5mm{space}size{space}6.5x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+2
+1
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-302_1x02_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-302,{space}45Degree{space}(cable{space}under{space}45degree),{space}2{space}pins,{space}pitch{space}7.5mm,{space}size{space}14x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-302{space}45Degree{space}pitch{space}7.5mm{space}size{space}14x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+4
+2
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-303_1x03_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-303,{space}45Degree{space}(cable{space}under{space}45degree),{space}3{space}pins,{space}pitch{space}7.5mm,{space}size{space}21.5x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-303{space}45Degree{space}pitch{space}7.5mm{space}size{space}21.5x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+6
+3
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-304_1x04_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-304,{space}45Degree{space}(cable{space}under{space}45degree),{space}4{space}pins,{space}pitch{space}7.5mm,{space}size{space}29x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-304{space}45Degree{space}pitch{space}7.5mm{space}size{space}29x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+8
+4
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-305_1x05_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-305,{space}45Degree{space}(cable{space}under{space}45degree),{space}5{space}pins,{space}pitch{space}7.5mm,{space}size{space}36.5x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-305{space}45Degree{space}pitch{space}7.5mm{space}size{space}36.5x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+10
+5
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-306_1x06_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-306,{space}45Degree{space}(cable{space}under{space}45degree),{space}6{space}pins,{space}pitch{space}7.5mm,{space}size{space}44x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-306{space}45Degree{space}pitch{space}7.5mm{space}size{space}44x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+12
+6
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-307_1x07_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-307,{space}45Degree{space}(cable{space}under{space}45degree),{space}7{space}pins,{space}pitch{space}7.5mm,{space}size{space}51.5x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-307{space}45Degree{space}pitch{space}7.5mm{space}size{space}51.5x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+14
+7
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-308_1x08_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-308,{space}45Degree{space}(cable{space}under{space}45degree),{space}8{space}pins,{space}pitch{space}7.5mm,{space}size{space}59x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-308{space}45Degree{space}pitch{space}7.5mm{space}size{space}59x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+16
+8
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-309_1x09_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-309,{space}45Degree{space}(cable{space}under{space}45degree),{space}9{space}pins,{space}pitch{space}7.5mm,{space}size{space}66.5x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-309{space}45Degree{space}pitch{space}7.5mm{space}size{space}66.5x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+18
+9
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-310_1x10_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-310,{space}45Degree{space}(cable{space}under{space}45degree),{space}10{space}pins,{space}pitch{space}7.5mm,{space}size{space}74x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-310{space}45Degree{space}pitch{space}7.5mm{space}size{space}74x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+20
+10
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-312_1x12_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-312,{space}45Degree{space}(cable{space}under{space}45degree),{space}12{space}pins,{space}pitch{space}7.5mm,{space}size{space}89x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-312{space}45Degree{space}pitch{space}7.5mm{space}size{space}89x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+24
+12
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-316_1x16_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-316,{space}45Degree{space}(cable{space}under{space}45degree),{space}16{space}pins,{space}pitch{space}7.5mm,{space}size{space}119x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-316{space}45Degree{space}pitch{space}7.5mm{space}size{space}119x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+32
+16
+TerminalBlock_WAGO
+TerminalBlock_WAGO_804-324_1x24_P7.50mm_45Degree
+Terminal{space}Block{space}WAGO{space}804-324,{space}45Degree{space}(cable{space}under{space}45degree),{space}24{space}pins,{space}pitch{space}7.5mm,{space}size{space}179x15mm^2,{space}drill{space}diamater{space}1.2mm,{space}pad{space}diameter{space}3mm,{space}see{space},{space}script-generated{space}with{space},{space}script-generated{space}using{space}https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_WAGO
+THT{space}Terminal{space}Block{space}WAGO{space}804-324{space}45Degree{space}pitch{space}7.5mm{space}size{space}179x15mm^2{space}drill{space}1.2mm{space}pad{space}3mm
+0
+48
+24
+TestPoint
+TestPoint_2Pads_Pitch2.54mm_Drill0.8mm
+Test{space}point{space}with{space}2{space}pins,{space}pitch{space}2.54mm,{space}drill{space}diameter{space}0.8mm
+CONN{space}DEV
+0
+2
+2
+TestPoint
+TestPoint_2Pads_Pitch5.08mm_Drill1.3mm
+Test{space}point{space}with{space}2{space}pads,{space}pitch{space}5.08mm,{space}hole{space}diameter{space}1.3mm,{space}wire{space}diameter{space}1.0mm
+CONN{space}DEV
+0
+2
+2
+TestPoint
+TestPoint_Bridge_Pitch2.0mm_Drill0.7mm
+wire{space}loop{space}as{space}test{space}point,{space}pitch{space}2.0mm,{space}hole{space}diameter{space}0.7mm,{space}wire{space}diameter{space}0.5mm
+test{space}point{space}wire{space}loop
+0
+2
+1
+TestPoint
+TestPoint_Bridge_Pitch2.54mm_Drill0.7mm
+wire{space}loop{space}as{space}test{space}point,{space}pitch{space}2.0mm,{space}hole{space}diameter{space}0.7mm,{space}wire{space}diameter{space}0.5mm
+test{space}point{space}wire{space}loop
+0
+2
+1
+TestPoint
+TestPoint_Bridge_Pitch2.54mm_Drill1.0mm
+wire{space}loop{space}as{space}test{space}point,{space}pitch{space}2.54mm,{space}hole{space}diameter{space}1.0mm,{space}wire{space}diameter{space}0.8mm
+test{space}point{space}wire{space}loop
+0
+2
+1
+TestPoint
+TestPoint_Bridge_Pitch2.54mm_Drill1.3mm
+wire{space}loop{space}as{space}test{space}point,{space}pitch{space}2.54mm,{space}hole{space}diameter{space}1.3mm,{space}wire{space}diameter{space}1.0mm
+test{space}point{space}wire{space}loop
+0
+2
+1
+TestPoint
+TestPoint_Bridge_Pitch3.81mm_Drill1.3mm
+wire{space}loop{space}as{space}test{space}point,{space}pitch{space}3.81mm,{space}hole{space}diameter{space}1.3mm,{space}wire{space}diameter{space}1.0mm
+test{space}point{space}wire{space}loop
+0
+2
+1
+TestPoint
+TestPoint_Bridge_Pitch5.08mm_Drill0.7mm
+wire{space}loop{space}as{space}test{space}point,{space}pitch{space}5.08mm,{space}hole{space}diameter{space}0.7mm,{space}wire{space}diameter{space}1.0mm
+test{space}point{space}wire{space}loop
+0
+2
+1
+TestPoint
+TestPoint_Bridge_Pitch5.08mm_Drill1.3mm
+wire{space}loop{space}as{space}test{space}point,{space}pitch{space}5.08mm,{space}hole{space}diameter{space}1.3mm,{space}wire{space}diameter{space}1.0mm
+test{space}point{space}wire{space}loop
+0
+2
+1
+TestPoint
+TestPoint_Bridge_Pitch6.35mm_Drill1.3mm
+wire{space}loop{space}as{space}test{space}point,{space}pitch{space}6.35mm,{space}hole{space}diameter{space}1.3mm,{space}wire{space}diameter{space}1.0mm
+test{space}point{space}wire{space}loop
+0
+2
+1
+TestPoint
+TestPoint_Bridge_Pitch7.62mm_Drill1.3mm
+wire{space}loop{space}as{space}test{space}point,{space}pitch{space}7.62mm,{space}hole{space}diameter{space}1.3mm,{space}wire{space}diameter{space}1.0mm
+test{space}point{space}wire{space}loop
+0
+2
+1
+TestPoint
+TestPoint_Keystone_5000-5004_Miniature
+Keystone{space}Miniature{space}THM{space}Test{space}Point{space}5000-5004,{space}http://www.keyelco.com/product-pdf.cfm?p=1309
+Through{space}Hole{space}Mount{space}Test{space}Points
+0
+1
+1
+TestPoint
+TestPoint_Keystone_5005-5009_Compact
+Keystone{space}Miniature{space}THM{space}Test{space}Point{space}5005-5009,{space}http://www.keyelco.com/product-pdf.cfm?p=1314
+Through{space}Hole{space}Mount{space}Test{space}Points
+0
+1
+1
+TestPoint
+TestPoint_Keystone_5010-5014_Multipurpose
+Keystone{space}Miniature{space}THM{space}Test{space}Point{space}5010-5014,{space}http://www.keyelco.com/product-pdf.cfm?p=1319
+Through{space}Hole{space}Mount{space}Test{space}Points
+0
+1
+1
+TestPoint
+TestPoint_Keystone_5015_Micro-Minature
+SMT{space}Test{space}Point-{space}Micro{space}Miniature{space}5015,{space}http://www.keyelco.com/product-pdf.cfm?p=1353
+Test{space}Point
+0
+1
+1
+TestPoint
+TestPoint_Keystone_5019_Minature
+SMT{space}Test{space}Point-{space}Micro{space}Miniature{space}5019,{space}http://www.keyelco.com/product-pdf.cfm?p=1357
+Test{space}Point
+0
+1
+1
+TestPoint
+TestPoint_Loop_D1.80mm_Drill1.0mm_Beaded
+wire{space}loop{space}with{space}bead{space}as{space}test{space}point,{space}loop{space}diameter{space}1.8mm,{space}hole{space}diameter{space}1.0mm
+test{space}point{space}wire{space}loop{space}bead
+0
+1
+1
+TestPoint
+TestPoint_Loop_D2.50mm_Drill1.0mm
+wire{space}loop{space}as{space}test{space}point,{space}loop{space}diameter{space}2.5mm,{space}hole{space}diameter{space}1.0mm
+test{space}point{space}wire{space}loop{space}bead
+0
+1
+1
+TestPoint
+TestPoint_Loop_D2.50mm_Drill1.0mm_LowProfile
+low{space}profile{space}wire{space}loop{space}as{space}test{space}point,{space}loop{space}diameter{space}2.5mm,{space}hole{space}diameter{space}1.0mm
+test{space}point{space}wire{space}loop{space}bead
+0
+1
+1
+TestPoint
+TestPoint_Loop_D2.50mm_Drill1.85mm
+wire{space}loop{space}as{space}test{space}point,{space}loop{space}diameter{space}2.5mm,{space}hole{space}diameter{space}1.85mm
+test{space}point{space}wire{space}loop{space}bead
+0
+1
+1
+TestPoint
+TestPoint_Loop_D2.54mm_Drill1.5mm_Beaded
+wire{space}loop{space}with{space}bead{space}as{space}test{space}point,{space}loop{space}diameter2.548mm,{space}hole{space}diameter{space}1.5mm
+test{space}point{space}wire{space}loop{space}bead
+0
+1
+1
+TestPoint
+TestPoint_Loop_D2.60mm_Drill0.9mm_Beaded
+wire{space}loop{space}with{space}bead{space}as{space}test{space}point,{space}loop{space}diameter2.6mm,{space}hole{space}diameter{space}0.9mm
+test{space}point{space}wire{space}loop{space}bead
+0
+1
+1
+TestPoint
+TestPoint_Loop_D2.60mm_Drill1.4mm_Beaded
+wire{space}loop{space}with{space}bead{space}as{space}test{space}point,{space}loop{space}diameter2.6mm,{space}hole{space}diameter{space}1.4mm
+test{space}point{space}wire{space}loop{space}bead
+0
+1
+1
+TestPoint
+TestPoint_Loop_D2.60mm_Drill1.6mm_Beaded
+wire{space}loop{space}with{space}bead{space}as{space}test{space}point,{space}loop{space}diameter2.6mm,{space}hole{space}diameter{space}1.6mm
+test{space}point{space}wire{space}loop{space}bead
+0
+1
+1
+TestPoint
+TestPoint_Loop_D3.50mm_Drill0.9mm_Beaded
+wire{space}loop{space}with{space}bead{space}as{space}test{space}point,{space}loop{space}diameter2.6mm,{space}hole{space}diameter{space}0.9mm
+test{space}point{space}wire{space}loop{space}bead
+0
+1
+1
+TestPoint
+TestPoint_Loop_D3.50mm_Drill1.4mm_Beaded
+wire{space}loop{space}with{space}bead{space}as{space}test{space}point,{space}loop{space}diameter{space}3.5mm,{space}hole{space}diameter{space}1.4mm
+test{space}point{space}wire{space}loop{space}bead
+0
+1
+1
+TestPoint
+TestPoint_Loop_D3.80mm_Drill2.0mm
+wire{space}loop{space}as{space}test{space}point,{space}loop{space}diameter{space}3.8mm,{space}hole{space}diameter{space}2.0mm
+test{space}point{space}wire{space}loop{space}bead
+0
+1
+1
+TestPoint
+TestPoint_Loop_D3.80mm_Drill2.5mm
+wire{space}loop{space}as{space}test{space}point,{space}loop{space}diameter{space}3.8mm,{space}hole{space}diameter{space}2.5mm
+test{space}point{space}wire{space}loop{space}bead
+0
+1
+1
+TestPoint
+TestPoint_Loop_D3.80mm_Drill2.8mm
+wire{space}loop{space}as{space}test{space}point,{space}loop{space}diameter{space}3.8mm,{space}hole{space}diameter{space}2.8mm
+test{space}point{space}wire{space}loop{space}bead
+0
+1
+1
+TestPoint
+TestPoint_Pad_1.0x1.0mm
+SMD{space}rectangular{space}pad{space}as{space}test{space}Point,{space}square{space}1.0mm{space}side{space}length
+test{space}point{space}SMD{space}pad{space}rectangle{space}square
+0
+1
+1
+TestPoint
+TestPoint_Pad_1.5x1.5mm
+SMD{space}rectangular{space}pad{space}as{space}test{space}Point,{space}square{space}1.5mm{space}side{space}length
+test{space}point{space}SMD{space}pad{space}rectangle{space}square
+0
+1
+1
+TestPoint
+TestPoint_Pad_2.0x2.0mm
+SMD{space}rectangular{space}pad{space}as{space}test{space}Point,{space}square{space}2.0mm{space}side{space}length
+test{space}point{space}SMD{space}pad{space}rectangle{space}square
+0
+1
+1
+TestPoint
+TestPoint_Pad_2.5x2.5mm
+SMD{space}rectangular{space}pad{space}as{space}test{space}Point,{space}square{space}2.5mm{space}side{space}length
+test{space}point{space}SMD{space}pad{space}rectangle{space}square
+0
+1
+1
+TestPoint
+TestPoint_Pad_3.0x3.0mm
+SMD{space}rectangular{space}pad{space}as{space}test{space}Point,{space}square{space}3.0mm{space}side{space}length
+test{space}point{space}SMD{space}pad{space}rectangle{space}square
+0
+1
+1
+TestPoint
+TestPoint_Pad_4.0x4.0mm
+SMD{space}rectangular{space}pad{space}as{space}test{space}Point,{space}square{space}4.0mm{space}side{space}length
+test{space}point{space}SMD{space}pad{space}rectangle{space}square
+0
+1
+1
+TestPoint
+TestPoint_Pad_D1.0mm
+SMD{space}pad{space}as{space}test{space}Point,{space}diameter{space}1.0mm
+test{space}point{space}SMD{space}pad
+0
+1
+1
+TestPoint
+TestPoint_Pad_D1.5mm
+SMD{space}pad{space}as{space}test{space}Point,{space}diameter{space}1.5mm
+test{space}point{space}SMD{space}pad
+0
+1
+1
+TestPoint
+TestPoint_Pad_D2.0mm
+SMD{space}pad{space}as{space}test{space}Point,{space}diameter{space}2.0mm
+test{space}point{space}SMD{space}pad
+0
+1
+1
+TestPoint
+TestPoint_Pad_D2.5mm
+SMD{space}pad{space}as{space}test{space}Point,{space}diameter{space}2.5mm
+test{space}point{space}SMD{space}pad
+0
+1
+1
+TestPoint
+TestPoint_Pad_D3.0mm
+SMD{space}pad{space}as{space}test{space}Point,{space}diameter{space}3.0mm
+test{space}point{space}SMD{space}pad
+0
+1
+1
+TestPoint
+TestPoint_Pad_D4.0mm
+SMD{space}pad{space}as{space}test{space}Point,{space}diameter{space}4.0mm
+test{space}point{space}SMD{space}pad
+0
+1
+1
+TestPoint
+TestPoint_Plated_Hole_D2.0mm
+Plated{space}Hole{space}as{space}test{space}Point,{space}diameter{space}2.0mm
+test{space}point{space}plated{space}hole
+0
+1
+1
+TestPoint
+TestPoint_Plated_Hole_D3.0mm
+Plated{space}Hole{space}as{space}test{space}Point,{space}diameter{space}3.0mm
+test{space}point{space}plated{space}hole
+0
+1
+1
+TestPoint
+TestPoint_Plated_Hole_D4.0mm
+Plated{space}Hole{space}as{space}test{space}Point,{space}diameter{space}4.0mm
+test{space}point{space}plated{space}hole
+0
+1
+1
+TestPoint
+TestPoint_Plated_Hole_D5.0mm
+Plated{space}Hole{space}as{space}test{space}Point,{space}diameter{space}5.0mm
+test{space}point{space}plated{space}hole
+0
+1
+1
+TestPoint
+TestPoint_THTPad_1.0x1.0mm_Drill0.5mm
+THT{space}rectangular{space}pad{space}as{space}test{space}Point,{space}square{space}1.0mm{space}side{space}length,{space}hole{space}diameter{space}0.5mm
+test{space}point{space}THT{space}pad{space}rectangle{space}square
+0
+1
+1
+TestPoint
+TestPoint_THTPad_1.5x1.5mm_Drill0.7mm
+THT{space}rectangular{space}pad{space}as{space}test{space}Point,{space}square{space}1.5mm{space}side{space}length,{space}hole{space}diameter{space}0.7mm
+test{space}point{space}THT{space}pad{space}rectangle{space}square
+0
+1
+1
+TestPoint
+TestPoint_THTPad_2.0x2.0mm_Drill1.0mm
+THT{space}rectangular{space}pad{space}as{space}test{space}Point,{space}square{space}2.0mm_Drill1.0mm{space}{space}side{space}length,{space}hole{space}diameter{space}1.0mm
+test{space}point{space}THT{space}pad{space}rectangle{space}square
+0
+1
+1
+TestPoint
+TestPoint_THTPad_2.5x2.5mm_Drill1.2mm
+THT{space}rectangular{space}pad{space}as{space}test{space}Point,{space}square{space}2.5mm{space}side{space}length,{space}hole{space}diameter{space}1.2mm
+test{space}point{space}THT{space}pad{space}rectangle{space}square
+0
+1
+1
+TestPoint
+TestPoint_THTPad_3.0x3.0mm_Drill1.5mm
+THT{space}rectangular{space}pad{space}as{space}test{space}Point,{space}square{space}3.0mm{space}side{space}length,{space}hole{space}diameter{space}1.5mm
+test{space}point{space}THT{space}pad{space}rectangle{space}square
+0
+1
+1
+TestPoint
+TestPoint_THTPad_4.0x4.0mm_Drill2.0mm
+THT{space}rectangular{space}pad{space}as{space}test{space}Point,{space}square{space}4.0mm{space}{space}side{space}length,{space}hole{space}diameter{space}2.0mm
+test{space}point{space}THT{space}pad{space}rectangle{space}square
+0
+1
+1
+TestPoint
+TestPoint_THTPad_D1.0mm_Drill0.5mm
+THT{space}pad{space}as{space}test{space}Point,{space}diameter{space}1.0mm,{space}hole{space}diameter{space}0.5mm
+test{space}point{space}THT{space}pad
+0
+1
+1
+TestPoint
+TestPoint_THTPad_D1.5mm_Drill0.7mm
+THT{space}pad{space}as{space}test{space}Point,{space}diameter{space}1.5mm,{space}hole{space}diameter{space}0.7mm
+test{space}point{space}THT{space}pad
+0
+1
+1
+TestPoint
+TestPoint_THTPad_D2.0mm_Drill1.0mm
+THT{space}pad{space}as{space}test{space}Point,{space}diameter{space}2.0mm,{space}hole{space}diameter{space}1.0mm
+test{space}point{space}THT{space}pad
+0
+1
+1
+TestPoint
+TestPoint_THTPad_D2.5mm_Drill1.2mm
+THT{space}pad{space}as{space}test{space}Point,{space}diameter{space}2.5mm,{space}hole{space}diameter{space}1.2mm{space}
+test{space}point{space}THT{space}pad
+0
+1
+1
+TestPoint
+TestPoint_THTPad_D3.0mm_Drill1.5mm
+THT{space}pad{space}as{space}test{space}Point,{space}diameter{space}3.0mm,{space}hole{space}diameter{space}1.5mm
+test{space}point{space}THT{space}pad
+0
+1
+1
+TestPoint
+TestPoint_THTPad_D4.0mm_Drill2.0mm
+THT{space}pad{space}as{space}test{space}Point,{space}diameter{space}4.0mm,{space}hole{space}diameter{space}2.0mm
+test{space}point{space}THT{space}pad
+0
+1
+1
+Transformer_SMD
+Pulse_P0926NL
+SMT{space}Gate{space}Drive{space}Transformer,{space}1:1:1,{space}8.0x6.3x5.3mm{space}(https://productfinder.pulseeng.com/products/datasheets/SPM2007_61.pdf)
+pulse{space}pa0926nl
+0
+6
+6
+Transformer_SMD
+Pulse_PA1323NL
+SMT{space}Gate{space}Drive{space}Transformer,{space}1:1,{space}9.5x7.1x5.3mm{space}(https://productfinder.pulseeng.com/products/datasheets/SPM2007_61.pdf)
+pulse{space}pa1323nl
+0
+6
+6
+Transformer_SMD
+Pulse_PA2001NL
+SMT{space}Gate{space}Drive{space}Transformer,{space}1:1,{space}8.6x6.7x2.5mm{space}(https://productfinder.pulseeng.com/products/datasheets/P663.pdf)
+pulse{space}pa2001nl{space}pe-68386nl
+0
+4
+4
+Transformer_SMD
+Pulse_PA2002NL-PA2008NL-PA2009NL
+SMT{space}Gate{space}Drive{space}Transformer,{space}1:1:1{space}or{space}2:1:1{space}or{space}2.5:1:1{space}or{space}1:1,{space}9.0x8.6x7.6mm{space}(https://productfinder.pulseeng.com/products/datasheets/P663.pdf)
+pulse{space}pa2002nl{space}pa2008nl{space}pa2009nl{space}p0544nl{space}pa0184nl{space}pa0297nl{space}pa0510nl
+0
+6
+6
+Transformer_SMD
+Pulse_PA2004NL
+SMT{space}Gate{space}Drive{space}Transformer,{space}1:1:1,{space}8.6x6.7x3.6mm{space}(https://productfinder.pulseeng.com/products/datasheets/P663.pdf)
+pulse{space}pa2004nl{space}pa0264nl
+0
+6
+6
+Transformer_SMD
+Pulse_PA2005NL
+SMT{space}Gate{space}Drive{space}Transformer,{space}1:1:1,{space}11.8x8.8x4.0mm{space}(https://productfinder.pulseeng.com/products/datasheets/P663.pdf)
+pulse{space}pa2005nl{space}pa0173nl
+0
+6
+6
+Transformer_SMD
+Pulse_PA2006NL
+SMT{space}Gate{space}Drive{space}Transformer,{space}1:1,{space}11.8x8.8x4.0mm{space}(https://productfinder.pulseeng.com/products/datasheets/P663.pdf)
+pulse{space}pa2006nl{space}pa0186nl
+0
+4
+4
+Transformer_SMD
+Pulse_PA2007NL
+SMT{space}Gate{space}Drive{space}Transformer,{space}1:1,{space}9.0x8.6x7.6mm{space}(https://productfinder.pulseeng.com/products/datasheets/P663.pdf)
+pulse{space}pa2007nl
+0
+4
+4
+Transformer_SMD
+Pulse_PA2777NL
+SMT{space}Gate{space}Drive{space}Transformer,{space}1:1,{space}7.1x6.1x5.5mm{space}(https://productfinder.pulseeng.com/products/datasheets/SPM2007_61.pdf)
+pulse{space}pa2777nl
+0
+8
+8
+Transformer_SMD
+Pulse_PA3493NL
+SMT{space}Gate{space}Drive{space}Transformer,{space}1.25:1,{space}10.9x9.7x2.7mm{space}(https://productfinder.pulseeng.com/products/datasheets/SPM2007_61.pdf)
+pulse{space}pa3493nl
+0
+4
+4
+Transformer_SMD
+Transformer_Coilcraft_CST1
+Current{space}sense{space}transformer,{space}SMD,{space}8.0x8.13x5.3mm{space}(https://www.coilcraft.com/pdfs/cst.pdf)
+Transformer{space}current{space}sense{space}SMD
+0
+8
+8
+Transformer_SMD
+Transformer_Coilcraft_CST2
+Current{space}sense{space}transformer,{space}SMD,{space}8.0x8.13x5.3mm{space}(https://www.coilcraft.com/pdfs/cst.pdf)
+Transformer{space}current{space}sense{space}SMD
+0
+8
+8
+Transformer_SMD
+Transformer_Coilcraft_CST2010
+Current{space}sense{space}transformer,{space}SMD,{space}14.55x19.91x10.50mm{space}(https://www.coilcraft.com/pdfs/cst2010.pdf)
+Transformer{space}current{space}sense{space}SMD
+0
+12
+12
+Transformer_SMD
+Transformer_CurrentSense_8.4x7.2mm
+Transformer{space}current{space}sense{space}SMD{space}8.4x7.2mm
+Transformer{space}current{space}sense{space}SMD
+0
+8
+8
+Transformer_SMD
+Transformer_Ethernet_Bel_S558-5999-T7-F
+Ethernet{space}Transformer,{space}Bel{space}S558-5999-T7-F,{space}https://www.belfuse.com/resources/ICMs/lan-/S558-5999-T7-F.pdf
+Ethernet{space}Transformer
+0
+16
+16
+Transformer_SMD
+Transformer_Ethernet_Bourns_PT61017PEL
+https://www.bourns.com/docs/Product-Datasheets/PT61017PEL.pdf
+Transformer{space}Ethernet{space}Single{space}Center-Tap
+0
+16
+16
+Transformer_SMD
+Transformer_Ethernet_HALO_TG111-MSC13
+Transformer{space}Ethernet{space}SMD,{space}https://www.haloelectronics.com/pdf/discrete-genesus.pdf
+Transformer{space}Ethernet{space}SMD
+0
+24
+24
+Transformer_SMD
+Transformer_MACOM_SM-22
+https://cdn.macom.com/datasheets/ETC1-1-13.pdf
+RF{space}Transformer
+0
+5
+5
+Transformer_SMD
+Transformer_NF_ETAL_P2781
+NF-Transformer,{space}ETAL,{space}P2781,{space}SMD,
+NF-Transformer{space}ETAL{space}P2781{space}SMD{space}
+0
+8
+7
+Transformer_SMD
+Transformer_NF_ETAL_P2781_HandSoldering
+NF-Transformer,{space}ETAL,{space}P2781,{space}SMD,{space}Handsoldering
+NF-Transformer{space}ETAL{space}P2781{space}SMD{space}Handsoldering{space}
+0
+8
+7
+Transformer_SMD
+Transformer_NF_ETAL_P3000
+NF-Reansformer,{space}ETAL,{space}P3000,{space}SMD,
+NF-Reansformer{space}ETAL{space}P3000{space}SMD{space}
+0
+15
+13
+Transformer_SMD
+Transformer_NF_ETAL_P3000_HandSoldering
+NF-Reansformer,{space}ETAL,{space}P3000,{space}SMD,{space}Handsoldering,
+NF-Reansformer{space}ETAL{space}P3000{space}SMD{space}Handsoldering{space}
+0
+15
+13
+Transformer_SMD
+Transformer_NF_ETAL_P3181
+NF-Transformer,{space}ETAL,{space}P3181,{space}SMD,
+NF-Transformer{space}ETAL{space}P3181{space}SMD{space}
+0
+7
+6
+Transformer_SMD
+Transformer_NF_ETAL_P3181_HandSoldering
+NF-Transformer,{space}ETAL,{space}P3181,{space}SMD,{space}Hand{space}Soldering,
+NF-Transformer{space}ETAL{space}P3181{space}SMD{space}Hand{space}Soldering{space}
+0
+7
+6
+Transformer_SMD
+Transformer_NF_ETAL_P3188
+NF-Transformer,{space}ETAL,{space}P3188,{space}SMD,
+NF-Transformer{space}ETAL{space}P3188{space}SMD{space}
+0
+8
+6
+Transformer_SMD
+Transformer_NF_ETAL_P3188_HandSoldering
+NF-Transformer,{space}ETAL,{space}P3188,{space}SMD,{space}Handsoldering,
+NF-Transformer{space}ETAL{space}P3188{space}SMD{space}Handsoldering{space}
+0
+8
+6
+Transformer_SMD
+Transformer_NF_ETAL_P3191
+NF-Transformer,{space}ETAL,{space}P3191,{space}SMD,
+NF-Transformer{space}ETAL{space}P3191{space}SMD{space}
+0
+8
+6
+Transformer_SMD
+Transformer_NF_ETAL_P3191_HandSoldering
+NF-Transformer,{space}ETAL,{space}P3191,{space}SMD,{space}Handsoldering,
+NF-Transformer{space}ETAL{space}P3191{space}SMD{space}Handsoldering{space}
+0
+8
+6
+Transformer_SMD
+Transformer_Pulse_H1100NL
+For{space}H1100NL,{space}H1101NL,{space}H1102NL,{space}H1121NL,{space}H1183NL,{space}H1199NL,{space}HX1188NL,{space}HX1198NL{space}and{space}H1302NL.{space}https://productfinder.pulseeng.com/doc_type/WEB301/doc_num/H1102NL/doc_part/H1102NL.pdf
+H1100NL{space}H1101NL{space}H1102NL{space}H1121NL{space}H1183NL{space}H1199NL{space}HX1188NL{space}HX1198NL{space}H1302N
+0
+16
+16
+Transformer_THT
+Autotransformer_Toroid_1Tap_Horizontal_D9.0mm_Amidon-T30
+Autotransformer,{space}Toroid,{space}horizontal,{space}laying,{space}1{space}Tap,{space}Diameter{space}9mm,{space}Amidon{space}T30,
+Autotransformer{space}Toroid{space}horizontal{space}laying{space}1{space}Tap{space}Diameter{space}9mm{space}Amidon{space}T30{space}
+0
+3
+3
+Transformer_THT
+Autotransformer_Toroid_1Tap_Horizontal_D10.5mm_Amidon-T37
+Autotransformer,{space}Toroid,{space}horizontal,{space}laying,{space}1{space}Tap,{space}Diameter{space}10,5mm,{space}Amidon{space}T37,
+Autotransformer{space}Toroid{space}horizontal{space}laying{space}1{space}Tap{space}Diameter{space}10{space}5mm{space}Amidon{space}T37{space}
+0
+3
+3
+Transformer_THT
+Autotransformer_Toroid_1Tap_Horizontal_D12.5mm_Amidon-T44
+Autotransformer,{space}Toroid,{space}horizontal,{space}laying,{space}1{space}Tap,{space}Diameter{space}12,5mm,{space}Amidon{space}T44,
+Autotransformer{space}Toroid{space}horizontal{space}laying{space}1{space}Tap{space}Diameter{space}12{space}5mm{space}Amidon{space}T44{space}
+0
+3
+3
+Transformer_THT
+Autotransformer_Toroid_1Tap_Horizontal_D14.0mm_Amidon-T50
+Choke,{space}Inductance,{space}Autotransformer,{space}Toroid,{space}horizontal,{space}laying,{space}1{space}Tap,{space}Diameter{space}14mm,{space}Amidon{space}T50,
+Choke{space}Inductance{space}Autotransformer{space}Toroid{space}horizontal{space}laying{space}1{space}Tap{space}Diameter{space}14mm{space}Amidon{space}T50{space}
+0
+3
+3
+Transformer_THT
+Autotransformer_ZS1052-AC
+Ignition{space}coil{space}for{space}xenon{space}flash,{space}http://www.excelitas.com/downloads/ZS1052ACH.pdf
+ignition{space}coil{space}autotransformer
+0
+3
+3
+Transformer_THT
+Transformer_37x44
+transformer{space}37x44mm²
+transformer{space}37x44mm²
+0
+12
+4
+Transformer_THT
+Transformer_Breve_TEZ-22x24
+http://www.breve.pl/pdf/ANG/TEZ_ang.pdf
+TEZ{space}PCB{space}Transformer
+0
+7
+7
+Transformer_THT
+Transformer_Breve_TEZ-28x33
+http://www.breve.pl/pdf/ANG/TEZ_ang.pdf
+TEZ{space}PCB{space}Transformer
+0
+9
+9
+Transformer_THT
+Transformer_Breve_TEZ-35x42
+http://www.breve.pl/pdf/ANG/TEZ_ang.pdf
+TEZ{space}PCB{space}Transformer
+0
+9
+9
+Transformer_THT
+Transformer_Breve_TEZ-38x45
+http://www.breve.pl/pdf/ANG/TEZ_ang.pdf
+TEZ{space}PCB{space}Transformer
+0
+9
+9
+Transformer_THT
+Transformer_Breve_TEZ-44x52
+http://www.breve.pl/pdf/ANG/TEZ_ang.pdf
+TEZ{space}PCB{space}Transformer
+0
+10
+10
+Transformer_THT
+Transformer_Breve_TEZ-47x57
+http://www.breve.pl/pdf/ANG/TEZ_ang.pdf
+TEZ{space}PCB{space}Transformer
+0
+13
+13
+Transformer_THT
+Transformer_CHK_EI30-2VA_1xSec
+Trafo,{space}Printtrafo,{space}CHK,{space}EI30,{space}2VA,{space}1x{space}Sec,http://www.eratransformers.com/downloads/030-7585.0.pdf
+Trafo{space}Printtrafo{space}CHK{space}EI30{space}2VA{space}1x{space}Sec{space}
+0
+10
+10
+Transformer_THT
+Transformer_CHK_EI30-2VA_2xSec
+Trafo,{space}Printtrafo,{space}CHK,{space}EI30,{space}2VA,{space}2x{space}Sec,
+Trafo{space}Printtrafo{space}CHK{space}EI30{space}2VA{space}2x{space}Sec{space}
+0
+10
+10
+Transformer_THT
+Transformer_CHK_EI30-2VA_Neutral
+Trafo,{space}Printtrafo,{space}CHK,{space}EI30,{space}2VA,{space}neutral,
+Trafo{space}Printtrafo{space}CHK{space}EI30{space}2VA{space}neutral{space}
+0
+10
+10
+Transformer_THT
+Transformer_CHK_EI38-3VA_1xSec
+Trafo,{space}Printtrafo,{space}CHK,{space}EI38,{space}3VA,{space}1x{space}Sec,{space}http://www.eratransformers.com/product-detail/20
+Trafo{space}Printtrafo{space}CHK{space}EI38{space}3VA{space}1x{space}Sec{space}
+0
+10
+10
+Transformer_THT
+Transformer_CHK_EI38-3VA_2xSec
+Trafo,{space}Printtrafo,{space}CHK,{space}EI38,{space}3VA,{space}2x{space}Sec,{space}http://www.eratransformers.com/product-detail/20
+Trafo{space}Printtrafo{space}CHK{space}EI38{space}3VA{space}2x{space}Sec{space}
+0
+10
+10
+Transformer_THT
+Transformer_CHK_EI38-3VA_Neutral
+Trafo,{space}Printtrafo,{space}CHK,{space}EI38,{space}3VA,{space}neutral,{space}http://www.eratransformers.com/product-detail/20
+Trafo{space}Printtrafo{space}CHK{space}EI42{space}3VA{space}neutral{space}
+0
+10
+10
+Transformer_THT
+Transformer_CHK_EI42-5VA_1xSec
+Trafo,{space}Printtrafo,{space}CHK,{space}EI42,{space}5VA,{space}1x{space}Sec,
+Trafo{space}Printtrafo{space}CHK{space}EI42{space}5VA{space}1x{space}Sec{space}
+0
+10
+10
+Transformer_THT
+Transformer_CHK_EI42-5VA_2xSec
+Trafo,{space}Printtrafo,{space}CHK,{space}EI42,{space}5VA,{space}2x{space}Sec,
+Trafo{space}Printtrafo{space}CHK{space}EI42{space}5VA{space}2x{space}Sec{space}
+0
+10
+10
+Transformer_THT
+Transformer_CHK_EI42-5VA_Neutral
+Trafo,{space}Printtrafo,{space}CHK,{space}EI42,{space}5VA,{space}neutral,
+Trafo{space}Printtrafo{space}CHK{space}EI42{space}5VA{space}neutral{space}
+0
+10
+10
+Transformer_THT
+Transformer_CHK_EI48-8VA_1xSec
+Trafo,{space}Printtrafo,{space}CHK,{space}EI48,{space}8VA,{space}1x{space}Sec,{space}http://www.eratransformers.com/product-detail/18
+Trafo{space}Printtrafo{space}CHK{space}EI48{space}8VA{space}1x{space}Sec{space}
+0
+12
+12
+Transformer_THT
+Transformer_CHK_EI48-8VA_2xSec
+Trafo,{space}Printtrafo,{space}CHK,{space}EI48,{space}8VA,{space}2x{space}Sec,{space}http://www.eratransformers.com/product-detail/18
+Trafo{space}Printtrafo{space}CHK{space}EI48{space}8VA{space}2x{space}Sec{space}
+0
+12
+12
+Transformer_THT
+Transformer_CHK_EI48-8VA_Neutral
+Trafo,{space}Printtrafo,{space}CHK,{space}EI48,{space}8VA,{space}neutral,{space}http://www.eratransformers.com/product-detail/18
+Trafo{space}Printtrafo{space}CHK{space}EI48{space}8VA{space}neutral{space}
+0
+12
+12
+Transformer_THT
+Transformer_CHK_EI48-10VA_1xSec
+Trafo,{space}Printtrafo,{space}CHK,{space}EI48,{space}10VA,{space}1x{space}Sec,
+Trafo{space}Printtrafo{space}CHK{space}EI48{space}10VA{space}1x{space}Sec{space}
+0
+12
+12
+Transformer_THT
+Transformer_CHK_EI48-10VA_2xSec
+Trafo,{space}Printtrafo,{space}CHK,{space}EI48,{space}10VA,{space}2x{space}Sec,{space}http://www.eratransformers.com/product-detail/18
+Trafo{space}Printtrafo{space}CHK{space}EI48{space}10VA{space}2x{space}Sec{space}
+0
+12
+12
+Transformer_THT
+Transformer_CHK_EI48-10VA_Neutral
+Trafo,{space}Printtrafo,{space}CHK,{space}EI48,{space}10VA,{space}neutral,{space}http://www.eratransformers.com/product-detail/18
+Trafo{space}Printtrafo{space}CHK{space}EI48{space}10VA{space}neutral{space}
+0
+12
+12
+Transformer_THT
+Transformer_CHK_EI54-12VA_1xSec
+Trafo,{space}Printtrafo,{space}CHK,{space}EI54,{space}12VA,{space}1x{space}Sec,http://www.eratransformers.com/product-detail/19
+Trafo{space}Printtrafo{space}CHK{space}EI54{space}12VA{space}1x{space}Sec{space}
+0
+14
+14
+Transformer_THT
+Transformer_CHK_EI54-12VA_2xSec
+Trafo,{space}Printtrafo,{space}CHK,{space}EI54,{space}12VA,{space}2x{space}Sec,http://www.eratransformers.com/product-detail/19
+Trafo{space}Printtrafo{space}CHK{space}EI54{space}12VA{space}2x{space}Sec{space}
+0
+14
+14
+Transformer_THT
+Transformer_CHK_EI54-12VA_Neutral
+Trafo,{space}Printtrafo,{space}CHK,{space}EI54,{space}12VA,{space}neutral,http://www.eratransformers.com/product-detail/19
+Trafo{space}Printtrafo{space}CHK{space}EI54{space}12VA{space}neutral{space}
+0
+14
+14
+Transformer_THT
+Transformer_CHK_EI54-16VA_1xSec
+Trafo,{space}Printtrafo,{space}CHK,{space}EI54,{space}16VA,{space}1x{space}Sec,http://www.eratransformers.com/product-detail/19
+Trafo{space}Printtrafo{space}CHK{space}EI54{space}16VA{space}1x{space}Sec{space}
+0
+14
+14
+Transformer_THT
+Transformer_CHK_EI54-16VA_2xSec
+Trafo,{space}Printtrafo,{space}CHK,{space}EI54,{space}16VA,{space}2x{space}Sec,http://www.eratransformers.com/product-detail/19
+Trafo{space}Printtrafo{space}CHK{space}EI54{space}16VA{space}2x{space}Sec{space}
+0
+14
+14
+Transformer_THT
+Transformer_CHK_EI54-16VA_Neutral
+Trafo,{space}Printtrafo,{space}CHK,{space}EI54,{space}16VA,{space}neutral,http://www.eratransformers.com/product-detail/19
+Trafo{space}Printtrafo{space}CHK{space}EI54{space}16VA{space}neutral{space}
+0
+14
+14
+Transformer_THT
+Transformer_CHK_UI30-4VA_Flat
+Trafo,{space}Flattrafo,{space}CHK,{space}UI30,{space}4VA,
+Trafo{space}Flattrafo{space}CHK{space}UI30{space}4VA{space}
+0
+16
+16
+Transformer_THT
+Transformer_CHK_UI39-10VA_Flat
+Trafo,{space}Flattrafo,{space}CHK,{space}UI39,{space}10VA,
+Trafo{space}Flattrafo{space}CHK{space}UI39{space}10VA{space}
+0
+20
+20
+Transformer_THT
+Transformer_Coilcraft_Q4434-B_Rhombus-T1311
+Transformator,{space}Transformer,{space}Flyback,{space}Coilcraft{space}Q4434-B,{space}Rgombus{space}T1311,
+Transformator{space}Transformer{space}Flyback{space}Coilcraft{space}Q4434-B{space}Rgombus{space}T1311{space}
+0
+8
+8
+Transformer_THT
+Transformer_EPCOS_B66359A1013T_Horizontal
+Transformer,{space}Transformator,{space}ETD29,{space}13{space}Pin,{space}Horizontal,{space}EPCOS-B66359A1013T,
+Transformer{space}Transformator{space}ETD29{space}13{space}Pin{space}Horizontal{space}EPCOS-B66359A1013T{space}
+0
+13
+13
+Transformer_THT
+Transformer_EPCOS_B66359J1014T_Vertical
+Transformer,{space}Transformator,{space}ETD29,{space}14{space}Pin,{space}Vertical,{space}EPCOS-B66359J1014T,
+Transformer{space}Transformator{space}ETD29{space}14{space}Pin{space}Vertical{space}EPCOS-B66359J1014T{space}
+0
+14
+14
+Transformer_THT
+Transformer_Microphone_Lundahl_LL1538
+AUDIO{space}TRAFO{space}LUNDAHL,{space}https://www.lundahltransformers.com/wp-content/uploads/datasheets/1538_8xl.pdf
+AUDIO{space}TRAFO{space}LUNDAHL
+0
+7
+7
+Transformer_THT
+Transformer_Microphone_Lundahl_LL1587
+AUDIO{space}TRAFO{space}LUNDAHL,{space}https://www.lundahltransformers.com/wp-content/uploads/datasheets/1587.pdf
+AUDIO{space}TRAFO{space}LUNDAHL
+0
+7
+7
+Transformer_THT
+Transformer_Myrra_74040_Horizontal
+Transformer,{space}Transformator,{space}ETD29,{space}13{space}Pin,{space}Horizontal,{space}Myrra-74040,
+Transformer{space}Transformator{space}ETD29{space}13{space}Pin{space}Horizontal{space}Myrra-74040{space}
+0
+13
+13
+Transformer_THT
+Transformer_Myrra_EF20_7408x
+EF20{space}flyback{space}transformer,http://myrra.com/wp-content/uploads/2017/09/Datasheet-74087-74088-74089-rev-A.pdf
+transformer{space}flyback{space}SMPS
+0
+9
+9
+Transformer_THT
+Transformer_NF_ETAL_1-1_P1200
+NF-Transformer,{space}1:1,{space}ETAL{space}P1200,http://www.etalgroup.com/sites/default/files/products/P1200_April_2005.pdf
+NF-Transformer{space}1to1{space}ETAL{space}P1200{space}
+0
+4
+4
+Transformer_THT
+Transformer_NF_ETAL_P1165
+NF-Transformer,{space}{space}ETAL,{space}P1165,http://www.etalgroup.com/sites/default/files/products/P1165_February_2006.pdf
+NF-Transformer{space}ETAL{space}P1165{space}
+0
+4
+4
+Transformer_THT
+Transformer_NF_ETAL_P3324
+NF-Transformer,{space}ETAL{space}P3324,http://www.etalgroup.com/sites/default/files/products/P3324_April_2005.pdf
+NF-Transformer{space}ETAL{space}P3324{space}
+0
+4
+4
+Transformer_THT
+Transformer_NF_ETAL_P3356
+NF-Transformer,{space}ETAL{space}P3356,{space}http://www.etalgroup.com/sites/default/files/products/P3356_December_2005.pdf
+NF-Transformer{space}ETAL{space}P3356{space}
+0
+4
+4
+Transformer_THT
+Transformer_Toroid_Horizontal_D9.0mm_Amidon-T30
+Transformer,{space}Toroid,{space}horizontal,{space}laying,{space}Diameter{space}9mm,{space}Amidon,{space}T30,
+Transformer{space}Toroid{space}horizontal{space}laying{space}Diameter{space}9mm{space}Amidon{space}T30{space}
+0
+4
+4
+Transformer_THT
+Transformer_Toroid_Horizontal_D10.5mm_Amidon-T37
+Transformer,{space}Toroid,{space}horizontal,{space}laying,{space}Diameter{space}10,5mm,{space}Amidon{space}T37,
+Transformer{space}Toroid{space}horizontal{space}laying{space}Diameter{space}10{space}5mm{space}Amidon{space}T37{space}
+0
+4
+4
+Transformer_THT
+Transformer_Toroid_Horizontal_D12.5mm_Amidon-T44
+Transformer,{space}Toroid,{space}horizontal,{space}laying,{space}Diameter{space}12,5mm,{space}Amidon{space}T44,
+Transformer{space}Toroid{space}horizontal{space}laying{space}Diameter{space}12{space}5mm{space}Amidon{space}T44{space}
+0
+4
+4
+Transformer_THT
+Transformer_Toroid_Horizontal_D14.0mm_Amidon-T50
+Transformer,{space}Toroid,{space}horizontal,{space}laying,{space}Diameter{space}14mm,{space}Amidon{space}T50,
+Transformer{space}Toroid{space}horizontal{space}laying{space}Diameter{space}14mm{space}Amidon{space}T50{space}
+0
+4
+4
+Transformer_THT
+Transformer_Toroid_Horizontal_D18.0mm
+Transformer,{space}Toroid,{space}tapped,{space}horizontal,{space}laying,{space}Diameter{space}18mm,{space}
+Transformer{space}Toroid{space}tapped{space}horizontal{space}laying{space}Diameter{space}18mm{space}
+0
+5
+4
+Transformer_THT
+Transformer_Toroid_Tapped_Horizontal_D9.0mm_Amidon-T30
+Transformer,{space}Toroid,{space}tapped,{space}horizontal,{space}laying,{space}Diameter{space}9mm,{space}Amidon,{space}T30,
+Transformer{space}Toroid{space}tapped{space}horizontal{space}laying{space}Diameter{space}9mm{space}Amidon{space}T30{space}
+0
+6
+6
+Transformer_THT
+Transformer_Toroid_Tapped_Horizontal_D10.5mm_Amidon-T37
+Transformer,{space}Toroid,{space}tapped,{space}horizontal,{space}laying,{space}Diameter{space}10,5mm,{space}Amidon,{space}T37,
+Transformer{space}Toroid{space}tapped{space}horizontal{space}laying{space}Diameter{space}10{space}5mm{space}Amidon{space}T37{space}
+0
+6
+6
+Transformer_THT
+Transformer_Toroid_Tapped_Horizontal_D12.5mm_Amidon-T44
+Transformer,{space}Toroid,{space}tapped,{space}horizontal,{space}laying,{space}Diameter{space}12,5mm,{space}Amidon,{space}T44,
+Transformer{space}Toroid{space}tapped{space}horizontal{space}laying{space}Diameter{space}12{space}5mm{space}Amidon{space}T44{space}
+0
+6
+6
+Transformer_THT
+Transformer_Toroid_Tapped_Horizontal_D14.0mm_Amidon-T50
+Transformer,{space}Toroid,{space}tapped,{space}horizontal,{space}laying,{space}Diameter{space}14mm,{space}Amidon{space}T50,
+Transformer{space}Toroid{space}tapped{space}horizontal{space}laying{space}Diameter{space}14mm{space}Amidon{space}T50{space}
+0
+6
+6
+Transformer_THT
+Transformer_Wuerth_750343373
+Transformer,{space}horizontal{space}core{space}with{space}bobbin,{space}10{space}pin,{space}3.81mm{space}pitch,{space}15.24mm{space}row{space}spacing,{space}22x23x17.53mm{space}(https://katalog.we-online.com/ctm/datasheet/750343373.pdf)
+transformer{space}flyback
+0
+10
+10
+Valve
+Valve_ECC-83-1
+Valve{space}ECC-83-1{space}round{space}pins
+Valve{space}ECC-83-1{space}round{space}pins
+0
+9
+9
+Valve
+Valve_ECC-83-2
+Valve{space}ECC-83-2{space}flat{space}pins
+Valve{space}ECC-83-2{space}flat{space}pins
+0
+10
+9
+Valve
+Valve_EURO
+Valve{space}Euro
+Valve{space}Euro
+0
+7
+5
+Valve
+Valve_Glimm
+Valve{space}Glimm
+Valve{space}Glimm
+0
+2
+2
+Valve
+Valve_Mini_G
+Valve{space}mini{space}G
+Valve{space}mini{space}G
+0
+9
+7
+Valve
+Valve_Mini_P
+Valve{space}mini{space}P
+Valve{space}mini{space}P
+0
+7
+7
+Valve
+Valve_Mini_Pentode_Linear
+Mini-Pentode,{space}5-pin,{space}e.g.{space}JAN6418
+Valve{space}Mini-Pentode{space}5-pin{space}JAN6418
+0
+5
+5
+Valve
+Valve_Noval_G
+Valve{space}NOVAL{space}G
+Valve{space}NOVAL{space}G
+0
+11
+9
+Valve
+Valve_Noval_P
+Valve{space}NOVAL{space}P
+Valve{space}NOVAL{space}P
+0
+9
+9
+Valve
+Valve_Octal
+8-pin{space}round{space}valve
+valve
+0
+9
+8
+Varistor
+RV_Disc_D7mm_W3.4mm_P5mm
+Varistor,{space}diameter{space}7mm,{space}width{space}3.4mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D7mm_W3.5mm_P5mm
+Varistor,{space}diameter{space}7mm,{space}width{space}3.5mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D7mm_W3.6mm_P5mm
+Varistor,{space}diameter{space}7mm,{space}width{space}3.6mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D7mm_W3.7mm_P5mm
+Varistor,{space}diameter{space}7mm,{space}width{space}3.7mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D7mm_W3.8mm_P5mm
+Varistor,{space}diameter{space}7mm,{space}width{space}3.8mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D7mm_W3.9mm_P5mm
+Varistor,{space}diameter{space}7mm,{space}width{space}3.9mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D7mm_W4.2mm_P5mm
+Varistor,{space}diameter{space}7mm,{space}width{space}4.2mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D7mm_W4.3mm_P5mm
+Varistor,{space}diameter{space}7mm,{space}width{space}4.3mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D7mm_W4.5mm_P5mm
+Varistor,{space}diameter{space}7mm,{space}width{space}4.5mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D7mm_W4.8mm_P5mm
+Varistor,{space}diameter{space}7mm,{space}width{space}4.8mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D7mm_W4.9mm_P5mm
+Varistor,{space}diameter{space}7mm,{space}width{space}4.9mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D7mm_W4mm_P5mm
+Varistor,{space}diameter{space}7mm,{space}width{space}4mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D7mm_W5.1mm_P5mm
+Varistor,{space}diameter{space}7mm,{space}width{space}5.1mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D7mm_W5.4mm_P5mm
+Varistor,{space}diameter{space}7mm,{space}width{space}5.4mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D7mm_W5.5mm_P5mm
+Varistor,{space}diameter{space}7mm,{space}width{space}5.5mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D7mm_W5.7mm_P5mm
+Varistor,{space}diameter{space}7mm,{space}width{space}5.7mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D9mm_W3.3mm_P5mm
+Varistor,{space}diameter{space}9mm,{space}width{space}3.3mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D9mm_W3.4mm_P5mm
+Varistor,{space}diameter{space}9mm,{space}width{space}3.4mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D9mm_W3.5mm_P5mm
+Varistor,{space}diameter{space}9mm,{space}width{space}3.5mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D9mm_W3.6mm_P5mm
+Varistor,{space}diameter{space}9mm,{space}width{space}3.6mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D9mm_W3.7mm_P5mm
+Varistor,{space}diameter{space}9mm,{space}width{space}3.7mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D9mm_W3.8mm_P5mm
+Varistor,{space}diameter{space}9mm,{space}width{space}3.8mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D9mm_W3.9mm_P5mm
+Varistor,{space}diameter{space}9mm,{space}width{space}3.9mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D9mm_W4.1mm_P5mm
+Varistor,{space}diameter{space}9mm,{space}width{space}4.1mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D9mm_W4.2mm_P5mm
+Varistor,{space}diameter{space}9mm,{space}width{space}4.2mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D9mm_W4.4mm_P5mm
+Varistor,{space}diameter{space}9mm,{space}width{space}4.4mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D9mm_W4.5mm_P5mm
+Varistor,{space}diameter{space}9mm,{space}width{space}4.5mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D9mm_W4.8mm_P5mm
+Varistor,{space}diameter{space}9mm,{space}width{space}4.8mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D9mm_W4mm_P5mm
+Varistor,{space}diameter{space}9mm,{space}width{space}4mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D9mm_W5.2mm_P5mm
+Varistor,{space}diameter{space}9mm,{space}width{space}5.2mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D9mm_W5.4mm_P5mm
+Varistor,{space}diameter{space}9mm,{space}width{space}5.4mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D9mm_W5.5mm_P5mm
+Varistor,{space}diameter{space}9mm,{space}width{space}5.5mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D9mm_W5.7mm_P5mm
+Varistor,{space}diameter{space}9mm,{space}width{space}5.7mm,{space}pitch{space}5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D12mm_W3.9mm_P7.5mm
+Varistor,{space}diameter{space}12mm,{space}width{space}3.9mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D12mm_W4.2mm_P7.5mm
+Varistor,{space}diameter{space}12mm,{space}width{space}4.2mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D12mm_W4.3mm_P7.5mm
+Varistor,{space}diameter{space}12mm,{space}width{space}4.3mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D12mm_W4.4mm_P7.5mm
+Varistor,{space}diameter{space}12mm,{space}width{space}4.4mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D12mm_W4.5mm_P7.5mm
+Varistor,{space}diameter{space}12mm,{space}width{space}4.5mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D12mm_W4.6mm_P7.5mm
+Varistor,{space}diameter{space}12mm,{space}width{space}4.6mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D12mm_W4.7mm_P7.5mm
+Varistor,{space}diameter{space}12mm,{space}width{space}4.7mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D12mm_W4.8mm_P7.5mm
+Varistor,{space}diameter{space}12mm,{space}width{space}4.8mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D12mm_W4mm_P7.5mm
+Varistor,{space}diameter{space}12mm,{space}width{space}4mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D12mm_W5.1mm_P7.5mm
+Varistor,{space}diameter{space}12mm,{space}width{space}5.1mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D12mm_W5.4mm_P7.5mm
+Varistor,{space}diameter{space}12mm,{space}width{space}5.4mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D12mm_W5.8mm_P7.5mm
+Varistor,{space}diameter{space}12mm,{space}width{space}5.8mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D12mm_W5mm_P7.5mm
+Varistor,{space}diameter{space}12mm,{space}width{space}5mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D12mm_W6.1mm_P7.5mm
+Varistor,{space}diameter{space}12mm,{space}width{space}6.1mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D12mm_W6.2mm_P7.5mm
+Varistor,{space}diameter{space}12mm,{space}width{space}6.2mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D12mm_W6.3mm_P7.5mm
+Varistor,{space}diameter{space}12mm,{space}width{space}6.3mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D12mm_W6.7mm_P7.5mm
+Varistor,{space}diameter{space}12mm,{space}width{space}6.7mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D12mm_W7.1mm_P7.5mm
+Varistor,{space}diameter{space}12mm,{space}width{space}7.1mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D12mm_W7.5mm_P7.5mm
+Varistor,{space}diameter{space}12mm,{space}width{space}7.5mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D12mm_W7.9mm_P7.5mm
+Varistor,{space}diameter{space}12mm,{space}width{space}7.9mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D15.5mm_W3.9mm_P7.5mm
+Varistor,{space}diameter{space}15.5mm,{space}width{space}3.9mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D15.5mm_W4.2mm_P7.5mm
+Varistor,{space}diameter{space}15.5mm,{space}width{space}4.2mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D15.5mm_W4.3mm_P7.5mm
+Varistor,{space}diameter{space}15.5mm,{space}width{space}4.3mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D15.5mm_W4.4mm_P7.5mm
+Varistor,{space}diameter{space}15.5mm,{space}width{space}4.4mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D15.5mm_W4.5mm_P7.5mm
+Varistor,{space}diameter{space}15.5mm,{space}width{space}4.5mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D15.5mm_W4.6mm_P7.5mm
+Varistor,{space}diameter{space}15.5mm,{space}width{space}4.6mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D15.5mm_W4.7mm_P7.5mm
+Varistor,{space}diameter{space}15.5mm,{space}width{space}4.7mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D15.5mm_W4.8mm_P7.5mm
+Varistor,{space}diameter{space}15.5mm,{space}width{space}4.8mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D15.5mm_W4.9mm_P7.5mm
+Varistor,{space}diameter{space}15.5mm,{space}width{space}4.9mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D15.5mm_W4mm_P7.5mm
+Varistor,{space}diameter{space}15.5mm,{space}width{space}4mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D15.5mm_W5.2mm_P7.5mm
+Varistor,{space}diameter{space}15.5mm,{space}width{space}5.2mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D15.5mm_W5.4mm_P7.5mm
+Varistor,{space}diameter{space}15.5mm,{space}width{space}5.4mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D15.5mm_W5.9mm_P7.5mm
+Varistor,{space}diameter{space}15.5mm,{space}width{space}5.9mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D15.5mm_W5mm_P7.5mm
+Varistor,{space}diameter{space}15.5mm,{space}width{space}5mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D15.5mm_W6.1mm_P7.5mm
+Varistor,{space}diameter{space}15.5mm,{space}width{space}6.1mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D15.5mm_W6.3mm_P7.5mm
+Varistor,{space}diameter{space}15.5mm,{space}width{space}6.3mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D15.5mm_W6.4mm_P7.5mm
+Varistor,{space}diameter{space}15.5mm,{space}width{space}6.4mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D15.5mm_W6.8mm_P7.5mm
+Varistor,{space}diameter{space}15.5mm,{space}width{space}6.8mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D15.5mm_W7.2mm_P7.5mm
+Varistor,{space}diameter{space}15.5mm,{space}width{space}7.2mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D15.5mm_W7.5mm_P7.5mm
+Varistor,{space}diameter{space}15.5mm,{space}width{space}7.5mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D15.5mm_W8mm_P7.5mm
+Varistor,{space}diameter{space}15.5mm,{space}width{space}8mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D15.5mm_W11mm_P7.5mm
+Varistor,{space}diameter{space}15.5mm,{space}width{space}11mm,{space}pitch{space}7.5mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D21.5mm_W4.3mm_P10mm
+Varistor,{space}diameter{space}21.5mm,{space}width{space}4.3mm,{space}pitch{space}10mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D21.5mm_W4.4mm_P10mm
+Varistor,{space}diameter{space}21.5mm,{space}width{space}4.4mm,{space}pitch{space}10mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D21.5mm_W4.5mm_P10mm
+Varistor,{space}diameter{space}21.5mm,{space}width{space}4.5mm,{space}pitch{space}10mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D21.5mm_W4.6mm_P10mm
+Varistor,{space}diameter{space}21.5mm,{space}width{space}4.6mm,{space}pitch{space}10mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D21.5mm_W4.7mm_P10mm
+Varistor,{space}diameter{space}21.5mm,{space}width{space}4.7mm,{space}pitch{space}10mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D21.5mm_W4.8mm_P10mm
+Varistor,{space}diameter{space}21.5mm,{space}width{space}4.8mm,{space}pitch{space}10mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D21.5mm_W4.9mm_P10mm
+Varistor,{space}diameter{space}21.5mm,{space}width{space}4.9mm,{space}pitch{space}10mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D21.5mm_W5.1mm_P10mm
+Varistor,{space}diameter{space}21.5mm,{space}width{space}5.1mm,{space}pitch{space}10mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D21.5mm_W5.3mm_P10mm
+Varistor,{space}diameter{space}21.5mm,{space}width{space}5.3mm,{space}pitch{space}10mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D21.5mm_W5.4mm_P10mm
+Varistor,{space}diameter{space}21.5mm,{space}width{space}5.4mm,{space}pitch{space}10mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D21.5mm_W5.6mm_P10mm
+Varistor,{space}diameter{space}21.5mm,{space}width{space}5.6mm,{space}pitch{space}10mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D21.5mm_W5.8mm_P10mm
+Varistor,{space}diameter{space}21.5mm,{space}width{space}5.8mm,{space}pitch{space}10mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D21.5mm_W5mm_P10mm
+Varistor,{space}diameter{space}21.5mm,{space}width{space}5mm,{space}pitch{space}10mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D21.5mm_W6.1mm_P7.5mm
+varistor
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D21.5mm_W6.3mm_P10mm
+Varistor,{space}diameter{space}21.5mm,{space}width{space}6.3mm,{space}pitch{space}10mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D21.5mm_W6.5mm_P10mm
+Varistor,{space}diameter{space}21.5mm,{space}width{space}6.5mm,{space}pitch{space}10mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D21.5mm_W6.7mm_P10mm
+Varistor,{space}diameter{space}21.5mm,{space}width{space}6.7mm,{space}pitch{space}10mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D21.5mm_W6.8mm_P10mm
+Varistor,{space}diameter{space}21.5mm,{space}width{space}6.8mm,{space}pitch{space}10mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D21.5mm_W7.1mm_P10mm
+Varistor,{space}diameter{space}21.5mm,{space}width{space}7.1mm,{space}pitch{space}10mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D21.5mm_W7.5mm_P10mm
+Varistor,{space}diameter{space}21.5mm,{space}width{space}7.5mm,{space}pitch{space}10mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D21.5mm_W7.9mm_P10mm
+Varistor,{space}diameter{space}21.5mm,{space}width{space}7.9mm,{space}pitch{space}10mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D21.5mm_W8.4mm_P10mm
+Varistor,{space}diameter{space}21.5mm,{space}width{space}8.4mm,{space}pitch{space}10mm
+varistor{space}SIOV
+0
+2
+2
+Varistor
+RV_Disc_D21.5mm_W11.4mm_P10mm
+Varistor,{space}diameter{space}21.5mm,{space}width{space}11.4mm,{space}pitch{space}10mm
+varistor{space}SIOV
+0
+2
+2
+center
+led_tape_3528_2835
+
+
+0
+2
+2
diff --git a/center/fp-lib-table b/center/fp-lib-table
index 9a550c8..6b15188 100644
--- a/center/fp-lib-table
+++ b/center/fp-lib-table
@@ -1,4 +1,5 @@
(fp_lib_table
- (lib (name footprints)(type KiCad)(uri /home/user/toys/led_tape_display/corner/footprints.pretty)(options "")(descr ""))
- (lib (name Capacitor_THT)(type KiCad)(uri /home/user/ref/kicad-footprints/Capacitor_THT.pretty)(options "")(descr ""))
+ (lib (name "footprints")(type "KiCad")(uri "/home/user/toys/led_tape_display/corner/footprints.pretty")(options "")(descr ""))
+ (lib (name "Capacitor_THT")(type "KiCad")(uri "/home/user/ref/kicad-footprints/Capacitor_THT.pretty")(options "")(descr ""))
+ (lib (name "center")(type "KiCad")(uri "${KIPRJMOD}/center.pretty")(options "")(descr ""))
)
diff --git a/center/gerber.out/center-NPTH.drl b/center/gerber.out/center-NPTH.drl
index 1789514..2c4990b 100644
--- a/center/gerber.out/center-NPTH.drl
+++ b/center/gerber.out/center-NPTH.drl
@@ -1,11 +1,13 @@
M48
-;DRILL file {KiCad (5.0.1)} date Sat Feb 2 12:52:47 2019
-;FORMAT={-:-/ absolute / inch / decimal}
+; DRILL file {KiCad (5.99.0-52-gefbc802f4)} date Sat Dec 14 15:28:51 2019
+; FORMAT={-:-/ absolute / inch / decimal}
+; #@! TF.CreationDate,2019-12-14T15:28:51+01:00
+; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.99.0-52-gefbc802f4)
+; #@! TF.FileFunction,NonPlated,1,2,NPTH
FMAT,2
-INCH,TZ
+INCH
%
G90
G05
-M72
T0
M30
diff --git a/center/gerber.out/center-PTH.drl b/center/gerber.out/center-PTH.drl
index 19fae68..932c97d 100644
--- a/center/gerber.out/center-PTH.drl
+++ b/center/gerber.out/center-PTH.drl
@@ -1,104 +1,76 @@
M48
-;DRILL file {KiCad (5.0.1)} date Sat Feb 2 12:52:47 2019
-;FORMAT={-:-/ absolute / inch / decimal}
+; DRILL file {KiCad (5.99.0-52-gefbc802f4)} date Sat Dec 14 15:28:51 2019
+; FORMAT={-:-/ absolute / inch / decimal}
+; #@! TF.CreationDate,2019-12-14T15:28:51+01:00
+; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.99.0-52-gefbc802f4)
+; #@! TF.FileFunction,Plated,1,2,PTH
FMAT,2
-INCH,TZ
+INCH
T1C0.0157
T2C0.0236
-T3C0.0394
+T3C0.0315
+T4C0.0394
+T5C0.0472
%
G90
G05
-M72
T1
-X3.5669Y-3.5669
-X3.5702Y-3.7433
-X3.5906Y-3.3661
-X3.6299Y-3.4055
-X3.6378Y-3.7913
-X3.6378Y-3.8917
-X3.6614Y-3.6614
-X3.6772Y-3.7913
-X3.6811Y-3.315
-X3.7756Y-3.7756
-X3.7874Y-4.6772
-X3.8543Y-4.689
-X3.8573Y-3.5374
-X3.8583Y-3.4449
-X3.937Y-3.2264
-X3.9547Y-4.1289
-X3.9803Y-4.3228
-X3.9852Y-4.0197
-X3.9941Y-4.063
-X3.9961Y-3.6693
-X3.9961Y-4.1299
-X4.Y-3.3346
-X4.Y-3.752
-X4.2008Y-4.6339
-X4.248Y-4.2402
-X4.2992Y-4.3071
-X4.3031Y-3.4055
-X4.3031Y-3.563
-X4.4724Y-4.2717
-X4.6063Y-4.0315
-X4.6181Y-3.9783
+X6.3051Y-4.4715
+X6.4783Y-3.5108
+X6.4941Y-4.1722
+X6.6594Y-3.2195
+X6.6988Y-3.2352
+X6.7697Y-4.314
+X6.8248Y-3.2195
+X7.061Y-3.0778
+X7.061Y-3.1171
+X7.3681Y-2.7392
+X7.8642Y-3.2274
+X8.0689Y-3.6289
T2
-X3.3426Y-4.0023
-X3.378Y-4.3996
-X3.7323Y-3.2559
-X3.8937Y-3.7756
-X3.8976Y-3.2559
-X3.9055Y-4.1102
-X3.9272Y-4.0157
-X3.9685Y-3.8563
-X3.9903Y-3.9567
-X4.0866Y-3.2776
-X4.1437Y-3.6378
-X4.2028Y-3.9134
-X4.2598Y-4.1535
+X6.1004Y-3.9754
+X6.1476Y-3.4478
+X6.3661Y-4.2707
+X7.0335Y-4.4675
+X7.0335Y-4.5384
+X7.0335Y-4.6171
+X7.0551Y-4.5778
+X7.0768Y-4.5384
+X7.0768Y-4.6171
+X7.0886Y-4.4675
T3
-X3.0512Y-3.9567
-X3.0885Y-3.682
-X3.1006Y-4.2295
-X3.2088Y-3.4323
-X3.2319Y-4.4736
-X3.377Y-3.6526
-X3.378Y-4.3996
-X3.4004Y-3.2319
-X3.4323Y-4.6652
-X3.4626Y-3.3933
-X3.6446Y-3.1006
-X3.6494Y-4.2246
-X3.682Y-4.7856
-X3.7205Y-3.4409
-X3.7323Y-4.437
-X3.7953Y-4.311
-X3.811Y-4.122
-X3.8248Y-4.3976
-X3.9173Y-3.0512
-X3.9567Y-4.8228
-X4.0551Y-4.3228
-X4.1831Y-3.3268
-X4.192Y-3.0885
-X4.2295Y-4.7734
-X4.3996Y-3.3913
-X4.4094Y-3.9488
-X4.4418Y-3.2088
-X4.4736Y-4.6421
-X4.5197Y-3.6102
-X4.5236Y-4.2402
-X4.6421Y-3.4004
-X4.6652Y-4.4418
-X4.7734Y-3.6446
-X4.7856Y-4.192
-X4.8228Y-3.9173
-X3.5157Y-4.6791
-X3.7059Y-4.6282
-X4.115Y-4.7045
-X4.3051Y-4.6535
-X3.2728Y-3.8091
-X3.3728Y-3.8091
-X3.4728Y-3.8091
-X3.5728Y-3.8091
+X6.1693Y-3.8474
+X6.248Y-3.8474
+T4
+X6.1476Y-3.2352
+X6.1666Y-3.5391
+X6.1929Y-4.3041
+X6.3957Y-3.7785
+X6.3957Y-4.5266
+X6.3996Y-3.3848
+X6.5728Y-2.8337
+X6.5965Y-3.6053
+X6.6319Y-3.4045
+X6.8091Y-3.4557
+X7.1732Y-4.7549
+X7.2736Y-3.7156
+X7.3839Y-4.3219
+X7.4232Y-4.9596
+X7.4783Y-3.1093
+X7.7146Y-3.9163
+X7.7244Y-4.3986
+X7.8461Y-4.6109
+X7.9016Y-3.9734
+X8.0138Y-4.0935
+X8.0453Y-4.5591
+X6.8535Y-2.7746
+X6.9535Y-2.7746
+X7.0535Y-2.7746
+X7.1535Y-2.7746
+X7.813Y-4.2904
+X8.0098Y-4.2904
+T5
+X6.9075Y-4.3789
+X7.1043Y-4.3789
T0
M30
diff --git a/center/gerber/center-NPTH.drl b/center/gerber/center-NPTH.drl
index 1789514..2c4990b 100644
--- a/center/gerber/center-NPTH.drl
+++ b/center/gerber/center-NPTH.drl
@@ -1,11 +1,13 @@
M48
-;DRILL file {KiCad (5.0.1)} date Sat Feb 2 12:52:47 2019
-;FORMAT={-:-/ absolute / inch / decimal}
+; DRILL file {KiCad (5.99.0-52-gefbc802f4)} date Sat Dec 14 15:28:51 2019
+; FORMAT={-:-/ absolute / inch / decimal}
+; #@! TF.CreationDate,2019-12-14T15:28:51+01:00
+; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.99.0-52-gefbc802f4)
+; #@! TF.FileFunction,NonPlated,1,2,NPTH
FMAT,2
-INCH,TZ
+INCH
%
G90
G05
-M72
T0
M30
diff --git a/center/gerber/center-PTH.drl b/center/gerber/center-PTH.drl
index 19fae68..932c97d 100644
--- a/center/gerber/center-PTH.drl
+++ b/center/gerber/center-PTH.drl
@@ -1,104 +1,76 @@
M48
-;DRILL file {KiCad (5.0.1)} date Sat Feb 2 12:52:47 2019
-;FORMAT={-:-/ absolute / inch / decimal}
+; DRILL file {KiCad (5.99.0-52-gefbc802f4)} date Sat Dec 14 15:28:51 2019
+; FORMAT={-:-/ absolute / inch / decimal}
+; #@! TF.CreationDate,2019-12-14T15:28:51+01:00
+; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.99.0-52-gefbc802f4)
+; #@! TF.FileFunction,Plated,1,2,PTH
FMAT,2
-INCH,TZ
+INCH
T1C0.0157
T2C0.0236
-T3C0.0394
+T3C0.0315
+T4C0.0394
+T5C0.0472
%
G90
G05
-M72
T1
-X3.5669Y-3.5669
-X3.5702Y-3.7433
-X3.5906Y-3.3661
-X3.6299Y-3.4055
-X3.6378Y-3.7913
-X3.6378Y-3.8917
-X3.6614Y-3.6614
-X3.6772Y-3.7913
-X3.6811Y-3.315
-X3.7756Y-3.7756
-X3.7874Y-4.6772
-X3.8543Y-4.689
-X3.8573Y-3.5374
-X3.8583Y-3.4449
-X3.937Y-3.2264
-X3.9547Y-4.1289
-X3.9803Y-4.3228
-X3.9852Y-4.0197
-X3.9941Y-4.063
-X3.9961Y-3.6693
-X3.9961Y-4.1299
-X4.Y-3.3346
-X4.Y-3.752
-X4.2008Y-4.6339
-X4.248Y-4.2402
-X4.2992Y-4.3071
-X4.3031Y-3.4055
-X4.3031Y-3.563
-X4.4724Y-4.2717
-X4.6063Y-4.0315
-X4.6181Y-3.9783
+X6.3051Y-4.4715
+X6.4783Y-3.5108
+X6.4941Y-4.1722
+X6.6594Y-3.2195
+X6.6988Y-3.2352
+X6.7697Y-4.314
+X6.8248Y-3.2195
+X7.061Y-3.0778
+X7.061Y-3.1171
+X7.3681Y-2.7392
+X7.8642Y-3.2274
+X8.0689Y-3.6289
T2
-X3.3426Y-4.0023
-X3.378Y-4.3996
-X3.7323Y-3.2559
-X3.8937Y-3.7756
-X3.8976Y-3.2559
-X3.9055Y-4.1102
-X3.9272Y-4.0157
-X3.9685Y-3.8563
-X3.9903Y-3.9567
-X4.0866Y-3.2776
-X4.1437Y-3.6378
-X4.2028Y-3.9134
-X4.2598Y-4.1535
+X6.1004Y-3.9754
+X6.1476Y-3.4478
+X6.3661Y-4.2707
+X7.0335Y-4.4675
+X7.0335Y-4.5384
+X7.0335Y-4.6171
+X7.0551Y-4.5778
+X7.0768Y-4.5384
+X7.0768Y-4.6171
+X7.0886Y-4.4675
T3
-X3.0512Y-3.9567
-X3.0885Y-3.682
-X3.1006Y-4.2295
-X3.2088Y-3.4323
-X3.2319Y-4.4736
-X3.377Y-3.6526
-X3.378Y-4.3996
-X3.4004Y-3.2319
-X3.4323Y-4.6652
-X3.4626Y-3.3933
-X3.6446Y-3.1006
-X3.6494Y-4.2246
-X3.682Y-4.7856
-X3.7205Y-3.4409
-X3.7323Y-4.437
-X3.7953Y-4.311
-X3.811Y-4.122
-X3.8248Y-4.3976
-X3.9173Y-3.0512
-X3.9567Y-4.8228
-X4.0551Y-4.3228
-X4.1831Y-3.3268
-X4.192Y-3.0885
-X4.2295Y-4.7734
-X4.3996Y-3.3913
-X4.4094Y-3.9488
-X4.4418Y-3.2088
-X4.4736Y-4.6421
-X4.5197Y-3.6102
-X4.5236Y-4.2402
-X4.6421Y-3.4004
-X4.6652Y-4.4418
-X4.7734Y-3.6446
-X4.7856Y-4.192
-X4.8228Y-3.9173
-X3.5157Y-4.6791
-X3.7059Y-4.6282
-X4.115Y-4.7045
-X4.3051Y-4.6535
-X3.2728Y-3.8091
-X3.3728Y-3.8091
-X3.4728Y-3.8091
-X3.5728Y-3.8091
+X6.1693Y-3.8474
+X6.248Y-3.8474
+T4
+X6.1476Y-3.2352
+X6.1666Y-3.5391
+X6.1929Y-4.3041
+X6.3957Y-3.7785
+X6.3957Y-4.5266
+X6.3996Y-3.3848
+X6.5728Y-2.8337
+X6.5965Y-3.6053
+X6.6319Y-3.4045
+X6.8091Y-3.4557
+X7.1732Y-4.7549
+X7.2736Y-3.7156
+X7.3839Y-4.3219
+X7.4232Y-4.9596
+X7.4783Y-3.1093
+X7.7146Y-3.9163
+X7.7244Y-4.3986
+X7.8461Y-4.6109
+X7.9016Y-3.9734
+X8.0138Y-4.0935
+X8.0453Y-4.5591
+X6.8535Y-2.7746
+X6.9535Y-2.7746
+X7.0535Y-2.7746
+X7.1535Y-2.7746
+X7.813Y-4.2904
+X8.0098Y-4.2904
+T5
+X6.9075Y-4.3789
+X7.1043Y-4.3789
T0
M30
diff --git a/center/sym-lib-table b/center/sym-lib-table
new file mode 100644
index 0000000..ec8c705
--- /dev/null
+++ b/center/sym-lib-table
@@ -0,0 +1,4 @@
+(sym_lib_table
+ (lib (name components)(type Legacy)(uri ${KIPRJMOD}/components.lib)(options "")(descr ""))
+ (lib (name center-rescue)(type Legacy)(uri ${KIPRJMOD}/center-rescue.lib)(options "")(descr ""))
+)
diff --git a/driver/bom_driver_v2.ods b/driver/bom_driver_v2.ods
new file mode 100644
index 0000000..03473a9
--- /dev/null
+++ b/driver/bom_driver_v2.ods
Binary files differ
diff --git a/driver/driver-cache.lib b/driver/driver-cache.lib
index 8aaccf2..0fff77c 100644
--- a/driver/driver-cache.lib
+++ b/driver/driver-cache.lib
@@ -1,670 +1,731 @@
-EESchema-LIBRARY Version 2.4
-#encoding utf-8
-#
-# 74xx_74HC595
-#
-DEF 74xx_74HC595 U 0 20 Y Y 1 F N
-F0 "U" -300 550 50 H V C CNN
-F1 "74xx_74HC595" -300 -650 50 H V C CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-ALIAS 74LS595 74HCT595
-$FPLIST
- DIP*W7.62mm*
- SOIC*3.9x9.9mm*P1.27mm*
- TSSOP*4.4x5mm*P0.65mm*
- SOIC*5.3x10.2mm*P1.27mm*
- SOIC*7.5x10.3mm*P1.27mm*
-$ENDFPLIST
-DRAW
-S -300 500 300 -600 1 1 10 f
-X QB 1 400 300 100 L 50 50 1 0 T
-X ~SRCLR 10 -400 100 100 R 50 50 1 0 I
-X SRCLK 11 -400 200 100 R 50 50 1 0 I
-X RCLK 12 -400 -100 100 R 50 50 1 0 I
-X ~OE 13 -400 -200 100 R 50 50 1 0 I
-X SER 14 -400 400 100 R 50 50 1 0 I
-X QA 15 400 400 100 L 50 50 1 0 T
-X VCC 16 0 600 100 D 50 50 1 0 W
-X QC 2 400 200 100 L 50 50 1 0 T
-X QD 3 400 100 100 L 50 50 1 0 T
-X QE 4 400 0 100 L 50 50 1 0 T
-X QF 5 400 -100 100 L 50 50 1 0 T
-X QG 6 400 -200 100 L 50 50 1 0 T
-X QH 7 400 -300 100 L 50 50 1 0 T
-X GND 8 0 -700 100 U 50 50 1 0 W
-X QH' 9 400 -500 100 L 50 50 1 0 O
-ENDDRAW
-ENDDEF
-#
-# Amplifier_Operational_NCS325
-#
-DEF Amplifier_Operational_NCS325 U 0 5 Y Y 1 F N
-F0 "U" 0 250 50 H V L CNN
-F1 "Amplifier_Operational_NCS325" 0 150 50 H V L CNN
-F2 "Package_TO_SOT_SMD:SOT-23-5" 0 0 50 H I C CNN
-F3 "" 150 150 50 H I C CNN
-$FPLIST
- SOT?23*
-$ENDFPLIST
-DRAW
-P 4 0 1 10 -200 200 200 0 -200 -200 -200 200 f
-X ~ 1 300 0 100 L 50 50 1 1 O
-X V- 2 -100 -300 150 U 50 50 1 1 W
-X + 3 -300 100 100 R 50 50 1 1 I
-X - 4 -300 -100 100 R 50 50 1 1 I
-X V+ 5 -100 300 150 D 50 50 1 1 W
-ENDDRAW
-ENDDEF
-#
-# Analog_ADC_INA226
-#
-DEF Analog_ADC_INA226 U 0 20 Y Y 1 F N
-F0 "U" 150 450 50 H V C CNN
-F1 "Analog_ADC_INA226" 200 -450 50 H V C CNN
-F2 "Package_SO:MSOP-10_3x3mm_P0.5mm" 50 100 50 H I C CNN
-F3 "" 350 -100 50 H I C CNN
-$FPLIST
- MSOP*3x3mm*P0.5mm*
-$ENDFPLIST
-DRAW
-S 300 400 -300 -400 0 1 10 f
-X A1 1 400 300 100 L 50 50 1 1 P
-X Vin+ 10 -400 -100 100 R 50 50 1 1 I
-X A0 2 400 200 100 L 50 50 1 1 P
-X ~Alert~ 3 400 -300 100 L 50 50 1 1 C
-X SDA 4 400 0 100 L 50 50 1 1 B
-X SCL 5 400 -100 100 L 50 50 1 1 I
-X Vs 6 0 500 100 D 50 50 1 1 W
-X GND 7 0 -500 100 U 50 50 1 1 W
-X Vbus 8 -400 300 100 R 50 50 1 1 I
-X Vin- 9 -400 -200 100 R 50 50 1 1 I
-ENDDRAW
-ENDDEF
-#
-# Connector_Generic_Conn_01x02
-#
-DEF Connector_Generic_Conn_01x02 J 0 40 Y N 1 F N
-F0 "J" 0 100 50 H V C CNN
-F1 "Connector_Generic_Conn_01x02" 0 -200 50 H V C CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-$FPLIST
- Connector*:*_1x??_*
-$ENDFPLIST
-DRAW
-S -50 -95 0 -105 1 1 6 N
-S -50 5 0 -5 1 1 6 N
-S -50 50 50 -150 1 1 10 f
-X Pin_1 1 -200 0 150 R 50 50 1 1 P
-X Pin_2 2 -200 -100 150 R 50 50 1 1 P
-ENDDRAW
-ENDDEF
-#
-# Connector_Generic_Conn_01x04
-#
-DEF Connector_Generic_Conn_01x04 J 0 40 Y N 1 F N
-F0 "J" 0 200 50 H V C CNN
-F1 "Connector_Generic_Conn_01x04" 0 -300 50 H V C CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-$FPLIST
- Connector*:*_1x??_*
-$ENDFPLIST
-DRAW
-S -50 -195 0 -205 1 1 6 N
-S -50 -95 0 -105 1 1 6 N
-S -50 5 0 -5 1 1 6 N
-S -50 105 0 95 1 1 6 N
-S -50 150 50 -250 1 1 10 f
-X Pin_1 1 -200 100 150 R 50 50 1 1 P
-X Pin_2 2 -200 0 150 R 50 50 1 1 P
-X Pin_3 3 -200 -100 150 R 50 50 1 1 P
-X Pin_4 4 -200 -200 150 R 50 50 1 1 P
-ENDDRAW
-ENDDEF
-#
-# Connector_RJ45_LED_Shielded
-#
-DEF Connector_RJ45_LED_Shielded J 0 40 Y Y 1 F N
-F0 "J" -200 550 50 H V R CNN
-F1 "Connector_RJ45_LED_Shielded" 50 550 50 H V L CNN
-F2 "" 0 25 50 V I C CNN
-F3 "" 0 25 50 V I C CNN
-ALIAS RJ45_LED_Shielded
-$FPLIST
- 8P8C*
- RJ45*
-$ENDFPLIST
-DRAW
-S 300 500 -300 -400 0 1 10 f
-P 2 0 1 0 -300 -300 -250 -300 N
-P 2 0 1 0 -300 -200 -250 -200 N
-P 2 0 1 0 -300 300 -250 300 N
-P 2 0 1 0 -300 400 -250 400 N
-P 2 0 1 0 -270 -230 -230 -230 N
-P 2 0 1 0 -270 370 -230 370 N
-P 2 0 1 0 -250 -300 -250 -270 N
-P 2 0 1 0 -250 -200 -250 -230 N
-P 2 0 1 0 -250 300 -250 330 N
-P 2 0 1 0 -250 400 -250 370 N
-P 2 0 1 0 -200 -245 -205 -260 N
-P 2 0 1 0 -200 -220 -205 -235 N
-P 2 0 1 0 -200 175 -250 175 N
-P 2 0 1 0 -200 225 -250 225 N
-P 2 0 1 0 -200 355 -205 340 N
-P 2 0 1 0 -200 380 -205 365 N
-P 3 0 1 0 -250 -125 -200 -125 -200 -125 N
-P 3 0 1 0 -250 -75 -200 -75 -200 -75 N
-P 3 0 1 0 -250 -25 -200 -25 -200 -25 N
-P 3 0 1 0 -250 25 -200 25 -200 25 N
-P 3 0 1 0 -250 75 -200 75 -200 75 N
-P 3 0 1 0 -220 -265 -200 -245 -215 -250 N
-P 3 0 1 0 -220 -240 -200 -220 -215 -225 N
-P 3 0 1 0 -220 335 -200 355 -215 350 N
-P 3 0 1 0 -220 360 -200 380 -215 375 N
-P 3 0 1 0 -200 125 -250 125 -250 125 N
-P 4 0 1 0 -250 -230 -270 -270 -230 -270 -250 -230 N
-P 4 0 1 0 -250 370 -270 330 -230 330 -250 370 N
-P 14 0 1 0 -250 -175 -250 275 150 275 150 175 200 175 200 125 250 125 250 -25 200 -25 200 -75 150 -75 150 -175 -250 -175 -250 -175 N
-X ~ 1 400 -300 100 L 50 50 1 1 P
-X ~ 10 -400 300 100 R 50 50 1 1 P
-X ~ 11 -400 -200 100 R 50 50 1 1 P
-X ~ 12 -400 -300 100 R 50 50 1 1 P
-X ~ 2 400 -200 100 L 50 50 1 1 P
-X ~ 3 400 -100 100 L 50 50 1 1 P
-X ~ 4 400 0 100 L 50 50 1 1 P
-X ~ 5 400 100 100 L 50 50 1 1 P
-X ~ 6 400 200 100 L 50 50 1 1 P
-X ~ 7 400 300 100 L 50 50 1 1 P
-X ~ 8 400 400 100 L 50 50 1 1 P
-X ~ 9 -400 400 100 R 50 50 1 1 P
-X ~ SH 0 -500 100 U 50 50 1 1 P
-ENDDRAW
-ENDDEF
-#
-# Connector_Screw_Terminal_01x03
-#
-DEF Connector_Screw_Terminal_01x03 J 0 40 Y N 1 F N
-F0 "J" 0 200 50 H V C CNN
-F1 "Connector_Screw_Terminal_01x03" 0 -200 50 H V C CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-$FPLIST
- TerminalBlock*:*
-$ENDFPLIST
-DRAW
-C 0 -100 25 1 1 6 N
-C 0 0 25 1 1 6 N
-C 0 100 25 1 1 6 N
-S -50 150 50 -150 1 1 10 f
-P 2 1 1 6 -21 -87 13 -120 N
-P 2 1 1 6 -21 13 13 -20 N
-P 2 1 1 6 -21 113 13 80 N
-P 2 1 1 6 -14 -80 20 -113 N
-P 2 1 1 6 -14 20 20 -13 N
-P 2 1 1 6 -14 120 20 87 N
-X Pin_1 1 -200 100 150 R 50 50 1 1 P
-X Pin_2 2 -200 0 150 R 50 50 1 1 P
-X Pin_3 3 -200 -100 150 R 50 50 1 1 P
-ENDDRAW
-ENDDEF
-#
-# Connector_TestPoint_Alt
-#
-DEF Connector_TestPoint_Alt TP 0 30 N N 1 F N
-F0 "TP" 0 270 50 H V C CNN
-F1 "Connector_TestPoint_Alt" 0 200 50 H V C CNN
-F2 "" 200 0 50 H I C CNN
-F3 "" 200 0 50 H I C CNN
-$FPLIST
- Pin*
- Test*
-$ENDFPLIST
-DRAW
-P 5 0 1 0 0 100 -30 130 0 160 30 130 0 100 N
-X 1 1 0 0 100 U 50 50 1 1 P
-ENDDRAW
-ENDDEF
-#
-# Device_CP_Small
-#
-DEF Device_CP_Small C 0 10 N N 1 F N
-F0 "C" 10 70 50 H V L CNN
-F1 "Device_CP_Small" 10 -80 50 H V L CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-$FPLIST
- CP_*
-$ENDFPLIST
-DRAW
-S -60 -12 60 -27 0 1 0 F
-S -60 27 60 12 0 1 0 N
-P 2 0 1 0 -50 60 -30 60 N
-P 2 0 1 0 -40 50 -40 70 N
-X ~ 1 0 100 73 D 50 50 1 1 P
-X ~ 2 0 -100 73 U 50 50 1 1 P
-ENDDRAW
-ENDDEF
-#
-# Device_C_Small
-#
-DEF Device_C_Small C 0 10 N N 1 F N
-F0 "C" 10 70 50 H V L CNN
-F1 "Device_C_Small" 10 -80 50 H V L CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-$FPLIST
- C_*
-$ENDFPLIST
-DRAW
-P 2 0 1 13 -60 -20 60 -20 N
-P 2 0 1 12 -60 20 60 20 N
-X ~ 1 0 100 80 D 50 50 1 1 P
-X ~ 2 0 -100 80 U 50 50 1 1 P
-ENDDRAW
-ENDDEF
-#
-# Device_Crystal_GND24
-#
-DEF Device_Crystal_GND24 Y 0 40 Y N 1 F N
-F0 "Y" 125 200 50 H V L CNN
-F1 "Device_Crystal_GND24" 125 125 50 H V L CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-$FPLIST
- Crystal*
-$ENDFPLIST
-DRAW
-S -45 100 45 -100 0 1 12 N
-P 2 0 1 0 -100 0 -80 0 N
-P 2 0 1 20 -80 -50 -80 50 N
-P 2 0 1 0 0 -150 0 -140 N
-P 2 0 1 0 0 140 0 150 N
-P 2 0 1 20 80 -50 80 50 N
-P 2 0 1 0 80 0 100 0 N
-P 4 0 1 0 -100 -90 -100 -140 100 -140 100 -90 N
-P 4 0 1 0 -100 90 -100 140 100 140 100 90 N
-X 1 1 -150 0 50 R 50 50 1 1 P
-X 2 2 0 200 50 D 50 50 1 1 P
-X 3 3 150 0 50 L 50 50 1 1 P
-X 4 4 0 -200 50 U 50 50 1 1 P
-ENDDRAW
-ENDDEF
-#
-# Device_D_Schottky_Small_ALT
-#
-DEF Device_D_Schottky_Small_ALT D 0 10 N N 1 F N
-F0 "D" -50 80 50 H V L CNN
-F1 "Device_D_Schottky_Small_ALT" -280 -80 50 H V L CNN
-F2 "" 0 0 50 V I C CNN
-F3 "" 0 0 50 V I C CNN
-$FPLIST
- TO-???*
- *_Diode_*
- *SingleDiode*
- D_*
-$ENDFPLIST
-DRAW
-P 2 0 1 0 -30 -40 -30 40 N
-P 2 0 1 0 -30 0 30 0 N
-P 3 0 1 0 -30 -40 -20 -40 -20 -30 N
-P 3 0 1 0 -30 40 -40 40 -40 30 N
-P 4 0 1 0 30 -40 -30 0 30 40 30 -40 F
-X K 1 -100 0 70 R 50 50 1 1 P
-X A 2 100 0 70 L 50 50 1 1 P
-ENDDRAW
-ENDDEF
-#
-# Device_LED_Small_ALT
-#
-DEF Device_LED_Small_ALT D 0 10 N N 1 F N
-F0 "D" -50 125 50 H V L CNN
-F1 "Device_LED_Small_ALT" -175 -100 50 H V L CNN
-F2 "" 0 0 50 V I C CNN
-F3 "" 0 0 50 V I C CNN
-$FPLIST
- LED*
- LED_SMD:*
- LED_THT:*
-$ENDFPLIST
-DRAW
-P 2 0 1 0 -30 -40 -30 40 N
-P 2 0 1 0 40 0 -30 0 N
-P 4 0 1 0 30 -40 -30 0 30 40 30 -40 F
-P 5 0 1 0 0 30 -20 50 -10 50 -20 50 -20 40 N
-P 5 0 1 0 20 50 0 70 10 70 0 70 0 60 N
-X K 1 -100 0 70 R 50 50 1 1 P
-X A 2 100 0 70 L 50 50 1 1 P
-ENDDRAW
-ENDDEF
-#
-# Device_R_Small
-#
-DEF Device_R_Small R 0 10 N N 1 F N
-F0 "R" 30 20 50 H V L CNN
-F1 "Device_R_Small" 30 -40 50 H V L CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-$FPLIST
- R_*
-$ENDFPLIST
-DRAW
-S -30 70 30 -70 0 1 8 N
-X ~ 1 0 100 30 D 50 50 1 1 P
-X ~ 2 0 -100 30 U 50 50 1 1 P
-ENDDRAW
-ENDDEF
-#
-# Interface_UART_MAX485E
-#
-DEF Interface_UART_MAX485E U 0 20 Y Y 1 F N
-F0 "U" -240 450 50 H V C CNN
-F1 "Interface_UART_MAX485E" 30 450 50 H V L CNN
-F2 "" 0 -700 50 H I C CNN
-F3 "" 0 50 50 H I C CNN
-ALIAS MAX483E MAX485E MAX487E MAX1487E MAX3485 MAX3483 MAX3486
-$FPLIST
- DIP*W7.62mm*
- SOIC*3.9x4.9mm*P1.27mm*
-$ENDFPLIST
-DRAW
-C -12 -145 14 0 1 10 F
-C -1 59 14 0 1 10 F
-C 65 75 14 0 1 10 F
-S -300 400 300 -500 0 1 10 f
-S 50 125 50 125 0 1 0 N
-P 2 0 1 10 -160 -200 -75 -200 N
-P 2 0 1 10 -160 100 -50 100 N
-P 2 0 1 10 -50 -126 -50 -136 N
-P 2 0 1 10 -25 -200 210 -200 N
-P 3 0 1 10 -160 -100 -50 -100 -50 -125 N
-P 3 0 1 10 0 50 0 0 -160 0 N
-P 3 0 1 10 50 125 150 125 150 -200 N
-P 3 0 1 10 100 75 100 -150 0 -150 N
-P 4 0 1 10 -75 -125 -75 -225 25 -175 -75 -125 N
-P 4 0 1 10 -50 100 50 150 50 50 -50 100 N
-P 4 0 1 10 75 75 175 75 175 100 210 100 N
-X RO 1 -400 100 100 R 50 50 1 1 O
-X ~RE 2 -400 0 100 R 50 50 1 1 I
-X DE 3 -400 -100 100 R 50 50 1 1 I
-X DI 4 -400 -200 100 R 50 50 1 1 I
-X GND 5 0 -600 100 U 50 50 1 1 W
-X A 6 400 -200 100 L 50 50 1 1 B
-X B 7 400 100 100 L 50 50 1 1 B
-X VCC 8 0 500 100 D 50 50 1 1 W
-ENDDRAW
-ENDDEF
-#
-# MCU_ST_STM32F0_STM32F030F4Px
-#
-DEF MCU_ST_STM32F0_STM32F030F4Px U 0 20 Y Y 1 F N
-F0 "U" -400 650 50 H V L CNN
-F1 "MCU_ST_STM32F0_STM32F030F4Px" 200 650 50 H V L CNN
-F2 "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm" -400 -700 50 H I R CNN
-F3 "" 0 0 50 H I C CNN
-$FPLIST
- TSSOP*4.4x6.5mm*P0.65mm*
-$ENDFPLIST
-DRAW
-S -400 -700 400 600 0 1 10 f
-X BOOT0 1 -500 300 100 R 50 50 1 1 I
-X PA4 10 500 100 100 L 50 50 1 1 B
-X PA5 11 500 0 100 L 50 50 1 1 B
-X PA6 12 500 -100 100 L 50 50 1 1 B
-X PA7 13 500 -200 100 L 50 50 1 1 B
-X PB1 14 -500 -600 100 R 50 50 1 1 B
-X VSS 15 0 -800 100 U 50 50 1 1 W
-X VDD 16 0 700 100 D 50 50 1 1 W
-X PA9 17 500 -300 100 L 50 50 1 1 B
-X PA10 18 500 -400 100 L 50 50 1 1 B
-X PA13 19 500 -500 100 L 50 50 1 1 B
-X PF0 2 -500 -300 100 R 50 50 1 1 I
-X PA14 20 500 -600 100 L 50 50 1 1 B
-X PF1 3 -500 -400 100 R 50 50 1 1 I
-X NRST 4 -500 500 100 R 50 50 1 1 I
-X VDDA 5 100 700 100 D 50 50 1 1 W
-X PA0 6 500 500 100 L 50 50 1 1 B
-X PA1 7 500 400 100 L 50 50 1 1 B
-X PA2 8 500 300 100 L 50 50 1 1 B
-X PA3 9 500 200 100 L 50 50 1 1 B
-ENDDRAW
-ENDDEF
-#
-# Mechanical_MountingHole
-#
-DEF Mechanical_MountingHole H 0 40 Y Y 1 F N
-F0 "H" 0 200 50 H V C CNN
-F1 "Mechanical_MountingHole" 0 125 50 H V C CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-$FPLIST
- MountingHole*
-$ENDFPLIST
-DRAW
-C 0 0 50 0 1 50 N
-ENDDRAW
-ENDDEF
-#
-# Regulator_Linear_AMS1117-3.3
-#
-DEF Regulator_Linear_AMS1117-3.3 U 0 10 Y Y 1 F N
-F0 "U" -150 125 50 H V C CNN
-F1 "Regulator_Linear_AMS1117-3.3" 0 125 50 H V L CNN
-F2 "Package_TO_SOT_SMD:SOT-223-3_TabPin2" 0 200 50 H I C CNN
-F3 "" 100 -250 50 H I C CNN
-ALIAS AP1117-18 AP1117-25 AP1117-33 AP1117-50 LD1117S33TR_SOT223 LD1117S12TR_SOT223 LD1117S18TR_SOT223 LD1117S25TR_SOT223 LD1117S50TR_SOT223 NCP1117-12_SOT223 NCP1117-1.5_SOT223 NCP1117-1.8_SOT223 NCP1117-2.0_SOT223 NCP1117-2.5_SOT223 NCP1117-2.85_SOT223 NCP1117-3.3_SOT223 NCP1117-5.0_SOT223 AMS1117-1.5 AMS1117-1.8 AMS1117-2.5 AMS1117-2.85 AMS1117-3.3 AMS1117-5.0
-$FPLIST
- SOT?223*TabPin2*
-$ENDFPLIST
-DRAW
-S -200 -200 200 75 0 1 10 f
-X GND 1 0 -300 100 U 50 50 1 1 W
-X VO 2 300 0 100 L 50 50 1 1 w
-X VI 3 -300 0 100 R 50 50 1 1 W
-ENDDRAW
-ENDDEF
-#
-# Sensor_Temperature_MCP9804_MSOP
-#
-DEF Sensor_Temperature_MCP9804_MSOP U 0 40 Y Y 1 F N
-F0 "U" -250 450 50 H V C CNN
-F1 "Sensor_Temperature_MCP9804_MSOP" 400 450 50 H V C CNN
-F2 "Package_SO:MSOP-8_3x3mm_P0.65mm" -1000 -500 50 H I C CNN
-F3 "" -250 450 50 H I C CNN
-$FPLIST
- MSOP*3x3mm*P0.65mm*
-$ENDFPLIST
-DRAW
-S -300 400 300 -400 0 1 10 f
-X SDA 1 -400 300 100 R 50 50 1 1 B
-X SCL 2 -400 200 100 R 50 50 1 1 I
-X Alert 3 400 0 100 L 50 50 1 1 O
-X GND 4 0 -500 100 U 50 50 1 1 W
-X A2 5 -400 -300 100 R 50 50 1 1 I
-X A1 6 -400 -200 100 R 50 50 1 1 I
-X A0 7 -400 -100 100 R 50 50 1 1 I
-X VDD 8 0 500 100 D 50 50 1 1 W
-ENDDRAW
-ENDDEF
-#
-# Transistor_FET_BSS138
-#
-DEF Transistor_FET_BSS138 Q 0 0 Y N 1 F N
-F0 "Q" 200 75 50 H V L CNN
-F1 "Transistor_FET_BSS138" 200 0 50 H V L CNN
-F2 "Package_TO_SOT_SMD:SOT-23" 200 -75 50 H I L CIN
-F3 "" 0 0 50 H I L CNN
-ALIAS 2N7002 MMBF170
-$FPLIST
- SOT?23*
-$ENDFPLIST
-DRAW
-C 65 0 111 0 1 10 N
-C 100 -70 11 0 1 0 F
-C 100 70 11 0 1 0 F
-P 2 0 1 0 30 -70 100 -70 N
-P 2 0 1 10 30 -50 30 -90 N
-P 2 0 1 0 30 0 100 0 N
-P 2 0 1 10 30 20 30 -20 N
-P 2 0 1 0 30 70 100 70 N
-P 2 0 1 10 30 90 30 50 N
-P 2 0 1 0 100 -70 100 -100 N
-P 2 0 1 0 100 -70 100 0 N
-P 2 0 1 0 100 100 100 70 N
-P 3 0 1 10 10 75 10 -75 10 -75 N
-P 4 0 1 0 40 0 80 15 80 -15 40 0 F
-P 4 0 1 0 100 -70 130 -70 130 70 100 70 N
-P 4 0 1 0 110 20 115 15 145 15 150 10 N
-P 4 0 1 0 130 15 115 -10 145 -10 130 15 N
-X G 1 -200 0 210 R 50 50 1 1 I
-X S 2 100 -200 100 U 50 50 1 1 P
-X D 3 100 200 100 D 50 50 1 1 P
-ENDDRAW
-ENDDEF
-#
-# Transistor_FET_IRF7480M
-#
-DEF Transistor_FET_IRF7480M Q 0 0 Y N 1 F N
-F0 "Q" 200 75 50 H V L CNN
-F1 "Transistor_FET_IRF7480M" 200 0 50 H V L CNN
-F2 "Package_DirectFET:DirectFET_ME" 0 0 50 H I C CIN
-F3 "" 0 0 50 H I L CNN
-ALIAS IRF7480M IRF7486M IRF7580M IRF7780M
-$FPLIST
- DirectFET*ME*
-$ENDFPLIST
-DRAW
-C 65 0 111 0 1 10 N
-C 100 -70 11 0 1 0 F
-C 100 70 11 0 1 0 F
-P 2 0 1 0 -100 0 10 0 N
-P 2 0 1 0 30 -70 100 -70 N
-P 2 0 1 10 30 -50 30 -90 N
-P 2 0 1 0 30 0 100 0 N
-P 2 0 1 10 30 20 30 -20 N
-P 2 0 1 0 30 70 100 70 N
-P 2 0 1 10 30 90 30 50 N
-P 2 0 1 0 100 -70 100 -100 N
-P 2 0 1 0 100 -70 100 0 N
-P 2 0 1 0 100 100 100 70 N
-P 3 0 1 10 10 75 10 -75 10 -75 N
-P 4 0 1 0 40 0 80 15 80 -15 40 0 F
-P 4 0 1 0 100 -70 130 -70 130 70 100 70 N
-P 4 0 1 0 110 20 115 15 145 15 150 10 N
-P 4 0 1 0 130 15 115 -10 145 -10 130 15 N
-X D 1 100 200 100 D 50 50 1 1 P
-X G 2 -200 0 100 R 50 50 1 1 I
-X S 3 100 -200 100 U 50 50 1 1 P
-ENDDRAW
-ENDDEF
-#
-# components_L_EU_small
-#
-DEF components_L_EU_small L 0 40 N N 1 F N
-F0 "L" 0 100 50 H V C CNN
-F1 "components_L_EU_small" 0 -100 50 H V C CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-DRAW
-S -100 -25 100 25 0 1 10 F
-X 1 1 -200 0 100 R 50 50 1 1 U
-X 2 2 200 0 100 L 50 50 1 1 U
-ENDDRAW
-ENDDEF
-#
-# components_MT3608
-#
-DEF components_MT3608 U 0 40 Y Y 1 F N
-F0 "U" -200 250 50 H V C CNN
-F1 "components_MT3608" 100 250 50 H V C CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-DRAW
-S -250 200 250 -150 0 1 0 f
-X SW 1 350 100 100 L 50 50 1 1 O
-X GND 2 0 -250 100 U 50 50 1 1 W
-X FB 3 350 -50 100 L 50 50 1 1 I
-X EN 4 -350 -50 100 R 50 50 1 1 I
-X IN 5 -350 100 100 R 50 50 1 1 W
-ENDDRAW
-ENDDEF
-#
-# components_TC4427
-#
-DEF components_TC4427 U 0 40 Y Y 1 F N
-F0 "U" -300 350 50 H V C CNN
-F1 "components_TC4427" 200 350 50 H V C CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-DRAW
-S -400 -300 400 300 0 1 0 f
-X IN_A 2 -500 150 100 R 50 50 1 1 I
-X GND 3 0 -400 100 U 50 50 1 1 W
-X IN_B 4 -500 -150 100 R 50 50 1 1 I
-X OUT_B 5 500 -150 100 L 50 50 1 1 O
-X VDD 6 0 400 100 D 50 50 1 1 W
-X OUT_A 7 500 150 100 L 50 50 1 1 O
-ENDDRAW
-ENDDEF
-#
-# power_+3.3V
-#
-DEF power_+3.3V #PWR 0 0 Y Y 1 F P
-F0 "#PWR" 0 -150 50 H I C CNN
-F1 "power_+3.3V" 0 140 50 H V C CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-DRAW
-P 2 0 1 0 -30 50 0 100 N
-P 2 0 1 0 0 0 0 100 N
-P 2 0 1 0 0 100 30 50 N
-X +3V3 1 0 0 0 U 50 50 1 1 W N
-ENDDRAW
-ENDDEF
-#
-# power_+3V3
-#
-DEF power_+3V3 #PWR 0 0 Y Y 1 F P
-F0 "#PWR" 0 -150 50 H I C CNN
-F1 "power_+3V3" 0 140 50 H V C CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-ALIAS +3.3V
-DRAW
-P 2 0 1 0 -30 50 0 100 N
-P 2 0 1 0 0 0 0 100 N
-P 2 0 1 0 0 100 30 50 N
-X +3V3 1 0 0 0 U 50 50 1 1 W N
-ENDDRAW
-ENDDEF
-#
-# power_+VSW
-#
-DEF power_+VSW #PWR 0 0 Y Y 1 F P
-F0 "#PWR" 0 -150 50 H I C CNN
-F1 "power_+VSW" 0 140 50 H V C CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-DRAW
-P 2 0 1 0 -30 50 0 100 N
-P 2 0 1 0 0 0 0 100 N
-P 2 0 1 0 0 100 30 50 N
-X +VSW 1 0 0 0 U 50 50 1 1 W N
-ENDDRAW
-ENDDEF
-#
-# power_GND
-#
-DEF power_GND #PWR 0 0 Y Y 1 F P
-F0 "#PWR" 0 -250 50 H I C CNN
-F1 "power_GND" 0 -150 50 H V C CNN
-F2 "" 0 0 50 H I C CNN
-F3 "" 0 0 50 H I C CNN
-DRAW
-P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
-X GND 1 0 0 0 D 50 50 1 1 W N
-ENDDRAW
-ENDDEF
-#
-#End Library
+EESchema-LIBRARY Version 2.4
+#encoding utf-8
+#
+# Device_D_Small_ALT
+#
+DEF Device_D_Small_ALT D 0 10 N N 1 F N
+F0 "D" -50 80 50 H V L CNN
+F1 "Device_D_Small_ALT" -150 -80 50 H V L CNN
+F2 "" 0 0 50 V I C CNN
+F3 "" 0 0 50 V I C CNN
+$FPLIST
+ TO-???*
+ *_Diode_*
+ *SingleDiode*
+ D_*
+$ENDFPLIST
+DRAW
+P 2 0 1 0 -30 -40 -30 40 N
+P 2 0 1 0 -30 0 30 0 N
+P 4 0 1 0 30 -40 -30 0 30 40 30 -40 F
+X K 1 -100 0 70 R 50 50 1 1 P
+X A 2 100 0 70 L 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_+12V-power-center-rescue
+#
+DEF driver-rescue_+12V-power-center-rescue #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -150 50 H I C CNN
+F1 "driver-rescue_+12V-power-center-rescue" 0 140 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+P 2 0 1 0 -30 50 0 100 N
+P 2 0 1 0 0 0 0 100 N
+P 2 0 1 0 0 100 30 50 N
+X +12V 1 0 0 0 U 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_+3.3V-power
+#
+DEF driver-rescue_+3.3V-power #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -150 50 H I C CNN
+F1 "driver-rescue_+3.3V-power" 0 140 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+P 2 0 1 0 -30 50 0 100 N
+P 2 0 1 0 0 0 0 100 N
+P 2 0 1 0 0 100 30 50 N
+X +3V3 1 0 0 0 U 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_+3.3V-power-center-rescue
+#
+DEF driver-rescue_+3.3V-power-center-rescue #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -150 50 H I C CNN
+F1 "driver-rescue_+3.3V-power-center-rescue" 0 140 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+P 2 0 1 0 -30 50 0 100 N
+P 2 0 1 0 0 0 0 100 N
+P 2 0 1 0 0 100 30 50 N
+X +3V3 1 0 0 0 U 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_+3V3-power
+#
+DEF driver-rescue_+3V3-power #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -150 50 H I C CNN
+F1 "driver-rescue_+3V3-power" 0 140 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+P 2 0 1 0 -30 50 0 100 N
+P 2 0 1 0 0 0 0 100 N
+P 2 0 1 0 0 100 30 50 N
+X +3V3 1 0 0 0 U 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_74HC595-74xx
+#
+DEF driver-rescue_74HC595-74xx U 0 20 Y Y 1 F N
+F0 "U" -300 550 50 H V C CNN
+F1 "driver-rescue_74HC595-74xx" -300 -650 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ DIP*W7.62mm*
+ SOIC*3.9x9.9mm*P1.27mm*
+ TSSOP*4.4x5mm*P0.65mm*
+ SOIC*5.3x10.2mm*P1.27mm*
+ SOIC*7.5x10.3mm*P1.27mm*
+$ENDFPLIST
+DRAW
+S -300 500 300 -600 1 1 10 f
+X QB 1 400 300 100 L 50 50 1 0 T
+X ~SRCLR 10 -400 100 100 R 50 50 1 0 I
+X SRCLK 11 -400 200 100 R 50 50 1 0 I
+X RCLK 12 -400 -100 100 R 50 50 1 0 I
+X ~OE 13 -400 -200 100 R 50 50 1 0 I
+X SER 14 -400 400 100 R 50 50 1 0 I
+X QA 15 400 400 100 L 50 50 1 0 T
+X VCC 16 0 600 100 D 50 50 1 0 W
+X QC 2 400 200 100 L 50 50 1 0 T
+X QD 3 400 100 100 L 50 50 1 0 T
+X QE 4 400 0 100 L 50 50 1 0 T
+X QF 5 400 -100 100 L 50 50 1 0 T
+X QG 6 400 -200 100 L 50 50 1 0 T
+X QH 7 400 -300 100 L 50 50 1 0 T
+X GND 8 0 -700 100 U 50 50 1 0 W
+X QH' 9 400 -500 100 L 50 50 1 0 O
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_AP1117-33-Regulator_Linear-center-rescue
+#
+DEF driver-rescue_AP1117-33-Regulator_Linear-center-rescue U 0 10 Y Y 1 F N
+F0 "U" -150 125 50 H V C CNN
+F1 "driver-rescue_AP1117-33-Regulator_Linear-center-rescue" 0 125 50 H V L CNN
+F2 "Package_TO_SOT_SMD:SOT-223-3_TabPin2" 0 200 50 H I C CNN
+F3 "http://www.diodes.com/datasheets/AP1117.pdf" 100 -250 50 H I C CNN
+$FPLIST
+ SOT?223*TabPin2*
+$ENDFPLIST
+DRAW
+S -200 -200 200 75 0 1 10 f
+X GND 1 0 -300 100 U 50 50 1 1 W
+X VO 2 300 0 100 L 50 50 1 1 w
+X VI 3 -300 0 100 R 50 50 1 1 W
+X PAD 4 300 -100 100 L 50 50 1 1 w
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_CP_Small-Device-center-rescue
+#
+DEF driver-rescue_CP_Small-Device-center-rescue C 0 10 N N 1 F N
+F0 "C" 10 70 50 H V L CNN
+F1 "driver-rescue_CP_Small-Device-center-rescue" 10 -80 50 H V L CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ CP_*
+$ENDFPLIST
+DRAW
+S -60 -12 60 -27 0 1 0 F
+S -60 27 60 12 0 1 0 N
+P 2 0 1 0 -50 60 -30 60 N
+P 2 0 1 0 -40 50 -40 70 N
+X ~ 1 0 100 73 D 50 50 1 1 P
+X ~ 2 0 -100 73 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_C_Small-Device
+#
+DEF driver-rescue_C_Small-Device C 0 10 N N 1 F N
+F0 "C" 10 70 50 H V L CNN
+F1 "driver-rescue_C_Small-Device" 10 -80 50 H V L CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ C_*
+$ENDFPLIST
+DRAW
+P 2 0 1 13 -60 -20 60 -20 N
+P 2 0 1 12 -60 20 60 20 N
+X ~ 1 0 100 80 D 50 50 1 1 P
+X ~ 2 0 -100 80 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_C_Small-Device-center-rescue
+#
+DEF driver-rescue_C_Small-Device-center-rescue C 0 10 N N 1 F N
+F0 "C" 10 70 50 H V L CNN
+F1 "driver-rescue_C_Small-Device-center-rescue" 10 -80 50 H V L CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ C_*
+$ENDFPLIST
+DRAW
+P 2 0 1 13 -60 -20 60 -20 N
+P 2 0 1 12 -60 20 60 20 N
+X ~ 1 0 100 80 D 50 50 1 1 P
+X ~ 2 0 -100 80 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_Conn_01x02-Connector_Generic
+#
+DEF driver-rescue_Conn_01x02-Connector_Generic J 0 40 Y N 1 F N
+F0 "J" 0 100 50 H V C CNN
+F1 "driver-rescue_Conn_01x02-Connector_Generic" 0 -200 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ Connector*:*_1x??_*
+$ENDFPLIST
+DRAW
+S -50 -95 0 -105 1 1 6 N
+S -50 5 0 -5 1 1 6 N
+S -50 50 50 -150 1 1 10 f
+X Pin_1 1 -200 0 150 R 50 50 1 1 P
+X Pin_2 2 -200 -100 150 R 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_Conn_01x04-Connector_Generic
+#
+DEF driver-rescue_Conn_01x04-Connector_Generic J 0 40 Y N 1 F N
+F0 "J" 0 200 50 H V C CNN
+F1 "driver-rescue_Conn_01x04-Connector_Generic" 0 -300 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ Connector*:*_1x??_*
+$ENDFPLIST
+DRAW
+S -50 -195 0 -205 1 1 6 N
+S -50 -95 0 -105 1 1 6 N
+S -50 5 0 -5 1 1 6 N
+S -50 105 0 95 1 1 6 N
+S -50 150 50 -250 1 1 10 f
+X Pin_1 1 -200 100 150 R 50 50 1 1 P
+X Pin_2 2 -200 0 150 R 50 50 1 1 P
+X Pin_3 3 -200 -100 150 R 50 50 1 1 P
+X Pin_4 4 -200 -200 150 R 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_Crystal_GND24-Device
+#
+DEF driver-rescue_Crystal_GND24-Device Y 0 40 Y N 1 F N
+F0 "Y" 125 200 50 H V L CNN
+F1 "driver-rescue_Crystal_GND24-Device" 125 125 50 H V L CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ Crystal*
+$ENDFPLIST
+DRAW
+S -45 100 45 -100 0 1 12 N
+P 2 0 1 0 -100 0 -80 0 N
+P 2 0 1 20 -80 -50 -80 50 N
+P 2 0 1 0 0 -150 0 -140 N
+P 2 0 1 0 0 140 0 150 N
+P 2 0 1 20 80 -50 80 50 N
+P 2 0 1 0 80 0 100 0 N
+P 4 0 1 0 -100 -90 -100 -140 100 -140 100 -90 N
+P 4 0 1 0 -100 90 -100 140 100 140 100 90 N
+X 1 1 -150 0 50 R 50 50 1 1 P
+X 2 2 0 200 50 D 50 50 1 1 P
+X 3 3 150 0 50 L 50 50 1 1 P
+X 4 4 0 -200 50 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_D_Schottky_ALT-Device-center-rescue
+#
+DEF driver-rescue_D_Schottky_ALT-Device-center-rescue D 0 40 N N 1 F N
+F0 "D" 0 100 50 H V C CNN
+F1 "driver-rescue_D_Schottky_ALT-Device-center-rescue" 0 -100 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ TO-???*
+ *_Diode_*
+ *SingleDiode*
+ D_*
+$ENDFPLIST
+DRAW
+P 2 0 1 0 50 0 -50 0 N
+P 4 0 1 8 50 50 50 -50 -50 0 50 50 F
+P 6 0 1 8 -75 25 -75 50 -50 50 -50 -50 -25 -50 -25 -25 N
+X K 1 -150 0 100 R 50 50 1 1 P
+X A 2 150 0 100 L 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_GND-power
+#
+DEF driver-rescue_GND-power #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -250 50 H I C CNN
+F1 "driver-rescue_GND-power" 0 -150 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
+X GND 1 0 0 0 D 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_GND-power-center-rescue
+#
+DEF driver-rescue_GND-power-center-rescue #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -250 50 H I C CNN
+F1 "driver-rescue_GND-power-center-rescue" 0 -150 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
+X GND 1 0 0 0 D 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_INA226-Analog_ADC
+#
+DEF driver-rescue_INA226-Analog_ADC U 0 20 Y Y 1 F N
+F0 "U" 150 450 50 H V C CNN
+F1 "driver-rescue_INA226-Analog_ADC" 200 -450 50 H V C CNN
+F2 "Package_SO:MSOP-10_3x3mm_P0.5mm" 50 100 50 H I C CNN
+F3 "" 350 -100 50 H I C CNN
+$FPLIST
+ MSOP*3x3mm*P0.5mm*
+$ENDFPLIST
+DRAW
+S 300 400 -300 -400 0 1 10 f
+X A1 1 400 300 100 L 50 50 1 1 P
+X Vin+ 10 -400 -100 100 R 50 50 1 1 I
+X A0 2 400 200 100 L 50 50 1 1 P
+X ~Alert~ 3 400 -300 100 L 50 50 1 1 C
+X SDA 4 400 0 100 L 50 50 1 1 B
+X SCL 5 400 -100 100 L 50 50 1 1 I
+X Vs 6 0 500 100 D 50 50 1 1 W
+X GND 7 0 -500 100 U 50 50 1 1 W
+X Vbus 8 -400 300 100 R 50 50 1 1 I
+X Vin- 9 -400 -200 100 R 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_IRF7480M-Transistor_FET
+#
+DEF driver-rescue_IRF7480M-Transistor_FET Q 0 0 Y N 1 F N
+F0 "Q" 200 75 50 H V L CNN
+F1 "driver-rescue_IRF7480M-Transistor_FET" 200 0 50 H V L CNN
+F2 "Package_DirectFET:DirectFET_ME" 0 0 50 H I C CIN
+F3 "" 0 0 50 H I L CNN
+$FPLIST
+ DirectFET*ME*
+$ENDFPLIST
+DRAW
+C 65 0 111 0 1 10 N
+C 100 -70 11 0 1 0 F
+C 100 70 11 0 1 0 F
+P 2 0 1 0 -100 0 10 0 N
+P 2 0 1 0 30 -70 100 -70 N
+P 2 0 1 10 30 -50 30 -90 N
+P 2 0 1 0 30 0 100 0 N
+P 2 0 1 10 30 20 30 -20 N
+P 2 0 1 0 30 70 100 70 N
+P 2 0 1 10 30 90 30 50 N
+P 2 0 1 0 100 -70 100 -100 N
+P 2 0 1 0 100 -70 100 0 N
+P 2 0 1 0 100 100 100 70 N
+P 3 0 1 10 10 75 10 -75 10 -75 N
+P 4 0 1 0 40 0 80 15 80 -15 40 0 F
+P 4 0 1 0 100 -70 130 -70 130 70 100 70 N
+P 4 0 1 0 110 20 115 15 145 15 150 10 N
+P 4 0 1 0 130 15 115 -10 145 -10 130 15 N
+X D 1 100 200 100 D 50 50 1 1 P
+X G 2 -200 0 100 R 50 50 1 1 I
+X S 3 100 -200 100 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_IRS21867S-Driver_FET
+#
+DEF driver-rescue_IRS21867S-Driver_FET U 0 20 Y Y 1 F N
+F0 "U" 50 525 50 H V L CNN
+F1 "driver-rescue_IRS21867S-Driver_FET" 50 450 50 H V L CNN
+F2 "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" 0 0 50 H I C CIN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ SOIC*3.9x4.9mm*P1.27mm*
+$ENDFPLIST
+DRAW
+S -200 -400 200 400 0 1 10 f
+X VCC 1 0 500 100 D 50 50 1 1 W
+X HIN 2 -300 0 100 R 50 50 1 1 I
+X LIN 3 -300 -100 100 R 50 50 1 1 I
+X COM 4 0 -500 100 U 50 50 1 1 W
+X LO 5 300 -300 100 L 50 50 1 1 O
+X VS 6 300 -200 100 L 50 50 1 1 P
+X HO 7 300 200 100 L 50 50 1 1 O
+X VB 8 300 300 100 L 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_L-Device-center-rescue
+#
+DEF driver-rescue_L-Device-center-rescue L 0 40 N N 1 F N
+F0 "L" -50 0 50 V V C CNN
+F1 "driver-rescue_L-Device-center-rescue" 75 0 50 V V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ Choke_*
+ *Coil*
+ Inductor_*
+ L_*
+$ENDFPLIST
+DRAW
+A 0 -75 25 -899 899 0 1 0 N 0 -100 0 -50
+A 0 -25 25 -899 899 0 1 0 N 0 -50 0 0
+A 0 25 25 -899 899 0 1 0 N 0 0 0 50
+A 0 75 25 -899 899 0 1 0 N 0 50 0 100
+X 1 1 0 150 50 D 50 50 1 1 P
+X 2 2 0 -150 50 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_LED_Small_ALT-Device
+#
+DEF driver-rescue_LED_Small_ALT-Device D 0 10 N N 1 F N
+F0 "D" -50 125 50 H V L CNN
+F1 "driver-rescue_LED_Small_ALT-Device" -175 -100 50 H V L CNN
+F2 "" 0 0 50 V I C CNN
+F3 "" 0 0 50 V I C CNN
+$FPLIST
+ LED*
+ LED_SMD:*
+ LED_THT:*
+$ENDFPLIST
+DRAW
+P 2 0 1 0 -30 -40 -30 40 N
+P 2 0 1 0 40 0 -30 0 N
+P 4 0 1 0 30 -40 -30 0 30 40 30 -40 F
+P 5 0 1 0 0 30 -20 50 -10 50 -20 50 -20 40 N
+P 5 0 1 0 20 50 0 70 10 70 0 70 0 60 N
+X K 1 -100 0 70 R 50 50 1 1 P
+X A 2 100 0 70 L 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_MAX485E-Interface_UART
+#
+DEF driver-rescue_MAX485E-Interface_UART U 0 20 Y Y 1 F N
+F0 "U" -240 450 50 H V C CNN
+F1 "driver-rescue_MAX485E-Interface_UART" 30 450 50 H V L CNN
+F2 "" 0 -700 50 H I C CNN
+F3 "" 0 50 50 H I C CNN
+$FPLIST
+ DIP*W7.62mm*
+ SOIC*3.9x4.9mm*P1.27mm*
+$ENDFPLIST
+DRAW
+C -12 -145 14 0 1 10 F
+C -1 59 14 0 1 10 F
+C 65 75 14 0 1 10 F
+S -300 400 300 -500 0 1 10 f
+S 50 125 50 125 0 1 0 N
+P 2 0 1 10 -160 -200 -75 -200 N
+P 2 0 1 10 -160 100 -50 100 N
+P 2 0 1 10 -50 -126 -50 -136 N
+P 2 0 1 10 -25 -200 210 -200 N
+P 3 0 1 10 -160 -100 -50 -100 -50 -125 N
+P 3 0 1 10 0 50 0 0 -160 0 N
+P 3 0 1 10 50 125 150 125 150 -200 N
+P 3 0 1 10 100 75 100 -150 0 -150 N
+P 4 0 1 10 -75 -125 -75 -225 25 -175 -75 -125 N
+P 4 0 1 10 -50 100 50 150 50 50 -50 100 N
+P 4 0 1 10 75 75 175 75 175 100 210 100 N
+X RO 1 -400 100 100 R 50 50 1 1 O
+X ~RE 2 -400 0 100 R 50 50 1 1 I
+X DE 3 -400 -100 100 R 50 50 1 1 I
+X DI 4 -400 -200 100 R 50 50 1 1 I
+X GND 5 0 -600 100 U 50 50 1 1 W
+X A 6 400 -200 100 L 50 50 1 1 B
+X B 7 400 100 100 L 50 50 1 1 B
+X VCC 8 0 500 100 D 50 50 1 1 W
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_MCP9804_MSOP-Sensor_Temperature
+#
+DEF driver-rescue_MCP9804_MSOP-Sensor_Temperature U 0 40 Y Y 1 F N
+F0 "U" -250 450 50 H V C CNN
+F1 "driver-rescue_MCP9804_MSOP-Sensor_Temperature" 400 450 50 H V C CNN
+F2 "Package_SO:MSOP-8_3x3mm_P0.65mm" -1000 -500 50 H I C CNN
+F3 "" -250 450 50 H I C CNN
+$FPLIST
+ MSOP*3x3mm*P0.65mm*
+$ENDFPLIST
+DRAW
+S -300 400 300 -400 0 1 10 f
+X SDA 1 -400 300 100 R 50 50 1 1 B
+X SCL 2 -400 200 100 R 50 50 1 1 I
+X Alert 3 400 0 100 L 50 50 1 1 O
+X GND 4 0 -500 100 U 50 50 1 1 W
+X A2 5 -400 -300 100 R 50 50 1 1 I
+X A1 6 -400 -200 100 R 50 50 1 1 I
+X A0 7 -400 -100 100 R 50 50 1 1 I
+X VDD 8 0 500 100 D 50 50 1 1 W
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_MountingHole-Mechanical
+#
+DEF driver-rescue_MountingHole-Mechanical H 0 40 Y Y 1 F N
+F0 "H" 0 200 50 H V C CNN
+F1 "driver-rescue_MountingHole-Mechanical" 0 125 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ MountingHole*
+$ENDFPLIST
+DRAW
+C 0 0 50 0 1 50 N
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_NCS325-Amplifier_Operational
+#
+DEF driver-rescue_NCS325-Amplifier_Operational U 0 5 Y Y 1 F N
+F0 "U" 0 250 50 H V L CNN
+F1 "driver-rescue_NCS325-Amplifier_Operational" 0 150 50 H V L CNN
+F2 "Package_TO_SOT_SMD:SOT-23-5" 0 0 50 H I C CNN
+F3 "" 150 150 50 H I C CNN
+$FPLIST
+ SOT?23*
+$ENDFPLIST
+DRAW
+P 4 0 1 10 -200 200 200 0 -200 -200 -200 200 f
+X ~ 1 300 0 100 L 50 50 1 1 O
+X V- 2 -100 -300 150 U 50 50 1 1 W
+X + 3 -300 100 100 R 50 50 1 1 I
+X - 4 -300 -100 100 R 50 50 1 1 I
+X V+ 5 -100 300 150 D 50 50 1 1 W
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_R-Device-center-rescue
+#
+DEF driver-rescue_R-Device-center-rescue R 0 0 N Y 1 F N
+F0 "R" 80 0 50 V V C CNN
+F1 "driver-rescue_R-Device-center-rescue" 0 0 50 V V C CNN
+F2 "" -70 0 50 V I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ R_*
+$ENDFPLIST
+DRAW
+S -40 -100 40 100 0 1 10 N
+X ~ 1 0 150 50 D 50 50 1 1 P
+X ~ 2 0 -150 50 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_RJ45_LED_Shielded-Connector
+#
+DEF driver-rescue_RJ45_LED_Shielded-Connector J 0 40 Y Y 1 F N
+F0 "J" -200 550 50 H V R CNN
+F1 "driver-rescue_RJ45_LED_Shielded-Connector" 50 550 50 H V L CNN
+F2 "" 0 25 50 V I C CNN
+F3 "" 0 25 50 V I C CNN
+$FPLIST
+ 8P8C*
+ RJ45*
+$ENDFPLIST
+DRAW
+S 300 500 -300 -400 0 1 10 f
+P 2 0 1 0 -300 -300 -250 -300 N
+P 2 0 1 0 -300 -200 -250 -200 N
+P 2 0 1 0 -300 300 -250 300 N
+P 2 0 1 0 -300 400 -250 400 N
+P 2 0 1 0 -270 -230 -230 -230 N
+P 2 0 1 0 -270 370 -230 370 N
+P 2 0 1 0 -250 -300 -250 -270 N
+P 2 0 1 0 -250 -200 -250 -230 N
+P 2 0 1 0 -250 300 -250 330 N
+P 2 0 1 0 -250 400 -250 370 N
+P 2 0 1 0 -200 -245 -205 -260 N
+P 2 0 1 0 -200 -220 -205 -235 N
+P 2 0 1 0 -200 175 -250 175 N
+P 2 0 1 0 -200 225 -250 225 N
+P 2 0 1 0 -200 355 -205 340 N
+P 2 0 1 0 -200 380 -205 365 N
+P 3 0 1 0 -250 -125 -200 -125 -200 -125 N
+P 3 0 1 0 -250 -75 -200 -75 -200 -75 N
+P 3 0 1 0 -250 -25 -200 -25 -200 -25 N
+P 3 0 1 0 -250 25 -200 25 -200 25 N
+P 3 0 1 0 -250 75 -200 75 -200 75 N
+P 3 0 1 0 -220 -265 -200 -245 -215 -250 N
+P 3 0 1 0 -220 -240 -200 -220 -215 -225 N
+P 3 0 1 0 -220 335 -200 355 -215 350 N
+P 3 0 1 0 -220 360 -200 380 -215 375 N
+P 3 0 1 0 -200 125 -250 125 -250 125 N
+P 4 0 1 0 -250 -230 -270 -270 -230 -270 -250 -230 N
+P 4 0 1 0 -250 370 -270 330 -230 330 -250 370 N
+P 14 0 1 0 -250 -175 -250 275 150 275 150 175 200 175 200 125 250 125 250 -25 200 -25 200 -75 150 -75 150 -175 -250 -175 -250 -175 N
+X ~ 1 400 -300 100 L 50 50 1 1 P
+X ~ 10 -400 300 100 R 50 50 1 1 P
+X ~ 11 -400 -200 100 R 50 50 1 1 P
+X ~ 12 -400 -300 100 R 50 50 1 1 P
+X ~ 2 400 -200 100 L 50 50 1 1 P
+X ~ 3 400 -100 100 L 50 50 1 1 P
+X ~ 4 400 0 100 L 50 50 1 1 P
+X ~ 5 400 100 100 L 50 50 1 1 P
+X ~ 6 400 200 100 L 50 50 1 1 P
+X ~ 7 400 300 100 L 50 50 1 1 P
+X ~ 8 400 400 100 L 50 50 1 1 P
+X ~ 9 -400 400 100 R 50 50 1 1 P
+X ~ SH 0 -500 100 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_R_Small-Device
+#
+DEF driver-rescue_R_Small-Device R 0 10 N N 1 F N
+F0 "R" 30 20 50 H V L CNN
+F1 "driver-rescue_R_Small-Device" 30 -40 50 H V L CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ R_*
+$ENDFPLIST
+DRAW
+S -30 70 30 -70 0 1 8 N
+X ~ 1 0 100 30 D 50 50 1 1 P
+X ~ 2 0 -100 30 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_STM32F030F4Px-MCU_ST_STM32F0
+#
+DEF driver-rescue_STM32F030F4Px-MCU_ST_STM32F0 U 0 20 Y Y 1 F N
+F0 "U" -400 650 50 H V L CNN
+F1 "driver-rescue_STM32F030F4Px-MCU_ST_STM32F0" 200 650 50 H V L CNN
+F2 "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm" -400 -700 50 H I R CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ TSSOP*4.4x6.5mm*P0.65mm*
+$ENDFPLIST
+DRAW
+S -400 -700 400 600 0 1 10 f
+X BOOT0 1 -500 300 100 R 50 50 1 1 I
+X PA4 10 500 100 100 L 50 50 1 1 B
+X PA5 11 500 0 100 L 50 50 1 1 B
+X PA6 12 500 -100 100 L 50 50 1 1 B
+X PA7 13 500 -200 100 L 50 50 1 1 B
+X PB1 14 -500 -600 100 R 50 50 1 1 B
+X VSS 15 0 -800 100 U 50 50 1 1 W
+X VDD 16 0 700 100 D 50 50 1 1 W
+X PA9 17 500 -300 100 L 50 50 1 1 B
+X PA10 18 500 -400 100 L 50 50 1 1 B
+X PA13 19 500 -500 100 L 50 50 1 1 B
+X PF0 2 -500 -300 100 R 50 50 1 1 I
+X PA14 20 500 -600 100 L 50 50 1 1 B
+X PF1 3 -500 -400 100 R 50 50 1 1 I
+X NRST 4 -500 500 100 R 50 50 1 1 I
+X VDDA 5 100 700 100 D 50 50 1 1 W
+X PA0 6 500 500 100 L 50 50 1 1 B
+X PA1 7 500 400 100 L 50 50 1 1 B
+X PA2 8 500 300 100 L 50 50 1 1 B
+X PA3 9 500 200 100 L 50 50 1 1 B
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_Screw_Terminal_01x03-Connector
+#
+DEF driver-rescue_Screw_Terminal_01x03-Connector J 0 40 Y N 1 F N
+F0 "J" 0 200 50 H V C CNN
+F1 "driver-rescue_Screw_Terminal_01x03-Connector" 0 -200 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ TerminalBlock*:*
+$ENDFPLIST
+DRAW
+C 0 -100 25 1 1 6 N
+C 0 0 25 1 1 6 N
+C 0 100 25 1 1 6 N
+S -50 150 50 -150 1 1 10 f
+P 2 1 1 6 -21 -87 13 -120 N
+P 2 1 1 6 -21 13 13 -20 N
+P 2 1 1 6 -21 113 13 80 N
+P 2 1 1 6 -14 -80 20 -113 N
+P 2 1 1 6 -14 20 20 -13 N
+P 2 1 1 6 -14 120 20 87 N
+X Pin_1 1 -200 100 150 R 50 50 1 1 P
+X Pin_2 2 -200 0 150 R 50 50 1 1 P
+X Pin_3 3 -200 -100 150 R 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# driver-rescue_TestPoint_Alt-Connector
+#
+DEF driver-rescue_TestPoint_Alt-Connector TP 0 30 N N 1 F N
+F0 "TP" 0 270 50 H V C CNN
+F1 "driver-rescue_TestPoint_Alt-Connector" 0 200 50 H V C CNN
+F2 "" 200 0 50 H I C CNN
+F3 "" 200 0 50 H I C CNN
+$FPLIST
+ Pin*
+ Test*
+$ENDFPLIST
+DRAW
+P 5 0 1 0 0 100 -30 130 0 160 30 130 0 100 N
+X 1 1 0 0 100 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# tx4138_TX4138
+#
+DEF tx4138_TX4138 U 0 40 Y Y 1 F N
+F0 "U" 0 0 50 H V C CNN
+F1 "tx4138_TX4138" 0 300 50 V V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+S -300 -400 300 600 0 1 0 f
+X SW 1 500 300 200 L 50 50 1 1 I
+X ILIM 2 -500 300 200 R 50 50 1 1 I
+X VIN 3 -500 500 200 R 50 50 1 1 I
+X GND 4 0 -600 200 U 50 50 1 1 I
+X FB 5 500 100 200 L 50 50 1 1 I
+X EN 6 -500 -100 200 R 50 50 1 1 I
+X POK 7 500 -100 200 L 50 50 1 1 I
+X BS 8 500 500 200 L 50 50 1 1 I
+X ILIM 9 -500 200 200 R 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+#End Library
diff --git a/driver/driver-rescue.dcm b/driver/driver-rescue.dcm
new file mode 100644
index 0000000..5f3ed79
--- /dev/null
+++ b/driver/driver-rescue.dcm
@@ -0,0 +1,3 @@
+EESchema-DOCLIB Version 2.0
+#
+#End Doc Library
diff --git a/driver/driver-rescue.lib b/driver/driver-rescue.lib
new file mode 100644
index 0000000..81739f7
--- /dev/null
+++ b/driver/driver-rescue.lib
@@ -0,0 +1,863 @@
+EESchema-LIBRARY Version 2.4
+#encoding utf-8
+#
+# +12V-power-center-rescue
+#
+DEF +12V-power-center-rescue #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -150 50 H I C CNN
+F1 "+12V-power-center-rescue" 0 140 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+P 2 0 1 0 -30 50 0 100 N
+P 2 0 1 0 0 0 0 100 N
+P 2 0 1 0 0 100 30 50 N
+X +12V 1 0 0 0 U 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+# +3.3V-power
+#
+DEF +3.3V-power #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -150 50 H I C CNN
+F1 "+3.3V-power" 0 140 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+P 2 0 1 0 -30 50 0 100 N
+P 2 0 1 0 0 0 0 100 N
+P 2 0 1 0 0 100 30 50 N
+X +3V3 1 0 0 0 U 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+# +3.3V-power-center-rescue
+#
+DEF +3.3V-power-center-rescue #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -150 50 H I C CNN
+F1 "+3.3V-power-center-rescue" 0 140 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+P 2 0 1 0 -30 50 0 100 N
+P 2 0 1 0 0 0 0 100 N
+P 2 0 1 0 0 100 30 50 N
+X +3V3 1 0 0 0 U 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+# +3V3-power
+#
+DEF +3V3-power #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -150 50 H I C CNN
+F1 "+3V3-power" 0 140 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+P 2 0 1 0 -30 50 0 100 N
+P 2 0 1 0 0 0 0 100 N
+P 2 0 1 0 0 100 30 50 N
+X +3V3 1 0 0 0 U 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+# +VSW-power
+#
+DEF +VSW-power #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -150 50 H I C CNN
+F1 "+VSW-power" 0 140 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+P 2 0 1 0 -30 50 0 100 N
+P 2 0 1 0 0 0 0 100 N
+P 2 0 1 0 0 100 30 50 N
+X +VSW 1 0 0 0 U 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+# +VSW-power-center-rescue
+#
+DEF +VSW-power-center-rescue #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -150 50 H I C CNN
+F1 "+VSW-power-center-rescue" 0 140 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+P 2 0 1 0 -30 50 0 100 N
+P 2 0 1 0 0 0 0 100 N
+P 2 0 1 0 0 100 30 50 N
+X +VSW 1 0 0 0 U 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+# 74HC595-74xx
+#
+DEF 74HC595-74xx U 0 20 Y Y 1 F N
+F0 "U" -300 550 50 H V C CNN
+F1 "74HC595-74xx" -300 -650 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ DIP*W7.62mm*
+ SOIC*3.9x9.9mm*P1.27mm*
+ TSSOP*4.4x5mm*P0.65mm*
+ SOIC*5.3x10.2mm*P1.27mm*
+ SOIC*7.5x10.3mm*P1.27mm*
+$ENDFPLIST
+DRAW
+S -300 500 300 -600 1 1 10 f
+X QB 1 400 300 100 L 50 50 1 0 T
+X ~SRCLR 10 -400 100 100 R 50 50 1 0 I
+X SRCLK 11 -400 200 100 R 50 50 1 0 I
+X RCLK 12 -400 -100 100 R 50 50 1 0 I
+X ~OE 13 -400 -200 100 R 50 50 1 0 I
+X SER 14 -400 400 100 R 50 50 1 0 I
+X QA 15 400 400 100 L 50 50 1 0 T
+X VCC 16 0 600 100 D 50 50 1 0 W
+X QC 2 400 200 100 L 50 50 1 0 T
+X QD 3 400 100 100 L 50 50 1 0 T
+X QE 4 400 0 100 L 50 50 1 0 T
+X QF 5 400 -100 100 L 50 50 1 0 T
+X QG 6 400 -200 100 L 50 50 1 0 T
+X QH 7 400 -300 100 L 50 50 1 0 T
+X GND 8 0 -700 100 U 50 50 1 0 W
+X QH' 9 400 -500 100 L 50 50 1 0 O
+ENDDRAW
+ENDDEF
+#
+# AMS1117-3.3-Regulator_Linear
+#
+DEF AMS1117-3.3-Regulator_Linear U 0 10 Y Y 1 F N
+F0 "U" -150 125 50 H V C CNN
+F1 "AMS1117-3.3-Regulator_Linear" 0 125 50 H V L CNN
+F2 "Package_TO_SOT_SMD:SOT-223-3_TabPin2" 0 200 50 H I C CNN
+F3 "" 100 -250 50 H I C CNN
+$FPLIST
+ SOT?223*TabPin2*
+$ENDFPLIST
+DRAW
+S -200 -200 200 75 0 1 10 f
+X GND 1 0 -300 100 U 50 50 1 1 W
+X VO 2 300 0 100 L 50 50 1 1 w
+X VI 3 -300 0 100 R 50 50 1 1 W
+ENDDRAW
+ENDDEF
+#
+# AP1117-33-Regulator_Linear-center-rescue
+#
+DEF AP1117-33-Regulator_Linear-center-rescue U 0 10 Y Y 1 F N
+F0 "U" -150 125 50 H V C CNN
+F1 "AP1117-33-Regulator_Linear-center-rescue" 0 125 50 H V L CNN
+F2 "Package_TO_SOT_SMD:SOT-223-3_TabPin2" 0 200 50 H I C CNN
+F3 "http://www.diodes.com/datasheets/AP1117.pdf" 100 -250 50 H I C CNN
+$FPLIST
+ SOT?223*TabPin2*
+$ENDFPLIST
+DRAW
+S -200 -200 200 75 0 1 10 f
+X GND 1 0 -300 100 U 50 50 1 1 W
+X VO 2 300 0 100 L 50 50 1 1 w
+X VI 3 -300 0 100 R 50 50 1 1 W
+X PAD 4 300 -100 100 L 50 50 1 1 w
+ENDDRAW
+ENDDEF
+#
+# BSS138-Transistor_FET
+#
+DEF BSS138-Transistor_FET Q 0 0 Y N 1 F N
+F0 "Q" 200 75 50 H V L CNN
+F1 "BSS138-Transistor_FET" 200 0 50 H V L CNN
+F2 "Package_TO_SOT_SMD:SOT-23" 200 -75 50 H I L CIN
+F3 "" 0 0 50 H I L CNN
+$FPLIST
+ SOT?23*
+$ENDFPLIST
+DRAW
+C 65 0 111 0 1 10 N
+C 100 -70 11 0 1 0 F
+C 100 70 11 0 1 0 F
+P 2 0 1 0 30 -70 100 -70 N
+P 2 0 1 10 30 -50 30 -90 N
+P 2 0 1 0 30 0 100 0 N
+P 2 0 1 10 30 20 30 -20 N
+P 2 0 1 0 30 70 100 70 N
+P 2 0 1 10 30 90 30 50 N
+P 2 0 1 0 100 -70 100 -100 N
+P 2 0 1 0 100 -70 100 0 N
+P 2 0 1 0 100 100 100 70 N
+P 3 0 1 10 10 75 10 -75 10 -75 N
+P 4 0 1 0 40 0 80 15 80 -15 40 0 F
+P 4 0 1 0 100 -70 130 -70 130 70 100 70 N
+P 4 0 1 0 110 20 115 15 145 15 150 10 N
+P 4 0 1 0 130 15 115 -10 145 -10 130 15 N
+X G 1 -200 0 210 R 50 50 1 1 I
+X S 2 100 -200 100 U 50 50 1 1 P
+X D 3 100 200 100 D 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# CP_Small-Device
+#
+DEF CP_Small-Device C 0 10 N N 1 F N
+F0 "C" 10 70 50 H V L CNN
+F1 "CP_Small-Device" 10 -80 50 H V L CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ CP_*
+$ENDFPLIST
+DRAW
+S -60 -12 60 -27 0 1 0 F
+S -60 27 60 12 0 1 0 N
+P 2 0 1 0 -50 60 -30 60 N
+P 2 0 1 0 -40 50 -40 70 N
+X ~ 1 0 100 73 D 50 50 1 1 P
+X ~ 2 0 -100 73 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# CP_Small-Device-center-rescue
+#
+DEF CP_Small-Device-center-rescue C 0 10 N N 1 F N
+F0 "C" 10 70 50 H V L CNN
+F1 "CP_Small-Device-center-rescue" 10 -80 50 H V L CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ CP_*
+$ENDFPLIST
+DRAW
+S -60 -12 60 -27 0 1 0 F
+S -60 27 60 12 0 1 0 N
+P 2 0 1 0 -50 60 -30 60 N
+P 2 0 1 0 -40 50 -40 70 N
+X ~ 1 0 100 73 D 50 50 1 1 P
+X ~ 2 0 -100 73 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# C_Small-Device
+#
+DEF C_Small-Device C 0 10 N N 1 F N
+F0 "C" 10 70 50 H V L CNN
+F1 "C_Small-Device" 10 -80 50 H V L CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ C_*
+$ENDFPLIST
+DRAW
+P 2 0 1 13 -60 -20 60 -20 N
+P 2 0 1 12 -60 20 60 20 N
+X ~ 1 0 100 80 D 50 50 1 1 P
+X ~ 2 0 -100 80 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# C_Small-Device-center-rescue
+#
+DEF C_Small-Device-center-rescue C 0 10 N N 1 F N
+F0 "C" 10 70 50 H V L CNN
+F1 "C_Small-Device-center-rescue" 10 -80 50 H V L CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ C_*
+$ENDFPLIST
+DRAW
+P 2 0 1 13 -60 -20 60 -20 N
+P 2 0 1 12 -60 20 60 20 N
+X ~ 1 0 100 80 D 50 50 1 1 P
+X ~ 2 0 -100 80 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# Conn_01x02-Connector_Generic
+#
+DEF Conn_01x02-Connector_Generic J 0 40 Y N 1 F N
+F0 "J" 0 100 50 H V C CNN
+F1 "Conn_01x02-Connector_Generic" 0 -200 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ Connector*:*_1x??_*
+$ENDFPLIST
+DRAW
+S -50 -95 0 -105 1 1 6 N
+S -50 5 0 -5 1 1 6 N
+S -50 50 50 -150 1 1 10 f
+X Pin_1 1 -200 0 150 R 50 50 1 1 P
+X Pin_2 2 -200 -100 150 R 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# Conn_01x04-Connector_Generic
+#
+DEF Conn_01x04-Connector_Generic J 0 40 Y N 1 F N
+F0 "J" 0 200 50 H V C CNN
+F1 "Conn_01x04-Connector_Generic" 0 -300 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ Connector*:*_1x??_*
+$ENDFPLIST
+DRAW
+S -50 -195 0 -205 1 1 6 N
+S -50 -95 0 -105 1 1 6 N
+S -50 5 0 -5 1 1 6 N
+S -50 105 0 95 1 1 6 N
+S -50 150 50 -250 1 1 10 f
+X Pin_1 1 -200 100 150 R 50 50 1 1 P
+X Pin_2 2 -200 0 150 R 50 50 1 1 P
+X Pin_3 3 -200 -100 150 R 50 50 1 1 P
+X Pin_4 4 -200 -200 150 R 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# Crystal_GND24-Device
+#
+DEF Crystal_GND24-Device Y 0 40 Y N 1 F N
+F0 "Y" 125 200 50 H V L CNN
+F1 "Crystal_GND24-Device" 125 125 50 H V L CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ Crystal*
+$ENDFPLIST
+DRAW
+S -45 100 45 -100 0 1 12 N
+P 2 0 1 0 -100 0 -80 0 N
+P 2 0 1 20 -80 -50 -80 50 N
+P 2 0 1 0 0 -150 0 -140 N
+P 2 0 1 0 0 140 0 150 N
+P 2 0 1 20 80 -50 80 50 N
+P 2 0 1 0 80 0 100 0 N
+P 4 0 1 0 -100 -90 -100 -140 100 -140 100 -90 N
+P 4 0 1 0 -100 90 -100 140 100 140 100 90 N
+X 1 1 -150 0 50 R 50 50 1 1 P
+X 2 2 0 200 50 D 50 50 1 1 P
+X 3 3 150 0 50 L 50 50 1 1 P
+X 4 4 0 -200 50 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# D_Schottky_ALT-Device-center-rescue
+#
+DEF D_Schottky_ALT-Device-center-rescue D 0 40 N N 1 F N
+F0 "D" 0 100 50 H V C CNN
+F1 "D_Schottky_ALT-Device-center-rescue" 0 -100 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ TO-???*
+ *_Diode_*
+ *SingleDiode*
+ D_*
+$ENDFPLIST
+DRAW
+P 2 0 1 0 50 0 -50 0 N
+P 4 0 1 8 50 50 50 -50 -50 0 50 50 F
+P 6 0 1 8 -75 25 -75 50 -50 50 -50 -50 -25 -50 -25 -25 N
+X K 1 -150 0 100 R 50 50 1 1 P
+X A 2 150 0 100 L 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# D_Schottky_Small_ALT-Device
+#
+DEF D_Schottky_Small_ALT-Device D 0 10 N N 1 F N
+F0 "D" -50 80 50 H V L CNN
+F1 "D_Schottky_Small_ALT-Device" -280 -80 50 H V L CNN
+F2 "" 0 0 50 V I C CNN
+F3 "" 0 0 50 V I C CNN
+$FPLIST
+ TO-???*
+ *_Diode_*
+ *SingleDiode*
+ D_*
+$ENDFPLIST
+DRAW
+P 2 0 1 0 -30 -40 -30 40 N
+P 2 0 1 0 -30 0 30 0 N
+P 3 0 1 0 -30 -40 -20 -40 -20 -30 N
+P 3 0 1 0 -30 40 -40 40 -40 30 N
+P 4 0 1 0 30 -40 -30 0 30 40 30 -40 F
+X K 1 -100 0 70 R 50 50 1 1 P
+X A 2 100 0 70 L 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# GND-power
+#
+DEF GND-power #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -250 50 H I C CNN
+F1 "GND-power" 0 -150 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
+X GND 1 0 0 0 D 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+# GND-power-center-rescue
+#
+DEF GND-power-center-rescue #PWR 0 0 Y Y 1 F P
+F0 "#PWR" 0 -250 50 H I C CNN
+F1 "GND-power-center-rescue" 0 -150 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
+X GND 1 0 0 0 D 50 50 1 1 W N
+ENDDRAW
+ENDDEF
+#
+# INA226-Analog_ADC
+#
+DEF INA226-Analog_ADC U 0 20 Y Y 1 F N
+F0 "U" 150 450 50 H V C CNN
+F1 "INA226-Analog_ADC" 200 -450 50 H V C CNN
+F2 "Package_SO:MSOP-10_3x3mm_P0.5mm" 50 100 50 H I C CNN
+F3 "" 350 -100 50 H I C CNN
+$FPLIST
+ MSOP*3x3mm*P0.5mm*
+$ENDFPLIST
+DRAW
+S 300 400 -300 -400 0 1 10 f
+X A1 1 400 300 100 L 50 50 1 1 P
+X Vin+ 10 -400 -100 100 R 50 50 1 1 I
+X A0 2 400 200 100 L 50 50 1 1 P
+X ~Alert~ 3 400 -300 100 L 50 50 1 1 C
+X SDA 4 400 0 100 L 50 50 1 1 B
+X SCL 5 400 -100 100 L 50 50 1 1 I
+X Vs 6 0 500 100 D 50 50 1 1 W
+X GND 7 0 -500 100 U 50 50 1 1 W
+X Vbus 8 -400 300 100 R 50 50 1 1 I
+X Vin- 9 -400 -200 100 R 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# IRF7480M-Transistor_FET
+#
+DEF IRF7480M-Transistor_FET Q 0 0 Y N 1 F N
+F0 "Q" 200 75 50 H V L CNN
+F1 "IRF7480M-Transistor_FET" 200 0 50 H V L CNN
+F2 "Package_DirectFET:DirectFET_ME" 0 0 50 H I C CIN
+F3 "" 0 0 50 H I L CNN
+$FPLIST
+ DirectFET*ME*
+$ENDFPLIST
+DRAW
+C 65 0 111 0 1 10 N
+C 100 -70 11 0 1 0 F
+C 100 70 11 0 1 0 F
+P 2 0 1 0 -100 0 10 0 N
+P 2 0 1 0 30 -70 100 -70 N
+P 2 0 1 10 30 -50 30 -90 N
+P 2 0 1 0 30 0 100 0 N
+P 2 0 1 10 30 20 30 -20 N
+P 2 0 1 0 30 70 100 70 N
+P 2 0 1 10 30 90 30 50 N
+P 2 0 1 0 100 -70 100 -100 N
+P 2 0 1 0 100 -70 100 0 N
+P 2 0 1 0 100 100 100 70 N
+P 3 0 1 10 10 75 10 -75 10 -75 N
+P 4 0 1 0 40 0 80 15 80 -15 40 0 F
+P 4 0 1 0 100 -70 130 -70 130 70 100 70 N
+P 4 0 1 0 110 20 115 15 145 15 150 10 N
+P 4 0 1 0 130 15 115 -10 145 -10 130 15 N
+X D 1 100 200 100 D 50 50 1 1 P
+X G 2 -200 0 100 R 50 50 1 1 I
+X S 3 100 -200 100 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# IRS21867S-Driver_FET
+#
+DEF IRS21867S-Driver_FET U 0 20 Y Y 1 F N
+F0 "U" 50 525 50 H V L CNN
+F1 "IRS21867S-Driver_FET" 50 450 50 H V L CNN
+F2 "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" 0 0 50 H I C CIN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ SOIC*3.9x4.9mm*P1.27mm*
+$ENDFPLIST
+DRAW
+S -200 -400 200 400 0 1 10 f
+X VCC 1 0 500 100 D 50 50 1 1 W
+X HIN 2 -300 0 100 R 50 50 1 1 I
+X LIN 3 -300 -100 100 R 50 50 1 1 I
+X COM 4 0 -500 100 U 50 50 1 1 W
+X LO 5 300 -300 100 L 50 50 1 1 O
+X VS 6 300 -200 100 L 50 50 1 1 P
+X HO 7 300 200 100 L 50 50 1 1 O
+X VB 8 300 300 100 L 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# L-Device-center-rescue
+#
+DEF L-Device-center-rescue L 0 40 N N 1 F N
+F0 "L" -50 0 50 V V C CNN
+F1 "L-Device-center-rescue" 75 0 50 V V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ Choke_*
+ *Coil*
+ Inductor_*
+ L_*
+$ENDFPLIST
+DRAW
+A 0 -75 25 -899 899 0 1 0 N 0 -100 0 -50
+A 0 -25 25 -899 899 0 1 0 N 0 -50 0 0
+A 0 25 25 -899 899 0 1 0 N 0 0 0 50
+A 0 75 25 -899 899 0 1 0 N 0 50 0 100
+X 1 1 0 150 50 D 50 50 1 1 P
+X 2 2 0 -150 50 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# LED_Small_ALT-Device
+#
+DEF LED_Small_ALT-Device D 0 10 N N 1 F N
+F0 "D" -50 125 50 H V L CNN
+F1 "LED_Small_ALT-Device" -175 -100 50 H V L CNN
+F2 "" 0 0 50 V I C CNN
+F3 "" 0 0 50 V I C CNN
+$FPLIST
+ LED*
+ LED_SMD:*
+ LED_THT:*
+$ENDFPLIST
+DRAW
+P 2 0 1 0 -30 -40 -30 40 N
+P 2 0 1 0 40 0 -30 0 N
+P 4 0 1 0 30 -40 -30 0 30 40 30 -40 F
+P 5 0 1 0 0 30 -20 50 -10 50 -20 50 -20 40 N
+P 5 0 1 0 20 50 0 70 10 70 0 70 0 60 N
+X K 1 -100 0 70 R 50 50 1 1 P
+X A 2 100 0 70 L 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# L_EU_small-components
+#
+DEF L_EU_small-components L 0 40 N N 1 F N
+F0 "L" 0 100 50 H V C CNN
+F1 "L_EU_small-components" 0 -100 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+S -100 -25 100 25 0 1 10 F
+X 1 1 -200 0 100 R 50 50 1 1 U
+X 2 2 200 0 100 L 50 50 1 1 U
+ENDDRAW
+ENDDEF
+#
+# MAX485E-Interface_UART
+#
+DEF MAX485E-Interface_UART U 0 20 Y Y 1 F N
+F0 "U" -240 450 50 H V C CNN
+F1 "MAX485E-Interface_UART" 30 450 50 H V L CNN
+F2 "" 0 -700 50 H I C CNN
+F3 "" 0 50 50 H I C CNN
+$FPLIST
+ DIP*W7.62mm*
+ SOIC*3.9x4.9mm*P1.27mm*
+$ENDFPLIST
+DRAW
+C -12 -145 14 0 1 10 F
+C -1 59 14 0 1 10 F
+C 65 75 14 0 1 10 F
+S -300 400 300 -500 0 1 10 f
+S 50 125 50 125 0 1 0 N
+P 2 0 1 10 -160 -200 -75 -200 N
+P 2 0 1 10 -160 100 -50 100 N
+P 2 0 1 10 -50 -126 -50 -136 N
+P 2 0 1 10 -25 -200 210 -200 N
+P 3 0 1 10 -160 -100 -50 -100 -50 -125 N
+P 3 0 1 10 0 50 0 0 -160 0 N
+P 3 0 1 10 50 125 150 125 150 -200 N
+P 3 0 1 10 100 75 100 -150 0 -150 N
+P 4 0 1 10 -75 -125 -75 -225 25 -175 -75 -125 N
+P 4 0 1 10 -50 100 50 150 50 50 -50 100 N
+P 4 0 1 10 75 75 175 75 175 100 210 100 N
+X RO 1 -400 100 100 R 50 50 1 1 O
+X ~RE 2 -400 0 100 R 50 50 1 1 I
+X DE 3 -400 -100 100 R 50 50 1 1 I
+X DI 4 -400 -200 100 R 50 50 1 1 I
+X GND 5 0 -600 100 U 50 50 1 1 W
+X A 6 400 -200 100 L 50 50 1 1 B
+X B 7 400 100 100 L 50 50 1 1 B
+X VCC 8 0 500 100 D 50 50 1 1 W
+ENDDRAW
+ENDDEF
+#
+# MCP9804_MSOP-Sensor_Temperature
+#
+DEF MCP9804_MSOP-Sensor_Temperature U 0 40 Y Y 1 F N
+F0 "U" -250 450 50 H V C CNN
+F1 "MCP9804_MSOP-Sensor_Temperature" 400 450 50 H V C CNN
+F2 "Package_SO:MSOP-8_3x3mm_P0.65mm" -1000 -500 50 H I C CNN
+F3 "" -250 450 50 H I C CNN
+$FPLIST
+ MSOP*3x3mm*P0.65mm*
+$ENDFPLIST
+DRAW
+S -300 400 300 -400 0 1 10 f
+X SDA 1 -400 300 100 R 50 50 1 1 B
+X SCL 2 -400 200 100 R 50 50 1 1 I
+X Alert 3 400 0 100 L 50 50 1 1 O
+X GND 4 0 -500 100 U 50 50 1 1 W
+X A2 5 -400 -300 100 R 50 50 1 1 I
+X A1 6 -400 -200 100 R 50 50 1 1 I
+X A0 7 -400 -100 100 R 50 50 1 1 I
+X VDD 8 0 500 100 D 50 50 1 1 W
+ENDDRAW
+ENDDEF
+#
+# MT3608-components
+#
+DEF MT3608-components U 0 40 Y Y 1 F N
+F0 "U" -200 250 50 H V C CNN
+F1 "MT3608-components" 100 250 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+S -250 200 250 -150 0 1 0 f
+X SW 1 350 100 100 L 50 50 1 1 O
+X GND 2 0 -250 100 U 50 50 1 1 W
+X FB 3 350 -50 100 L 50 50 1 1 I
+X EN 4 -350 -50 100 R 50 50 1 1 I
+X IN 5 -350 100 100 R 50 50 1 1 W
+ENDDRAW
+ENDDEF
+#
+# MountingHole-Mechanical
+#
+DEF MountingHole-Mechanical H 0 40 Y Y 1 F N
+F0 "H" 0 200 50 H V C CNN
+F1 "MountingHole-Mechanical" 0 125 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ MountingHole*
+$ENDFPLIST
+DRAW
+C 0 0 50 0 1 50 N
+ENDDRAW
+ENDDEF
+#
+# NCS325-Amplifier_Operational
+#
+DEF NCS325-Amplifier_Operational U 0 5 Y Y 1 F N
+F0 "U" 0 250 50 H V L CNN
+F1 "NCS325-Amplifier_Operational" 0 150 50 H V L CNN
+F2 "Package_TO_SOT_SMD:SOT-23-5" 0 0 50 H I C CNN
+F3 "" 150 150 50 H I C CNN
+$FPLIST
+ SOT?23*
+$ENDFPLIST
+DRAW
+P 4 0 1 10 -200 200 200 0 -200 -200 -200 200 f
+X ~ 1 300 0 100 L 50 50 1 1 O
+X V- 2 -100 -300 150 U 50 50 1 1 W
+X + 3 -300 100 100 R 50 50 1 1 I
+X - 4 -300 -100 100 R 50 50 1 1 I
+X V+ 5 -100 300 150 D 50 50 1 1 W
+ENDDRAW
+ENDDEF
+#
+# R-Device-center-rescue
+#
+DEF R-Device-center-rescue R 0 0 N Y 1 F N
+F0 "R" 80 0 50 V V C CNN
+F1 "R-Device-center-rescue" 0 0 50 V V C CNN
+F2 "" -70 0 50 V I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ R_*
+$ENDFPLIST
+DRAW
+S -40 -100 40 100 0 1 10 N
+X ~ 1 0 150 50 D 50 50 1 1 P
+X ~ 2 0 -150 50 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# RJ45_LED_Shielded-Connector
+#
+DEF RJ45_LED_Shielded-Connector J 0 40 Y Y 1 F N
+F0 "J" -200 550 50 H V R CNN
+F1 "RJ45_LED_Shielded-Connector" 50 550 50 H V L CNN
+F2 "" 0 25 50 V I C CNN
+F3 "" 0 25 50 V I C CNN
+$FPLIST
+ 8P8C*
+ RJ45*
+$ENDFPLIST
+DRAW
+S 300 500 -300 -400 0 1 10 f
+P 2 0 1 0 -300 -300 -250 -300 N
+P 2 0 1 0 -300 -200 -250 -200 N
+P 2 0 1 0 -300 300 -250 300 N
+P 2 0 1 0 -300 400 -250 400 N
+P 2 0 1 0 -270 -230 -230 -230 N
+P 2 0 1 0 -270 370 -230 370 N
+P 2 0 1 0 -250 -300 -250 -270 N
+P 2 0 1 0 -250 -200 -250 -230 N
+P 2 0 1 0 -250 300 -250 330 N
+P 2 0 1 0 -250 400 -250 370 N
+P 2 0 1 0 -200 -245 -205 -260 N
+P 2 0 1 0 -200 -220 -205 -235 N
+P 2 0 1 0 -200 175 -250 175 N
+P 2 0 1 0 -200 225 -250 225 N
+P 2 0 1 0 -200 355 -205 340 N
+P 2 0 1 0 -200 380 -205 365 N
+P 3 0 1 0 -250 -125 -200 -125 -200 -125 N
+P 3 0 1 0 -250 -75 -200 -75 -200 -75 N
+P 3 0 1 0 -250 -25 -200 -25 -200 -25 N
+P 3 0 1 0 -250 25 -200 25 -200 25 N
+P 3 0 1 0 -250 75 -200 75 -200 75 N
+P 3 0 1 0 -220 -265 -200 -245 -215 -250 N
+P 3 0 1 0 -220 -240 -200 -220 -215 -225 N
+P 3 0 1 0 -220 335 -200 355 -215 350 N
+P 3 0 1 0 -220 360 -200 380 -215 375 N
+P 3 0 1 0 -200 125 -250 125 -250 125 N
+P 4 0 1 0 -250 -230 -270 -270 -230 -270 -250 -230 N
+P 4 0 1 0 -250 370 -270 330 -230 330 -250 370 N
+P 14 0 1 0 -250 -175 -250 275 150 275 150 175 200 175 200 125 250 125 250 -25 200 -25 200 -75 150 -75 150 -175 -250 -175 -250 -175 N
+X ~ 1 400 -300 100 L 50 50 1 1 P
+X ~ 10 -400 300 100 R 50 50 1 1 P
+X ~ 11 -400 -200 100 R 50 50 1 1 P
+X ~ 12 -400 -300 100 R 50 50 1 1 P
+X ~ 2 400 -200 100 L 50 50 1 1 P
+X ~ 3 400 -100 100 L 50 50 1 1 P
+X ~ 4 400 0 100 L 50 50 1 1 P
+X ~ 5 400 100 100 L 50 50 1 1 P
+X ~ 6 400 200 100 L 50 50 1 1 P
+X ~ 7 400 300 100 L 50 50 1 1 P
+X ~ 8 400 400 100 L 50 50 1 1 P
+X ~ 9 -400 400 100 R 50 50 1 1 P
+X ~ SH 0 -500 100 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# R_Small-Device
+#
+DEF R_Small-Device R 0 10 N N 1 F N
+F0 "R" 30 20 50 H V L CNN
+F1 "R_Small-Device" 30 -40 50 H V L CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ R_*
+$ENDFPLIST
+DRAW
+S -30 70 30 -70 0 1 8 N
+X ~ 1 0 100 30 D 50 50 1 1 P
+X ~ 2 0 -100 30 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# STM32F030F4Px-MCU_ST_STM32F0
+#
+DEF STM32F030F4Px-MCU_ST_STM32F0 U 0 20 Y Y 1 F N
+F0 "U" -400 650 50 H V L CNN
+F1 "STM32F030F4Px-MCU_ST_STM32F0" 200 650 50 H V L CNN
+F2 "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm" -400 -700 50 H I R CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ TSSOP*4.4x6.5mm*P0.65mm*
+$ENDFPLIST
+DRAW
+S -400 -700 400 600 0 1 10 f
+X BOOT0 1 -500 300 100 R 50 50 1 1 I
+X PA4 10 500 100 100 L 50 50 1 1 B
+X PA5 11 500 0 100 L 50 50 1 1 B
+X PA6 12 500 -100 100 L 50 50 1 1 B
+X PA7 13 500 -200 100 L 50 50 1 1 B
+X PB1 14 -500 -600 100 R 50 50 1 1 B
+X VSS 15 0 -800 100 U 50 50 1 1 W
+X VDD 16 0 700 100 D 50 50 1 1 W
+X PA9 17 500 -300 100 L 50 50 1 1 B
+X PA10 18 500 -400 100 L 50 50 1 1 B
+X PA13 19 500 -500 100 L 50 50 1 1 B
+X PF0 2 -500 -300 100 R 50 50 1 1 I
+X PA14 20 500 -600 100 L 50 50 1 1 B
+X PF1 3 -500 -400 100 R 50 50 1 1 I
+X NRST 4 -500 500 100 R 50 50 1 1 I
+X VDDA 5 100 700 100 D 50 50 1 1 W
+X PA0 6 500 500 100 L 50 50 1 1 B
+X PA1 7 500 400 100 L 50 50 1 1 B
+X PA2 8 500 300 100 L 50 50 1 1 B
+X PA3 9 500 200 100 L 50 50 1 1 B
+ENDDRAW
+ENDDEF
+#
+# Screw_Terminal_01x03-Connector
+#
+DEF Screw_Terminal_01x03-Connector J 0 40 Y N 1 F N
+F0 "J" 0 200 50 H V C CNN
+F1 "Screw_Terminal_01x03-Connector" 0 -200 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+$FPLIST
+ TerminalBlock*:*
+$ENDFPLIST
+DRAW
+C 0 -100 25 1 1 6 N
+C 0 0 25 1 1 6 N
+C 0 100 25 1 1 6 N
+S -50 150 50 -150 1 1 10 f
+P 2 1 1 6 -21 -87 13 -120 N
+P 2 1 1 6 -21 13 13 -20 N
+P 2 1 1 6 -21 113 13 80 N
+P 2 1 1 6 -14 -80 20 -113 N
+P 2 1 1 6 -14 20 20 -13 N
+P 2 1 1 6 -14 120 20 87 N
+X Pin_1 1 -200 100 150 R 50 50 1 1 P
+X Pin_2 2 -200 0 150 R 50 50 1 1 P
+X Pin_3 3 -200 -100 150 R 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# TC4427-components
+#
+DEF TC4427-components U 0 40 Y Y 1 F N
+F0 "U" -300 350 50 H V C CNN
+F1 "TC4427-components" 200 350 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+S -400 -300 400 300 0 1 0 f
+X IN_A 2 -500 150 100 R 50 50 1 1 I
+X GND 3 0 -400 100 U 50 50 1 1 W
+X IN_B 4 -500 -150 100 R 50 50 1 1 I
+X OUT_B 5 500 -150 100 L 50 50 1 1 O
+X VDD 6 0 400 100 D 50 50 1 1 W
+X OUT_A 7 500 150 100 L 50 50 1 1 O
+ENDDRAW
+ENDDEF
+#
+# TestPoint_Alt-Connector
+#
+DEF TestPoint_Alt-Connector TP 0 30 N N 1 F N
+F0 "TP" 0 270 50 H V C CNN
+F1 "TestPoint_Alt-Connector" 0 200 50 H V C CNN
+F2 "" 200 0 50 H I C CNN
+F3 "" 200 0 50 H I C CNN
+$FPLIST
+ Pin*
+ Test*
+$ENDFPLIST
+DRAW
+P 5 0 1 0 0 100 -30 130 0 160 30 130 0 100 N
+X 1 1 0 0 100 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+#End Library
diff --git a/driver/driver.kicad_pcb b/driver/driver.kicad_pcb
index 924bd4b..1c0275d 100644
--- a/driver/driver.kicad_pcb
+++ b/driver/driver.kicad_pcb
@@ -1,9719 +1,9171 @@
-(kicad_pcb (version 20190331) (host pcbnew "(5.1.0-344-gd281f051e)")
-
- (general
- (thickness 1.6)
- (drawings 64)
- (tracks 1223)
- (modules 121)
- (nets 69)
- )
-
- (page "A4")
- (layers
- (0 "F.Cu" signal)
- (31 "B.Cu" signal)
- (32 "B.Adhes" user)
- (33 "F.Adhes" user)
- (34 "B.Paste" user)
- (35 "F.Paste" user)
- (36 "B.SilkS" user)
- (37 "F.SilkS" user)
- (38 "B.Mask" user)
- (39 "F.Mask" user)
- (40 "Dwgs.User" user)
- (41 "Cmts.User" user)
- (42 "Eco1.User" user)
- (43 "Eco2.User" user)
- (44 "Edge.Cuts" user)
- (45 "Margin" user)
- (46 "B.CrtYd" user)
- (47 "F.CrtYd" user)
- (48 "B.Fab" user)
- (49 "F.Fab" user)
- )
-
- (setup
- (last_trace_width 0.25)
- (user_trace_width 0.15)
- (user_trace_width 0.25)
- (user_trace_width 0.5)
- (user_trace_width 0.8)
- (user_trace_width 1.2)
- (user_trace_width 1.8)
- (user_trace_width 2.5)
- (user_trace_width 3.2)
- (trace_clearance 0.2)
- (zone_clearance 0.25)
- (zone_45_only no)
- (trace_min 0.15)
- (via_size 0.8)
- (via_drill 0.4)
- (via_min_size 0.4)
- (via_min_drill 0.3)
- (user_via 2 1)
- (uvia_size 0.3)
- (uvia_drill 0.1)
- (uvias_allowed no)
- (uvia_min_size 0.2)
- (uvia_min_drill 0.1)
- (edge_width 0.15)
- (segment_width 0.3)
- (pcb_text_width 0.3)
- (pcb_text_size 1.5 1.5)
- (mod_edge_width 0.15)
- (mod_text_size 1 1)
- (mod_text_width 0.15)
- (pad_size 3.2 3.2)
- (pad_drill 3.2)
- (pad_to_mask_clearance 0.051)
- (solder_mask_min_width 0.25)
- (aux_axis_origin 0 0)
- (visible_elements FFFFFF7F)
- (pcbplotparams
- (layerselection 0x011fc_ffffffff)
- (usegerberextensions false)
- (usegerberattributes false)
- (usegerberadvancedattributes false)
- (creategerberjobfile false)
- (excludeedgelayer true)
- (linewidth 0.100000)
- (plotframeref false)
- (viasonmask false)
- (mode 1)
- (useauxorigin false)
- (hpglpennumber 1)
- (hpglpenspeed 20)
- (hpglpendiameter 15.000000)
- (psnegative false)
- (psa4output false)
- (plotreference true)
- (plotvalue true)
- (plotinvisibletext false)
- (padsonsilk false)
- (subtractmaskfromsilk false)
- (outputformat 1)
- (mirror false)
- (drillshape 0)
- (scaleselection 1)
- (outputdirectory "gerber"))
- )
-
- (net 0 "")
- (net 1 "+VSW")
- (net 2 "GND")
- (net 3 "Net-(C3-Pad1)")
- (net 4 "/VBOOT_MON")
- (net 5 "+3V3")
- (net 6 "Net-(C15-Pad1)")
- (net 7 "/VIN_MON")
- (net 8 "Net-(D1-Pad2)")
- (net 9 "Net-(R2-Pad1)")
- (net 10 "Net-(U7-Pad3)")
- (net 11 "Net-(U8-Pad3)")
- (net 12 "/DRV1F")
- (net 13 "/DRV2F")
- (net 14 "/DRV3F")
- (net 15 "/DRV4F")
- (net 16 "/OUT_A")
- (net 17 "/OUT_B")
- (net 18 "/VIN")
- (net 19 "/GND_MEAS")
- (net 20 "/DRV1")
- (net 21 "/DRV2")
- (net 22 "/DRV3")
- (net 23 "/DRV4")
- (net 24 "/CTRL_B")
- (net 25 "/CTRL_A")
- (net 26 "/SWCLK")
- (net 27 "/SWDIO")
- (net 28 "/TX")
- (net 29 "/RX")
- (net 30 "/RX485_A")
- (net 31 "/RS485_B")
- (net 32 "/BUS_VCC")
- (net 33 "/SDA")
- (net 34 "/SCL")
- (net 35 "Net-(R6-Pad1)")
- (net 36 "Net-(R12-Pad1)")
- (net 37 "/DE")
- (net 38 "/SCK")
- (net 39 "/MOSI")
- (net 40 "/CLED1")
- (net 41 "/CLED2")
- (net 42 "Net-(J4-Pad8)")
- (net 43 "Net-(J4-Pad7)")
- (net 44 "Net-(J5-Pad7)")
- (net 45 "Net-(J5-Pad8)")
- (net 46 "/CLED4")
- (net 47 "/CLED3")
- (net 48 "Net-(U2-Pad9)")
- (net 49 "Net-(R11-Pad1)")
- (net 50 "/SLED1")
- (net 51 "/SLED2")
- (net 52 "/SLED3")
- (net 53 "/SLED4")
- (net 54 "Net-(R17-Pad1)")
- (net 55 "Net-(D4-Pad1)")
- (net 56 "Net-(D5-Pad1)")
- (net 57 "Net-(D6-Pad1)")
- (net 58 "Net-(D7-Pad1)")
- (net 59 "/XT1")
- (net 60 "/XT2")
- (net 61 "/RST")
- (net 62 "/VIsense")
- (net 63 "/GND_RS485")
- (net 64 "Net-(C7-Pad2)")
- (net 65 "Net-(C7-Pad1)")
- (net 66 "Net-(Q5-Pad3)")
- (net 67 "Net-(R33-Pad2)")
- (net 68 "Net-(R36-Pad1)")
-
- (net_class "Default" "This is the default net class."
- (clearance 0.2)
- (trace_width 0.25)
- (via_dia 0.8)
- (via_drill 0.4)
- (uvia_dia 0.3)
- (uvia_drill 0.1)
- (add_net "+3V3")
- (add_net "+VSW")
- (add_net "/BUS_VCC")
- (add_net "/CLED1")
- (add_net "/CLED2")
- (add_net "/CLED3")
- (add_net "/CLED4")
- (add_net "/CTRL_A")
- (add_net "/CTRL_B")
- (add_net "/DE")
- (add_net "/DRV1")
- (add_net "/DRV1F")
- (add_net "/DRV2")
- (add_net "/DRV2F")
- (add_net "/DRV3")
- (add_net "/DRV3F")
- (add_net "/DRV4")
- (add_net "/DRV4F")
- (add_net "/GND_MEAS")
- (add_net "/GND_RS485")
- (add_net "/MOSI")
- (add_net "/OUT_A")
- (add_net "/OUT_B")
- (add_net "/RS485_B")
- (add_net "/RST")
- (add_net "/RX")
- (add_net "/RX485_A")
- (add_net "/SCK")
- (add_net "/SCL")
- (add_net "/SDA")
- (add_net "/SLED1")
- (add_net "/SLED2")
- (add_net "/SLED3")
- (add_net "/SLED4")
- (add_net "/SWCLK")
- (add_net "/SWDIO")
- (add_net "/TX")
- (add_net "/VBOOT_MON")
- (add_net "/VIN")
- (add_net "/VIN_MON")
- (add_net "/VIsense")
- (add_net "/XT1")
- (add_net "/XT2")
- (add_net "GND")
- (add_net "Net-(C15-Pad1)")
- (add_net "Net-(C3-Pad1)")
- (add_net "Net-(C7-Pad1)")
- (add_net "Net-(C7-Pad2)")
- (add_net "Net-(D1-Pad2)")
- (add_net "Net-(D4-Pad1)")
- (add_net "Net-(D5-Pad1)")
- (add_net "Net-(D6-Pad1)")
- (add_net "Net-(D7-Pad1)")
- (add_net "Net-(J4-Pad7)")
- (add_net "Net-(J4-Pad8)")
- (add_net "Net-(J5-Pad7)")
- (add_net "Net-(J5-Pad8)")
- (add_net "Net-(Q5-Pad3)")
- (add_net "Net-(R11-Pad1)")
- (add_net "Net-(R12-Pad1)")
- (add_net "Net-(R17-Pad1)")
- (add_net "Net-(R2-Pad1)")
- (add_net "Net-(R33-Pad2)")
- (add_net "Net-(R36-Pad1)")
- (add_net "Net-(R6-Pad1)")
- (add_net "Net-(U2-Pad9)")
- (add_net "Net-(U7-Pad3)")
- (add_net "Net-(U8-Pad3)")
- )
-
- (module "TestPoint:TestPoint_Pad_D1.5mm" (layer "F.Cu") (tedit 5A0F774F) (tstamp 5CC1C6B5)
- (at 156.6 84.6)
- (descr "SMD pad as test Point, diameter 1.5mm")
- (tags "test point SMD pad")
- (path "/5CD2DBD6")
- (attr virtual)
- (fp_text reference "TP15" (at 0 -1.648) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "MOSI" (at -2.7 -0.9) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 0 0.95) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 0 0) (end 1.25 0) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 -1.65) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" smd circle (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
- (net 39 "/MOSI"))
- )
-
- (module "TestPoint:TestPoint_Pad_D1.5mm" (layer "F.Cu") (tedit 5A0F774F) (tstamp 5CC1C6AD)
- (at 153.75 89)
- (descr "SMD pad as test Point, diameter 1.5mm")
- (tags "test point SMD pad")
- (path "/5CD38882")
- (attr virtual)
- (fp_text reference "TP14" (at 0 -1.648) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "SCK" (at -0.1 1.75) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 0 0.95) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 0 0) (end 1.25 0) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 -1.65) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" smd circle (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
- (net 38 "/SCK"))
- )
-
- (module "TestPoint:TestPoint_Pad_D1.5mm" (layer "F.Cu") (tedit 5A0F774F) (tstamp 5CC1F8FB)
- (at 154.7 86.55)
- (descr "SMD pad as test Point, diameter 1.5mm")
- (tags "test point SMD pad")
- (path "/5CD3B4C9")
- (attr virtual)
- (fp_text reference "TP13" (at 0 -1.648) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "LATCH" (at -3.4 0.05) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 0 0.95) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 0 0) (end 1.25 0) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 -1.65) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" smd circle (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
- (net 7 "/VIN_MON"))
- )
-
- (module "TestPoint:TestPoint_Pad_D1.5mm" (layer "F.Cu") (tedit 5A0F774F) (tstamp 5CC14B96)
- (at 113.1 87.3)
- (descr "SMD pad as test Point, diameter 1.5mm")
- (tags "test point SMD pad")
- (path "/5D19E9F0")
- (attr virtual)
- (fp_text reference "TP12" (at 0 -1.648) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "⏚" (at 0 -1.9 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 0 0.95) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 0 0) (end 1.25 0) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 -1.65) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" smd circle (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
- (net 2 "GND"))
- )
-
- (module "TestPoint:TestPoint_Pad_D1.5mm" (layer "F.Cu") (tedit 5A0F774F) (tstamp 5CC148CF)
- (at 110.2 88.7)
- (descr "SMD pad as test Point, diameter 1.5mm")
- (tags "test point SMD pad")
- (path "/5D19DB61")
- (attr virtual)
- (fp_text reference "TP11" (at 0 -1.648) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "SDA" (at 0.1 -2.5 90) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 0 0.95) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 0 0) (end 1.25 0) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 -1.65) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" smd circle (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
- (net 33 "/SDA"))
- )
-
- (module "TestPoint:TestPoint_Pad_D1.5mm" (layer "F.Cu") (tedit 5A0F774F) (tstamp 5CC148C7)
- (at 108.2 87.7)
- (descr "SMD pad as test Point, diameter 1.5mm")
- (tags "test point SMD pad")
- (path "/5D19D2DC")
- (attr virtual)
- (fp_text reference "TP10" (at 0 -1.648) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "SCL" (at 0 -2.4 90) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 0 0.95) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 0 0) (end 1.25 0) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 -1.65) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" smd circle (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
- (net 34 "/SCL"))
- )
-
- (module "TestPoint:TestPoint_Pad_D1.5mm" (layer "F.Cu") (tedit 5A0F774F) (tstamp 5CC15060)
- (at 136.3 86.6)
- (descr "SMD pad as test Point, diameter 1.5mm")
- (tags "test point SMD pad")
- (path "/5D1ED8BA")
- (attr virtual)
- (fp_text reference "TP9" (at 0 -1.648) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "⏚" (at 0 -2) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 0 0.95) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 0 0) (end 1.25 0) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 -1.65) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" smd circle (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
- (net 2 "GND"))
- )
-
- (module "TestPoint:TestPoint_Pad_D1.5mm" (layer "F.Cu") (tedit 5A0F774F) (tstamp 5CC148B7)
- (at 135.2 93.5)
- (descr "SMD pad as test Point, diameter 1.5mm")
- (tags "test point SMD pad")
- (path "/5D199BAC")
- (attr virtual)
- (fp_text reference "TP8" (at 0 -1.648) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "CTRL_A" (at 0 1.75) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 0 0.95) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 0 0) (end 1.25 0) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 -1.65) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" smd circle (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
- (net 25 "/CTRL_A"))
- )
-
- (module "TestPoint:TestPoint_Pad_D1.5mm" (layer "F.Cu") (tedit 5A0F774F) (tstamp 5CC148AF)
- (at 119.2 88.6)
- (descr "SMD pad as test Point, diameter 1.5mm")
- (tags "test point SMD pad")
- (path "/5D19813A")
- (attr virtual)
- (fp_text reference "TP7" (at 0 -1.648) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "CTRL_B" (at -0.9 -1.7) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 0 0.95) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 0 0) (end 1.25 0) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 -1.65) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" smd circle (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
- (net 24 "/CTRL_B"))
- )
-
- (module "TestPoint:TestPoint_Pad_D1.5mm" (layer "F.Cu") (tedit 5A0F774F) (tstamp 5CC148A7)
- (at 78.8 66.3)
- (descr "SMD pad as test Point, diameter 1.5mm")
- (tags "test point SMD pad")
- (path "/5D1812FD")
- (attr virtual)
- (fp_text reference "TP6" (at 0 -1.648) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "+3V3" (at -3.3 -0.1) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 0 0.95) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 0 0) (end 1.25 0) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 -1.65) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" smd circle (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
- (net 5 "+3V3"))
- )
-
- (module "TestPoint:TestPoint_Pad_D1.5mm" (layer "F.Cu") (tedit 5A0F774F) (tstamp 5CC1489F)
- (at 82.1 84.4)
- (descr "SMD pad as test Point, diameter 1.5mm")
- (tags "test point SMD pad")
- (path "/5D187E52")
- (attr virtual)
- (fp_text reference "TP5" (at 0 -1.648) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "I3V3" (at 0.9 1.8) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 0 0.95) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 0 0) (end 1.25 0) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 -1.65) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" smd circle (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
- (net 6 "Net-(C15-Pad1)"))
- )
-
- (module "TestPoint:TestPoint_Pad_D1.5mm" (layer "F.Cu") (tedit 5A0F774F) (tstamp 5CC14897)
- (at 72.1 71.1)
- (descr "SMD pad as test Point, diameter 1.5mm")
- (tags "test point SMD pad")
- (path "/5D181B83")
- (attr virtual)
- (fp_text reference "TP4" (at 0 -1.648) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "Vin" (at 0.1 -2) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 0 0.95) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 0 0) (end 1.25 0) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 -1.65) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" smd circle (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
- (net 18 "/VIN"))
- )
-
- (module "TestPoint:TestPoint_Pad_D1.5mm" (layer "F.Cu") (tedit 5A0F774F) (tstamp 5CC1488F)
- (at 86.6 87.6)
- (descr "SMD pad as test Point, diameter 1.5mm")
- (tags "test point SMD pad")
- (path "/5D1C82A7")
- (attr virtual)
- (fp_text reference "TP3" (at 0 -1.648) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "⏚" (at 0 1.75) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 0 0.95) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 0 0) (end 1.25 0) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 -1.65) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" smd circle (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
- (net 2 "GND"))
- )
-
- (module "TestPoint:TestPoint_Pad_D1.5mm" (layer "F.Cu") (tedit 5A0F774F) (tstamp 5CC14887)
- (at 87.4 67.3)
- (descr "SMD pad as test Point, diameter 1.5mm")
- (tags "test point SMD pad")
- (path "/5D18845A")
- (attr virtual)
- (fp_text reference "TP2" (at 0 -1.648) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "Idrv" (at 0.7 -2) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 0 0.95) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 0 0) (end 1.25 0) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 -1.65) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" smd circle (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
- (net 3 "Net-(C3-Pad1)"))
- )
-
- (module "TestPoint:TestPoint_Pad_D1.5mm" (layer "F.Cu") (tedit 5A0F774F) (tstamp 5CC1487F)
- (at 104.9 66.8)
- (descr "SMD pad as test Point, diameter 1.5mm")
- (tags "test point SMD pad")
- (path "/5D180D49")
- (attr virtual)
- (fp_text reference "TP1" (at 0 -1.648) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "Vdrv" (at -1.7 -1.7) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 0 0.95) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 0 0) (end 1.25 0) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 -1.65) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" smd circle (at 0 0) (size 1.5 1.5) (layers "F.Cu" "F.Mask")
- (net 1 "+VSW"))
- )
-
- (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5CC0F1F4)
- (at 99.7 87.3 270)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path "/5CC5518C")
- (attr smd)
- (fp_text reference "C9" (at -2.9 -0.1 90) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "100n" (at 0 1.5 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_text user "%R" (at 0 -1.25 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "2" smd rect (at 0.95 0 270) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (pad "1" smd rect (at -0.95 0 270) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 5 "+3V3"))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Package_TO_SOT_SMD:SOT-23-5" (layer "F.Cu") (tedit 5A02FF57) (tstamp 5CC0B50C)
- (at 102.5 91)
- (descr "5-pin SOT23 package")
- (tags "SOT-23-5")
- (path "/5D38FF36")
- (attr smd)
- (fp_text reference "U9" (at -0.2 2.3) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "NCS325" (at 0 2.9) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 0.9 -1.55) (end 0.9 1.55) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.9 1.55) (end -0.9 1.55) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.9 -0.9) (end -0.9 1.55) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.9 -1.55) (end -0.25 -1.55) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.9 -0.9) (end -0.25 -1.55) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.9 1.8) (end -1.9 -1.8) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.9 1.8) (end -1.9 1.8) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.9 -1.8) (end 1.9 1.8) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.9 -1.8) (end 1.9 -1.8) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 0.9 -1.61) (end -1.55 -1.61) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.9 1.61) (end 0.9 1.61) (layer "F.SilkS") (width 0.12))
- (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
- (effects (font (size 0.5 0.5) (thickness 0.075)))
- )
- (pad "5" smd rect (at 1.1 -0.95) (size 1.06 0.65) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 5 "+3V3"))
- (pad "4" smd rect (at 1.1 0.95) (size 1.06 0.65) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 67 "Net-(R33-Pad2)"))
- (pad "3" smd rect (at -1.1 0.95) (size 1.06 0.65) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 68 "Net-(R36-Pad1)"))
- (pad "2" smd rect (at -1.1 0) (size 1.06 0.65) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (pad "1" smd rect (at -1.1 -0.95) (size 1.06 0.65) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 62 "/VIsense"))
- (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5CCAAE61)
- (at 92 90.5 90)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path "/5C5C9980")
- (attr smd)
- (fp_text reference "C14" (at -1.1 -1.4 270) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "100n" (at 0 1.5 270) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 -1.25 270) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (pad "1" smd rect (at -0.95 0 90) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 5 "+3V3"))
- (pad "2" smd rect (at 0.95 0 90) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5CC0B9B5)
- (at 131 73.25 90)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path "/5CC58C3C")
- (attr smd)
- (fp_text reference "C8" (at 0 -1.25 90) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "100n" (at 0 1.5 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_text user "%R" (at 0 -1.25 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "2" smd rect (at 0.95 0 90) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (pad "1" smd rect (at -0.95 0 90) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 5 "+3V3"))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (layer "F.Cu") (tedit 5A02F2D3) (tstamp 5CC09AD2)
- (at 134.75 75.75 90)
- (descr "8-Lead Plastic Small Outline (SN) - Narrow, 3.90 mm Body [SOIC] (see Microchip Packaging Specification 00000049BS.pdf)")
- (tags "SOIC 1.27")
- (path "/5C607F28")
- (attr smd)
- (fp_text reference "U13" (at 3.35 4.05 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "MAX485E" (at 0 3.5 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -2.075 -2.525) (end -3.475 -2.525) (layer "F.SilkS") (width 0.15))
- (fp_line (start -2.075 2.575) (end 2.075 2.575) (layer "F.SilkS") (width 0.15))
- (fp_line (start -2.075 -2.575) (end 2.075 -2.575) (layer "F.SilkS") (width 0.15))
- (fp_line (start -2.075 2.575) (end -2.075 2.43) (layer "F.SilkS") (width 0.15))
- (fp_line (start 2.075 2.575) (end 2.075 2.43) (layer "F.SilkS") (width 0.15))
- (fp_line (start 2.075 -2.575) (end 2.075 -2.43) (layer "F.SilkS") (width 0.15))
- (fp_line (start -2.075 -2.575) (end -2.075 -2.525) (layer "F.SilkS") (width 0.15))
- (fp_line (start -3.73 2.7) (end 3.73 2.7) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -3.73 -2.7) (end 3.73 -2.7) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 3.73 -2.7) (end 3.73 2.7) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -3.73 -2.7) (end -3.73 2.7) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.95 -1.45) (end -0.95 -2.45) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.95 2.45) (end -1.95 -1.45) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.95 2.45) (end -1.95 2.45) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.95 -2.45) (end 1.95 -2.45) (layer "F.Fab") (width 0.1))
- (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "8" smd rect (at 2.7 -1.905 90) (size 1.55 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 5 "+3V3"))
- (pad "7" smd rect (at 2.7 -0.635 90) (size 1.55 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 31 "/RS485_B"))
- (pad "6" smd rect (at 2.7 0.635 90) (size 1.55 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 30 "/RX485_A"))
- (pad "5" smd rect (at 2.7 1.905 90) (size 1.55 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (pad "4" smd rect (at -2.7 1.905 90) (size 1.55 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 28 "/TX"))
- (pad "3" smd rect (at -2.7 0.635 90) (size 1.55 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 37 "/DE"))
- (pad "2" smd rect (at -2.7 -0.635 90) (size 1.55 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 37 "/DE"))
- (pad "1" smd rect (at -2.7 -1.905 90) (size 1.55 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 29 "/RX"))
- (model "${KISYS3DMOD}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5CC0658A)
- (at 159.25 65.25 90)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5D13A776")
- (attr smd)
- (fp_text reference "R39" (at 0 -1.43 90) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "10k" (at 0 1.43 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (pad "2" smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 63 "/GND_RS485"))
- (pad "1" smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 2 "GND"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5CC06579)
- (at 93.5 94.5 180)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5D217218")
- (attr smd)
- (fp_text reference "R38" (at 3.1 0) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "0" (at 0 1.43) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 2 "GND"))
- (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 64 "Net-(C7-Pad2)"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5CC06568)
- (at 97 94.5 180)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5D2214D0")
- (attr smd)
- (fp_text reference "R37" (at 0 -1.3) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "0" (at 0 1.43) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0 180) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 65 "Net-(C7-Pad1)"))
- (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 19 "/GND_MEAS"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5CC0C5F0)
- (at 99.5 91 270)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5D45B7FF")
- (attr smd)
- (fp_text reference "R36" (at 2.2 0 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "10k" (at 0 1.43 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (pad "2" smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 19 "/GND_MEAS"))
- (pad "1" smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 68 "Net-(R36-Pad1)"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5CC06546)
- (at 104 94.5)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5D8E3C01")
- (attr smd)
- (fp_text reference "R35" (at 0 1.4) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "3k6" (at 0 1.43) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (pad "2" smd roundrect (at 0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 2 "GND"))
- (pad "1" smd roundrect (at -0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 66 "Net-(Q5-Pad3)"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5CC0A437)
- (at 100.5 94.5)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5D4CA283")
- (attr smd)
- (fp_text reference "R34" (at 0.1 1.3) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "100" (at 0 1.43) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (pad "2" smd roundrect (at 0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 66 "Net-(Q5-Pad3)"))
- (pad "1" smd roundrect (at -0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 67 "Net-(R33-Pad2)"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5CC0726F)
- (at 103.9 88.3)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5D4C9192")
- (attr smd)
- (fp_text reference "R33" (at 0 -1.43) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "150k" (at 0 1.43) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (pad "2" smd roundrect (at 0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 67 "Net-(R33-Pad2)"))
- (pad "1" smd roundrect (at -0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 62 "/VIsense"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5CC06433)
- (at 101.3 87.3 90)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5D81B8C1")
- (attr smd)
- (fp_text reference "R25" (at 3.3 0.2 90) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "10k" (at 0 1.43 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (pad "2" smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 38 "/SCK"))
- (pad "1" smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 62 "/VIsense"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5CC06422)
- (at 134 91.2 90)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5D0524F4")
- (attr smd)
- (fp_text reference "R24" (at 2 0 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "1k" (at 0 1.43 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (pad "2" smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 2 "GND"))
- (pad "1" smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 25 "/CTRL_A"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5CC0D4B3)
- (at 139.4 86.4)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5D005CD7")
- (attr smd)
- (fp_text reference "R18" (at 0 -1.43) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "1k" (at 0 1.43) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (pad "2" smd roundrect (at 0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 2 "GND"))
- (pad "1" smd roundrect (at -0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 24 "/CTRL_B"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") (tedit 5A02FF57) (tstamp 5CC06150)
- (at 106.1 91.3 180)
- (descr "SOT-23, Standard")
- (tags "SOT-23")
- (path "/5DC08AF7")
- (attr smd)
- (fp_text reference "Q5" (at -1.2 -2.5) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "AO3400" (at 0 2.5) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer "F.Fab") (width 0.1))
- (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
- (effects (font (size 0.5 0.5) (thickness 0.075)))
- )
- (pad "3" smd rect (at 1 0 180) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 66 "Net-(Q5-Pad3)"))
- (pad "2" smd rect (at -1 0.95 180) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (pad "1" smd rect (at -1 -0.95 180) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 39 "/MOSI"))
- (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5CC0A98F)
- (at 97.6 90.8 90)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path "/5D217891")
- (attr smd)
- (fp_text reference "C7" (at 2.9 0.1 90) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "0" (at 0 1.5 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_text user "%R" (at 0 -1.25 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "2" smd rect (at 0.95 0 90) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 64 "Net-(C7-Pad2)"))
- (pad "1" smd rect (at -0.95 0 90) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 65 "Net-(C7-Pad1)"))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5CC056CA)
- (at 122.2 120 90)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path "/5CFC5CF2")
- (attr smd)
- (fp_text reference "C6" (at 0 -1.25 90) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "100n" (at 0 1.5 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_text user "%R" (at 0 -1.25 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "2" smd rect (at 0.95 0 90) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 19 "/GND_MEAS"))
- (pad "1" smd rect (at -0.95 0 90) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 5 "+3V3"))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5C898D3C)
- (at 94.3 79.8)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path "/5C5F270F")
- (attr smd)
- (fp_text reference "C29" (at -0.6 1.4) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "100n" (at 0 1.5) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_text user "%R" (at 0 -1.25) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "2" smd rect (at 0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (pad "1" smd rect (at -0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 5 "+3V3"))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Connectors_Molex:Molex_KK-6410-04_04x2.54mm_Straight" (layer "F.Cu") (tedit 58EE6EE8) (tstamp 5C898F8D)
- (at 163.4 77.2 180)
- (descr "Connector Headers with Friction Lock, 22-27-2041, http://www.molex.com/pdm_docs/sd/022272021_sd.pdf")
- (tags "connector molex kk_6410 22-27-2041")
- (path "/5CDBF041")
- (fp_text reference "J6" (at -0.45 -4 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "1602 I2C LCD" (at 3.81 4.5) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 3.81 0) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 9.5 3.5) (end -1.9 3.5) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 9.5 -3.55) (end 9.5 3.5) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.9 -3.55) (end 9.5 -3.55) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.9 3.5) (end -1.9 -3.55) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 8.42 -2.4) (end 8.42 -3.02) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.82 -2.4) (end 8.42 -2.4) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.82 -3.02) (end 6.82 -2.4) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.88 -2.4) (end 5.88 -3.02) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.28 -2.4) (end 5.88 -2.4) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.28 -3.02) (end 4.28 -2.4) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.34 -2.4) (end 3.34 -3.02) (layer "F.SilkS") (width 0.12))
- (fp_line (start 1.74 -2.4) (end 3.34 -2.4) (layer "F.SilkS") (width 0.12))
- (fp_line (start 1.74 -3.02) (end 1.74 -2.4) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.8 -2.4) (end 0.8 -3.02) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.8 -2.4) (end 0.8 -2.4) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.8 -3.02) (end -0.8 -2.4) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.37 2.98) (end 7.37 1.98) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.25 2.98) (end 0.25 1.98) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.37 1.55) (end 7.62 1.98) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.25 1.55) (end 7.37 1.55) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0 1.98) (end 0.25 1.55) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.62 1.98) (end 7.62 2.98) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0 1.98) (end 7.62 1.98) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0 2.98) (end 0 1.98) (layer "F.SilkS") (width 0.12))
- (fp_line (start 8.99 -3.02) (end -1.37 -3.02) (layer "F.SilkS") (width 0.12))
- (fp_line (start 8.99 2.98) (end 8.99 -3.02) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.37 2.98) (end 8.99 2.98) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.37 -3.02) (end -1.37 2.98) (layer "F.SilkS") (width 0.12))
- (fp_line (start 9.09 -3.12) (end -1.47 -3.12) (layer "F.Fab") (width 0.12))
- (fp_line (start 9.09 3.08) (end 9.09 -3.12) (layer "F.Fab") (width 0.12))
- (fp_line (start -1.47 3.08) (end 9.09 3.08) (layer "F.Fab") (width 0.12))
- (fp_line (start -1.47 -3.12) (end -1.47 3.08) (layer "F.Fab") (width 0.12))
- (pad "4" thru_hole oval (at 7.62 0 180) (size 2 2.6) (drill 1.2) (layers *.Cu *.Mask)
- (net 2 "GND"))
- (pad "3" thru_hole oval (at 5.08 0 180) (size 2 2.6) (drill 1.2) (layers *.Cu *.Mask)
- (net 5 "+3V3"))
- (pad "2" thru_hole oval (at 2.54 0 180) (size 2 2.6) (drill 1.2) (layers *.Cu *.Mask)
- (net 33 "/SDA"))
- (pad "1" thru_hole rect (at 0 0 180) (size 2 2.6) (drill 1.2) (layers *.Cu *.Mask)
- (net 34 "/SCL"))
- (model "${KISYS3DMOD}/Connectors_Molex.3dshapes/Molex_KK-6410-04_04x2.54mm_Straight.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Package_SO:MSOP-10_3x3mm_P0.5mm" (layer "F.Cu") (tedit 5A02F25C) (tstamp 5C89947D)
- (at 95 89.5 270)
- (descr "10-Lead Plastic Micro Small Outline Package (MS) [MSOP] (see Microchip Packaging Specification 00000049BS.pdf)")
- (tags "SSOP 0.5")
- (path "/5C3D6130")
- (attr smd)
- (fp_text reference "U7" (at -3.4 2.6) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "INA226" (at 0 2.6 270) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.5 -1.5) (end 1.5 -1.5) (layer "F.Fab") (width 0.15))
- (fp_line (start 1.5 -1.5) (end 1.5 1.5) (layer "F.Fab") (width 0.15))
- (fp_line (start 1.5 1.5) (end -1.5 1.5) (layer "F.Fab") (width 0.15))
- (fp_line (start -1.5 1.5) (end -1.5 -0.5) (layer "F.Fab") (width 0.15))
- (fp_line (start -1.5 -0.5) (end -0.5 -1.5) (layer "F.Fab") (width 0.15))
- (fp_line (start -3.15 -1.85) (end -3.15 1.85) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 3.15 -1.85) (end 3.15 1.85) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -3.15 -1.85) (end 3.15 -1.85) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -3.15 1.85) (end 3.15 1.85) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.675 -1.675) (end -1.675 -1.45) (layer "F.SilkS") (width 0.15))
- (fp_line (start 1.675 -1.675) (end 1.675 -1.375) (layer "F.SilkS") (width 0.15))
- (fp_line (start 1.675 1.675) (end 1.675 1.375) (layer "F.SilkS") (width 0.15))
- (fp_line (start -1.675 1.675) (end -1.675 1.375) (layer "F.SilkS") (width 0.15))
- (fp_line (start -1.675 -1.675) (end 1.675 -1.675) (layer "F.SilkS") (width 0.15))
- (fp_line (start -1.675 1.675) (end 1.675 1.675) (layer "F.SilkS") (width 0.15))
- (fp_line (start -1.675 -1.45) (end -2.9 -1.45) (layer "F.SilkS") (width 0.15))
- (fp_text user "%R" (at 0 0 270) (layer "F.Fab")
- (effects (font (size 0.6 0.6) (thickness 0.15)))
- )
- (pad "1" smd rect (at -2.2 -1 270) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (pad "2" smd rect (at -2.2 -0.5 270) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (pad "3" smd rect (at -2.2 0 270) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 10 "Net-(U7-Pad3)"))
- (pad "4" smd rect (at -2.2 0.5 270) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 33 "/SDA"))
- (pad "5" smd rect (at -2.2 1 270) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 34 "/SCL"))
- (pad "6" smd rect (at 2.2 1 270) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 5 "+3V3"))
- (pad "7" smd rect (at 2.2 0.5 270) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (pad "8" smd rect (at 2.2 0 270) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 18 "/VIN"))
- (pad "9" smd rect (at 2.2 -0.5 270) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 64 "Net-(C7-Pad2)"))
- (pad "10" smd rect (at 2.2 -1 270) (size 1.4 0.3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 65 "Net-(C7-Pad1)"))
- (model "${KISYS3DMOD}/Package_SO.3dshapes/MSOP-10_3x3mm_P0.5mm.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") (tedit 5A02F25C) (tstamp 5C539424)
- (at 122.4 78.4)
- (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)")
- (tags "SSOP 0.65")
- (path "/5D52BF88")
- (attr smd)
- (fp_text reference "U6" (at 0 -4.3) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "STM32F030F4Px" (at 0 4.3) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer "F.Fab") (width 0.15))
- (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer "F.Fab") (width 0.15))
- (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer "F.Fab") (width 0.15))
- (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer "F.Fab") (width 0.15))
- (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer "F.Fab") (width 0.15))
- (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer "F.SilkS") (width 0.15))
- (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer "F.SilkS") (width 0.15))
- (fp_text user "%R" (at 0 0) (layer "F.Fab")
- (effects (font (size 0.8 0.8) (thickness 0.15)))
- )
- (pad "1" smd rect (at -2.95 -2.925) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (pad "2" smd rect (at -2.95 -2.275) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 60 "/XT2"))
- (pad "3" smd rect (at -2.95 -1.625) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 59 "/XT1"))
- (pad "4" smd rect (at -2.95 -0.975) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 61 "/RST"))
- (pad "5" smd rect (at -2.95 -0.325) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 5 "+3V3"))
- (pad "6" smd rect (at -2.95 0.325) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 4 "/VBOOT_MON"))
- (pad "7" smd rect (at -2.95 0.975) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 37 "/DE"))
- (pad "8" smd rect (at -2.95 1.625) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 28 "/TX"))
- (pad "9" smd rect (at -2.95 2.275) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 29 "/RX"))
- (pad "10" smd rect (at -2.95 2.925) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 7 "/VIN_MON"))
- (pad "11" smd rect (at 2.95 2.925) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 38 "/SCK"))
- (pad "12" smd rect (at 2.95 2.275) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 25 "/CTRL_A"))
- (pad "13" smd rect (at 2.95 1.625) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 39 "/MOSI"))
- (pad "14" smd rect (at 2.95 0.975) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 24 "/CTRL_B"))
- (pad "15" smd rect (at 2.95 0.325) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (pad "16" smd rect (at 2.95 -0.325) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 5 "+3V3"))
- (pad "17" smd rect (at 2.95 -0.975) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 34 "/SCL"))
- (pad "18" smd rect (at 2.95 -1.625) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 33 "/SDA"))
- (pad "19" smd rect (at 2.95 -2.275) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 27 "/SWDIO"))
- (pad "20" smd rect (at 2.95 -2.925) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 26 "/SWCLK"))
- (model "${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5CC9C8F7)
- (at 111.6 67.1)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5C45B966")
- (attr smd)
- (fp_text reference "R3" (at 0 -1.2) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "47k" (at 0 1.43) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 0) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (pad "1" smd roundrect (at -0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 1 "+VSW"))
- (pad "2" smd roundrect (at 0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 4 "/VBOOT_MON"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "footprints:Toshiba SOP Advance" (layer "F.Cu") (tedit 5C40026E) (tstamp 5CB5FE99)
- (at 130 100 90)
- (path "/5C3DA5D0")
- (fp_text reference "Q1" (at 3.2 3.8 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "TPHR6503PL" (at 0 -10.16 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -2.54 -3.69) (end -2.54 3.295) (layer "F.CrtYd") (width 0.1))
- (fp_line (start 2.54 3.295) (end -2.54 3.295) (layer "F.CrtYd") (width 0.1))
- (fp_line (start 2.54 -3.69) (end 2.54 3.295) (layer "F.CrtYd") (width 0.1))
- (fp_line (start -2.54 -3.69) (end 2.54 -3.69) (layer "F.CrtYd") (width 0.1))
- (pad "1" smd rect (at 0 -0.55 90) (size 4.7 3.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 18 "/VIN"))
- (pad "3" smd rect (at -1.905 3.5 90) (size 0.85 2.95) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 16 "/OUT_A"))
- (pad "3" smd rect (at -0.635 3.5 90) (size 0.85 2.95) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 16 "/OUT_A"))
- (pad "3" smd rect (at 0.635 3.5 90) (size 0.85 2.95) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 16 "/OUT_A"))
- (pad "2" smd rect (at 1.905 3.5 90) (size 0.85 2.95) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 15 "/DRV4F"))
- (pad "1" smd rect (at 1.905 -3.475 90) (size 0.85 3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 18 "/VIN"))
- (pad "1" smd rect (at 0.635 -3.475 90) (size 0.85 3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 18 "/VIN"))
- (pad "1" smd rect (at -0.635 -3.475 90) (size 0.85 3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 18 "/VIN"))
- (pad "1" smd rect (at -1.905 -3.475 90) (size 0.85 3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 18 "/VIN"))
- )
-
- (module "footprints:Toshiba SOP Advance" (layer "F.Cu") (tedit 5C40026E) (tstamp 5CB5F06E)
- (at 130 140 90)
- (path "/5C3DAAC4")
- (fp_text reference "Q2" (at -3.7 4.1 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "TPHR6503PL" (at 0 -10.16 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -2.54 -3.69) (end -2.54 3.295) (layer "F.CrtYd") (width 0.1))
- (fp_line (start 2.54 3.295) (end -2.54 3.295) (layer "F.CrtYd") (width 0.1))
- (fp_line (start 2.54 -3.69) (end 2.54 3.295) (layer "F.CrtYd") (width 0.1))
- (fp_line (start -2.54 -3.69) (end 2.54 -3.69) (layer "F.CrtYd") (width 0.1))
- (pad "1" smd rect (at 0 -0.55 90) (size 4.7 3.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 18 "/VIN"))
- (pad "3" smd rect (at -1.905 3.5 90) (size 0.85 2.95) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 17 "/OUT_B"))
- (pad "3" smd rect (at -0.635 3.5 90) (size 0.85 2.95) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 17 "/OUT_B"))
- (pad "3" smd rect (at 0.635 3.5 90) (size 0.85 2.95) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 17 "/OUT_B"))
- (pad "2" smd rect (at 1.905 3.5 90) (size 0.85 2.95) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 13 "/DRV2F"))
- (pad "1" smd rect (at 1.905 -3.475 90) (size 0.85 3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 18 "/VIN"))
- (pad "1" smd rect (at 0.635 -3.475 90) (size 0.85 3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 18 "/VIN"))
- (pad "1" smd rect (at -0.635 -3.475 90) (size 0.85 3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 18 "/VIN"))
- (pad "1" smd rect (at -1.905 -3.475 90) (size 0.85 3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 18 "/VIN"))
- )
-
- (module "footprints:Toshiba SOP Advance" (layer "F.Cu") (tedit 5C40026E) (tstamp 5CB61BED)
- (at 130 113.3333 270)
- (path "/5C3D9DBF")
- (fp_text reference "Q3" (at -3.6333 4.3) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "TPHR6503PL" (at 0 -10.16 270) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -2.54 -3.69) (end -2.54 3.295) (layer "F.CrtYd") (width 0.1))
- (fp_line (start 2.54 3.295) (end -2.54 3.295) (layer "F.CrtYd") (width 0.1))
- (fp_line (start 2.54 -3.69) (end 2.54 3.295) (layer "F.CrtYd") (width 0.1))
- (fp_line (start -2.54 -3.69) (end 2.54 -3.69) (layer "F.CrtYd") (width 0.1))
- (pad "1" smd rect (at 0 -0.55 270) (size 4.7 3.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 16 "/OUT_A"))
- (pad "3" smd rect (at -1.905 3.5 270) (size 0.85 2.95) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 19 "/GND_MEAS"))
- (pad "3" smd rect (at -0.635 3.5 270) (size 0.85 2.95) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 19 "/GND_MEAS"))
- (pad "3" smd rect (at 0.635 3.5 270) (size 0.85 2.95) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 19 "/GND_MEAS"))
- (pad "2" smd rect (at 1.905 3.5 270) (size 0.85 2.95) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 14 "/DRV3F"))
- (pad "1" smd rect (at 1.905 -3.475 270) (size 0.85 3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 16 "/OUT_A"))
- (pad "1" smd rect (at 0.635 -3.475 270) (size 0.85 3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 16 "/OUT_A"))
- (pad "1" smd rect (at -0.635 -3.475 270) (size 0.85 3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 16 "/OUT_A"))
- (pad "1" smd rect (at -1.905 -3.475 270) (size 0.85 3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 16 "/OUT_A"))
- )
-
- (module "footprints:Toshiba SOP Advance" (layer "F.Cu") (tedit 5C40026E) (tstamp 5CB62789)
- (at 130 126.6667 270)
- (path "/5C3DB5E6")
- (fp_text reference "Q4" (at 3.7333 3.8) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "TPHR6503PL" (at 0 -10.16 270) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -2.54 -3.69) (end -2.54 3.295) (layer "F.CrtYd") (width 0.1))
- (fp_line (start 2.54 3.295) (end -2.54 3.295) (layer "F.CrtYd") (width 0.1))
- (fp_line (start 2.54 -3.69) (end 2.54 3.295) (layer "F.CrtYd") (width 0.1))
- (fp_line (start -2.54 -3.69) (end 2.54 -3.69) (layer "F.CrtYd") (width 0.1))
- (pad "1" smd rect (at 0 -0.55 270) (size 4.7 3.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 17 "/OUT_B"))
- (pad "3" smd rect (at -1.905 3.5 270) (size 0.85 2.95) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 19 "/GND_MEAS"))
- (pad "3" smd rect (at -0.635 3.5 270) (size 0.85 2.95) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 19 "/GND_MEAS"))
- (pad "3" smd rect (at 0.635 3.5 270) (size 0.85 2.95) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 19 "/GND_MEAS"))
- (pad "2" smd rect (at 1.905 3.5 270) (size 0.85 2.95) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 12 "/DRV1F"))
- (pad "1" smd rect (at 1.905 -3.475 270) (size 0.85 3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 17 "/OUT_B"))
- (pad "1" smd rect (at 0.635 -3.475 270) (size 0.85 3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 17 "/OUT_B"))
- (pad "1" smd rect (at -0.635 -3.475 270) (size 0.85 3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 17 "/OUT_B"))
- (pad "1" smd rect (at -1.905 -3.475 270) (size 0.85 3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 17 "/OUT_B"))
- )
-
- (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5CC9C2AF)
- (at 107.7 67.1)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path "/5C3F99AD")
- (attr smd)
- (fp_text reference "C1" (at -0.5 1 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "10u" (at 0 1.5) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 -1.25) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (pad "1" smd rect (at -0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 1 "+VSW"))
- (pad "2" smd rect (at 0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5C898957)
- (at 84.3 67.95 180)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path "/5C4E8B95")
- (attr smd)
- (fp_text reference "C4" (at 2.7 0.05 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "10u" (at 0 1.5 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 -1.25 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (pad "1" smd rect (at -0.95 0 180) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 3 "Net-(C3-Pad1)"))
- (pad "2" smd rect (at 0.95 0 180) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5C898968)
- (at 111.574999 71.174999 180)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path "/5C48B1CF")
- (attr smd)
- (fp_text reference "C5" (at 0 -1.25 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "100n" (at 0 1.5 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 -1.25 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (pad "1" smd rect (at -0.95 0 180) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 4 "/VBOOT_MON"))
- (pad "2" smd rect (at 0.95 0 180) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitors_SMD:C_0603_HandSoldering" (layer "B.Cu") (tedit 5C510A6F) (tstamp 5CC1F97F)
- (at 117.6 76.8 90)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path "/5C5BBF20")
- (attr smd)
- (fp_text reference "C11" (at 0 2 180) (layer "B.SilkS")
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_text value "100n" (at 0 -1.5 90) (layer "B.Fab")
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (fp_line (start 1.8 -0.65) (end -1.8 -0.65) (layer "B.CrtYd") (width 0.05))
- (fp_line (start 1.8 -0.65) (end 1.8 0.65) (layer "B.CrtYd") (width 0.05))
- (fp_line (start -1.8 0.65) (end -1.8 -0.65) (layer "B.CrtYd") (width 0.05))
- (fp_line (start -1.8 0.65) (end 1.8 0.65) (layer "B.CrtYd") (width 0.05))
- (fp_line (start 0.35 -0.6) (end -0.35 -0.6) (layer "B.SilkS") (width 0.12))
- (fp_line (start -0.35 0.6) (end 0.35 0.6) (layer "B.SilkS") (width 0.12))
- (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1))
- (fp_text user "%R" (at 0 1.25 90) (layer "B.Fab")
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (pad "2" smd rect (at 0.95 0 90) (size 1.2 0.75) (layers "B.Cu" "B.Paste" "B.Mask")
- (net 2 "GND"))
- (pad "1" smd rect (at -0.95 0 90) (size 1.2 0.75) (layers "B.Cu" "B.Paste" "B.Mask")
- (net 5 "+3V3"))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5C898B36)
- (at 128.6 78.3 270)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path "/5C5BD76D")
- (attr smd)
- (fp_text reference "C12" (at 1.3 -1.4 270) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "100n" (at 0 1.5 270) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 -1.25 270) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (pad "1" smd rect (at -0.95 0 270) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 5 "+3V3"))
- (pad "2" smd rect (at 0.95 0 270) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5CC1F70B)
- (at 156 91.8 90)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path "/5C5C8D7A")
- (attr smd)
- (fp_text reference "C13" (at -2.4 1.25 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "100n" (at 0 1.5 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_text user "%R" (at -2.4 1.25 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "2" smd rect (at 0.95 0 90) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (pad "1" smd rect (at -0.95 0 90) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 5 "+3V3"))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5CC1948B)
- (at 80.75 81.75 90)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path "/5C46605E")
- (attr smd)
- (fp_text reference "C16" (at -1.05 2.05 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "1u" (at 0 1.5 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 -1.25 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (pad "1" smd rect (at -0.95 0 90) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 6 "Net-(C15-Pad1)"))
- (pad "2" smd rect (at 0.95 0 90) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5CC9B670)
- (at 94.3 77.9)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path "/5C460459")
- (attr smd)
- (fp_text reference "C17" (at -2.4 0 90) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "1u" (at 0 1.5) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_text user "%R" (at 0 -1.25) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "2" smd rect (at 0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (pad "1" smd rect (at -0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 5 "+3V3"))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5C898B9C)
- (at 94.3 76.1)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path "/5C49E930")
- (attr smd)
- (fp_text reference "C18" (at 0 -1.3) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "10u" (at 0 1.5) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_text user "%R" (at 0 -1.25) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "2" smd rect (at 0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (pad "1" smd rect (at -0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 5 "+3V3"))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5C898CA3)
- (at 113.5 80.45)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path "/5C645E08")
- (attr smd)
- (fp_text reference "C20" (at 0 1.5) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "12p" (at 0 1.5) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_text user "%R" (at 0 -1.25) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "2" smd rect (at 0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 59 "/XT1"))
- (pad "1" smd rect (at -0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 5C51120E) (tstamp 5CB5F754)
- (at 109.8 91.5 180)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path "/5C4AAEDB")
- (attr smd)
- (fp_text reference "C21" (at 0 -1.4) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "100n" (at 0.4 -0.5 270) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_text user "%R" (at 0 -1.25 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "2" smd rect (at 0.95 0 180) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (pad "1" smd rect (at -0.95 0 180) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 7 "/VIN_MON"))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5C898CC5)
- (at 109.2 76.05 90)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path "/5C6343C3")
- (attr smd)
- (fp_text reference "C22" (at 1.95 0.3) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "12p" (at 0 1.5 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 -1.25 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (pad "1" smd rect (at -0.95 0 90) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 60 "/XT2"))
- (pad "2" smd rect (at 0.95 0 90) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 5C510916) (tstamp 5CC199C9)
- (at 124.6 85.9 180)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path "/5C61DEFC")
- (attr smd)
- (fp_text reference "C23" (at 0 1.4 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "100n" (at 0 1.5 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_text user "%R" (at 0 -1.25 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "2" smd rect (at 0.95 0 180) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (pad "1" smd rect (at -0.95 0 180) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 1 "+VSW"))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5C898CE7)
- (at 139.5 94.25 180)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path "/5C60D685")
- (attr smd)
- (fp_text reference "C24" (at -3.3 0.05 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "100n" (at 0 1.5 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 -1.25 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (pad "1" smd rect (at -0.95 0 180) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 1 "+VSW"))
- (pad "2" smd rect (at 0.95 0 180) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5C898CF8)
- (at 130.9 87.9 180)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path "/5C40F6B4")
- (attr smd)
- (fp_text reference "C25" (at 0 1.3 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "0" (at 0 1.5 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_text user "%R" (at 0 -1.25 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "2" smd rect (at 0.95 0 180) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (pad "1" smd rect (at -0.95 0 180) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 12 "/DRV1F"))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5C898D09)
- (at 146 87.9 180)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path "/5C41E3B0")
- (attr smd)
- (fp_text reference "C26" (at -3 -0.1 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "0" (at 0 1.5 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_text user "%R" (at 0 -1.25 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "2" smd rect (at 0.95 0 180) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (pad "1" smd rect (at -0.95 0 180) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 13 "/DRV2F"))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5C898D1A)
- (at 130.95 93.3)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path "/5C40F928")
- (attr smd)
- (fp_text reference "C27" (at -0.05 1.3) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "0" (at 0 1.5) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 -1.25) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (pad "1" smd rect (at -0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (pad "2" smd rect (at 0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 14 "/DRV3F"))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitors_SMD:C_0603_HandSoldering" (layer "F.Cu") (tedit 58AA848B) (tstamp 5C898D2B)
- (at 146 93.3)
- (descr "Capacitor SMD 0603, hand soldering")
- (tags "capacitor 0603")
- (path "/5C41E3B6")
- (attr smd)
- (fp_text reference "C28" (at 3 0.1) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "0" (at 0 1.5) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_text user "%R" (at 0 -1.25) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "2" smd rect (at 0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 15 "/DRV4F"))
- (pad "1" smd rect (at -0.95 0) (size 1.2 0.75) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (model "Capacitors_SMD.3dshapes/C_0603.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Diode_SMD:D_SMB_Handsoldering" (layer "F.Cu") (tedit 590B3D55) (tstamp 5C898D54)
- (at 102.8 70.2 270)
- (descr "Diode SMB (DO-214AA) Handsoldering")
- (tags "Diode SMB (DO-214AA) Handsoldering")
- (path "/5C3F3E87")
- (attr smd)
- (fp_text reference "D1" (at -2.95 3.1) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "2A schottky" (at 0 3 270) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 -3 270) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -4.6 -2.15) (end -4.6 2.15) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.3 2) (end -2.3 2) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.3 2) (end -2.3 -2) (layer "F.Fab") (width 0.1))
- (fp_line (start 2.3 -2) (end 2.3 2) (layer "F.Fab") (width 0.1))
- (fp_line (start 2.3 -2) (end -2.3 -2) (layer "F.Fab") (width 0.1))
- (fp_line (start -4.7 -2.25) (end 4.7 -2.25) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 4.7 -2.25) (end 4.7 2.25) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 4.7 2.25) (end -4.7 2.25) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -4.7 2.25) (end -4.7 -2.25) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -0.64944 0.00102) (end -1.55114 0.00102) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.50118 0.00102) (end 1.4994 0.00102) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.64944 -0.79908) (end -0.64944 0.80112) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.50118 0.75032) (end 0.50118 -0.79908) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.64944 0.00102) (end 0.50118 0.75032) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.64944 0.00102) (end 0.50118 -0.79908) (layer "F.Fab") (width 0.1))
- (fp_line (start -4.6 2.15) (end 2.7 2.15) (layer "F.SilkS") (width 0.12))
- (fp_line (start -4.6 -2.15) (end 2.7 -2.15) (layer "F.SilkS") (width 0.12))
- (pad "1" smd rect (at -2.7 0 270) (size 3.5 2.3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 1 "+VSW"))
- (pad "2" smd rect (at 2.7 0 270) (size 3.5 2.3) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 8 "Net-(D1-Pad2)"))
- (model "${KISYS3DMOD}/Diode_SMD.3dshapes/D_SMB.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "LED_SMD:LED_PLCC_2835_Handsoldering" (layer "F.Cu") (tedit 575B2B12) (tstamp 5CC1A70A)
- (at 134.8 152)
- (descr "http://www.everlight.com/file/ProductFile/67-21S-KK2C-H4040QAR32835Z15-2T.pdf")
- (tags "LED")
- (path "/5D120495")
- (attr smd)
- (fp_text reference "D4" (at -3.6 1.8) (layer "F.SilkS") hide
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "cyan" (at -0.2 -2.6) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.15 -0.65) (end 0.7 -0.65) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.93 -0.4) (end 0.93 -0.9) (layer "F.Fab") (width 0.1))
- (fp_line (start 2.3 -1.75) (end 2.3 1.75) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -2.8 -1.75) (end 2.3 -1.75) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -2.8 1.75) (end -2.8 -1.75) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 2.3 1.75) (end -2.8 1.75) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.45 -1.6) (end -2.55 -1.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start 1.5 1.6) (end -2.5 1.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start 1.4 -0.8) (end 1.4 0) (layer "F.Fab") (width 0.1))
- (fp_line (start 1 -1.2) (end 1.4 -0.8) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.4 -1.2) (end 1 -1.2) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.8 -0.8) (end -1.4 -1.2) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.8 0.8) (end -1.8 -0.8) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.4 1.2) (end -1.8 0.8) (layer "F.Fab") (width 0.1))
- (fp_line (start 1 1.2) (end -1.4 1.2) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.4 0.8) (end 1 1.2) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.4 0) (end 1.4 0.8) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.55 -1.4) (end 1.55 1.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.95 -1.4) (end 1.55 -1.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.95 1.4) (end -1.95 -1.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.55 1.4) (end -1.95 1.4) (layer "F.Fab") (width 0.1))
- (pad "1" smd rect (at -1.33 0 180) (size 2.5 2.1) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 55 "Net-(D4-Pad1)"))
- (pad "2" smd rect (at 1.33 0 180) (size 1.5 2.1) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 5 "+3V3"))
- (model "${KISYS3DMOD}/LED_SMD.3dshapes\\LED_PLCC_2835_Handsoldering.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "LED_SMD:LED_PLCC_2835_Handsoldering" (layer "F.Cu") (tedit 575B2B12) (tstamp 5C53F2AB)
- (at 85 152)
- (descr "http://www.everlight.com/file/ProductFile/67-21S-KK2C-H4040QAR32835Z15-2T.pdf")
- (tags "LED")
- (path "/5D120F6F")
- (attr smd)
- (fp_text reference "D5" (at 0.3 -2.2) (layer "F.SilkS") hide
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "cyan" (at -0.2 -2.6) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.55 1.4) (end -1.95 1.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.95 1.4) (end -1.95 -1.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.95 -1.4) (end 1.55 -1.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.55 -1.4) (end 1.55 1.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.4 0) (end 1.4 0.8) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.4 0.8) (end 1 1.2) (layer "F.Fab") (width 0.1))
- (fp_line (start 1 1.2) (end -1.4 1.2) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.4 1.2) (end -1.8 0.8) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.8 0.8) (end -1.8 -0.8) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.8 -0.8) (end -1.4 -1.2) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.4 -1.2) (end 1 -1.2) (layer "F.Fab") (width 0.1))
- (fp_line (start 1 -1.2) (end 1.4 -0.8) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.4 -0.8) (end 1.4 0) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.5 1.6) (end -2.5 1.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start 1.45 -1.6) (end -2.55 -1.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.3 1.75) (end -2.8 1.75) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -2.8 1.75) (end -2.8 -1.75) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -2.8 -1.75) (end 2.3 -1.75) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 2.3 -1.75) (end 2.3 1.75) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 0.93 -0.4) (end 0.93 -0.9) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.15 -0.65) (end 0.7 -0.65) (layer "F.Fab") (width 0.1))
- (pad "2" smd rect (at 1.33 0 180) (size 1.5 2.1) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 5 "+3V3"))
- (pad "1" smd rect (at -1.33 0 180) (size 2.5 2.1) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 56 "Net-(D5-Pad1)"))
- (model "${KISYS3DMOD}/LED_SMD.3dshapes\\LED_PLCC_2835_Handsoldering.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "LED_SMD:LED_PLCC_2835_Handsoldering" (layer "F.Cu") (tedit 575B2B12) (tstamp 5C898DDB)
- (at 85 147)
- (descr "http://www.everlight.com/file/ProductFile/67-21S-KK2C-H4040QAR32835Z15-2T.pdf")
- (tags "LED")
- (path "/5D12221B")
- (attr smd)
- (fp_text reference "D6" (at 1.5 -2.4) (layer "F.SilkS") hide
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "pink" (at -0.2 -2.6) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.55 1.4) (end -1.95 1.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.95 1.4) (end -1.95 -1.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.95 -1.4) (end 1.55 -1.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.55 -1.4) (end 1.55 1.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.4 0) (end 1.4 0.8) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.4 0.8) (end 1 1.2) (layer "F.Fab") (width 0.1))
- (fp_line (start 1 1.2) (end -1.4 1.2) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.4 1.2) (end -1.8 0.8) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.8 0.8) (end -1.8 -0.8) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.8 -0.8) (end -1.4 -1.2) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.4 -1.2) (end 1 -1.2) (layer "F.Fab") (width 0.1))
- (fp_line (start 1 -1.2) (end 1.4 -0.8) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.4 -0.8) (end 1.4 0) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.5 1.6) (end -2.5 1.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start 1.45 -1.6) (end -2.55 -1.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.3 1.75) (end -2.8 1.75) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -2.8 1.75) (end -2.8 -1.75) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -2.8 -1.75) (end 2.3 -1.75) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 2.3 -1.75) (end 2.3 1.75) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 0.93 -0.4) (end 0.93 -0.9) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.15 -0.65) (end 0.7 -0.65) (layer "F.Fab") (width 0.1))
- (pad "2" smd rect (at 1.33 0 180) (size 1.5 2.1) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 5 "+3V3"))
- (pad "1" smd rect (at -1.33 0 180) (size 2.5 2.1) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 57 "Net-(D6-Pad1)"))
- (model "${KISYS3DMOD}/LED_SMD.3dshapes\\LED_PLCC_2835_Handsoldering.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "LED_SMD:LED_PLCC_2835_Handsoldering" (layer "F.Cu") (tedit 575B2B12) (tstamp 5CC1A68C)
- (at 134.8 147)
- (descr "http://www.everlight.com/file/ProductFile/67-21S-KK2C-H4040QAR32835Z15-2T.pdf")
- (tags "LED")
- (path "/5D12562A")
- (attr smd)
- (fp_text reference "D7" (at -3.6 -0.2) (layer "F.SilkS") hide
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "pink" (at -0.2 -2.6) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 1.15 -0.65) (end 0.7 -0.65) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.93 -0.4) (end 0.93 -0.9) (layer "F.Fab") (width 0.1))
- (fp_line (start 2.3 -1.75) (end 2.3 1.75) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -2.8 -1.75) (end 2.3 -1.75) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -2.8 1.75) (end -2.8 -1.75) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 2.3 1.75) (end -2.8 1.75) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.45 -1.6) (end -2.55 -1.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start 1.5 1.6) (end -2.5 1.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start 1.4 -0.8) (end 1.4 0) (layer "F.Fab") (width 0.1))
- (fp_line (start 1 -1.2) (end 1.4 -0.8) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.4 -1.2) (end 1 -1.2) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.8 -0.8) (end -1.4 -1.2) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.8 0.8) (end -1.8 -0.8) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.4 1.2) (end -1.8 0.8) (layer "F.Fab") (width 0.1))
- (fp_line (start 1 1.2) (end -1.4 1.2) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.4 0.8) (end 1 1.2) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.4 0) (end 1.4 0.8) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.55 -1.4) (end 1.55 1.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.95 -1.4) (end 1.55 -1.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.95 1.4) (end -1.95 -1.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.55 1.4) (end -1.95 1.4) (layer "F.Fab") (width 0.1))
- (pad "1" smd rect (at -1.33 0 180) (size 2.5 2.1) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 58 "Net-(D7-Pad1)"))
- (pad "2" smd rect (at 1.33 0 180) (size 1.5 2.1) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 5 "+3V3"))
- (model "${KISYS3DMOD}/LED_SMD.3dshapes\\LED_PLCC_2835_Handsoldering.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "TerminalBlock_Phoenix:TerminalBlock_Phoenix_MKDS-1,5-3-5.08_1x03_P5.08mm_Horizontal" (layer "F.Cu") (tedit 5B294EBC) (tstamp 5C898E61)
- (at 165 104.84 270)
- (descr "Terminal Block Phoenix MKDS-1,5-3-5.08, 3 pins, pitch 5.08mm, size 15.2x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix")
- (tags "THT Terminal Block Phoenix MKDS-1,5-3-5.08 pitch 5.08mm size 15.2x9.8mm^2 drill 1.3mm pad 2.6mm")
- (path "/5C5DA183")
- (fp_text reference "J1" (at 13.76 3.8) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "OUT_A" (at 5.08 5.66 270) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_arc (start 0 0) (end 0 1.68) (angle -24) (layer "F.SilkS") (width 0.12))
- (fp_arc (start 0 0) (end 1.535 0.684) (angle -48) (layer "F.SilkS") (width 0.12))
- (fp_arc (start 0 0) (end 0.684 -1.535) (angle -48) (layer "F.SilkS") (width 0.12))
- (fp_arc (start 0 0) (end -1.535 -0.684) (angle -48) (layer "F.SilkS") (width 0.12))
- (fp_arc (start 0 0) (end -0.684 1.535) (angle -25) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 0 0) (end 1.5 0) (layer "F.Fab") (width 0.1))
- (fp_circle (center 5.08 0) (end 6.58 0) (layer "F.Fab") (width 0.1))
- (fp_circle (center 5.08 0) (end 6.76 0) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 10.16 0) (end 11.66 0) (layer "F.Fab") (width 0.1))
- (fp_circle (center 10.16 0) (end 11.84 0) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.54 -5.2) (end 12.7 -5.2) (layer "F.Fab") (width 0.1))
- (fp_line (start 12.7 -5.2) (end 12.7 4.6) (layer "F.Fab") (width 0.1))
- (fp_line (start 12.7 4.6) (end -2.04 4.6) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.04 4.6) (end -2.54 4.1) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.54 4.1) (end -2.54 -5.2) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.54 4.1) (end 12.7 4.1) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.6 4.1) (end 12.76 4.1) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.54 2.6) (end 12.7 2.6) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.6 2.6) (end 12.76 2.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.54 -2.3) (end 12.7 -2.3) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.6 -2.301) (end 12.76 -2.301) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.6 -5.261) (end 12.76 -5.261) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.6 4.66) (end 12.76 4.66) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.6 -5.261) (end -2.6 4.66) (layer "F.SilkS") (width 0.12))
- (fp_line (start 12.76 -5.261) (end 12.76 4.66) (layer "F.SilkS") (width 0.12))
- (fp_line (start 1.138 -0.955) (end -0.955 1.138) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.955 -1.138) (end -1.138 0.955) (layer "F.Fab") (width 0.1))
- (fp_line (start 6.218 -0.955) (end 4.126 1.138) (layer "F.Fab") (width 0.1))
- (fp_line (start 6.035 -1.138) (end 3.943 0.955) (layer "F.Fab") (width 0.1))
- (fp_line (start 6.355 -1.069) (end 6.308 -1.023) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.046 1.239) (end 4.011 1.274) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.15 -1.275) (end 6.115 -1.239) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.853 1.023) (end 3.806 1.069) (layer "F.SilkS") (width 0.12))
- (fp_line (start 11.298 -0.955) (end 9.206 1.138) (layer "F.Fab") (width 0.1))
- (fp_line (start 11.115 -1.138) (end 9.023 0.955) (layer "F.Fab") (width 0.1))
- (fp_line (start 11.435 -1.069) (end 11.388 -1.023) (layer "F.SilkS") (width 0.12))
- (fp_line (start 9.126 1.239) (end 9.091 1.274) (layer "F.SilkS") (width 0.12))
- (fp_line (start 11.23 -1.275) (end 11.195 -1.239) (layer "F.SilkS") (width 0.12))
- (fp_line (start 8.933 1.023) (end 8.886 1.069) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.84 4.16) (end -2.84 4.9) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.84 4.9) (end -2.34 4.9) (layer "F.SilkS") (width 0.12))
- (fp_line (start -3.04 -5.71) (end -3.04 5.1) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -3.04 5.1) (end 13.21 5.1) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 13.21 5.1) (end 13.21 -5.71) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 13.21 -5.71) (end -3.04 -5.71) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 5.08 3.2 270) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" thru_hole rect (at 0 0 270) (size 2.6 2.6) (drill 1.3) (layers *.Cu *.Mask)
- (net 16 "/OUT_A"))
- (pad "2" thru_hole circle (at 5.08 0 270) (size 2.6 2.6) (drill 1.3) (layers *.Cu *.Mask)
- (net 16 "/OUT_A"))
- (pad "3" thru_hole circle (at 10.16 0 270) (size 2.6 2.6) (drill 1.3) (layers *.Cu *.Mask)
- (net 16 "/OUT_A"))
- (model "${KISYS3DMOD}/TerminalBlock_Phoenix.3dshapes/TerminalBlock_Phoenix_MKDS-1,5-3-5.08_1x03_P5.08mm_Horizontal.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "TerminalBlock_Phoenix:TerminalBlock_Phoenix_MKDS-1,5-3-5.08_1x03_P5.08mm_Horizontal" (layer "F.Cu") (tedit 5B294EBC) (tstamp 5C898E96)
- (at 165 124.92 270)
- (descr "Terminal Block Phoenix MKDS-1,5-3-5.08, 3 pins, pitch 5.08mm, size 15.2x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix")
- (tags "THT Terminal Block Phoenix MKDS-1,5-3-5.08 pitch 5.08mm size 15.2x9.8mm^2 drill 1.3mm pad 2.6mm")
- (path "/5C5D9205")
- (fp_text reference "J2" (at 13.88 3.8) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "OUT_B" (at 5.08 5.66 270) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 5.08 3.2 270) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 13.21 -5.71) (end -3.04 -5.71) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 13.21 5.1) (end 13.21 -5.71) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -3.04 5.1) (end 13.21 5.1) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -3.04 -5.71) (end -3.04 5.1) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -2.84 4.9) (end -2.34 4.9) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.84 4.16) (end -2.84 4.9) (layer "F.SilkS") (width 0.12))
- (fp_line (start 8.933 1.023) (end 8.886 1.069) (layer "F.SilkS") (width 0.12))
- (fp_line (start 11.23 -1.275) (end 11.195 -1.239) (layer "F.SilkS") (width 0.12))
- (fp_line (start 9.126 1.239) (end 9.091 1.274) (layer "F.SilkS") (width 0.12))
- (fp_line (start 11.435 -1.069) (end 11.388 -1.023) (layer "F.SilkS") (width 0.12))
- (fp_line (start 11.115 -1.138) (end 9.023 0.955) (layer "F.Fab") (width 0.1))
- (fp_line (start 11.298 -0.955) (end 9.206 1.138) (layer "F.Fab") (width 0.1))
- (fp_line (start 3.853 1.023) (end 3.806 1.069) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.15 -1.275) (end 6.115 -1.239) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.046 1.239) (end 4.011 1.274) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.355 -1.069) (end 6.308 -1.023) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.035 -1.138) (end 3.943 0.955) (layer "F.Fab") (width 0.1))
- (fp_line (start 6.218 -0.955) (end 4.126 1.138) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.955 -1.138) (end -1.138 0.955) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.138 -0.955) (end -0.955 1.138) (layer "F.Fab") (width 0.1))
- (fp_line (start 12.76 -5.261) (end 12.76 4.66) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.6 -5.261) (end -2.6 4.66) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.6 4.66) (end 12.76 4.66) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.6 -5.261) (end 12.76 -5.261) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.6 -2.301) (end 12.76 -2.301) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.54 -2.3) (end 12.7 -2.3) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.6 2.6) (end 12.76 2.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.54 2.6) (end 12.7 2.6) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.6 4.1) (end 12.76 4.1) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.54 4.1) (end 12.7 4.1) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.54 4.1) (end -2.54 -5.2) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.04 4.6) (end -2.54 4.1) (layer "F.Fab") (width 0.1))
- (fp_line (start 12.7 4.6) (end -2.04 4.6) (layer "F.Fab") (width 0.1))
- (fp_line (start 12.7 -5.2) (end 12.7 4.6) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.54 -5.2) (end 12.7 -5.2) (layer "F.Fab") (width 0.1))
- (fp_circle (center 10.16 0) (end 11.84 0) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 10.16 0) (end 11.66 0) (layer "F.Fab") (width 0.1))
- (fp_circle (center 5.08 0) (end 6.76 0) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 5.08 0) (end 6.58 0) (layer "F.Fab") (width 0.1))
- (fp_circle (center 0 0) (end 1.5 0) (layer "F.Fab") (width 0.1))
- (fp_arc (start 0 0) (end -0.684 1.535) (angle -25) (layer "F.SilkS") (width 0.12))
- (fp_arc (start 0 0) (end -1.535 -0.684) (angle -48) (layer "F.SilkS") (width 0.12))
- (fp_arc (start 0 0) (end 0.684 -1.535) (angle -48) (layer "F.SilkS") (width 0.12))
- (fp_arc (start 0 0) (end 1.535 0.684) (angle -48) (layer "F.SilkS") (width 0.12))
- (fp_arc (start 0 0) (end 0 1.68) (angle -24) (layer "F.SilkS") (width 0.12))
- (pad "3" thru_hole circle (at 10.16 0 270) (size 2.6 2.6) (drill 1.3) (layers *.Cu *.Mask)
- (net 17 "/OUT_B"))
- (pad "2" thru_hole circle (at 5.08 0 270) (size 2.6 2.6) (drill 1.3) (layers *.Cu *.Mask)
- (net 17 "/OUT_B"))
- (pad "1" thru_hole rect (at 0 0 270) (size 2.6 2.6) (drill 1.3) (layers *.Cu *.Mask)
- (net 17 "/OUT_B"))
- (model "${KISYS3DMOD}/TerminalBlock_Phoenix.3dshapes/TerminalBlock_Phoenix_MKDS-1,5-3-5.08_1x03_P5.08mm_Horizontal.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "TerminalBlock_Phoenix:TerminalBlock_Phoenix_MKDS-1,5-3-5.08_1x03_P5.08mm_Horizontal" (layer "F.Cu") (tedit 5B294EBC) (tstamp 5CA2B8DC)
- (at 75 135.08 90)
- (descr "Terminal Block Phoenix MKDS-1,5-3-5.08, 3 pins, pitch 5.08mm, size 15.2x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix")
- (tags "THT Terminal Block Phoenix MKDS-1,5-3-5.08 pitch 5.08mm size 15.2x9.8mm^2 drill 1.3mm pad 2.6mm")
- (path "/5C557B2A")
- (fp_text reference "J7" (at -3.72 4.1 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "IN_VCC" (at 5.08 5.66 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 5.08 3.2 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 13.21 -5.71) (end -3.04 -5.71) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 13.21 5.1) (end 13.21 -5.71) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -3.04 5.1) (end 13.21 5.1) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -3.04 -5.71) (end -3.04 5.1) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -2.84 4.9) (end -2.34 4.9) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.84 4.16) (end -2.84 4.9) (layer "F.SilkS") (width 0.12))
- (fp_line (start 8.933 1.023) (end 8.886 1.069) (layer "F.SilkS") (width 0.12))
- (fp_line (start 11.23 -1.275) (end 11.195 -1.239) (layer "F.SilkS") (width 0.12))
- (fp_line (start 9.126 1.239) (end 9.091 1.274) (layer "F.SilkS") (width 0.12))
- (fp_line (start 11.435 -1.069) (end 11.388 -1.023) (layer "F.SilkS") (width 0.12))
- (fp_line (start 11.115 -1.138) (end 9.023 0.955) (layer "F.Fab") (width 0.1))
- (fp_line (start 11.298 -0.955) (end 9.206 1.138) (layer "F.Fab") (width 0.1))
- (fp_line (start 3.853 1.023) (end 3.806 1.069) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.15 -1.275) (end 6.115 -1.239) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.046 1.239) (end 4.011 1.274) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.355 -1.069) (end 6.308 -1.023) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.035 -1.138) (end 3.943 0.955) (layer "F.Fab") (width 0.1))
- (fp_line (start 6.218 -0.955) (end 4.126 1.138) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.955 -1.138) (end -1.138 0.955) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.138 -0.955) (end -0.955 1.138) (layer "F.Fab") (width 0.1))
- (fp_line (start 12.76 -5.261) (end 12.76 4.66) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.6 -5.261) (end -2.6 4.66) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.6 4.66) (end 12.76 4.66) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.6 -5.261) (end 12.76 -5.261) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.6 -2.301) (end 12.76 -2.301) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.54 -2.3) (end 12.7 -2.3) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.6 2.6) (end 12.76 2.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.54 2.6) (end 12.7 2.6) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.6 4.1) (end 12.76 4.1) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.54 4.1) (end 12.7 4.1) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.54 4.1) (end -2.54 -5.2) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.04 4.6) (end -2.54 4.1) (layer "F.Fab") (width 0.1))
- (fp_line (start 12.7 4.6) (end -2.04 4.6) (layer "F.Fab") (width 0.1))
- (fp_line (start 12.7 -5.2) (end 12.7 4.6) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.54 -5.2) (end 12.7 -5.2) (layer "F.Fab") (width 0.1))
- (fp_circle (center 10.16 0) (end 11.84 0) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 10.16 0) (end 11.66 0) (layer "F.Fab") (width 0.1))
- (fp_circle (center 5.08 0) (end 6.76 0) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 5.08 0) (end 6.58 0) (layer "F.Fab") (width 0.1))
- (fp_circle (center 0 0) (end 1.5 0) (layer "F.Fab") (width 0.1))
- (fp_arc (start 0 0) (end -0.684 1.535) (angle -25) (layer "F.SilkS") (width 0.12))
- (fp_arc (start 0 0) (end -1.535 -0.684) (angle -48) (layer "F.SilkS") (width 0.12))
- (fp_arc (start 0 0) (end 0.684 -1.535) (angle -48) (layer "F.SilkS") (width 0.12))
- (fp_arc (start 0 0) (end 1.535 0.684) (angle -48) (layer "F.SilkS") (width 0.12))
- (fp_arc (start 0 0) (end 0 1.68) (angle -24) (layer "F.SilkS") (width 0.12))
- (pad "3" thru_hole circle (at 10.16 0 90) (size 2.6 2.6) (drill 1.3) (layers *.Cu *.Mask)
- (net 18 "/VIN"))
- (pad "2" thru_hole circle (at 5.08 0 90) (size 2.6 2.6) (drill 1.3) (layers *.Cu *.Mask)
- (net 18 "/VIN"))
- (pad "1" thru_hole rect (at 0 0 90) (size 2.6 2.6) (drill 1.3) (layers *.Cu *.Mask)
- (net 18 "/VIN"))
- (model "${KISYS3DMOD}/TerminalBlock_Phoenix.3dshapes/TerminalBlock_Phoenix_MKDS-1,5-3-5.08_1x03_P5.08mm_Horizontal.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "TerminalBlock_Phoenix:TerminalBlock_Phoenix_MKDS-1,5-3-5.08_1x03_P5.08mm_Horizontal" (layer "F.Cu") (tedit 5B294EBC) (tstamp 5C8C9EBF)
- (at 75 115.08 90)
- (descr "Terminal Block Phoenix MKDS-1,5-3-5.08, 3 pins, pitch 5.08mm, size 15.2x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix")
- (tags "THT Terminal Block Phoenix MKDS-1,5-3-5.08 pitch 5.08mm size 15.2x9.8mm^2 drill 1.3mm pad 2.6mm")
- (path "/5C558772")
- (fp_text reference "J8" (at -3.72 3.8 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "IN_GND" (at 5.08 5.66 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_arc (start 0 0) (end 0 1.68) (angle -24) (layer "F.SilkS") (width 0.12))
- (fp_arc (start 0 0) (end 1.535 0.684) (angle -48) (layer "F.SilkS") (width 0.12))
- (fp_arc (start 0 0) (end 0.684 -1.535) (angle -48) (layer "F.SilkS") (width 0.12))
- (fp_arc (start 0 0) (end -1.535 -0.684) (angle -48) (layer "F.SilkS") (width 0.12))
- (fp_arc (start 0 0) (end -0.684 1.535) (angle -25) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 0 0) (end 1.5 0) (layer "F.Fab") (width 0.1))
- (fp_circle (center 5.08 0) (end 6.58 0) (layer "F.Fab") (width 0.1))
- (fp_circle (center 5.08 0) (end 6.76 0) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 10.16 0) (end 11.66 0) (layer "F.Fab") (width 0.1))
- (fp_circle (center 10.16 0) (end 11.84 0) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.54 -5.2) (end 12.7 -5.2) (layer "F.Fab") (width 0.1))
- (fp_line (start 12.7 -5.2) (end 12.7 4.6) (layer "F.Fab") (width 0.1))
- (fp_line (start 12.7 4.6) (end -2.04 4.6) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.04 4.6) (end -2.54 4.1) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.54 4.1) (end -2.54 -5.2) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.54 4.1) (end 12.7 4.1) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.6 4.1) (end 12.76 4.1) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.54 2.6) (end 12.7 2.6) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.6 2.6) (end 12.76 2.6) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.54 -2.3) (end 12.7 -2.3) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.6 -2.301) (end 12.76 -2.301) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.6 -5.261) (end 12.76 -5.261) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.6 4.66) (end 12.76 4.66) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.6 -5.261) (end -2.6 4.66) (layer "F.SilkS") (width 0.12))
- (fp_line (start 12.76 -5.261) (end 12.76 4.66) (layer "F.SilkS") (width 0.12))
- (fp_line (start 1.138 -0.955) (end -0.955 1.138) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.955 -1.138) (end -1.138 0.955) (layer "F.Fab") (width 0.1))
- (fp_line (start 6.218 -0.955) (end 4.126 1.138) (layer "F.Fab") (width 0.1))
- (fp_line (start 6.035 -1.138) (end 3.943 0.955) (layer "F.Fab") (width 0.1))
- (fp_line (start 6.355 -1.069) (end 6.308 -1.023) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.046 1.239) (end 4.011 1.274) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.15 -1.275) (end 6.115 -1.239) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.853 1.023) (end 3.806 1.069) (layer "F.SilkS") (width 0.12))
- (fp_line (start 11.298 -0.955) (end 9.206 1.138) (layer "F.Fab") (width 0.1))
- (fp_line (start 11.115 -1.138) (end 9.023 0.955) (layer "F.Fab") (width 0.1))
- (fp_line (start 11.435 -1.069) (end 11.388 -1.023) (layer "F.SilkS") (width 0.12))
- (fp_line (start 9.126 1.239) (end 9.091 1.274) (layer "F.SilkS") (width 0.12))
- (fp_line (start 11.23 -1.275) (end 11.195 -1.239) (layer "F.SilkS") (width 0.12))
- (fp_line (start 8.933 1.023) (end 8.886 1.069) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.84 4.16) (end -2.84 4.9) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.84 4.9) (end -2.34 4.9) (layer "F.SilkS") (width 0.12))
- (fp_line (start -3.04 -5.71) (end -3.04 5.1) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -3.04 5.1) (end 13.21 5.1) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 13.21 5.1) (end 13.21 -5.71) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 13.21 -5.71) (end -3.04 -5.71) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 5.08 3.2 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" thru_hole rect (at 0 0 90) (size 2.6 2.6) (drill 1.3) (layers *.Cu *.Mask)
- (net 2 "GND"))
- (pad "2" thru_hole circle (at 5.08 0 90) (size 2.6 2.6) (drill 1.3) (layers *.Cu *.Mask)
- (net 2 "GND"))
- (pad "3" thru_hole circle (at 10.16 0 90) (size 2.6 2.6) (drill 1.3) (layers *.Cu *.Mask)
- (net 2 "GND"))
- (model "${KISYS3DMOD}/TerminalBlock_Phoenix.3dshapes/TerminalBlock_Phoenix_MKDS-1,5-3-5.08_1x03_P5.08mm_Horizontal.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Inductor_SMD:L_Taiyo-Yuden_NR-50xx_HandSoldering" (layer "F.Cu") (tedit 5990349D) (tstamp 5C899010)
- (at 91 69.9 270)
- (descr "Inductor, Taiyo Yuden, NR series, Taiyo-Yuden_NR-50xx, 4.9mmx4.9mm")
- (tags "inductor taiyo-yuden nr smd")
- (path "/5C3E1491")
- (attr smd)
- (fp_text reference "L1" (at 4.7 0) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "10u" (at 0 3.95 270) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0 270) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -2.45 0) (end -2.45 -1.65) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.45 -1.65) (end -1.65 -2.45) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.65 -2.45) (end 0 -2.45) (layer "F.Fab") (width 0.1))
- (fp_line (start 2.45 0) (end 2.45 -1.65) (layer "F.Fab") (width 0.1))
- (fp_line (start 2.45 -1.65) (end 1.65 -2.45) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.65 -2.45) (end 0 -2.45) (layer "F.Fab") (width 0.1))
- (fp_line (start 2.45 0) (end 2.45 1.65) (layer "F.Fab") (width 0.1))
- (fp_line (start 2.45 1.65) (end 1.65 2.45) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.65 2.45) (end 0 2.45) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.45 0) (end -2.45 1.65) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.45 1.65) (end -1.65 2.45) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.65 2.45) (end 0 2.45) (layer "F.Fab") (width 0.1))
- (fp_line (start -3.45 -2.55) (end 3.45 -2.55) (layer "F.SilkS") (width 0.12))
- (fp_line (start -3.45 2.55) (end 3.45 2.55) (layer "F.SilkS") (width 0.12))
- (fp_line (start -3.75 -2.75) (end -3.75 2.75) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -3.75 2.75) (end 3.75 2.75) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 3.75 2.75) (end 3.75 -2.75) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 3.75 -2.75) (end -3.75 -2.75) (layer "F.CrtYd") (width 0.05))
- (pad "1" smd rect (at -2.25 0 270) (size 2.4 4.2) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 3 "Net-(C3-Pad1)"))
- (pad "2" smd rect (at 2.25 0 270) (size 2.4 4.2) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 8 "Net-(D1-Pad2)"))
- (model "${KISYS3DMOD}/Inductor_SMD.3dshapes/L_Taiyo-Yuden_NR-50xx.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5C8990DA)
- (at 107.7 69.1)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5C40F95F")
- (attr smd)
- (fp_text reference "R2" (at -0.7 1.1 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "100k" (at 0 1.43) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 0) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (pad "1" smd roundrect (at -0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 9 "Net-(R2-Pad1)"))
- (pad "2" smd roundrect (at 0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 1 "+VSW"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5CC9C4F0)
- (at 106.9 72 90)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5C417AAA")
- (attr smd)
- (fp_text reference "R4" (at 0 -1.1 270) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "3k3" (at 0 1.43 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (pad "2" smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 9 "Net-(R2-Pad1)"))
- (pad "1" smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 2 "GND"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5C89910D)
- (at 111.6 69.1)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5C45D762")
- (attr smd)
- (fp_text reference "R5" (at 2.5 1) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "10k" (at 0 1.43) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 0) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (pad "1" smd roundrect (at -0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 2 "GND"))
- (pad "2" smd roundrect (at 0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 4 "/VBOOT_MON"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_1206_3216Metric_Pad1.42x1.75mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5C89912F)
- (at 73.2 82)
- (descr "Resistor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5C47C915")
- (attr smd)
- (fp_text reference "R7" (at -1.1 1.8) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "10R .5W" (at 0 1.82) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.602064 -0.91) (end 0.602064 -0.91) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.602064 0.91) (end 0.602064 0.91) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 0) (layer "F.Fab")
- (effects (font (size 0.8 0.8) (thickness 0.12)))
- )
- (pad "1" smd roundrect (at -1.4875 0) (size 1.425 1.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.175439)
- (net 18 "/VIN"))
- (pad "2" smd roundrect (at 1.4875 0) (size 1.425 1.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.175439)
- (net 6 "Net-(C15-Pad1)"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_1206_3216Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5C529BF7)
- (at 116.8 93.15 180)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5C4AAEC7")
- (attr smd)
- (fp_text reference "R8" (at -2.95 0.15) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "47k" (at 0 1.43 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0 180) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 7 "/VIN_MON"))
- (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 18 "/VIN"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5CC9D743)
- (at 114.15 91.5 180)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5C4AAECD")
- (attr smd)
- (fp_text reference "R9" (at 0.55 -1.5) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "10k" (at 0 1.43 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 0 180) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 2 "GND"))
- (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 7 "/VIN_MON"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5C510A08) (tstamp 5C50338C)
- (at 109.8 80.6 90)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5C6C27C5")
- (attr smd)
- (fp_text reference "R10" (at -0.3 1.6 90) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "10k" (at 0 1.43 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (pad "2" smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 5 "+3V3"))
- (pad "1" smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 61 "/RST"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5C510918) (tstamp 5CC1A652)
- (at 129.8 150.2)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5D18F534")
- (attr smd)
- (fp_text reference "R13" (at 0 -1.43) (layer "F.SilkS") hide
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "150" (at 0 1.43) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 0) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (pad "1" smd roundrect (at -0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 50 "/SLED1"))
- (pad "2" smd roundrect (at 0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 58 "Net-(D7-Pad1)"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5C53F367)
- (at 81.05 152 90)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5D18F810")
- (attr smd)
- (fp_text reference "R14" (at 0 -1.15 90) (layer "F.SilkS") hide
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "150" (at 0 1.43 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (pad "2" smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 56 "Net-(D5-Pad1)"))
- (pad "1" smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 51 "/SLED2"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5CB63AD1)
- (at 81.1 147 90)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5D190038")
- (attr smd)
- (fp_text reference "R15" (at 0.05 -1.1 90) (layer "F.SilkS") hide
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "150" (at 0 1.43 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (pad "2" smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 57 "Net-(D6-Pad1)"))
- (pad "1" smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 52 "/SLED3"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5CC1A6D0)
- (at 129.8 152.2)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5D1904ED")
- (attr smd)
- (fp_text reference "R16" (at -1.2 1.6 180) (layer "F.SilkS") hide
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "150" (at 0 1.43 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0 180) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (pad "2" smd roundrect (at 0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 55 "Net-(D4-Pad1)"))
- (pad "1" smd roundrect (at -0.875 0) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 53 "/SLED4"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5C8991FB)
- (at 130.9 89.7 180)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5C3DB9A3")
- (attr smd)
- (fp_text reference "R19" (at 2 0.8 270) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "0R" (at 0 1.43 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 0 180) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 12 "/DRV1F"))
- (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 20 "/DRV1"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5CB62AF0)
- (at 146 89.7 180)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5C41E378")
- (attr smd)
- (fp_text reference "R20" (at -3 -0.1) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "0R" (at 0 1.43 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0 180) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 21 "/DRV2"))
- (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 13 "/DRV2F"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5C89921D)
- (at 130.9 91.5 180)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5C405205")
- (attr smd)
- (fp_text reference "R21" (at 2.1 -0.4 270) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "0R" (at 0 1.43 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 0 180) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 14 "/DRV3F"))
- (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 22 "/DRV3"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5CB5F365)
- (at 146 91.5 180)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5C41E39D")
- (attr smd)
- (fp_text reference "R22" (at -3 0.2 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "0R" (at 0 1.43 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0 180) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 23 "/DRV4"))
- (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 15 "/DRV4F"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5C89923F)
- (at 139 75.6 90)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5CC2B8EF")
- (attr smd)
- (fp_text reference "R23" (at -0.1 1.35 270) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "10k" (at 0 1.43 270) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 0 270) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (pad "1" smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 37 "/DE"))
- (pad "2" smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 2 "GND"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5C899272)
- (at 126.3 72.7 270)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5CE0D5AA")
- (attr smd)
- (fp_text reference "R26" (at 0.1 1.45 270) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "1k5" (at 0 1.43 270) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 0 270) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (pad "1" smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 5 "+3V3"))
- (pad "2" smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 33 "/SDA"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5C42B1FC)
- (at 128 72.7 270)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5CE10E6A")
- (attr smd)
- (fp_text reference "R27" (at 0 -1.43 270) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "1k5" (at 0 1.43 270) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0 270) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (pad "2" smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 34 "/SCL"))
- (pad "1" smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 5 "+3V3"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5CA2BCCA)
- (at 95.5 121 180)
- (descr "Resistor SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5C3D5DD5")
- (attr smd)
- (fp_text reference "R28" (at 0 -2.62 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "5mR 1W 2512" (at 0 2.62 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 4 1.92) (end -4 1.92) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 4 -1.92) (end 4 1.92) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -4 -1.92) (end 4 -1.92) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -4 1.92) (end -4 -1.92) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -2.052064 1.71) (end 2.052064 1.71) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.052064 -1.71) (end 2.052064 -1.71) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.15 1.6) (end -3.15 1.6) (layer "F.Fab") (width 0.1))
- (fp_line (start 3.15 -1.6) (end 3.15 1.6) (layer "F.Fab") (width 0.1))
- (fp_line (start -3.15 -1.6) (end 3.15 -1.6) (layer "F.Fab") (width 0.1))
- (fp_line (start -3.15 1.6) (end -3.15 -1.6) (layer "F.Fab") (width 0.1))
- (pad "2" smd roundrect (at 2.9875 0 180) (size 1.525 3.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.163934)
- (net 2 "GND"))
- (pad "1" smd roundrect (at -2.9875 0 180) (size 1.525 3.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.163934)
- (net 19 "/GND_MEAS"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_2512_6332Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5CA2BC9A)
- (at 95.5 98 180)
- (descr "Resistor SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5C3D5E81")
- (attr smd)
- (fp_text reference "R29" (at 0 -2.62 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "5mR 1W" (at 0 2.62 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -3.15 1.6) (end -3.15 -1.6) (layer "F.Fab") (width 0.1))
- (fp_line (start -3.15 -1.6) (end 3.15 -1.6) (layer "F.Fab") (width 0.1))
- (fp_line (start 3.15 -1.6) (end 3.15 1.6) (layer "F.Fab") (width 0.1))
- (fp_line (start 3.15 1.6) (end -3.15 1.6) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.052064 -1.71) (end 2.052064 -1.71) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.052064 1.71) (end 2.052064 1.71) (layer "F.SilkS") (width 0.12))
- (fp_line (start -4 1.92) (end -4 -1.92) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -4 -1.92) (end 4 -1.92) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 4 -1.92) (end 4 1.92) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 4 1.92) (end -4 1.92) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 0 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" smd roundrect (at -2.9875 0 180) (size 1.525 3.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.163934)
- (net 19 "/GND_MEAS"))
- (pad "2" smd roundrect (at 2.9875 0 180) (size 1.525 3.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.163934)
- (net 2 "GND"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_2512_6332Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5CA2BCFA)
- (at 95.5 109.5 180)
- (descr "Resistor SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5C3D5FC2")
- (attr smd)
- (fp_text reference "R30" (at 0 -2.62 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "5mR 1W" (at 0 2.62 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -3.15 1.6) (end -3.15 -1.6) (layer "F.Fab") (width 0.1))
- (fp_line (start -3.15 -1.6) (end 3.15 -1.6) (layer "F.Fab") (width 0.1))
- (fp_line (start 3.15 -1.6) (end 3.15 1.6) (layer "F.Fab") (width 0.1))
- (fp_line (start 3.15 1.6) (end -3.15 1.6) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.052064 -1.71) (end 2.052064 -1.71) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.052064 1.71) (end 2.052064 1.71) (layer "F.SilkS") (width 0.12))
- (fp_line (start -4 1.92) (end -4 -1.92) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -4 -1.92) (end 4 -1.92) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 4 -1.92) (end 4 1.92) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 4 1.92) (end -4 1.92) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 0 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" smd roundrect (at -2.9875 0 180) (size 1.525 3.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.163934)
- (net 19 "/GND_MEAS"))
- (pad "2" smd roundrect (at 2.9875 0 180) (size 1.525 3.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.163934)
- (net 2 "GND"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_2512_6332Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5CA2BD2A)
- (at 95.5 103.5 180)
- (descr "Resistor SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5C3D600E")
- (attr smd)
- (fp_text reference "R31" (at 0 -2.62 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "5mR 1W" (at 0 2.62 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 4 1.92) (end -4 1.92) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 4 -1.92) (end 4 1.92) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -4 -1.92) (end 4 -1.92) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -4 1.92) (end -4 -1.92) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -2.052064 1.71) (end 2.052064 1.71) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.052064 -1.71) (end 2.052064 -1.71) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.15 1.6) (end -3.15 1.6) (layer "F.Fab") (width 0.1))
- (fp_line (start 3.15 -1.6) (end 3.15 1.6) (layer "F.Fab") (width 0.1))
- (fp_line (start -3.15 -1.6) (end 3.15 -1.6) (layer "F.Fab") (width 0.1))
- (fp_line (start -3.15 1.6) (end -3.15 -1.6) (layer "F.Fab") (width 0.1))
- (pad "2" smd roundrect (at 2.9875 0 180) (size 1.525 3.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.163934)
- (net 2 "GND"))
- (pad "1" smd roundrect (at -2.9875 0 180) (size 1.525 3.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.163934)
- (net 19 "/GND_MEAS"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_2512_6332Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5CA2BD5A)
- (at 95.5 115.5 180)
- (descr "Resistor SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5C3D603D")
- (attr smd)
- (fp_text reference "R32" (at 0 -2.62 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "5mR 1W" (at 0 2.62 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -3.15 1.6) (end -3.15 -1.6) (layer "F.Fab") (width 0.1))
- (fp_line (start -3.15 -1.6) (end 3.15 -1.6) (layer "F.Fab") (width 0.1))
- (fp_line (start 3.15 -1.6) (end 3.15 1.6) (layer "F.Fab") (width 0.1))
- (fp_line (start 3.15 1.6) (end -3.15 1.6) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.052064 -1.71) (end 2.052064 -1.71) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.052064 1.71) (end 2.052064 1.71) (layer "F.SilkS") (width 0.12))
- (fp_line (start -4 1.92) (end -4 -1.92) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -4 -1.92) (end 4 -1.92) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 4 -1.92) (end 4 1.92) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 4 1.92) (end -4 1.92) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 0 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" smd roundrect (at -2.9875 0 180) (size 1.525 3.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.163934)
- (net 19 "/GND_MEAS"))
- (pad "2" smd roundrect (at 2.9875 0 180) (size 1.525 3.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.163934)
- (net 2 "GND"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_2512_6332Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Package_TO_SOT_SMD:SOT-23-6_Handsoldering" (layer "F.Cu") (tedit 5A02FF57) (tstamp 5C8993A3)
- (at 97.3 69.9 90)
- (descr "6-pin SOT-23 package, Handsoldering")
- (tags "SOT-23-6 Handsoldering")
- (path "/5C3DB03B")
- (attr smd)
- (fp_text reference "U1" (at -3.1 0.1 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "MT3608" (at 0 2.9 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0 180) (layer "F.Fab")
- (effects (font (size 0.5 0.5) (thickness 0.075)))
- )
- (fp_line (start -0.9 1.61) (end 0.9 1.61) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.9 -1.61) (end -2.05 -1.61) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.4 1.8) (end -2.4 -1.8) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 2.4 1.8) (end -2.4 1.8) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 2.4 -1.8) (end 2.4 1.8) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -2.4 -1.8) (end 2.4 -1.8) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -0.9 -0.9) (end -0.25 -1.55) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.9 -1.55) (end -0.25 -1.55) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.9 -0.9) (end -0.9 1.55) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.9 1.55) (end -0.9 1.55) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.9 -1.55) (end 0.9 1.55) (layer "F.Fab") (width 0.1))
- (pad "1" smd rect (at -1.35 -0.95 90) (size 1.56 0.65) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 8 "Net-(D1-Pad2)"))
- (pad "2" smd rect (at -1.35 0 90) (size 1.56 0.65) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (pad "3" smd rect (at -1.35 0.95 90) (size 1.56 0.65) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 9 "Net-(R2-Pad1)"))
- (pad "4" smd rect (at 1.35 0.95 90) (size 1.56 0.65) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 3 "Net-(C3-Pad1)"))
- (pad "6" smd rect (at 1.35 -0.95 90) (size 1.56 0.65) (layers "F.Cu" "F.Paste" "F.Mask"))
- (pad "5" smd rect (at 1.35 0 90) (size 1.56 0.65) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 3 "Net-(C3-Pad1)"))
- (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23-6.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (layer "F.Cu") (tedit 5A02FF57) (tstamp 5C8993CF)
- (at 86.7 79.1)
- (descr "module CMS SOT223 4 pins")
- (tags "CMS SOT")
- (path "/5C45B743")
- (attr smd)
- (fp_text reference "U3" (at 1.75 -4.25) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "AMS1117-3.3" (at 0 4.5) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0 90) (layer "F.Fab")
- (effects (font (size 0.8 0.8) (thickness 0.12)))
- )
- (fp_line (start 1.91 3.41) (end 1.91 2.15) (layer "F.SilkS") (width 0.12))
- (fp_line (start 1.91 -3.41) (end 1.91 -2.15) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.4 -3.6) (end -4.4 -3.6) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 4.4 3.6) (end 4.4 -3.6) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -4.4 3.6) (end 4.4 3.6) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -4.4 -3.6) (end -4.4 3.6) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.85 -2.35) (end -0.85 -3.35) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.85 -2.35) (end -1.85 3.35) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.85 3.41) (end 1.91 3.41) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.85 -3.35) (end 1.85 -3.35) (layer "F.Fab") (width 0.1))
- (fp_line (start -4.1 -3.41) (end 1.91 -3.41) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.85 3.35) (end 1.85 3.35) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.85 -3.35) (end 1.85 3.35) (layer "F.Fab") (width 0.1))
- (pad "2" smd rect (at 3.15 0) (size 2 3.8) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 5 "+3V3"))
- (pad "2" smd rect (at -3.15 0) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 5 "+3V3"))
- (pad "3" smd rect (at -3.15 2.3) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 6 "Net-(C15-Pad1)"))
- (pad "1" smd rect (at -3.15 -2.3) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-223.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Crystal:Crystal_SMD_3225-4Pin_3.2x2.5mm_HandSoldering" (layer "F.Cu") (tedit 5A0FD1B2) (tstamp 5C8994DE)
- (at 113.4 75.95 270)
- (descr "SMD Crystal SERIES SMD3225/4 http://www.txccrystal.com/images/pdf/7m-accuracy.pdf, hand-soldering, 3.2x2.5mm^2 package")
- (tags "SMD SMT crystal hand-soldering")
- (path "/5C62F3E9")
- (attr smd)
- (fp_text reference "Y1" (at -3.6 -0.1) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "8MHz" (at 0 3.05 270) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0 270) (layer "F.Fab")
- (effects (font (size 0.7 0.7) (thickness 0.105)))
- )
- (fp_line (start -1.6 -1.25) (end -1.6 1.25) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.6 1.25) (end 1.6 1.25) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.6 1.25) (end 1.6 -1.25) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.6 -1.25) (end -1.6 -1.25) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.6 0.25) (end -0.6 1.25) (layer "F.Fab") (width 0.1))
- (fp_line (start -2.7 -2.25) (end -2.7 2.25) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.7 2.25) (end 2.7 2.25) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.8 -2.3) (end -2.8 2.3) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -2.8 2.3) (end 2.8 2.3) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 2.8 2.3) (end 2.8 -2.3) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 2.8 -2.3) (end -2.8 -2.3) (layer "F.CrtYd") (width 0.05))
- (pad "1" smd rect (at -1.45 1.15 270) (size 2.1 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 60 "/XT2"))
- (pad "2" smd rect (at 1.45 1.15 270) (size 2.1 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (pad "3" smd rect (at 1.45 -1.15 270) (size 2.1 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 59 "/XT1"))
- (pad "4" smd rect (at -1.45 -1.15 270) (size 2.1 1.8) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (model "${KISYS3DMOD}/Crystal.3dshapes/Crystal_SMD_3225-4Pin_3.2x2.5mm_HandSoldering.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "MountingHole:MountingHole_3.2mm_M3" (layer "F.Cu") (tedit 5C404F1E) (tstamp 5C9FBF14)
- (at 75 60)
- (descr "Mounting Hole 3.2mm, no annular, M3")
- (tags "mounting hole 3.2mm no annular m3")
- (path "/5C68E1B2")
- (attr virtual)
- (fp_text reference "H1" (at 0 -4.2) (layer "F.SilkS") hide
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "MountingHole" (at 0 4.2) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 3.45 0) (layer "F.CrtYd") (width 0.05))
- (fp_circle (center 0 0) (end 3.2 0) (layer "Cmts.User") (width 0.15))
- (fp_text user "%R" (at 0.3 0) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" np_thru_hole circle (at 0 0) (size 8 8) (drill 3.2) (layers *.Cu *.Mask))
- )
-
- (module "MountingHole:MountingHole_3.2mm_M3" (layer "F.Cu") (tedit 5C404F1A) (tstamp 5C9FBF1C)
- (at 165 60)
- (descr "Mounting Hole 3.2mm, no annular, M3")
- (tags "mounting hole 3.2mm no annular m3")
- (path "/5C68F0B2")
- (attr virtual)
- (fp_text reference "H2" (at 0 -4.2) (layer "F.SilkS") hide
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "MountingHole" (at 0 4.2) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0.3 0) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 3.2 0) (layer "Cmts.User") (width 0.15))
- (fp_circle (center 0 0) (end 3.45 0) (layer "F.CrtYd") (width 0.05))
- (pad "1" np_thru_hole circle (at 0 0) (size 8 8) (drill 3.2) (layers *.Cu *.Mask))
- )
-
- (module "MountingHole:MountingHole_3.2mm_M3" (layer "F.Cu") (tedit 5C404F23) (tstamp 5C9FBF24)
- (at 75 150)
- (descr "Mounting Hole 3.2mm, no annular, M3")
- (tags "mounting hole 3.2mm no annular m3")
- (path "/5C6913F3")
- (attr virtual)
- (fp_text reference "H3" (at 0 -4.2) (layer "F.SilkS") hide
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "MountingHole" (at 0 4.2) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 3.45 0) (layer "F.CrtYd") (width 0.05))
- (fp_circle (center 0 0) (end 3.2 0) (layer "Cmts.User") (width 0.15))
- (fp_text user "%R" (at 0.3 0) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" np_thru_hole circle (at 0 0) (size 8 8) (drill 3.2) (layers *.Cu *.Mask))
- )
-
- (module "MountingHole:MountingHole_3.2mm_M3" (layer "F.Cu") (tedit 5C404F28) (tstamp 5C9FBF2C)
- (at 165 150)
- (descr "Mounting Hole 3.2mm, no annular, M3")
- (tags "mounting hole 3.2mm no annular m3")
- (path "/5C6916D1")
- (attr virtual)
- (fp_text reference "H4" (at 0 -4.2) (layer "F.SilkS") hide
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "MountingHole" (at 0 4.2) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0.3 0) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 3.2 0) (layer "Cmts.User") (width 0.15))
- (fp_circle (center 0 0) (end 3.45 0) (layer "F.CrtYd") (width 0.05))
- (pad "1" np_thru_hole circle (at 0 0) (size 8 8) (drill 3.2) (layers *.Cu *.Mask))
- )
-
- (module "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (layer "F.Cu") (tedit 5A02F2D3) (tstamp 5CA2B51B)
- (at 124.6 90.2)
- (descr "8-Lead Plastic Small Outline (SN) - Narrow, 3.90 mm Body [SOIC] (see Microchip Packaging Specification 00000049BS.pdf)")
- (tags "SOIC 1.27")
- (path "/5C406E47")
- (attr smd)
- (fp_text reference "U4" (at 0 3.6) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "TC4427" (at 0 3.5) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -2.075 -2.525) (end -3.475 -2.525) (layer "F.SilkS") (width 0.15))
- (fp_line (start -2.075 2.575) (end 2.075 2.575) (layer "F.SilkS") (width 0.15))
- (fp_line (start -2.075 -2.575) (end 2.075 -2.575) (layer "F.SilkS") (width 0.15))
- (fp_line (start -2.075 2.575) (end -2.075 2.43) (layer "F.SilkS") (width 0.15))
- (fp_line (start 2.075 2.575) (end 2.075 2.43) (layer "F.SilkS") (width 0.15))
- (fp_line (start 2.075 -2.575) (end 2.075 -2.43) (layer "F.SilkS") (width 0.15))
- (fp_line (start -2.075 -2.575) (end -2.075 -2.525) (layer "F.SilkS") (width 0.15))
- (fp_line (start -3.73 2.7) (end 3.73 2.7) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -3.73 -2.7) (end 3.73 -2.7) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 3.73 -2.7) (end 3.73 2.7) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -3.73 -2.7) (end -3.73 2.7) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.95 -1.45) (end -0.95 -2.45) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.95 2.45) (end -1.95 -1.45) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.95 2.45) (end -1.95 2.45) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.95 -2.45) (end 1.95 -2.45) (layer "F.Fab") (width 0.1))
- (fp_text user "%R" (at 0 0) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "8" smd rect (at 2.7 -1.905) (size 1.55 0.6) (layers "F.Cu" "F.Paste" "F.Mask"))
- (pad "7" smd rect (at 2.7 -0.635) (size 1.55 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 20 "/DRV1"))
- (pad "6" smd rect (at 2.7 0.635) (size 1.55 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 1 "+VSW"))
- (pad "5" smd rect (at 2.7 1.905) (size 1.55 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 22 "/DRV3"))
- (pad "4" smd rect (at -2.7 1.905) (size 1.55 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 24 "/CTRL_B"))
- (pad "3" smd rect (at -2.7 0.635) (size 1.55 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (pad "2" smd rect (at -2.7 -0.635) (size 1.55 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 25 "/CTRL_A"))
- (pad "1" smd rect (at -2.7 -1.905) (size 1.55 0.6) (layers "F.Cu" "F.Paste" "F.Mask"))
- (model "${KISYS3DMOD}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (layer "F.Cu") (tedit 5A02F2D3) (tstamp 5CA2B553)
- (at 139.6 90.2)
- (descr "8-Lead Plastic Small Outline (SN) - Narrow, 3.90 mm Body [SOIC] (see Microchip Packaging Specification 00000049BS.pdf)")
- (tags "SOIC 1.27")
- (path "/5C41E3A6")
- (attr smd)
- (fp_text reference "U5" (at 2.4 -3.175) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "TC4427" (at 0 3.5) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.95 -2.45) (end 1.95 -2.45) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.95 2.45) (end -1.95 2.45) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.95 2.45) (end -1.95 -1.45) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.95 -1.45) (end -0.95 -2.45) (layer "F.Fab") (width 0.1))
- (fp_line (start -3.73 -2.7) (end -3.73 2.7) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 3.73 -2.7) (end 3.73 2.7) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -3.73 -2.7) (end 3.73 -2.7) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -3.73 2.7) (end 3.73 2.7) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -2.075 -2.575) (end -2.075 -2.525) (layer "F.SilkS") (width 0.15))
- (fp_line (start 2.075 -2.575) (end 2.075 -2.43) (layer "F.SilkS") (width 0.15))
- (fp_line (start 2.075 2.575) (end 2.075 2.43) (layer "F.SilkS") (width 0.15))
- (fp_line (start -2.075 2.575) (end -2.075 2.43) (layer "F.SilkS") (width 0.15))
- (fp_line (start -2.075 -2.575) (end 2.075 -2.575) (layer "F.SilkS") (width 0.15))
- (fp_line (start -2.075 2.575) (end 2.075 2.575) (layer "F.SilkS") (width 0.15))
- (fp_line (start -2.075 -2.525) (end -3.475 -2.525) (layer "F.SilkS") (width 0.15))
- (pad "1" smd rect (at -2.7 -1.905) (size 1.55 0.6) (layers "F.Cu" "F.Paste" "F.Mask"))
- (pad "2" smd rect (at -2.7 -0.635) (size 1.55 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 24 "/CTRL_B"))
- (pad "3" smd rect (at -2.7 0.635) (size 1.55 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (pad "4" smd rect (at -2.7 1.905) (size 1.55 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 25 "/CTRL_A"))
- (pad "5" smd rect (at 2.7 1.905) (size 1.55 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 23 "/DRV4"))
- (pad "6" smd rect (at 2.7 0.635) (size 1.55 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 1 "+VSW"))
- (pad "7" smd rect (at 2.7 -0.635) (size 1.55 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 21 "/DRV2"))
- (pad "8" smd rect (at 2.7 -1.905) (size 1.55 0.6) (layers "F.Cu" "F.Paste" "F.Mask"))
- (model "${KISYS3DMOD}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitor_THT:CP_Radial_D10.0mm_P5.00mm" (layer "F.Cu") (tedit 5AE50EF1) (tstamp 5CC987F3)
- (at 107.8 63.4 90)
- (descr "CP, Radial series, Radial, pin pitch=5.00mm, , diameter=10mm, Electrolytic Capacitor")
- (tags "CP Radial series Radial pin pitch 5.00mm diameter 10mm Electrolytic Capacitor")
- (path "/5C3FB1E5")
- (fp_text reference "C2" (at 6.4 -4.8 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "470u" (at 2.5 6.25 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 2.5 0 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -2.479646 -3.375) (end -2.479646 -2.375) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.979646 -2.875) (end -1.979646 -2.875) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.581 -0.599) (end 7.581 0.599) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.541 -0.862) (end 7.541 0.862) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.501 -1.062) (end 7.501 1.062) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.461 -1.23) (end 7.461 1.23) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.421 -1.378) (end 7.421 1.378) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.381 -1.51) (end 7.381 1.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.341 -1.63) (end 7.341 1.63) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.301 -1.742) (end 7.301 1.742) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.261 -1.846) (end 7.261 1.846) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.221 -1.944) (end 7.221 1.944) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.181 -2.037) (end 7.181 2.037) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.141 -2.125) (end 7.141 2.125) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.101 -2.209) (end 7.101 2.209) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.061 -2.289) (end 7.061 2.289) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.021 -2.365) (end 7.021 2.365) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.981 -2.439) (end 6.981 2.439) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.941 -2.51) (end 6.941 2.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.901 -2.579) (end 6.901 2.579) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.861 -2.645) (end 6.861 2.645) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.821 -2.709) (end 6.821 2.709) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.781 -2.77) (end 6.781 2.77) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.741 -2.83) (end 6.741 2.83) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.701 -2.889) (end 6.701 2.889) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.661 -2.945) (end 6.661 2.945) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.621 -3) (end 6.621 3) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.581 -3.054) (end 6.581 3.054) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.541 -3.106) (end 6.541 3.106) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.501 -3.156) (end 6.501 3.156) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.461 -3.206) (end 6.461 3.206) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.421 -3.254) (end 6.421 3.254) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.381 -3.301) (end 6.381 3.301) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.341 -3.347) (end 6.341 3.347) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.301 -3.392) (end 6.301 3.392) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.261 -3.436) (end 6.261 3.436) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.221 1.241) (end 6.221 3.478) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.221 -3.478) (end 6.221 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.181 1.241) (end 6.181 3.52) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.181 -3.52) (end 6.181 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.141 1.241) (end 6.141 3.561) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.141 -3.561) (end 6.141 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.101 1.241) (end 6.101 3.601) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.101 -3.601) (end 6.101 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.061 1.241) (end 6.061 3.64) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.061 -3.64) (end 6.061 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.021 1.241) (end 6.021 3.679) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.021 -3.679) (end 6.021 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.981 1.241) (end 5.981 3.716) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.981 -3.716) (end 5.981 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.941 1.241) (end 5.941 3.753) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.941 -3.753) (end 5.941 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.901 1.241) (end 5.901 3.789) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.901 -3.789) (end 5.901 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.861 1.241) (end 5.861 3.824) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.861 -3.824) (end 5.861 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.821 1.241) (end 5.821 3.858) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.821 -3.858) (end 5.821 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.781 1.241) (end 5.781 3.892) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.781 -3.892) (end 5.781 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.741 1.241) (end 5.741 3.925) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.741 -3.925) (end 5.741 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.701 1.241) (end 5.701 3.957) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.701 -3.957) (end 5.701 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.661 1.241) (end 5.661 3.989) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.661 -3.989) (end 5.661 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.621 1.241) (end 5.621 4.02) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.621 -4.02) (end 5.621 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.581 1.241) (end 5.581 4.05) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.581 -4.05) (end 5.581 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.541 1.241) (end 5.541 4.08) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.541 -4.08) (end 5.541 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.501 1.241) (end 5.501 4.11) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.501 -4.11) (end 5.501 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.461 1.241) (end 5.461 4.138) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.461 -4.138) (end 5.461 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.421 1.241) (end 5.421 4.166) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.421 -4.166) (end 5.421 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.381 1.241) (end 5.381 4.194) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.381 -4.194) (end 5.381 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.341 1.241) (end 5.341 4.221) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.341 -4.221) (end 5.341 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.301 1.241) (end 5.301 4.247) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.301 -4.247) (end 5.301 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.261 1.241) (end 5.261 4.273) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.261 -4.273) (end 5.261 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.221 1.241) (end 5.221 4.298) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.221 -4.298) (end 5.221 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.181 1.241) (end 5.181 4.323) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.181 -4.323) (end 5.181 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.141 1.241) (end 5.141 4.347) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.141 -4.347) (end 5.141 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.101 1.241) (end 5.101 4.371) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.101 -4.371) (end 5.101 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.061 1.241) (end 5.061 4.395) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.061 -4.395) (end 5.061 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.021 1.241) (end 5.021 4.417) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.021 -4.417) (end 5.021 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.981 1.241) (end 4.981 4.44) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.981 -4.44) (end 4.981 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.941 1.241) (end 4.941 4.462) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.941 -4.462) (end 4.941 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.901 1.241) (end 4.901 4.483) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.901 -4.483) (end 4.901 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.861 1.241) (end 4.861 4.504) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.861 -4.504) (end 4.861 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.821 1.241) (end 4.821 4.525) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.821 -4.525) (end 4.821 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.781 1.241) (end 4.781 4.545) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.781 -4.545) (end 4.781 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.741 1.241) (end 4.741 4.564) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.741 -4.564) (end 4.741 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.701 1.241) (end 4.701 4.584) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.701 -4.584) (end 4.701 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.661 1.241) (end 4.661 4.603) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.661 -4.603) (end 4.661 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.621 1.241) (end 4.621 4.621) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.621 -4.621) (end 4.621 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.581 1.241) (end 4.581 4.639) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.581 -4.639) (end 4.581 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.541 1.241) (end 4.541 4.657) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.541 -4.657) (end 4.541 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.501 1.241) (end 4.501 4.674) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.501 -4.674) (end 4.501 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.461 1.241) (end 4.461 4.69) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.461 -4.69) (end 4.461 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.421 1.241) (end 4.421 4.707) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.421 -4.707) (end 4.421 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.381 1.241) (end 4.381 4.723) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.381 -4.723) (end 4.381 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.341 1.241) (end 4.341 4.738) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.341 -4.738) (end 4.341 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.301 1.241) (end 4.301 4.754) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.301 -4.754) (end 4.301 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.261 1.241) (end 4.261 4.768) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.261 -4.768) (end 4.261 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.221 1.241) (end 4.221 4.783) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.221 -4.783) (end 4.221 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.181 1.241) (end 4.181 4.797) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.181 -4.797) (end 4.181 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.141 1.241) (end 4.141 4.811) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.141 -4.811) (end 4.141 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.101 1.241) (end 4.101 4.824) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.101 -4.824) (end 4.101 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.061 1.241) (end 4.061 4.837) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.061 -4.837) (end 4.061 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.021 1.241) (end 4.021 4.85) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.021 -4.85) (end 4.021 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.981 1.241) (end 3.981 4.862) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.981 -4.862) (end 3.981 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.941 1.241) (end 3.941 4.874) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.941 -4.874) (end 3.941 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.901 1.241) (end 3.901 4.885) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.901 -4.885) (end 3.901 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.861 1.241) (end 3.861 4.897) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.861 -4.897) (end 3.861 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.821 1.241) (end 3.821 4.907) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.821 -4.907) (end 3.821 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.781 1.241) (end 3.781 4.918) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.781 -4.918) (end 3.781 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.741 -4.928) (end 3.741 4.928) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.701 -4.938) (end 3.701 4.938) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.661 -4.947) (end 3.661 4.947) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.621 -4.956) (end 3.621 4.956) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.581 -4.965) (end 3.581 4.965) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.541 -4.974) (end 3.541 4.974) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.501 -4.982) (end 3.501 4.982) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.461 -4.99) (end 3.461 4.99) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.421 -4.997) (end 3.421 4.997) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.381 -5.004) (end 3.381 5.004) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.341 -5.011) (end 3.341 5.011) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.301 -5.018) (end 3.301 5.018) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.261 -5.024) (end 3.261 5.024) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.221 -5.03) (end 3.221 5.03) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.18 -5.035) (end 3.18 5.035) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.14 -5.04) (end 3.14 5.04) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.1 -5.045) (end 3.1 5.045) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.06 -5.05) (end 3.06 5.05) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.02 -5.054) (end 3.02 5.054) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.98 -5.058) (end 2.98 5.058) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.94 -5.062) (end 2.94 5.062) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.9 -5.065) (end 2.9 5.065) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.86 -5.068) (end 2.86 5.068) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.82 -5.07) (end 2.82 5.07) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.78 -5.073) (end 2.78 5.073) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.74 -5.075) (end 2.74 5.075) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.7 -5.077) (end 2.7 5.077) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.66 -5.078) (end 2.66 5.078) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.62 -5.079) (end 2.62 5.079) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.58 -5.08) (end 2.58 5.08) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.54 -5.08) (end 2.54 5.08) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.5 -5.08) (end 2.5 5.08) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.288861 -2.6875) (end -1.288861 -1.6875) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.788861 -2.1875) (end -0.788861 -2.1875) (layer "F.Fab") (width 0.1))
- (fp_circle (center 2.5 0) (end 7.75 0) (layer "F.CrtYd") (width 0.05))
- (fp_circle (center 2.5 0) (end 7.62 0) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 2.5 0) (end 7.5 0) (layer "F.Fab") (width 0.1))
- (pad "2" thru_hole circle (at 5 0 90) (size 2 2) (drill 1) (layers *.Cu *.Mask)
- (net 2 "GND"))
- (pad "1" thru_hole rect (at 0 0 90) (size 2 2) (drill 1) (layers *.Cu *.Mask)
- (net 1 "+VSW"))
- (model "${KISYS3DMOD}/Capacitor_THT.3dshapes/CP_Radial_D10.0mm_P5.00mm.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitor_THT:CP_Radial_D10.0mm_P5.00mm" (layer "F.Cu") (tedit 5AE50EF1) (tstamp 5CC988BE)
- (at 96.1 63.4 90)
- (descr "CP, Radial series, Radial, pin pitch=5.00mm, , diameter=10mm, Electrolytic Capacitor")
- (tags "CP Radial series Radial pin pitch 5.00mm diameter 10mm Electrolytic Capacitor")
- (path "/5C4E826F")
- (fp_text reference "C3" (at 6.4 -5.2 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "470u" (at 2.5 6.25 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 2.5 0) (end 7.5 0) (layer "F.Fab") (width 0.1))
- (fp_circle (center 2.5 0) (end 7.62 0) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 2.5 0) (end 7.75 0) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.788861 -2.1875) (end -0.788861 -2.1875) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.288861 -2.6875) (end -1.288861 -1.6875) (layer "F.Fab") (width 0.1))
- (fp_line (start 2.5 -5.08) (end 2.5 5.08) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.54 -5.08) (end 2.54 5.08) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.58 -5.08) (end 2.58 5.08) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.62 -5.079) (end 2.62 5.079) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.66 -5.078) (end 2.66 5.078) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.7 -5.077) (end 2.7 5.077) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.74 -5.075) (end 2.74 5.075) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.78 -5.073) (end 2.78 5.073) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.82 -5.07) (end 2.82 5.07) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.86 -5.068) (end 2.86 5.068) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.9 -5.065) (end 2.9 5.065) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.94 -5.062) (end 2.94 5.062) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.98 -5.058) (end 2.98 5.058) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.02 -5.054) (end 3.02 5.054) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.06 -5.05) (end 3.06 5.05) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.1 -5.045) (end 3.1 5.045) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.14 -5.04) (end 3.14 5.04) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.18 -5.035) (end 3.18 5.035) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.221 -5.03) (end 3.221 5.03) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.261 -5.024) (end 3.261 5.024) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.301 -5.018) (end 3.301 5.018) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.341 -5.011) (end 3.341 5.011) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.381 -5.004) (end 3.381 5.004) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.421 -4.997) (end 3.421 4.997) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.461 -4.99) (end 3.461 4.99) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.501 -4.982) (end 3.501 4.982) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.541 -4.974) (end 3.541 4.974) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.581 -4.965) (end 3.581 4.965) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.621 -4.956) (end 3.621 4.956) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.661 -4.947) (end 3.661 4.947) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.701 -4.938) (end 3.701 4.938) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.741 -4.928) (end 3.741 4.928) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.781 -4.918) (end 3.781 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.781 1.241) (end 3.781 4.918) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.821 -4.907) (end 3.821 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.821 1.241) (end 3.821 4.907) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.861 -4.897) (end 3.861 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.861 1.241) (end 3.861 4.897) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.901 -4.885) (end 3.901 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.901 1.241) (end 3.901 4.885) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.941 -4.874) (end 3.941 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.941 1.241) (end 3.941 4.874) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.981 -4.862) (end 3.981 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.981 1.241) (end 3.981 4.862) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.021 -4.85) (end 4.021 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.021 1.241) (end 4.021 4.85) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.061 -4.837) (end 4.061 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.061 1.241) (end 4.061 4.837) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.101 -4.824) (end 4.101 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.101 1.241) (end 4.101 4.824) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.141 -4.811) (end 4.141 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.141 1.241) (end 4.141 4.811) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.181 -4.797) (end 4.181 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.181 1.241) (end 4.181 4.797) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.221 -4.783) (end 4.221 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.221 1.241) (end 4.221 4.783) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.261 -4.768) (end 4.261 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.261 1.241) (end 4.261 4.768) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.301 -4.754) (end 4.301 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.301 1.241) (end 4.301 4.754) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.341 -4.738) (end 4.341 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.341 1.241) (end 4.341 4.738) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.381 -4.723) (end 4.381 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.381 1.241) (end 4.381 4.723) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.421 -4.707) (end 4.421 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.421 1.241) (end 4.421 4.707) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.461 -4.69) (end 4.461 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.461 1.241) (end 4.461 4.69) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.501 -4.674) (end 4.501 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.501 1.241) (end 4.501 4.674) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.541 -4.657) (end 4.541 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.541 1.241) (end 4.541 4.657) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.581 -4.639) (end 4.581 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.581 1.241) (end 4.581 4.639) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.621 -4.621) (end 4.621 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.621 1.241) (end 4.621 4.621) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.661 -4.603) (end 4.661 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.661 1.241) (end 4.661 4.603) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.701 -4.584) (end 4.701 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.701 1.241) (end 4.701 4.584) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.741 -4.564) (end 4.741 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.741 1.241) (end 4.741 4.564) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.781 -4.545) (end 4.781 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.781 1.241) (end 4.781 4.545) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.821 -4.525) (end 4.821 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.821 1.241) (end 4.821 4.525) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.861 -4.504) (end 4.861 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.861 1.241) (end 4.861 4.504) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.901 -4.483) (end 4.901 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.901 1.241) (end 4.901 4.483) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.941 -4.462) (end 4.941 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.941 1.241) (end 4.941 4.462) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.981 -4.44) (end 4.981 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.981 1.241) (end 4.981 4.44) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.021 -4.417) (end 5.021 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.021 1.241) (end 5.021 4.417) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.061 -4.395) (end 5.061 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.061 1.241) (end 5.061 4.395) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.101 -4.371) (end 5.101 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.101 1.241) (end 5.101 4.371) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.141 -4.347) (end 5.141 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.141 1.241) (end 5.141 4.347) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.181 -4.323) (end 5.181 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.181 1.241) (end 5.181 4.323) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.221 -4.298) (end 5.221 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.221 1.241) (end 5.221 4.298) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.261 -4.273) (end 5.261 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.261 1.241) (end 5.261 4.273) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.301 -4.247) (end 5.301 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.301 1.241) (end 5.301 4.247) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.341 -4.221) (end 5.341 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.341 1.241) (end 5.341 4.221) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.381 -4.194) (end 5.381 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.381 1.241) (end 5.381 4.194) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.421 -4.166) (end 5.421 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.421 1.241) (end 5.421 4.166) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.461 -4.138) (end 5.461 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.461 1.241) (end 5.461 4.138) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.501 -4.11) (end 5.501 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.501 1.241) (end 5.501 4.11) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.541 -4.08) (end 5.541 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.541 1.241) (end 5.541 4.08) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.581 -4.05) (end 5.581 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.581 1.241) (end 5.581 4.05) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.621 -4.02) (end 5.621 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.621 1.241) (end 5.621 4.02) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.661 -3.989) (end 5.661 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.661 1.241) (end 5.661 3.989) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.701 -3.957) (end 5.701 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.701 1.241) (end 5.701 3.957) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.741 -3.925) (end 5.741 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.741 1.241) (end 5.741 3.925) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.781 -3.892) (end 5.781 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.781 1.241) (end 5.781 3.892) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.821 -3.858) (end 5.821 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.821 1.241) (end 5.821 3.858) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.861 -3.824) (end 5.861 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.861 1.241) (end 5.861 3.824) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.901 -3.789) (end 5.901 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.901 1.241) (end 5.901 3.789) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.941 -3.753) (end 5.941 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.941 1.241) (end 5.941 3.753) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.981 -3.716) (end 5.981 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.981 1.241) (end 5.981 3.716) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.021 -3.679) (end 6.021 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.021 1.241) (end 6.021 3.679) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.061 -3.64) (end 6.061 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.061 1.241) (end 6.061 3.64) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.101 -3.601) (end 6.101 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.101 1.241) (end 6.101 3.601) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.141 -3.561) (end 6.141 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.141 1.241) (end 6.141 3.561) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.181 -3.52) (end 6.181 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.181 1.241) (end 6.181 3.52) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.221 -3.478) (end 6.221 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.221 1.241) (end 6.221 3.478) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.261 -3.436) (end 6.261 3.436) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.301 -3.392) (end 6.301 3.392) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.341 -3.347) (end 6.341 3.347) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.381 -3.301) (end 6.381 3.301) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.421 -3.254) (end 6.421 3.254) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.461 -3.206) (end 6.461 3.206) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.501 -3.156) (end 6.501 3.156) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.541 -3.106) (end 6.541 3.106) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.581 -3.054) (end 6.581 3.054) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.621 -3) (end 6.621 3) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.661 -2.945) (end 6.661 2.945) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.701 -2.889) (end 6.701 2.889) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.741 -2.83) (end 6.741 2.83) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.781 -2.77) (end 6.781 2.77) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.821 -2.709) (end 6.821 2.709) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.861 -2.645) (end 6.861 2.645) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.901 -2.579) (end 6.901 2.579) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.941 -2.51) (end 6.941 2.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.981 -2.439) (end 6.981 2.439) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.021 -2.365) (end 7.021 2.365) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.061 -2.289) (end 7.061 2.289) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.101 -2.209) (end 7.101 2.209) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.141 -2.125) (end 7.141 2.125) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.181 -2.037) (end 7.181 2.037) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.221 -1.944) (end 7.221 1.944) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.261 -1.846) (end 7.261 1.846) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.301 -1.742) (end 7.301 1.742) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.341 -1.63) (end 7.341 1.63) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.381 -1.51) (end 7.381 1.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.421 -1.378) (end 7.421 1.378) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.461 -1.23) (end 7.461 1.23) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.501 -1.062) (end 7.501 1.062) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.541 -0.862) (end 7.541 0.862) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.581 -0.599) (end 7.581 0.599) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.979646 -2.875) (end -1.979646 -2.875) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.479646 -3.375) (end -2.479646 -2.375) (layer "F.SilkS") (width 0.12))
- (fp_text user "%R" (at 2.5 0 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" thru_hole rect (at 0 0 90) (size 2 2) (drill 1) (layers *.Cu *.Mask)
- (net 3 "Net-(C3-Pad1)"))
- (pad "2" thru_hole circle (at 5 0 90) (size 2 2) (drill 1) (layers *.Cu *.Mask)
- (net 2 "GND"))
- (model "${KISYS3DMOD}/Capacitor_THT.3dshapes/CP_Radial_D10.0mm_P5.00mm.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitor_THT:CP_Radial_D10.0mm_P5.00mm" (layer "F.Cu") (tedit 5AE50EF1) (tstamp 5C42C17D)
- (at 78.8 88.1 180)
- (descr "CP, Radial series, Radial, pin pitch=5.00mm, , diameter=10mm, Electrolytic Capacitor")
- (tags "CP Radial series Radial pin pitch 5.00mm diameter 10mm Electrolytic Capacitor")
- (path "/5C46BEDF")
- (fp_text reference "C15" (at -2.2 -4.55 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "100u" (at 2.5 6.25 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 2.5 0 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -2.479646 -3.375) (end -2.479646 -2.375) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.979646 -2.875) (end -1.979646 -2.875) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.581 -0.599) (end 7.581 0.599) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.541 -0.862) (end 7.541 0.862) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.501 -1.062) (end 7.501 1.062) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.461 -1.23) (end 7.461 1.23) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.421 -1.378) (end 7.421 1.378) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.381 -1.51) (end 7.381 1.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.341 -1.63) (end 7.341 1.63) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.301 -1.742) (end 7.301 1.742) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.261 -1.846) (end 7.261 1.846) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.221 -1.944) (end 7.221 1.944) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.181 -2.037) (end 7.181 2.037) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.141 -2.125) (end 7.141 2.125) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.101 -2.209) (end 7.101 2.209) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.061 -2.289) (end 7.061 2.289) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.021 -2.365) (end 7.021 2.365) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.981 -2.439) (end 6.981 2.439) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.941 -2.51) (end 6.941 2.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.901 -2.579) (end 6.901 2.579) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.861 -2.645) (end 6.861 2.645) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.821 -2.709) (end 6.821 2.709) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.781 -2.77) (end 6.781 2.77) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.741 -2.83) (end 6.741 2.83) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.701 -2.889) (end 6.701 2.889) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.661 -2.945) (end 6.661 2.945) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.621 -3) (end 6.621 3) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.581 -3.054) (end 6.581 3.054) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.541 -3.106) (end 6.541 3.106) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.501 -3.156) (end 6.501 3.156) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.461 -3.206) (end 6.461 3.206) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.421 -3.254) (end 6.421 3.254) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.381 -3.301) (end 6.381 3.301) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.341 -3.347) (end 6.341 3.347) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.301 -3.392) (end 6.301 3.392) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.261 -3.436) (end 6.261 3.436) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.221 1.241) (end 6.221 3.478) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.221 -3.478) (end 6.221 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.181 1.241) (end 6.181 3.52) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.181 -3.52) (end 6.181 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.141 1.241) (end 6.141 3.561) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.141 -3.561) (end 6.141 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.101 1.241) (end 6.101 3.601) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.101 -3.601) (end 6.101 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.061 1.241) (end 6.061 3.64) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.061 -3.64) (end 6.061 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.021 1.241) (end 6.021 3.679) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.021 -3.679) (end 6.021 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.981 1.241) (end 5.981 3.716) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.981 -3.716) (end 5.981 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.941 1.241) (end 5.941 3.753) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.941 -3.753) (end 5.941 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.901 1.241) (end 5.901 3.789) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.901 -3.789) (end 5.901 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.861 1.241) (end 5.861 3.824) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.861 -3.824) (end 5.861 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.821 1.241) (end 5.821 3.858) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.821 -3.858) (end 5.821 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.781 1.241) (end 5.781 3.892) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.781 -3.892) (end 5.781 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.741 1.241) (end 5.741 3.925) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.741 -3.925) (end 5.741 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.701 1.241) (end 5.701 3.957) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.701 -3.957) (end 5.701 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.661 1.241) (end 5.661 3.989) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.661 -3.989) (end 5.661 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.621 1.241) (end 5.621 4.02) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.621 -4.02) (end 5.621 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.581 1.241) (end 5.581 4.05) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.581 -4.05) (end 5.581 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.541 1.241) (end 5.541 4.08) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.541 -4.08) (end 5.541 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.501 1.241) (end 5.501 4.11) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.501 -4.11) (end 5.501 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.461 1.241) (end 5.461 4.138) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.461 -4.138) (end 5.461 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.421 1.241) (end 5.421 4.166) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.421 -4.166) (end 5.421 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.381 1.241) (end 5.381 4.194) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.381 -4.194) (end 5.381 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.341 1.241) (end 5.341 4.221) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.341 -4.221) (end 5.341 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.301 1.241) (end 5.301 4.247) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.301 -4.247) (end 5.301 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.261 1.241) (end 5.261 4.273) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.261 -4.273) (end 5.261 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.221 1.241) (end 5.221 4.298) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.221 -4.298) (end 5.221 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.181 1.241) (end 5.181 4.323) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.181 -4.323) (end 5.181 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.141 1.241) (end 5.141 4.347) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.141 -4.347) (end 5.141 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.101 1.241) (end 5.101 4.371) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.101 -4.371) (end 5.101 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.061 1.241) (end 5.061 4.395) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.061 -4.395) (end 5.061 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.021 1.241) (end 5.021 4.417) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.021 -4.417) (end 5.021 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.981 1.241) (end 4.981 4.44) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.981 -4.44) (end 4.981 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.941 1.241) (end 4.941 4.462) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.941 -4.462) (end 4.941 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.901 1.241) (end 4.901 4.483) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.901 -4.483) (end 4.901 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.861 1.241) (end 4.861 4.504) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.861 -4.504) (end 4.861 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.821 1.241) (end 4.821 4.525) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.821 -4.525) (end 4.821 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.781 1.241) (end 4.781 4.545) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.781 -4.545) (end 4.781 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.741 1.241) (end 4.741 4.564) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.741 -4.564) (end 4.741 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.701 1.241) (end 4.701 4.584) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.701 -4.584) (end 4.701 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.661 1.241) (end 4.661 4.603) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.661 -4.603) (end 4.661 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.621 1.241) (end 4.621 4.621) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.621 -4.621) (end 4.621 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.581 1.241) (end 4.581 4.639) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.581 -4.639) (end 4.581 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.541 1.241) (end 4.541 4.657) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.541 -4.657) (end 4.541 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.501 1.241) (end 4.501 4.674) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.501 -4.674) (end 4.501 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.461 1.241) (end 4.461 4.69) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.461 -4.69) (end 4.461 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.421 1.241) (end 4.421 4.707) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.421 -4.707) (end 4.421 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.381 1.241) (end 4.381 4.723) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.381 -4.723) (end 4.381 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.341 1.241) (end 4.341 4.738) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.341 -4.738) (end 4.341 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.301 1.241) (end 4.301 4.754) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.301 -4.754) (end 4.301 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.261 1.241) (end 4.261 4.768) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.261 -4.768) (end 4.261 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.221 1.241) (end 4.221 4.783) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.221 -4.783) (end 4.221 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.181 1.241) (end 4.181 4.797) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.181 -4.797) (end 4.181 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.141 1.241) (end 4.141 4.811) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.141 -4.811) (end 4.141 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.101 1.241) (end 4.101 4.824) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.101 -4.824) (end 4.101 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.061 1.241) (end 4.061 4.837) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.061 -4.837) (end 4.061 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.021 1.241) (end 4.021 4.85) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.021 -4.85) (end 4.021 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.981 1.241) (end 3.981 4.862) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.981 -4.862) (end 3.981 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.941 1.241) (end 3.941 4.874) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.941 -4.874) (end 3.941 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.901 1.241) (end 3.901 4.885) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.901 -4.885) (end 3.901 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.861 1.241) (end 3.861 4.897) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.861 -4.897) (end 3.861 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.821 1.241) (end 3.821 4.907) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.821 -4.907) (end 3.821 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.781 1.241) (end 3.781 4.918) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.781 -4.918) (end 3.781 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.741 -4.928) (end 3.741 4.928) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.701 -4.938) (end 3.701 4.938) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.661 -4.947) (end 3.661 4.947) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.621 -4.956) (end 3.621 4.956) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.581 -4.965) (end 3.581 4.965) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.541 -4.974) (end 3.541 4.974) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.501 -4.982) (end 3.501 4.982) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.461 -4.99) (end 3.461 4.99) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.421 -4.997) (end 3.421 4.997) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.381 -5.004) (end 3.381 5.004) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.341 -5.011) (end 3.341 5.011) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.301 -5.018) (end 3.301 5.018) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.261 -5.024) (end 3.261 5.024) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.221 -5.03) (end 3.221 5.03) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.18 -5.035) (end 3.18 5.035) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.14 -5.04) (end 3.14 5.04) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.1 -5.045) (end 3.1 5.045) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.06 -5.05) (end 3.06 5.05) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.02 -5.054) (end 3.02 5.054) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.98 -5.058) (end 2.98 5.058) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.94 -5.062) (end 2.94 5.062) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.9 -5.065) (end 2.9 5.065) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.86 -5.068) (end 2.86 5.068) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.82 -5.07) (end 2.82 5.07) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.78 -5.073) (end 2.78 5.073) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.74 -5.075) (end 2.74 5.075) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.7 -5.077) (end 2.7 5.077) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.66 -5.078) (end 2.66 5.078) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.62 -5.079) (end 2.62 5.079) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.58 -5.08) (end 2.58 5.08) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.54 -5.08) (end 2.54 5.08) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.5 -5.08) (end 2.5 5.08) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.288861 -2.6875) (end -1.288861 -1.6875) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.788861 -2.1875) (end -0.788861 -2.1875) (layer "F.Fab") (width 0.1))
- (fp_circle (center 2.5 0) (end 7.75 0) (layer "F.CrtYd") (width 0.05))
- (fp_circle (center 2.5 0) (end 7.62 0) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 2.5 0) (end 7.5 0) (layer "F.Fab") (width 0.1))
- (pad "2" thru_hole circle (at 5 0 180) (size 2 2) (drill 1) (layers *.Cu *.Mask)
- (net 2 "GND"))
- (pad "1" thru_hole rect (at 0 0 180) (size 2 2) (drill 1) (layers *.Cu *.Mask)
- (net 6 "Net-(C15-Pad1)"))
- (model "${KISYS3DMOD}/Capacitor_THT.3dshapes/CP_Radial_D10.0mm_P5.00mm.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Capacitor_THT:CP_Radial_D10.0mm_P5.00mm" (layer "F.Cu") (tedit 5AE50EF1) (tstamp 5CC98A54)
- (at 78.8 75.9 180)
- (descr "CP, Radial series, Radial, pin pitch=5.00mm, , diameter=10mm, Electrolytic Capacitor")
- (tags "CP Radial series Radial pin pitch 5.00mm diameter 10mm Electrolytic Capacitor")
- (path "/5C48FE5D")
- (fp_text reference "C19" (at 2.2 5.9 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "470u" (at 2.5 6.25 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 2.5 0) (end 7.5 0) (layer "F.Fab") (width 0.1))
- (fp_circle (center 2.5 0) (end 7.62 0) (layer "F.SilkS") (width 0.12))
- (fp_circle (center 2.5 0) (end 7.75 0) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.788861 -2.1875) (end -0.788861 -2.1875) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.288861 -2.6875) (end -1.288861 -1.6875) (layer "F.Fab") (width 0.1))
- (fp_line (start 2.5 -5.08) (end 2.5 5.08) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.54 -5.08) (end 2.54 5.08) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.58 -5.08) (end 2.58 5.08) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.62 -5.079) (end 2.62 5.079) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.66 -5.078) (end 2.66 5.078) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.7 -5.077) (end 2.7 5.077) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.74 -5.075) (end 2.74 5.075) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.78 -5.073) (end 2.78 5.073) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.82 -5.07) (end 2.82 5.07) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.86 -5.068) (end 2.86 5.068) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.9 -5.065) (end 2.9 5.065) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.94 -5.062) (end 2.94 5.062) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.98 -5.058) (end 2.98 5.058) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.02 -5.054) (end 3.02 5.054) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.06 -5.05) (end 3.06 5.05) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.1 -5.045) (end 3.1 5.045) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.14 -5.04) (end 3.14 5.04) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.18 -5.035) (end 3.18 5.035) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.221 -5.03) (end 3.221 5.03) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.261 -5.024) (end 3.261 5.024) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.301 -5.018) (end 3.301 5.018) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.341 -5.011) (end 3.341 5.011) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.381 -5.004) (end 3.381 5.004) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.421 -4.997) (end 3.421 4.997) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.461 -4.99) (end 3.461 4.99) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.501 -4.982) (end 3.501 4.982) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.541 -4.974) (end 3.541 4.974) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.581 -4.965) (end 3.581 4.965) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.621 -4.956) (end 3.621 4.956) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.661 -4.947) (end 3.661 4.947) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.701 -4.938) (end 3.701 4.938) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.741 -4.928) (end 3.741 4.928) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.781 -4.918) (end 3.781 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.781 1.241) (end 3.781 4.918) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.821 -4.907) (end 3.821 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.821 1.241) (end 3.821 4.907) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.861 -4.897) (end 3.861 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.861 1.241) (end 3.861 4.897) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.901 -4.885) (end 3.901 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.901 1.241) (end 3.901 4.885) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.941 -4.874) (end 3.941 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.941 1.241) (end 3.941 4.874) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.981 -4.862) (end 3.981 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.981 1.241) (end 3.981 4.862) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.021 -4.85) (end 4.021 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.021 1.241) (end 4.021 4.85) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.061 -4.837) (end 4.061 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.061 1.241) (end 4.061 4.837) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.101 -4.824) (end 4.101 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.101 1.241) (end 4.101 4.824) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.141 -4.811) (end 4.141 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.141 1.241) (end 4.141 4.811) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.181 -4.797) (end 4.181 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.181 1.241) (end 4.181 4.797) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.221 -4.783) (end 4.221 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.221 1.241) (end 4.221 4.783) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.261 -4.768) (end 4.261 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.261 1.241) (end 4.261 4.768) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.301 -4.754) (end 4.301 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.301 1.241) (end 4.301 4.754) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.341 -4.738) (end 4.341 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.341 1.241) (end 4.341 4.738) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.381 -4.723) (end 4.381 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.381 1.241) (end 4.381 4.723) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.421 -4.707) (end 4.421 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.421 1.241) (end 4.421 4.707) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.461 -4.69) (end 4.461 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.461 1.241) (end 4.461 4.69) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.501 -4.674) (end 4.501 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.501 1.241) (end 4.501 4.674) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.541 -4.657) (end 4.541 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.541 1.241) (end 4.541 4.657) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.581 -4.639) (end 4.581 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.581 1.241) (end 4.581 4.639) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.621 -4.621) (end 4.621 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.621 1.241) (end 4.621 4.621) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.661 -4.603) (end 4.661 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.661 1.241) (end 4.661 4.603) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.701 -4.584) (end 4.701 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.701 1.241) (end 4.701 4.584) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.741 -4.564) (end 4.741 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.741 1.241) (end 4.741 4.564) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.781 -4.545) (end 4.781 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.781 1.241) (end 4.781 4.545) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.821 -4.525) (end 4.821 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.821 1.241) (end 4.821 4.525) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.861 -4.504) (end 4.861 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.861 1.241) (end 4.861 4.504) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.901 -4.483) (end 4.901 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.901 1.241) (end 4.901 4.483) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.941 -4.462) (end 4.941 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.941 1.241) (end 4.941 4.462) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.981 -4.44) (end 4.981 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 4.981 1.241) (end 4.981 4.44) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.021 -4.417) (end 5.021 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.021 1.241) (end 5.021 4.417) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.061 -4.395) (end 5.061 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.061 1.241) (end 5.061 4.395) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.101 -4.371) (end 5.101 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.101 1.241) (end 5.101 4.371) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.141 -4.347) (end 5.141 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.141 1.241) (end 5.141 4.347) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.181 -4.323) (end 5.181 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.181 1.241) (end 5.181 4.323) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.221 -4.298) (end 5.221 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.221 1.241) (end 5.221 4.298) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.261 -4.273) (end 5.261 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.261 1.241) (end 5.261 4.273) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.301 -4.247) (end 5.301 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.301 1.241) (end 5.301 4.247) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.341 -4.221) (end 5.341 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.341 1.241) (end 5.341 4.221) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.381 -4.194) (end 5.381 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.381 1.241) (end 5.381 4.194) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.421 -4.166) (end 5.421 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.421 1.241) (end 5.421 4.166) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.461 -4.138) (end 5.461 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.461 1.241) (end 5.461 4.138) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.501 -4.11) (end 5.501 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.501 1.241) (end 5.501 4.11) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.541 -4.08) (end 5.541 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.541 1.241) (end 5.541 4.08) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.581 -4.05) (end 5.581 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.581 1.241) (end 5.581 4.05) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.621 -4.02) (end 5.621 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.621 1.241) (end 5.621 4.02) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.661 -3.989) (end 5.661 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.661 1.241) (end 5.661 3.989) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.701 -3.957) (end 5.701 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.701 1.241) (end 5.701 3.957) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.741 -3.925) (end 5.741 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.741 1.241) (end 5.741 3.925) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.781 -3.892) (end 5.781 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.781 1.241) (end 5.781 3.892) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.821 -3.858) (end 5.821 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.821 1.241) (end 5.821 3.858) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.861 -3.824) (end 5.861 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.861 1.241) (end 5.861 3.824) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.901 -3.789) (end 5.901 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.901 1.241) (end 5.901 3.789) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.941 -3.753) (end 5.941 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.941 1.241) (end 5.941 3.753) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.981 -3.716) (end 5.981 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 5.981 1.241) (end 5.981 3.716) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.021 -3.679) (end 6.021 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.021 1.241) (end 6.021 3.679) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.061 -3.64) (end 6.061 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.061 1.241) (end 6.061 3.64) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.101 -3.601) (end 6.101 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.101 1.241) (end 6.101 3.601) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.141 -3.561) (end 6.141 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.141 1.241) (end 6.141 3.561) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.181 -3.52) (end 6.181 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.181 1.241) (end 6.181 3.52) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.221 -3.478) (end 6.221 -1.241) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.221 1.241) (end 6.221 3.478) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.261 -3.436) (end 6.261 3.436) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.301 -3.392) (end 6.301 3.392) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.341 -3.347) (end 6.341 3.347) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.381 -3.301) (end 6.381 3.301) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.421 -3.254) (end 6.421 3.254) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.461 -3.206) (end 6.461 3.206) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.501 -3.156) (end 6.501 3.156) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.541 -3.106) (end 6.541 3.106) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.581 -3.054) (end 6.581 3.054) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.621 -3) (end 6.621 3) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.661 -2.945) (end 6.661 2.945) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.701 -2.889) (end 6.701 2.889) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.741 -2.83) (end 6.741 2.83) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.781 -2.77) (end 6.781 2.77) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.821 -2.709) (end 6.821 2.709) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.861 -2.645) (end 6.861 2.645) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.901 -2.579) (end 6.901 2.579) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.941 -2.51) (end 6.941 2.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 6.981 -2.439) (end 6.981 2.439) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.021 -2.365) (end 7.021 2.365) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.061 -2.289) (end 7.061 2.289) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.101 -2.209) (end 7.101 2.209) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.141 -2.125) (end 7.141 2.125) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.181 -2.037) (end 7.181 2.037) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.221 -1.944) (end 7.221 1.944) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.261 -1.846) (end 7.261 1.846) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.301 -1.742) (end 7.301 1.742) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.341 -1.63) (end 7.341 1.63) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.381 -1.51) (end 7.381 1.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.421 -1.378) (end 7.421 1.378) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.461 -1.23) (end 7.461 1.23) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.501 -1.062) (end 7.501 1.062) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.541 -0.862) (end 7.541 0.862) (layer "F.SilkS") (width 0.12))
- (fp_line (start 7.581 -0.599) (end 7.581 0.599) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.979646 -2.875) (end -1.979646 -2.875) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.479646 -3.375) (end -2.479646 -2.375) (layer "F.SilkS") (width 0.12))
- (fp_text user "%R" (at 2.5 0 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" thru_hole rect (at 0 0 180) (size 2 2) (drill 1) (layers *.Cu *.Mask)
- (net 5 "+3V3"))
- (pad "2" thru_hole circle (at 5 0 180) (size 2 2) (drill 1) (layers *.Cu *.Mask)
- (net 2 "GND"))
- (model "${KISYS3DMOD}/Capacitor_THT.3dshapes/CP_Radial_D10.0mm_P5.00mm.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Pin_Headers:Pin_Header_Straight_1x04_Pitch2.54mm" (layer "F.Cu") (tedit 59650532) (tstamp 5C42ED45)
- (at 116.55 66.59 180)
- (descr "Through hole straight pin header, 1x04, 2.54mm pitch, single row")
- (tags "Through hole pin header THT 1x04 2.54mm single row")
- (path "/5CA7AF20")
- (fp_text reference "J9" (at -0.05 9.89) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "SWD" (at 0 9.95 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.27 -1.27) (end 1.27 8.89) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.27 8.89) (end -1.27 8.89) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.27 8.89) (end -1.27 -0.635) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.33 8.95) (end 1.33 8.95) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.33 1.27) (end -1.33 8.95) (layer "F.SilkS") (width 0.12))
- (fp_line (start 1.33 1.27) (end 1.33 8.95) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.33 0) (end -1.33 -1.33) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.8 -1.8) (end -1.8 9.4) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.8 9.4) (end 1.8 9.4) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 9.4) (end 1.8 -1.8) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 3.81 -90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
- (net 5 "+3V3"))
- (pad "2" thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
- (net 26 "/SWCLK"))
- (pad "3" thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
- (net 2 "GND"))
- (pad "4" thru_hole oval (at 0 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
- (net 27 "/SWDIO"))
- (model "${KISYS3DMOD}/Pin_Headers.3dshapes/Pin_Header_Straight_1x04_Pitch2.54mm.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_1206_3216Metric_Pad1.42x1.75mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5C47E348)
- (at 84.25 70.65)
- (descr "Resistor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5C503EA9")
- (attr smd)
- (fp_text reference "R1" (at 0.35 1.25) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "10R .5W" (at 0 1.82) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer "F.Fab") (width 0.1))
- (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer "F.Fab") (width 0.1))
- (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.602064 -0.91) (end 0.602064 -0.91) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.602064 0.91) (end 0.602064 0.91) (layer "F.SilkS") (width 0.12))
- (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 0) (layer "F.Fab")
- (effects (font (size 0.8 0.8) (thickness 0.12)))
- )
- (pad "1" smd roundrect (at -1.4875 0) (size 1.425 1.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.175439)
- (net 18 "/VIN"))
- (pad "2" smd roundrect (at 1.4875 0) (size 1.425 1.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.175439)
- (net 3 "Net-(C3-Pad1)"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_1206_3216Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Connectors_Molex:Molex_KK-6410-02_02x2.54mm_Straight" (layer "F.Cu") (tedit 58EE6EE4) (tstamp 5C536982)
- (at 85 62.5 90)
- (descr "Connector Headers with Friction Lock, 22-27-2021, http://www.molex.com/pdm_docs/sd/022272021_sd.pdf")
- (tags "connector molex kk_6410 22-27-2021")
- (path "/5C533914")
- (fp_text reference "J10" (at -0.5 4 270) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "FAN" (at 1.27 4.5 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -1.47 -3.12) (end -1.47 3.08) (layer "F.Fab") (width 0.12))
- (fp_line (start -1.47 3.08) (end 4.01 3.08) (layer "F.Fab") (width 0.12))
- (fp_line (start 4.01 3.08) (end 4.01 -3.12) (layer "F.Fab") (width 0.12))
- (fp_line (start 4.01 -3.12) (end -1.47 -3.12) (layer "F.Fab") (width 0.12))
- (fp_line (start -1.37 -3.02) (end -1.37 2.98) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.37 2.98) (end 3.91 2.98) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.91 2.98) (end 3.91 -3.02) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.91 -3.02) (end -1.37 -3.02) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0 2.98) (end 0 1.98) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0 1.98) (end 2.54 1.98) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.54 1.98) (end 2.54 2.98) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0 1.98) (end 0.25 1.55) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.25 1.55) (end 2.29 1.55) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.29 1.55) (end 2.54 1.98) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.25 2.98) (end 0.25 1.98) (layer "F.SilkS") (width 0.12))
- (fp_line (start 2.29 2.98) (end 2.29 1.98) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.8 -3.02) (end -0.8 -2.4) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.8 -2.4) (end 0.8 -2.4) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.8 -2.4) (end 0.8 -3.02) (layer "F.SilkS") (width 0.12))
- (fp_line (start 1.74 -3.02) (end 1.74 -2.4) (layer "F.SilkS") (width 0.12))
- (fp_line (start 1.74 -2.4) (end 3.34 -2.4) (layer "F.SilkS") (width 0.12))
- (fp_line (start 3.34 -2.4) (end 3.34 -3.02) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.9 3.5) (end -1.9 -3.55) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.9 -3.55) (end 4.45 -3.55) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 4.45 -3.55) (end 4.45 3.5) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 4.45 3.5) (end -1.9 3.5) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 1.27 0 90) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "1" thru_hole rect (at 0 0 90) (size 2 2.6) (drill 1.2) (layers *.Cu *.Mask)
- (net 5 "+3V3"))
- (pad "2" thru_hole oval (at 2.54 0 90) (size 2 2.6) (drill 1.2) (layers *.Cu *.Mask)
- (net 2 "GND"))
- (model "${KISYS3DMOD}/Connectors_Molex.3dshapes/Molex_KK-6410-02_02x2.54mm_Straight.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Package_SO:MSOP-8_3x3mm_P0.65mm" (layer "F.Cu") (tedit 5A02F25C) (tstamp 5C536983)
- (at 126.6 119.99995 180)
- (descr "8-Lead Plastic Micro Small Outline Package (MS) [MSOP] (see Microchip Packaging Specification 00000049BS.pdf)")
- (tags "SSOP 0.65")
- (path "/5D7751AD")
- (attr smd)
- (fp_text reference "U8" (at 0 -2.6 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "MCP9804_MSOP" (at 0 2.6 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.5 -1.5) (end 1.5 -1.5) (layer "F.Fab") (width 0.15))
- (fp_line (start 1.5 -1.5) (end 1.5 1.5) (layer "F.Fab") (width 0.15))
- (fp_line (start 1.5 1.5) (end -1.5 1.5) (layer "F.Fab") (width 0.15))
- (fp_line (start -1.5 1.5) (end -1.5 -0.5) (layer "F.Fab") (width 0.15))
- (fp_line (start -1.5 -0.5) (end -0.5 -1.5) (layer "F.Fab") (width 0.15))
- (fp_line (start -3.2 -1.85) (end -3.2 1.85) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 3.2 -1.85) (end 3.2 1.85) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -3.2 -1.85) (end 3.2 -1.85) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -3.2 1.85) (end 3.2 1.85) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.675 -1.675) (end -1.675 -1.5) (layer "F.SilkS") (width 0.15))
- (fp_line (start 1.675 -1.675) (end 1.675 -1.425) (layer "F.SilkS") (width 0.15))
- (fp_line (start 1.675 1.675) (end 1.675 1.425) (layer "F.SilkS") (width 0.15))
- (fp_line (start -1.675 1.675) (end -1.675 1.425) (layer "F.SilkS") (width 0.15))
- (fp_line (start -1.675 -1.675) (end 1.675 -1.675) (layer "F.SilkS") (width 0.15))
- (fp_line (start -1.675 1.675) (end 1.675 1.675) (layer "F.SilkS") (width 0.15))
- (fp_line (start -1.675 -1.5) (end -2.925 -1.5) (layer "F.SilkS") (width 0.15))
- (fp_text user "%R" (at 0 0 180) (layer "F.Fab")
- (effects (font (size 0.6 0.6) (thickness 0.15)))
- )
- (pad "1" smd rect (at -2.2 -0.975 180) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 33 "/SDA"))
- (pad "2" smd rect (at -2.2 -0.325 180) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 34 "/SCL"))
- (pad "3" smd rect (at -2.2 0.325 180) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 11 "Net-(U8-Pad3)"))
- (pad "4" smd rect (at -2.2 0.975 180) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 19 "/GND_MEAS"))
- (pad "5" smd rect (at 2.2 0.975 180) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 19 "/GND_MEAS"))
- (pad "6" smd rect (at 2.2 0.325 180) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 19 "/GND_MEAS"))
- (pad "7" smd rect (at 2.2 -0.325 180) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 19 "/GND_MEAS"))
- (pad "8" smd rect (at 2.2 -0.975 180) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 5 "+3V3"))
- (model "${KISYS3DMOD}/Package_SO.3dshapes/MSOP-8_3x3mm_P0.65mm.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5C538223)
- (at 166.5 69.15 180)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5DBC4555")
- (attr smd)
- (fp_text reference "R6" (at 0 -1.43 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "150" (at 0 1.43 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0 180) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 47 "/CLED3"))
- (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 35 "Net-(R6-Pad1)"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5C538234)
- (at 166.5 67.65 180)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5DBC4A74")
- (attr smd)
- (fp_text reference "R12" (at 0 1.35 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "150" (at 0 1.43 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 0 180) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 36 "Net-(R12-Pad1)"))
- (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 46 "/CLED4"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Connector_RJ:RJ45_Amphenol_RJHSE538X" locked (layer "F.Cu") (tedit 5AD3662E) (tstamp 5C5399B3)
- (at 144.8 62.7)
- (descr "Shielded, 2 LED, https://www.amphenolcanada.com/ProductSearch/drawings/AC/RJHSE538X.pdf")
- (tags "RJ45 8p8c ethernet cat5")
- (path "/5DC186F5")
- (fp_text reference "J4" (at 12.8 -6.3) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "RJ45_LED_Shielded" (at 3.56 9.5) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -5.5 -1) (end -5 -0.5) (layer "F.SilkS") (width 0.12))
- (fp_line (start -5.5 0) (end -5.5 -1) (layer "F.SilkS") (width 0.12))
- (fp_line (start -5 -0.5) (end -5.5 0) (layer "F.SilkS") (width 0.12))
- (fp_text user "%R" (at 3.56 -6) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start 13.19 -8.5) (end 13.19 8.25) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -6.07 8.25) (end 13.19 8.25) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -6.07 -8.5) (end -6.07 8.25) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -6.07 -8.5) (end 13.19 -8.5) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -4.695 -7) (end -3.695 -8) (layer "F.Fab") (width 0.1))
- (fp_line (start 11.88 7.815) (end 11.88 2.14) (layer "F.SilkS") (width 0.12))
- (fp_line (start -4.76 7.815) (end -4.76 2.14) (layer "F.SilkS") (width 0.12))
- (fp_line (start -4.76 7.815) (end 11.88 7.815) (layer "F.SilkS") (width 0.12))
- (fp_line (start 11.88 -8.065) (end 11.88 -0.36) (layer "F.SilkS") (width 0.12))
- (fp_line (start -4.76 -8.065) (end -4.76 -0.36) (layer "F.SilkS") (width 0.12))
- (fp_line (start -4.76 -8.065) (end 11.88 -8.065) (layer "F.SilkS") (width 0.12))
- (fp_line (start 11.815 -8) (end 11.815 7.75) (layer "F.Fab") (width 0.1))
- (fp_line (start -3.695 -8) (end 11.815 -8) (layer "F.Fab") (width 0.1))
- (fp_line (start -4.695 7.75) (end 11.815 7.75) (layer "F.Fab") (width 0.1))
- (fp_line (start -4.695 -7) (end -4.695 7.75) (layer "F.Fab") (width 0.1))
- (pad "12" thru_hole circle (at 10.42 6.6) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
- (net 40 "/CLED1"))
- (pad "11" thru_hole circle (at 8.13 6.6) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
- (net 2 "GND"))
- (pad "10" thru_hole circle (at -1.01 6.6) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
- (net 41 "/CLED2"))
- (pad "9" thru_hole circle (at -3.3 6.6) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
- (net 2 "GND"))
- (pad "SH" thru_hole circle (at -4.57 0.89) (size 2 2) (drill 1.57) (layers *.Cu *.Mask)
- (net 63 "/GND_RS485"))
- (pad "SH" thru_hole circle (at 11.69 0.89) (size 2 2) (drill 1.57) (layers *.Cu *.Mask)
- (net 63 "/GND_RS485"))
- (pad "" np_thru_hole circle (at 9.91 -2.54) (size 3.25 3.25) (drill 3.25) (layers *.Cu *.Mask))
- (pad "" np_thru_hole circle (at -2.79 -2.54) (size 3.25 3.25) (drill 3.25) (layers *.Cu *.Mask))
- (pad "8" thru_hole circle (at 7.14 1.78) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
- (net 42 "Net-(J4-Pad8)"))
- (pad "6" thru_hole circle (at 5.1 1.78) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
- (net 63 "/GND_RS485"))
- (pad "4" thru_hole circle (at 3.06 1.78) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
- (net 31 "/RS485_B"))
- (pad "2" thru_hole circle (at 1.02 1.78) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
- (net 32 "/BUS_VCC"))
- (pad "7" thru_hole circle (at 6.12 0) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
- (net 43 "Net-(J4-Pad7)"))
- (pad "5" thru_hole circle (at 4.08 0) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
- (net 30 "/RX485_A"))
- (pad "3" thru_hole circle (at 2.04 0) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
- (net 63 "/GND_RS485"))
- (pad "1" thru_hole rect (at 0 0) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
- (net 32 "/BUS_VCC"))
- (model "${KISYS3DMOD}/Connector_RJ.3dshapes/RJ45_Amphenol_RJHSE538X.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Connector_RJ:RJ45_Amphenol_RJHSE538X" (layer "F.Cu") (tedit 5AD3662E) (tstamp 5CBFD362)
- (at 124.95 62.7)
- (descr "Shielded, 2 LED, https://www.amphenolcanada.com/ProductSearch/drawings/AC/RJHSE538X.pdf")
- (tags "RJ45 8p8c ethernet cat5")
- (path "/5C63EC4A")
- (fp_text reference "J5" (at -4.55 8.8) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "RJ45_LED_Shielded" (at 3.56 9.5) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -4.695 -7) (end -4.695 7.75) (layer "F.Fab") (width 0.1))
- (fp_line (start -4.695 7.75) (end 11.815 7.75) (layer "F.Fab") (width 0.1))
- (fp_line (start -3.695 -8) (end 11.815 -8) (layer "F.Fab") (width 0.1))
- (fp_line (start 11.815 -8) (end 11.815 7.75) (layer "F.Fab") (width 0.1))
- (fp_line (start -4.76 -8.065) (end 11.88 -8.065) (layer "F.SilkS") (width 0.12))
- (fp_line (start -4.76 -8.065) (end -4.76 -0.36) (layer "F.SilkS") (width 0.12))
- (fp_line (start 11.88 -8.065) (end 11.88 -0.36) (layer "F.SilkS") (width 0.12))
- (fp_line (start -4.76 7.815) (end 11.88 7.815) (layer "F.SilkS") (width 0.12))
- (fp_line (start -4.76 7.815) (end -4.76 2.14) (layer "F.SilkS") (width 0.12))
- (fp_line (start 11.88 7.815) (end 11.88 2.14) (layer "F.SilkS") (width 0.12))
- (fp_line (start -4.695 -7) (end -3.695 -8) (layer "F.Fab") (width 0.1))
- (fp_line (start -6.07 -8.5) (end 13.19 -8.5) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -6.07 -8.5) (end -6.07 8.25) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -6.07 8.25) (end 13.19 8.25) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 13.19 -8.5) (end 13.19 8.25) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -5 -0.5) (end -5.5 0) (layer "F.SilkS") (width 0.12))
- (fp_line (start -5.5 0) (end -5.5 -1) (layer "F.SilkS") (width 0.12))
- (fp_line (start -5.5 -1) (end -5 -0.5) (layer "F.SilkS") (width 0.12))
- (pad "1" thru_hole rect (at 0 0) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
- (net 32 "/BUS_VCC"))
- (pad "3" thru_hole circle (at 2.04 0) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
- (net 63 "/GND_RS485"))
- (pad "5" thru_hole circle (at 4.08 0) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
- (net 30 "/RX485_A"))
- (pad "7" thru_hole circle (at 6.12 0) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
- (net 44 "Net-(J5-Pad7)"))
- (pad "2" thru_hole circle (at 1.02 1.78) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
- (net 32 "/BUS_VCC"))
- (pad "4" thru_hole circle (at 3.06 1.78) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
- (net 31 "/RS485_B"))
- (pad "6" thru_hole circle (at 5.1 1.78) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
- (net 63 "/GND_RS485"))
- (pad "8" thru_hole circle (at 7.14 1.78) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
- (net 45 "Net-(J5-Pad8)"))
- (pad "" np_thru_hole circle (at -2.79 -2.54) (size 3.25 3.25) (drill 3.25) (layers *.Cu *.Mask))
- (pad "" np_thru_hole circle (at 9.91 -2.54) (size 3.25 3.25) (drill 3.25) (layers *.Cu *.Mask))
- (pad "SH" thru_hole circle (at 11.69 0.89) (size 2 2) (drill 1.57) (layers *.Cu *.Mask)
- (net 63 "/GND_RS485"))
- (pad "SH" thru_hole circle (at -4.57 0.89) (size 2 2) (drill 1.57) (layers *.Cu *.Mask)
- (net 63 "/GND_RS485"))
- (pad "9" thru_hole circle (at -3.3 6.6) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
- (net 2 "GND"))
- (pad "10" thru_hole circle (at -1.01 6.6) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
- (net 46 "/CLED4"))
- (pad "11" thru_hole circle (at 8.13 6.6) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
- (net 2 "GND"))
- (pad "12" thru_hole circle (at 10.42 6.6) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
- (net 47 "/CLED3"))
- (model "${KISYS3DMOD}/Connector_RJ.3dshapes/RJ45_Amphenol_RJHSE538X.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Package_SO:SOIC-16_3.9x9.9mm_P1.27mm" (layer "F.Cu") (tedit 5A02F2D3) (tstamp 5C53C174)
- (at 161.4 88.35 180)
- (descr "16-Lead Plastic Small Outline (SL) - Narrow, 3.90 mm Body [SOIC] (see Microchip Packaging Specification 00000049BS.pdf)")
- (tags "SOIC 1.27")
- (path "/5D86E00F")
- (attr smd)
- (fp_text reference "U2" (at -5 3.35 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "74HC595" (at 0 6 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0 180) (layer "F.Fab")
- (effects (font (size 0.9 0.9) (thickness 0.135)))
- )
- (fp_line (start -0.95 -4.95) (end 1.95 -4.95) (layer "F.Fab") (width 0.15))
- (fp_line (start 1.95 -4.95) (end 1.95 4.95) (layer "F.Fab") (width 0.15))
- (fp_line (start 1.95 4.95) (end -1.95 4.95) (layer "F.Fab") (width 0.15))
- (fp_line (start -1.95 4.95) (end -1.95 -3.95) (layer "F.Fab") (width 0.15))
- (fp_line (start -1.95 -3.95) (end -0.95 -4.95) (layer "F.Fab") (width 0.15))
- (fp_line (start -3.7 -5.25) (end -3.7 5.25) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 3.7 -5.25) (end 3.7 5.25) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -3.7 -5.25) (end 3.7 -5.25) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -3.7 5.25) (end 3.7 5.25) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -2.075 -5.075) (end -2.075 -5.05) (layer "F.SilkS") (width 0.15))
- (fp_line (start 2.075 -5.075) (end 2.075 -4.97) (layer "F.SilkS") (width 0.15))
- (fp_line (start 2.075 5.075) (end 2.075 4.97) (layer "F.SilkS") (width 0.15))
- (fp_line (start -2.075 5.075) (end -2.075 4.97) (layer "F.SilkS") (width 0.15))
- (fp_line (start -2.075 -5.075) (end 2.075 -5.075) (layer "F.SilkS") (width 0.15))
- (fp_line (start -2.075 5.075) (end 2.075 5.075) (layer "F.SilkS") (width 0.15))
- (fp_line (start -2.075 -5.05) (end -3.45 -5.05) (layer "F.SilkS") (width 0.15))
- (pad "1" smd rect (at -2.7 -4.445 180) (size 1.5 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 52 "/SLED3"))
- (pad "2" smd rect (at -2.7 -3.175 180) (size 1.5 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 51 "/SLED2"))
- (pad "3" smd rect (at -2.7 -1.905 180) (size 1.5 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 50 "/SLED1"))
- (pad "4" smd rect (at -2.7 -0.635 180) (size 1.5 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 36 "Net-(R12-Pad1)"))
- (pad "5" smd rect (at -2.7 0.635 180) (size 1.5 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 35 "Net-(R6-Pad1)"))
- (pad "6" smd rect (at -2.7 1.905 180) (size 1.5 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 54 "Net-(R17-Pad1)"))
- (pad "7" smd rect (at -2.7 3.175 180) (size 1.5 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 49 "Net-(R11-Pad1)"))
- (pad "8" smd rect (at -2.7 4.445 180) (size 1.5 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (pad "9" smd rect (at 2.7 4.445 180) (size 1.5 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 48 "Net-(U2-Pad9)"))
- (pad "10" smd rect (at 2.7 3.175 180) (size 1.5 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 5 "+3V3"))
- (pad "11" smd rect (at 2.7 1.905 180) (size 1.5 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 38 "/SCK"))
- (pad "12" smd rect (at 2.7 0.635 180) (size 1.5 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 7 "/VIN_MON"))
- (pad "13" smd rect (at 2.7 -0.635 180) (size 1.5 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 2 "GND"))
- (pad "14" smd rect (at 2.7 -1.905 180) (size 1.5 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 39 "/MOSI"))
- (pad "15" smd rect (at 2.7 -3.175 180) (size 1.5 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 53 "/SLED4"))
- (pad "16" smd rect (at 2.7 -4.445 180) (size 1.5 0.6) (layers "F.Cu" "F.Paste" "F.Mask")
- (net 5 "+3V3"))
- (model "${KISYS3DMOD}/Package_SO.3dshapes/SOIC-16_3.9x9.9mm_P1.27mm.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5C53CFB3)
- (at 162.15 69.15 180)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5E16F5AD")
- (attr smd)
- (fp_text reference "R11" (at 0 -1.43 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "150" (at 0 1.43 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_text user "%R" (at 0 0 180) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 49 "Net-(R11-Pad1)"))
- (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 40 "/CLED1"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" (layer "F.Cu") (tedit 5B301BBD) (tstamp 5C53CFC4)
- (at 162.15 67.65 180)
- (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
- (tags "resistor handsolder")
- (path "/5E16DB9D")
- (attr smd)
- (fp_text reference "R17" (at 0 1.45 180) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "150" (at 0 1.43 180) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0 0 180) (layer "F.Fab")
- (effects (font (size 0.4 0.4) (thickness 0.06)))
- )
- (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05))
- (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer "F.SilkS") (width 0.12))
- (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1))
- (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1))
- (pad "2" smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 41 "/CLED2"))
- (pad "1" smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
- (net 54 "Net-(R17-Pad1)"))
- (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl"
- (at (xyz 0 0 0))
- (scale (xyz 1 1 1))
- (rotate (xyz 0 0 0))
- )
- )
-
- (module "MountingHole:MountingHole_3.2mm_M3" (layer "F.Cu") (tedit 5CC048C6) (tstamp 5C529B10)
- (at 110 97)
- (descr "Mounting Hole 3.2mm, no annular, M3")
- (tags "mounting hole 3.2mm no annular m3")
- (path "/5C580F0A")
- (attr virtual)
- (fp_text reference "H5" (at 0 -4.2) (layer "F.SilkS") hide
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "MountingHole" (at 0 4.2) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 3.45 0) (layer "F.CrtYd") (width 0.05))
- (fp_circle (center 0 0) (end 3.2 0) (layer "Cmts.User") (width 0.15))
- (fp_text user "%R" (at 0.3 0) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "" np_thru_hole circle (at 0 0) (size 3.2 3.2) (drill 3.2) (layers "F.Cu" "F.Mask"))
- )
-
- (module "MountingHole:MountingHole_3.2mm_M3" (layer "F.Cu") (tedit 5CC048D9) (tstamp 5C52895C)
- (at 110 150)
- (descr "Mounting Hole 3.2mm, no annular, M3")
- (tags "mounting hole 3.2mm no annular m3")
- (path "/5C580F10")
- (attr virtual)
- (fp_text reference "H6" (at 0 -4.2) (layer "F.SilkS") hide
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "MountingHole" (at 0 4.2) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0.3 0) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 3.2 0) (layer "Cmts.User") (width 0.15))
- (fp_circle (center 0 0) (end 3.45 0) (layer "F.CrtYd") (width 0.05))
- (pad "" np_thru_hole circle (at 0 0) (size 3.2 3.2) (drill 3.2) (layers "F.Cu" "F.Mask"))
- )
-
- (module "MountingHole:MountingHole_3.2mm_M3" (layer "F.Cu") (tedit 5CC048DD) (tstamp 5CC1B3EB)
- (at 153 150)
- (descr "Mounting Hole 3.2mm, no annular, M3")
- (tags "mounting hole 3.2mm no annular m3")
- (path "/5C580F16")
- (attr virtual)
- (fp_text reference "H7" (at 0 -4.2) (layer "F.SilkS") hide
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "MountingHole" (at 0 4.2) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text user "%R" (at 0.3 0) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 3.2 0) (layer "Cmts.User") (width 0.15))
- (fp_circle (center 0 0) (end 3.45 0) (layer "F.CrtYd") (width 0.05))
- (pad "" np_thru_hole circle (at 0 0) (size 3.2 3.2) (drill 3.2) (layers "F.Cu" "F.Mask"))
- )
-
- (module "MountingHole:MountingHole_3.2mm_M3" (layer "F.Cu") (tedit 5CC048CD) (tstamp 5C52896C)
- (at 153 97)
- (descr "Mounting Hole 3.2mm, no annular, M3")
- (tags "mounting hole 3.2mm no annular m3")
- (path "/5C580F1C")
- (attr virtual)
- (fp_text reference "H8" (at 0 -4.2) (layer "F.SilkS") hide
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_text value "MountingHole" (at 0 4.2) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (fp_circle (center 0 0) (end 3.45 0) (layer "F.CrtYd") (width 0.05))
- (fp_circle (center 0 0) (end 3.2 0) (layer "Cmts.User") (width 0.15))
- (fp_text user "%R" (at 0.3 0) (layer "F.Fab")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (pad "" np_thru_hole circle (at 0 0) (size 3.2 3.2) (drill 3.2) (layers "F.Cu" "F.Mask"))
- )
-
- (gr_text "Fan" (at 85.1 56.6) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (gr_text "+" (at 84.9 65.7) (layer "F.SilkS")
- (effects (font (size 2 2) (thickness 0.45)))
- )
- (gr_circle (center 132.2 77) (end 132.6 77) (layer "F.SilkS") (width 0.15))
- (gr_text "DATE" (at 167 90.45) (layer "B.SilkS")
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (gr_text "SN" (at 167.7 77.9) (layer "B.SilkS")
- (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
- )
- (gr_poly (pts (xy 168.5 78.95) (xy 168.5 89.45) (xy 128.5 89.45) (xy 128.5 78.95)) (layer "B.SilkS") (width 0.1))
- (gr_text "MAC" (at 96.25 148) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.15)))
- )
- (gr_poly (pts (xy 106 149) (xy 94.75 149) (xy 94.75 154.25) (xy 106 154.25)) (layer "F.SilkS") (width 0.1))
- (gr_text "OUT" (at 128.25 60) (layer "B.SilkS")
- (effects (font (size 3 3) (thickness 0.6)) (justify mirror))
- )
- (gr_text "IN" (at 148.5 60) (layer "B.SilkS")
- (effects (font (size 3 3) (thickness 0.6)) (justify mirror))
- )
- (dimension 43 (width 0.3) (layer "Dwgs.User")
- (gr_text "43.000 mm" (at 131.5 167.1) (layer "Dwgs.User")
- (effects (font (size 1.5 1.5) (thickness 0.3)))
- )
- (feature1 (pts (xy 153 97) (xy 153 165.586421)))
- (feature2 (pts (xy 110 97) (xy 110 165.586421)))
- (crossbar (pts (xy 110 165) (xy 153 165)))
- (arrow1a (pts (xy 153 165) (xy 151.873496 165.586421)))
- (arrow1b (pts (xy 153 165) (xy 151.873496 164.413579)))
- (arrow2a (pts (xy 110 165) (xy 111.126504 165.586421)))
- (arrow2b (pts (xy 110 165) (xy 111.126504 164.413579)))
- )
- (dimension 21.5 (width 0.3) (layer "Dwgs.User")
- (gr_text "21.500 mm" (at 120.75 162.1) (layer "Dwgs.User")
- (effects (font (size 1.5 1.5) (thickness 0.3)))
- )
- (feature1 (pts (xy 131.5 150) (xy 131.5 160.586421)))
- (feature2 (pts (xy 110 150) (xy 110 160.586421)))
- (crossbar (pts (xy 110 160) (xy 131.5 160)))
- (arrow1a (pts (xy 131.5 160) (xy 130.373496 160.586421)))
- (arrow1b (pts (xy 131.5 160) (xy 130.373496 159.413579)))
- (arrow2a (pts (xy 110 160) (xy 111.126504 160.586421)))
- (arrow2b (pts (xy 110 160) (xy 111.126504 159.413579)))
- )
- (dimension 53 (width 0.3) (layer "Dwgs.User")
- (gr_text "53.000 mm" (at 177.1 123.5 270) (layer "Dwgs.User")
- (effects (font (size 1.5 1.5) (thickness 0.3)))
- )
- (feature1 (pts (xy 110 150) (xy 175.586421 150)))
- (feature2 (pts (xy 110 97) (xy 175.586421 97)))
- (crossbar (pts (xy 175 97) (xy 175 150)))
- (arrow1a (pts (xy 175 150) (xy 174.413579 148.873496)))
- (arrow1b (pts (xy 175 150) (xy 175.586421 148.873496)))
- (arrow2a (pts (xy 175 97) (xy 174.413579 98.126504)))
- (arrow2b (pts (xy 175 97) (xy 175.586421 98.126504)))
- )
- (gr_text "M3" (at 114.8 95.8) (layer "F.SilkS") (tstamp 5C52D0B4)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
- )
- (gr_text "rev 4" (at 116.238095 149.21) (layer "F.SilkS") (tstamp 5C52A8A3)
- (effects (font (size 1 1) (thickness 0.2)))
- )
- (gr_text "<hw@jaseg.net>" (at 120.5 153) (layer "F.SilkS") (tstamp 5C52A888)
- (effects (font (size 1 1) (thickness 0.2)))
- )
- (gr_text "Vboost" (at 108.3 61.6) (layer "F.SilkS") (tstamp 5C52642A)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
- )
- (gr_text "3V3" (at 78.325 77.9) (layer "F.SilkS") (tstamp 5C52635B)
- (effects (font (size 1 1) (thickness 0.2)))
- )
- (gr_circle (center 162.4 93.5) (end 162.95 93.5) (layer "F.SilkS") (width 0.3) (tstamp 5C525720))
- (gr_circle (center 121 75) (end 121.55 75) (layer "F.SilkS") (width 0.3) (tstamp 5C524B59))
- (gr_text "IO|⏚|CK|+" (at 114.05 63.05 270) (layer "F.SilkS")
- (effects (font (size 1.5 1.5) (thickness 0.3)))
- )
- (gr_text "OUT" (at 164.75 96.6) (layer "F.SilkS") (tstamp 5C42C71F)
- (effects (font (size 3 3) (thickness 0.6)))
- )
- (gr_circle (center 96.7 89.3) (end 97.25 89.3) (layer "F.SilkS") (width 0.3) (tstamp 5C433C25))
- (gr_text "Vin" (at 78.6 90.5) (layer "F.SilkS") (tstamp 5C42D80B)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
- )
- (gr_text "Vboost" (at 108.2 61.7) (layer "F.SilkS")
- (effects (font (size 1.5 1.5) (thickness 0.3)))
- )
- (gr_text "Vin" (at 98.7 62.3) (layer "F.SilkS")
- (effects (font (size 1.5 1.5) (thickness 0.3)))
- )
- (gr_line (start 102.1 69.7) (end 103.5 69.7) (layer "F.SilkS") (width 0.3))
- (gr_line (start 102.8 69.9) (end 102.1 70.7) (layer "F.SilkS") (width 0.3))
- (gr_line (start 103.5 70.7) (end 102.8 69.9) (layer "F.SilkS") (width 0.3))
- (gr_line (start 102.2 70.7) (end 103.5 70.7) (layer "F.SilkS") (width 0.3))
- (gr_text "3V3" (at 86.625 79.125) (layer "F.SilkS") (tstamp 5C42D42D)
- (effects (font (size 1 1) (thickness 0.2)))
- )
- (gr_text "3V3" (at 78.325 77.925) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.2)))
- )
- (gr_text "Load" (at 90.95 152.05) (layer "F.SilkS")
- (effects (font (size 1.5 1.5) (thickness 0.3)))
- )
- (gr_text "Error" (at 91 147.1) (layer "F.SilkS")
- (effects (font (size 1.5 1.5) (thickness 0.3)))
- )
- (gr_text "Network" (at 142.2 152.2) (layer "F.SilkS")
- (effects (font (size 1.5 1.5) (thickness 0.3)))
- )
- (gr_text "Operation" (at 143.2 147.1) (layer "F.SilkS") (tstamp 5CC1B9D8)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
- )
- (gr_text "M3" (at 79.6 56.5) (layer "F.SilkS")
- (effects (font (size 1.5 1.5) (thickness 0.3)))
- )
- (gr_text "©2019 jaseg" (at 119.380952 151.105) (layer "F.SilkS") (tstamp 5C52A8AF)
- (effects (font (size 1 1) (thickness 0.2)))
- )
- (gr_text "8seg driver" (at 120.5 147.5) (layer "F.SilkS") (tstamp 5C42CF19)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
- )
- (gr_circle (center 95.325 72.725) (end 95.875 72.725) (layer "F.SilkS") (width 0.3) (tstamp 5C42CBA8))
- (gr_circle (center 138.45 87.625) (end 139 87.625) (layer "F.SilkS") (width 0.3) (tstamp 5C42CB3D))
- (gr_circle (center 123.575 87.625) (end 124.125 87.625) (layer "F.SilkS") (width 0.3) (tstamp 5C42CB1C))
- (gr_text "⏚|3.3V|SDA|SCL" (at 159.9 72.3) (layer "F.SilkS")
- (effects (font (size 1 1) (thickness 0.2)))
- )
- (gr_text "1602\nLCD" (at 152.4 77.2) (layer "F.SilkS")
- (effects (font (size 1.5 1.5) (thickness 0.3)) (justify right))
- )
- (gr_text "SWD" (at 116.55 70.85 270) (layer "F.SilkS")
- (effects (font (size 1.5 1.5) (thickness 0.3)))
- )
- (gr_text "B" (at 165.2 139.6) (layer "F.SilkS") (tstamp 5C42C72C)
- (effects (font (size 2 2) (thickness 0.45)))
- )
- (gr_text "OUT" (at 164.75 142.95) (layer "F.SilkS") (tstamp 5C42C726)
- (effects (font (size 3 3) (thickness 0.6)))
- )
- (gr_text "A" (at 165.2 99.95) (layer "F.SilkS")
- (effects (font (size 2 2) (thickness 0.45)))
- )
- (gr_text "GND" (at 75.7 99.55) (layer "F.SilkS")
- (effects (font (size 3 3) (thickness 0.6)))
- )
- (gr_text "VCC" (at 76.05 141.45) (layer "F.SilkS")
- (effects (font (size 3 3) (thickness 0.6)))
- )
- (gr_poly (pts (xy 114.5 135) (xy 114.5 146.5) (xy 131.5 146.5) (xy 131.5 135) (xy 130.5 135)) (layer "B.Mask") (width 0.15))
- (gr_poly (pts (xy 143 121) (xy 143 132) (xy 129 132) (xy 129 121)) (layer "B.Mask") (width 0.15))
- (gr_poly (pts (xy 131.5 106) (xy 131.5 95) (xy 116.5 95) (xy 116.5 106)) (layer "B.Mask") (width 0.15))
- (gr_poly (pts (xy 129.3 108) (xy 143 108) (xy 143 119) (xy 129.3 119)) (layer "B.Mask") (width 0.15))
- (dimension 5 (width 0.3) (layer "Dwgs.User") (tstamp 5C51A1E7)
- (gr_text "5.000 mm" (at 72.5 42.9) (layer "Dwgs.User") (tstamp 5C51A1E7)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
- )
- (feature1 (pts (xy 70 60) (xy 70 44.413579)))
- (feature2 (pts (xy 75 60) (xy 75 44.413579)))
- (crossbar (pts (xy 75 45) (xy 70 45)))
- (arrow1a (pts (xy 70 45) (xy 71.126504 44.413579)))
- (arrow1b (pts (xy 70 45) (xy 71.126504 45.586421)))
- (arrow2a (pts (xy 75 45) (xy 73.873496 44.413579)))
- (arrow2b (pts (xy 75 45) (xy 73.873496 45.586421)))
- )
- (dimension 5 (width 0.3) (layer "Dwgs.User")
- (gr_text "5.000 mm" (at 62.9 62 90) (layer "Dwgs.User")
- (effects (font (size 1.5 1.5) (thickness 0.3)))
- )
- (feature1 (pts (xy 75 55) (xy 64.413579 55)))
- (feature2 (pts (xy 75 60) (xy 64.413579 60)))
- (crossbar (pts (xy 65 60) (xy 65 55)))
- (arrow1a (pts (xy 65 55) (xy 65.586421 56.126504)))
- (arrow1b (pts (xy 65 55) (xy 64.413579 56.126504)))
- (arrow2a (pts (xy 65 60) (xy 65.586421 58.873496)))
- (arrow2b (pts (xy 65 60) (xy 64.413579 58.873496)))
- )
- (gr_line (start 170 55) (end 70 55) (layer "Edge.Cuts") (width 0.15))
- (gr_line (start 170 155) (end 170 55) (layer "Edge.Cuts") (width 0.15))
- (gr_line (start 70 155) (end 170 155) (layer "Edge.Cuts") (width 0.15))
- (gr_line (start 70 55) (end 70 155) (layer "Edge.Cuts") (width 0.15))
- (dimension 100 (width 0.3) (layer "Dwgs.User")
- (gr_text "100.000 mm" (at 120 47.9) (layer "Dwgs.User")
- (effects (font (size 1.5 1.5) (thickness 0.3)))
- )
- (feature1 (pts (xy 170 55) (xy 170 49.413579)))
- (feature2 (pts (xy 70 55) (xy 70 49.413579)))
- (crossbar (pts (xy 70 50) (xy 170 50)))
- (arrow1a (pts (xy 170 50) (xy 168.873496 50.586421)))
- (arrow1b (pts (xy 170 50) (xy 168.873496 49.413579)))
- (arrow2a (pts (xy 70 50) (xy 71.126504 50.586421)))
- (arrow2b (pts (xy 70 50) (xy 71.126504 49.413579)))
- )
- (dimension 100 (width 0.3) (layer "Dwgs.User") (tstamp 5C8CB9BE)
- (gr_text "100.000 mm" (at 52.9 105 90) (layer "Dwgs.User") (tstamp 5C8CB9BE)
- (effects (font (size 1.5 1.5) (thickness 0.3)))
- )
- (feature1 (pts (xy 70 55) (xy 54.413579 55)))
- (feature2 (pts (xy 70 155) (xy 54.413579 155)))
- (crossbar (pts (xy 55 155) (xy 55 55)))
- (arrow1a (pts (xy 55 55) (xy 55.586421 56.126504)))
- (arrow1b (pts (xy 55 55) (xy 54.413579 56.126504)))
- (arrow2a (pts (xy 55 155) (xy 55.586421 153.873496)))
- (arrow2b (pts (xy 55 155) (xy 54.413579 153.873496)))
- )
- (dimension 35 (width 0.3) (layer "Dwgs.User")
- (gr_text "35.000 mm" (at 62.9 130 90) (layer "Dwgs.User")
- (effects (font (size 1.5 1.5) (thickness 0.3)))
- )
- (feature1 (pts (xy 70 120) (xy 64.413579 120)))
- (feature2 (pts (xy 70 155) (xy 64.413579 155)))
- (crossbar (pts (xy 65 155) (xy 65 120)))
- (arrow1a (pts (xy 65 120) (xy 65.586421 121.126504)))
- (arrow1b (pts (xy 65 120) (xy 64.413579 121.126504)))
- (arrow2a (pts (xy 65 155) (xy 65.586421 153.873496)))
- (arrow2b (pts (xy 65 155) (xy 64.413579 153.873496)))
- )
- (dimension 36 (width 0.3) (layer "Dwgs.User")
- (gr_text "36.000 mm" (at 57.9 120 90) (layer "Dwgs.User")
- (effects (font (size 1.5 1.5) (thickness 0.3)))
- )
- (feature1 (pts (xy 69 102) (xy 59.413579 102)))
- (feature2 (pts (xy 69 138) (xy 59.413579 138)))
- (crossbar (pts (xy 60 138) (xy 60 102)))
- (arrow1a (pts (xy 60 102) (xy 60.586421 103.126504)))
- (arrow1b (pts (xy 60 102) (xy 59.413579 103.126504)))
- (arrow2a (pts (xy 60 138) (xy 60.586421 136.873496)))
- (arrow2b (pts (xy 60 138) (xy 59.413579 136.873496)))
- )
-
- (segment (start 108.575 69.1) (end 108.575 68.925) (width 0.5) (layer "F.Cu") (net 1))
- (segment (start 140.45 93.375) (end 140.45 94.25) (width 0.5) (layer "F.Cu") (net 1))
- (segment (start 140.45 91.41) (end 140.45 91.5) (width 0.5) (layer "F.Cu") (net 1))
- (segment (start 141.025 90.835) (end 140.45 91.41) (width 0.5) (layer "F.Cu") (net 1))
- (segment (start 142.3 90.835) (end 141.025 90.835) (width 0.5) (layer "F.Cu") (net 1))
- (segment (start 140.45 93.375) (end 140.45 91.5) (width 0.5) (layer "F.Cu") (net 1))
- (segment (start 126.025 90.835) (end 127.3 90.835) (width 0.5) (layer "F.Cu") (net 1))
- (segment (start 125.55 90.36) (end 126.025 90.835) (width 0.5) (layer "F.Cu") (net 1))
- (segment (start 125.55 85.9) (end 125.55 90.36) (width 0.5) (layer "F.Cu") (net 1))
- (via (at 142.5 93.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1))
- (segment (start 140.45 94.25) (end 142 94.25) (width 0.5) (layer "F.Cu") (net 1))
- (segment (start 142 94.25) (end 142.5 93.75) (width 0.5) (layer "F.Cu") (net 1))
- (segment (start 125.5 92.459325) (end 125.5 93.02501) (width 0.5) (layer "F.Cu") (net 1))
- (via (at 125.5 93.02501) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1))
- (segment (start 125.5 91.36) (end 125.5 92.459325) (width 0.5) (layer "F.Cu") (net 1))
- (segment (start 126.025 90.835) (end 125.5 91.36) (width 0.5) (layer "F.Cu") (net 1))
- (segment (start 108.575 68.925) (end 106.75 67.1) (width 0.5) (layer "F.Cu") (net 1))
- (segment (start 107.8 66.05) (end 106.75 67.1) (width 0.8) (layer "F.Cu") (net 1))
- (segment (start 107.8 63.4) (end 107.8 66.05) (width 0.8) (layer "F.Cu") (net 1))
- (segment (start 103.7 67.5) (end 102.8 67.5) (width 1.2) (layer "F.Cu") (net 1))
- (segment (start 107.8 63.4) (end 103.7 67.5) (width 1.2) (layer "F.Cu") (net 1))
- (segment (start 125.5 93.65) (end 125.4 93.75) (width 0.5) (layer "B.Cu") (net 1))
- (segment (start 125.5 93.02501) (end 125.5 93.65) (width 0.5) (layer "B.Cu") (net 1))
- (segment (start 142.5 93.75) (end 125.4 93.75) (width 1.2) (layer "B.Cu") (net 1))
- (segment (start 108.725 69.1) (end 110.725 67.1) (width 0.5) (layer "F.Cu") (net 1))
- (segment (start 108.575 69.1) (end 108.725 69.1) (width 0.5) (layer "F.Cu") (net 1))
- (segment (start 125.024967 94.125033) (end 125.4 93.75) (width 1.2) (layer "B.Cu") (net 1))
- (segment (start 103.8 89.069892) (end 108.855141 94.125033) (width 1.2) (layer "B.Cu") (net 1))
- (segment (start 108.855141 94.125033) (end 125.024967 94.125033) (width 1.2) (layer "B.Cu") (net 1))
- (segment (start 107.8 63.4) (end 107.8 65.6) (width 1.2) (layer "B.Cu") (net 1))
- (segment (start 103.8 69.6) (end 103.8 89.069892) (width 1.2) (layer "B.Cu") (net 1))
- (segment (start 107.8 65.6) (end 103.8 69.6) (width 1.2) (layer "B.Cu") (net 1))
- (via (at 89.5 61) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 102 60.75) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 94.5 69.5) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 100 65.75) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 77.75 82) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 89 98) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 89 103) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 89 100.5) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 89 105.5) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 89 108) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 89 110.5) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 89 113) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 89 115.5) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 89 118) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 89 120.5) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 91.5 145.5) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 86.5 74.75) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 86.75 82.75) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
- (segment (start 97.3 70.284998) (end 96.815002 69.8) (width 0.5) (layer "F.Cu") (net 2))
- (segment (start 97.3 71.25) (end 97.3 70.284998) (width 0.5) (layer "F.Cu") (net 2))
- (via (at 139.5 90) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
- (segment (start 144.2 87.9) (end 143.9 87.6) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 145.05 87.9) (end 144.2 87.9) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 138.55 90.95) (end 139.5 90) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 138.55 94.25) (end 138.55 90.95) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 138.665 90.835) (end 139.5 90) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 136.9 90.835) (end 138.665 90.835) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 145.97501 88.60001) (end 145.275 87.9) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 145.275 87.9) (end 145.05 87.9) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 145.97501 92.59999) (end 145.97501 88.60001) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 145.275 93.3) (end 145.97501 92.59999) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 145.05 93.3) (end 145.275 93.3) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 94.8 69.8) (end 94.5 69.5) (width 0.5) (layer "F.Cu") (net 2))
- (segment (start 96.815002 69.8) (end 94.8 69.8) (width 0.5) (layer "F.Cu") (net 2))
- (segment (start 130.175 87.9) (end 129.95 87.9) (width 0.25) (layer "F.Cu") (net 2))
- (via (at 134.1 87.6) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
- (segment (start 130.989999 87.199999) (end 132.710001 87.199999) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 132.710001 87.199999) (end 132.742074 87.232072) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 130.957926 87.232072) (end 130.989999 87.199999) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 132.742074 87.232072) (end 133.688143 87.232072) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 130.175 87.9) (end 130.842928 87.232072) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 130.842928 87.232072) (end 130.957926 87.232072) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 140.6 88.9) (end 139.5 90) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 140.6 88.334998) (end 140.6 88.9) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 144.2 87.9) (end 143.969999 87.669999) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 141.264999 87.669999) (end 140.6 88.334998) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 143.969999 87.669999) (end 141.264999 87.669999) (width 0.25) (layer "F.Cu") (net 2))
- (via (at 99.5 69.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 99.5 68.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 100.6 68.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 100.6 69.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 80.4 79.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 79.6 80.8) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 86 77.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 81.4 76) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 83.6 74.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 95.3 81.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 91 89.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 128.6 87.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 143.8 88.7) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (segment (start 121.350002 94.250002) (end 119.5 92.4) (width 0.5) (layer "F.Cu") (net 2))
- (segment (start 127.949998 94.250002) (end 121.350002 94.250002) (width 0.5) (layer "F.Cu") (net 2))
- (segment (start 130 93.3) (end 128.9 93.3) (width 0.5) (layer "F.Cu") (net 2))
- (segment (start 128.9 93.3) (end 127.949998 94.250002) (width 0.5) (layer "F.Cu") (net 2))
- (segment (start 120.135 90.835) (end 120.1 90.8) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 121.9 90.835) (end 120.135 90.835) (width 0.25) (layer "F.Cu") (net 2))
- (via (at 120.1 90.8) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 118.3 74.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 117.1 74.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 117.1 73.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 165.5 83.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 164.4 82.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 115 85) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5C524974))
- (via (at 101.3 76.9) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5C524994))
- (via (at 101.3 79.2) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5C524997))
- (via (at 105.7 77.8) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5C524AB4))
- (via (at 105.7 80.1) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5C524AB6))
- (via (at 123.7 87) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5C524B55))
- (via (at 109.7 65.5) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5C524C2C))
- (via (at 108.7 71.1) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5C524C2E))
- (via (at 97.1 76.1) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5C524C35))
- (via (at 97.1 78.2) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5C524C37))
- (via (at 97.1 80.3) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5C524C3A))
- (via (at 107.5 74.9) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5C524C3E))
- (via (at 110.4 77.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5C524C42))
- (via (at 111.6 79.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5C524C44))
- (via (at 121.3 76.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5C524C96))
- (via (at 122.1 76.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5C524C98))
- (via (at 121.3 77) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5C524C9A))
- (via (at 122.1 77) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5C524C9C))
- (via (at 123.6 79.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 121.9 83.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5C524E6F))
- (via (at 122.7 83.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 122.6 86.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 157.1 89.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5C524F93))
- (via (at 165.5 82.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 120.1 89.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5C5261D8))
- (via (at 124.6 88.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 150.7 90.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 140.3 149.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5C52901C))
- (via (at 122.71266 79.11266) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (segment (start 71.7 119.3) (end 71.7 143.8) (width 1.2) (layer "B.Cu") (net 2))
- (segment (start 75 115.08) (end 75 116) (width 1.2) (layer "B.Cu") (net 2))
- (segment (start 75 116) (end 71.7 119.3) (width 1.2) (layer "B.Cu") (net 2))
- (via (at 131 70.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5CC0CC09))
- (via (at 157.75 66.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5CC0D426))
- (via (at 160.75 65.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5CC0D428))
- (via (at 129.486456 79.149988) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 159.8 79.8) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5CC1A12D))
- (via (at 161.2 91.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5CC1A12F))
- (via (at 81.506378 66.756378) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
- (segment (start 89 93) (end 89 98) (width 1.8) (layer "F.Cu") (net 2))
- (segment (start 88.5 92.5) (end 89 93) (width 1.8) (layer "F.Cu") (net 2))
- (segment (start 73.8 88.1) (end 78.2 92.5) (width 1.8) (layer "F.Cu") (net 2))
- (segment (start 78.2 92.5) (end 88.5 92.5) (width 1.8) (layer "F.Cu") (net 2))
- (segment (start 95.04999 95.79999) (end 95.04999 109.5) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 94.75 95.5) (end 95.04999 95.79999) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 95.04999 109.5) (end 92.5125 109.5) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 92.625 94.5) (end 93.625 95.5) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 93.625 95.5) (end 94.75 95.5) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 104.875 94.5) (end 104.875 93.625) (width 0.25) (layer "F.Cu") (net 2))
- (via (at 96 85.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5CC0D366))
- (via (at 96.9 86.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5C54227C))
- (segment (start 99.7 88.475) (end 99.7 88.25) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 100.325 89.1) (end 99.7 88.475) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 100.325 90.31359) (end 100.325 89.1) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 101.01141 91) (end 100.325 90.31359) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 101.4 91) (end 101.01141 91) (width 0.25) (layer "F.Cu") (net 2))
- (via (at 98.5 88.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5CC1060E))
- (via (at 98.5 87.7) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5CC10610))
- (via (at 102.6 91) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5CC10BFD))
- (via (at 146.3 152.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5CC11151))
- (via (at 148.3 153.7) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5CC11166))
- (via (at 134.2 149.7) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5CC1117A))
- (segment (start 95.5 88.25) (end 95.4 88.35) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 95.5 87.3) (end 95.5 88.25) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 96 88.25) (end 95.85 88.4) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 96 87.3) (end 96 88.25) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 95.5 86.4) (end 96 85.9) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 95.5 87.3) (end 95.5 86.4) (width 0.25) (layer "F.Cu") (net 2))
- (via (at 92 88.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5CC11826))
- (segment (start 92.625 89.55) (end 92 89.55) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 93.3 89.55) (end 92.625 89.55) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 94.5 90.75) (end 93.3 89.55) (width 0.25) (layer "F.Cu") (net 2))
- (segment (start 94.5 91.7) (end 94.5 90.75) (width 0.25) (layer "F.Cu") (net 2))
- (via (at 156 89.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 105.766518 92.733482) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 105.766518 93.7) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5CC15B1B))
- (via (at 105.7 82.4) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5CC15B30))
- (via (at 106.7 85) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5CC15B32))
- (via (at 116.389257 89.984482) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2))
- (via (at 73.8 67.6) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5CC190F9))
- (segment (start 97.3 66.32) (end 97.3 65.5) (width 0.5) (layer "F.Cu") (net 3))
- (segment (start 98.25 68.55) (end 98.25 67.27) (width 0.5) (layer "F.Cu") (net 3))
- (segment (start 98.25 67.27) (end 97.3 66.32) (width 0.5) (layer "F.Cu") (net 3))
- (segment (start 97.3 65.5) (end 97.3 64.6) (width 0.5) (layer "F.Cu") (net 3))
- (segment (start 97.3 68.55) (end 97.3 67.2) (width 0.5) (layer "F.Cu") (net 3))
- (segment (start 97.3 67.2) (end 97.3 65.5) (width 0.5) (layer "F.Cu") (net 3))
- (segment (start 96.1 63.4) (end 97.3 64.6) (width 0.8) (layer "F.Cu") (net 3))
- (segment (start 93.9 67.65) (end 91 67.65) (width 0.8) (layer "F.Cu") (net 3))
- (segment (start 94.75 66.8) (end 93.9 67.65) (width 0.8) (layer "F.Cu") (net 3))
- (segment (start 96.9 66.8) (end 94.75 66.8) (width 0.8) (layer "F.Cu") (net 3))
- (segment (start 97.3 67.2) (end 96.9 66.8) (width 0.8) (layer "F.Cu") (net 3))
- (segment (start 97.355 67.2) (end 97.3 67.2) (width 0.8) (layer "F.Cu") (net 3))
- (segment (start 98.25 68.095) (end 97.355 67.2) (width 0.8) (layer "F.Cu") (net 3))
- (segment (start 98.25 68.55) (end 98.25 68.095) (width 0.8) (layer "F.Cu") (net 3))
- (segment (start 85.7375 68.4375) (end 85.25 67.95) (width 1.2) (layer "F.Cu") (net 3))
- (segment (start 85.7375 70.65) (end 85.7375 68.4375) (width 1.2) (layer "F.Cu") (net 3))
- (segment (start 90.7 67.95) (end 91 67.65) (width 1.2) (layer "F.Cu") (net 3))
- (segment (start 94.3 67.65) (end 91 67.65) (width 1.2) (layer "F.Cu") (net 3))
- (segment (start 95.35 66.6) (end 94.3 67.65) (width 1.2) (layer "F.Cu") (net 3))
- (segment (start 97.3 66.6) (end 95.35 66.6) (width 1.2) (layer "F.Cu") (net 3))
- (segment (start 97.3 66.6) (end 97.3 67.2) (width 0.8) (layer "F.Cu") (net 3))
- (segment (start 97.3 64.6) (end 97.3 66.6) (width 0.8) (layer "F.Cu") (net 3))
- (segment (start 87.4 67.8) (end 87.25 67.95) (width 0.25) (layer "F.Cu") (net 3))
- (segment (start 87.4 67.3) (end 87.4 67.8) (width 0.25) (layer "F.Cu") (net 3))
- (segment (start 85.25 67.95) (end 87.25 67.95) (width 1.2) (layer "F.Cu") (net 3))
- (segment (start 87.25 67.95) (end 90.7 67.95) (width 1.2) (layer "F.Cu") (net 3))
- (segment (start 112.475 67.1) (end 112.475 69.1) (width 0.5) (layer "F.Cu") (net 4))
- (segment (start 112.475 71.125) (end 112.524999 71.174999) (width 0.5) (layer "F.Cu") (net 4))
- (segment (start 112.475 69.1) (end 112.475 71.125) (width 0.5) (layer "F.Cu") (net 4))
- (segment (start 120.500001 78.649999) (end 120.425 78.725) (width 0.25) (layer "F.Cu") (net 4))
- (segment (start 120.425 78.725) (end 119.45 78.725) (width 0.25) (layer "F.Cu") (net 4))
- (segment (start 120.500001 74.989999) (end 120.500001 78.649999) (width 0.25) (layer "F.Cu") (net 4))
- (segment (start 116.685001 71.174999) (end 120.500001 74.989999) (width 0.25) (layer "F.Cu") (net 4))
- (segment (start 112.524999 71.174999) (end 116.685001 71.174999) (width 0.25) (layer "F.Cu") (net 4))
- (segment (start 82 79.1) (end 83.55 79.1) (width 1.2) (layer "F.Cu") (net 5))
- (segment (start 78.8 75.9) (end 82 79.1) (width 1.2) (layer "F.Cu") (net 5))
- (segment (start 89.85 79.1) (end 83.55 79.1) (width 1.2) (layer "F.Cu") (net 5))
- (segment (start 93.35 79.8) (end 93.35 76.1) (width 1.2) (layer "F.Cu") (net 5))
- (segment (start 92.65 79.1) (end 93.35 79.8) (width 1.2) (layer "F.Cu") (net 5))
- (segment (start 89.85 79.1) (end 92.65 79.1) (width 1.2) (layer "F.Cu") (net 5))
- (segment (start 86.33 147) (end 86.33 152) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 127.425 71.725) (end 128 71.725) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 120.585 71.725) (end 127.425 71.725) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 116.55 67.69) (end 120.585 71.725) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 116.55 66.59) (end 116.55 67.69) (width 0.25) (layer "F.Cu") (net 5))
- (via (at 117.2 79) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5))
- (segment (start 119.45 78.075) (end 118.125 78.075) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 118.125 78.075) (end 117.2 79) (width 0.25) (layer "F.Cu") (net 5))
- (via (at 129.6 77.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5))
- (segment (start 127.85 77.35) (end 128.6 77.35) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 125.35 78.075) (end 127.125 78.075) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 127.125 78.075) (end 127.85 77.35) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 117.2 79) (end 116.942001 79) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 115.97501 79.966991) (end 115.97501 81.62499) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 116.942001 79) (end 115.97501 79.966991) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 109.300928 80.224072) (end 109.8 79.725) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 108.87499 80.65001) (end 109.300928 80.224072) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 108.87499 80.65001) (end 108.300009 80.65001) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 157.689999 90.899999) (end 157.689999 90.910001) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 157.689999 90.910001) (end 157.614999 90.910001) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 117.6 78.6) (end 117.2 79) (width 0.5) (layer "B.Cu") (net 5))
- (segment (start 117.6 77.75) (end 117.6 78.6) (width 0.5) (layer "B.Cu") (net 5))
- (segment (start 117.765685 79) (end 117.2 79) (width 0.8) (layer "B.Cu") (net 5))
- (segment (start 118.890686 80.125001) (end 117.765685 79) (width 0.8) (layer "B.Cu") (net 5))
- (segment (start 126.774999 80.125001) (end 118.890686 80.125001) (width 0.8) (layer "B.Cu") (net 5))
- (segment (start 129.6 77.3) (end 126.774999 80.125001) (width 0.8) (layer "B.Cu") (net 5))
- (segment (start 128.65 77.3) (end 128.6 77.35) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 129.6 77.3) (end 128.65 77.3) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 116.55 66.59) (end 116.55 67.849684) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 120.525316 71.825) (end 128 71.825) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 116.55 67.849684) (end 120.525316 71.825) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 128.72501 77.22499) (end 128.6 77.35) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 128.72501 75) (end 128.72501 77.22499) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 129.2 74.52501) (end 128.72501 75) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 128 71.825) (end 128.725 71.825) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 129.2 72.3) (end 129.2 74.52501) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 128.725 71.825) (end 129.2 72.3) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 108.300009 78.100009) (end 108.300009 80.65001) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 93.35 74.925) (end 94.075 74.2) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 93.35 76.1) (end 93.35 74.925) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 94.075 74.2) (end 100.119998 74.2) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 100.119998 74.2) (end 101.169999 75.250001) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 101.169999 75.250001) (end 105.450001 75.250001) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 105.450001 75.250001) (end 108.300009 78.100009) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 114.9 82.7) (end 115.97501 81.62499) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 109.1 82.7) (end 114.9 82.7) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 108.300009 80.65001) (end 108.300009 81.900009) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 108.300009 81.900009) (end 109.1 82.7) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 156.045 92.795) (end 156 92.75) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 158.7 92.795) (end 156.045 92.795) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 156 92.525) (end 157.525 91) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 156 92.75) (end 156 92.525) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 157.614999 90.910001) (end 157.525 91) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 159.690003 90.899999) (end 157.689999 90.899999) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 159.900001 90.790002) (end 159.690003 90.899999) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 132.2 120.373002) (end 167.7 120.373002) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 131.048051 121.524951) (end 132.2 120.373002) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 125.925001 121.524951) (end 131.048051 121.524951) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 124.4 120.97495) (end 125.375 120.97495) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 125.375 120.97495) (end 125.925001 121.524951) (width 0.25) (layer "F.Cu") (net 5))
- (via (at 88.087339 150.112659) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5))
- (segment (start 86.33 150.112659) (end 88.087339 150.112659) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 86.33 147) (end 86.33 150.112659) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 129.52501 74.2) (end 131 74.2) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 129.2 74.52501) (end 129.52501 74.2) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 132.845 73.995) (end 132.64 74.2) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 132.845 73.05) (end 132.845 73.995) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 132.64 74.2) (end 131 74.2) (width 0.5) (layer "F.Cu") (net 5))
- (via (at 127.25 79.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5))
- (segment (start 158.7 85.175) (end 159.95 85.175) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 127.25 80.315685) (end 127.25 79.75) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 159.95 83.294998) (end 159.734535 83.079533) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 159.95 85.175) (end 159.95 83.294998) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 127.818682 83.079533) (end 127.25 82.510851) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 127.25 82.510851) (end 127.25 80.315685) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 166.848001 94.074999) (end 164.525001 94.074999) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 167.7 94.926998) (end 166.848001 94.074999) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 167.7 95.1) (end 167.7 94.926998) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 167.7 95.1) (end 167.7 120.373002) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 164.525001 94.074999) (end 164 94.6) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 164 94.6) (end 158 94.6) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 158 94.6) (end 157.2 94.6) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 158.32 77.2) (end 158.32 83.074999) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 158.315466 83.079533) (end 158.079533 83.079533) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 158.32 83.074999) (end 158.315466 83.079533) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 159.734535 83.079533) (end 158.079533 83.079533) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 158.079533 83.079533) (end 127.818682 83.079533) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 156 92.75) (end 156 93) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 157.6 94.6) (end 158 94.6) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 156 93) (end 157.6 94.6) (width 0.25) (layer "F.Cu") (net 5))
- (via (at 157.2 144.624979) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5))
- (segment (start 167.225032 143.774968) (end 158.050011 143.774968) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 157.599999 144.22498) (end 157.2 144.624979) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 158.050011 143.774968) (end 157.599999 144.22498) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 167.7 143.3) (end 167.225032 143.774968) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 167.7 120.373002) (end 167.7 143.3) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 91.924713 153.950033) (end 142.784698 153.950033) (width 0.25) (layer "B.Cu") (net 5))
- (segment (start 88.087339 150.112659) (end 91.924713 153.950033) (width 0.25) (layer "B.Cu") (net 5))
- (segment (start 142.784698 153.950033) (end 145.555865 151.178866) (width 0.25) (layer "B.Cu") (net 5))
- (segment (start 145.555865 151.178866) (end 145.555865 149.844135) (width 0.25) (layer "B.Cu") (net 5))
- (segment (start 122.22495 120.97495) (end 122.2 120.95) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 124.4 120.97495) (end 122.22495 120.97495) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 78.8 75.9) (end 78.8 69.2) (width 0.8) (layer "B.Cu") (net 5))
- (segment (start 78.8 69.2) (end 79 69) (width 0.8) (layer "B.Cu") (net 5))
- (via (at 78.8 68.85) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 5))
- (segment (start 83.5 62.5) (end 85 62.5) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 78.95 69) (end 78.95 67.05) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 78.8 68.85) (end 78.95 69) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 99.7 86.575) (end 99.7 86.35) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 103.3705 87.32499) (end 101.12499 87.32499) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 100.44999 87.32499) (end 99.7 86.575) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 103.87501 87.8295) (end 103.3705 87.32499) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 103.87501 89.19999) (end 103.87501 87.8295) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 103.6 89.475) (end 103.87501 89.19999) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 103.6 90.05) (end 103.6 89.475) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 101.12499 87.32499) (end 100.44999 87.32499) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 136.13 147) (end 136.13 152) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 147.4 148.3) (end 147.462653 148.362653) (width 0.25) (layer "B.Cu") (net 5))
- (segment (start 147.4 148) (end 147.4 148.3) (width 0.25) (layer "B.Cu") (net 5))
- (via (at 147.462653 148.362653) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5))
- (segment (start 145.555865 149.844135) (end 147.4 148) (width 0.25) (layer "B.Cu") (net 5))
- (segment (start 146.3 154.1) (end 136.6 154.1) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 147.462653 148.362653) (end 147.462653 152.937346) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 136.13 153.63) (end 136.13 152) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 136.6 154.1) (end 136.13 153.63) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 147.462653 152.937346) (end 146.3 154.1) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 92.25 91.7) (end 92 91.45) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 94 91.7) (end 92.25 91.7) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 90.825 91.45) (end 89.999999 90.624999) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 92 91.45) (end 90.825 91.45) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 89.999999 90.624999) (end 89.999999 88.619999) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 93.35 85.269998) (end 93.35 80.975) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 93.35 80.975) (end 93.35 79.8) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 91.959999 86.986997) (end 91.959999 86.659999) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 91.959999 86.659999) (end 93.35 85.269998) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 93.873002 88.9) (end 91.959999 86.986997) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 89.999999 88.619999) (end 91.959999 86.659999) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 99.7 86.35) (end 98.85 86.35) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 96.3 88.9) (end 93.873002 88.9) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 98.85 86.35) (end 96.3 88.9) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 78.9 66.3) (end 79.3 66.7) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 78.8 66.3) (end 78.9 66.3) (width 0.25) (layer "F.Cu") (net 5))
- (segment (start 78.95 67.05) (end 79.3 66.7) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 79.3 66.7) (end 83.5 62.5) (width 0.8) (layer "F.Cu") (net 5))
- (segment (start 159.95 85.175) (end 161.175011 86.400011) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 161.175011 89.514992) (end 159.900001 90.790002) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 161.175011 86.400011) (end 161.175011 89.514992) (width 0.5) (layer "F.Cu") (net 5))
- (segment (start 154.724979 147.1) (end 157.2 144.624979) (width 0.25) (layer "B.Cu") (net 5))
- (segment (start 148.3 147.1) (end 154.724979 147.1) (width 0.25) (layer "B.Cu") (net 5))
- (segment (start 147.4 148) (end 148.3 147.1) (width 0.25) (layer "B.Cu") (net 5))
- (segment (start 78.8 88.1) (end 78.8 86.15) (width 1.2) (layer "F.Cu") (net 6))
- (segment (start 81.5 83.45) (end 80.75 82.7) (width 1.2) (layer "F.Cu") (net 6))
- (segment (start 81.5 83.45) (end 83.55 81.4) (width 1.2) (layer "F.Cu") (net 6))
- (segment (start 78.8 86.15) (end 81.5 83.45) (width 1.2) (layer "F.Cu") (net 6))
- (segment (start 78.8 86.1125) (end 78.8 86.15) (width 1.2) (layer "F.Cu") (net 6))
- (segment (start 74.6875 82) (end 78.8 86.1125) (width 1.2) (layer "F.Cu") (net 6))
- (segment (start 81.350001 83.599999) (end 81.5 83.45) (width 0.25) (layer "F.Cu") (net 6))
- (segment (start 81.350001 83.650001) (end 81.350001 83.599999) (width 0.25) (layer "F.Cu") (net 6))
- (segment (start 82.1 84.4) (end 81.350001 83.650001) (width 0.25) (layer "F.Cu") (net 6))
- (via (at 119.3 82.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 7))
- (segment (start 119.45 81.325) (end 119.45 82.75) (width 0.25) (layer "F.Cu") (net 7))
- (segment (start 119.45 82.75) (end 119.3 82.9) (width 0.25) (layer "F.Cu") (net 7))
- (segment (start 114.925 93.15) (end 113.275 91.5) (width 0.25) (layer "F.Cu") (net 7))
- (segment (start 115.925 93.15) (end 114.925 93.15) (width 0.25) (layer "F.Cu") (net 7))
- (via (at 125.187343 82.612663) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 7))
- (segment (start 119.987336 82.212664) (end 124.787344 82.212664) (width 0.25) (layer "B.Cu") (net 7))
- (segment (start 124.787344 82.212664) (end 125.187343 82.612663) (width 0.25) (layer "B.Cu") (net 7))
- (segment (start 119.3 82.9) (end 119.987336 82.212664) (width 0.25) (layer "B.Cu") (net 7))
- (segment (start 158.7 87.715) (end 157.515 87.715) (width 0.25) (layer "F.Cu") (net 7))
- (segment (start 154.354564 84.554564) (end 127.129244 84.554564) (width 0.25) (layer "F.Cu") (net 7))
- (segment (start 125.587342 83.012662) (end 125.187343 82.612663) (width 0.25) (layer "F.Cu") (net 7))
- (segment (start 127.129244 84.554564) (end 125.587342 83.012662) (width 0.25) (layer "F.Cu") (net 7))
- (segment (start 112.493499 88.699945) (end 111.927814 88.699945) (width 0.25) (layer "F.Cu") (net 7))
- (segment (start 119.3 82.9) (end 113.500055 88.699945) (width 0.25) (layer "F.Cu") (net 7))
- (segment (start 111.875033 90.599967) (end 112 90.599967) (width 0.25) (layer "F.Cu") (net 7))
- (segment (start 112 90.599967) (end 112 88.772131) (width 0.25) (layer "B.Cu") (net 7))
- (segment (start 112 88.772131) (end 111.927814 88.699945) (width 0.25) (layer "B.Cu") (net 7))
- (segment (start 110.75 91.5) (end 110.975 91.5) (width 0.25) (layer "F.Cu") (net 7))
- (segment (start 113.500055 88.699945) (end 112.493499 88.699945) (width 0.25) (layer "F.Cu") (net 7))
- (segment (start 110.975 91.5) (end 111.875033 90.599967) (width 0.25) (layer "F.Cu") (net 7))
- (segment (start 113.275 91.5) (end 112.374967 90.599967) (width 0.25) (layer "F.Cu") (net 7))
- (segment (start 112.374967 90.599967) (end 112 90.599967) (width 0.25) (layer "F.Cu") (net 7))
- (via (at 112 90.599967) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 7))
- (via (at 111.927814 88.699945) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 7))
- (segment (start 154.7 86.55) (end 154.75 86.55) (width 0.25) (layer "F.Cu") (net 7))
- (segment (start 155.525 85.775) (end 155.525 85.725) (width 0.25) (layer "F.Cu") (net 7))
- (segment (start 154.75 86.55) (end 155.525 85.775) (width 0.25) (layer "F.Cu") (net 7))
- (segment (start 157.515 87.715) (end 155.525 85.725) (width 0.25) (layer "F.Cu") (net 7))
- (segment (start 155.525 85.725) (end 154.354564 84.554564) (width 0.25) (layer "F.Cu") (net 7))
- (segment (start 91.9 71.25) (end 91 72.15) (width 0.8) (layer "F.Cu") (net 8))
- (segment (start 96.35 71.25) (end 91.9 71.25) (width 0.8) (layer "F.Cu") (net 8))
- (segment (start 100.85 72.9) (end 102.8 72.9) (width 0.8) (layer "F.Cu") (net 8))
- (segment (start 96.764998 72.9) (end 100.85 72.9) (width 0.8) (layer "F.Cu") (net 8))
- (segment (start 96.35 72.485002) (end 96.764998 72.9) (width 0.8) (layer "F.Cu") (net 8))
- (segment (start 96.35 71.25) (end 96.35 72.485002) (width 0.8) (layer "F.Cu") (net 8))
- (segment (start 105.975 70.2) (end 106.9 71.125) (width 0.8) (layer "F.Cu") (net 9))
- (segment (start 105.975 69.95) (end 106.825 69.1) (width 0.8) (layer "F.Cu") (net 9))
- (segment (start 105.975 70.2) (end 105.975 69.95) (width 0.8) (layer "F.Cu") (net 9))
- (segment (start 101.4 70.2) (end 105.975 70.2) (width 0.8) (layer "F.Cu") (net 9))
- (segment (start 98.25 71.25) (end 100.35 71.25) (width 0.8) (layer "F.Cu") (net 9))
- (segment (start 100.35 71.25) (end 101.4 70.2) (width 0.8) (layer "F.Cu") (net 9))
- (segment (start 131.775 87.975) (end 131.85 87.9) (width 0.25) (layer "F.Cu") (net 12))
- (segment (start 131.775 89.7) (end 131.775 87.975) (width 0.25) (layer "F.Cu") (net 12))
- (segment (start 127.55 128.5717) (end 128 129.0217) (width 0.25) (layer "F.Cu") (net 12))
- (segment (start 126.5 128.5717) (end 127.55 128.5717) (width 0.25) (layer "F.Cu") (net 12))
- (via (at 128 130) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 12))
- (segment (start 128 129.0217) (end 128 130) (width 0.25) (layer "F.Cu") (net 12))
- (segment (start 133 106.83641) (end 132.93641 106.9) (width 0.25) (layer "B.Cu") (net 12))
- (via (at 133.519082 95.522671) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 12))
- (segment (start 133.5 95.541753) (end 133.519082 95.522671) (width 0.25) (layer "B.Cu") (net 12))
- (segment (start 133 106.83641) (end 133.5 106.33641) (width 0.25) (layer "B.Cu") (net 12))
- (segment (start 133.5 106.33641) (end 133.5 95.541753) (width 0.25) (layer "B.Cu") (net 12))
- (segment (start 132.9 94.903589) (end 133.519082 95.522671) (width 0.25) (layer "F.Cu") (net 12))
- (segment (start 131.775 89.7) (end 132.9 90.825) (width 0.25) (layer "F.Cu") (net 12))
- (segment (start 132.9 90.825) (end 132.9 94.903589) (width 0.25) (layer "F.Cu") (net 12))
- (segment (start 128.6864 107.05001) (end 132.7864 107.05001) (width 0.25) (layer "B.Cu") (net 12))
- (segment (start 128 107.73641) (end 128.6864 107.05001) (width 0.25) (layer "B.Cu") (net 12))
- (segment (start 128 130) (end 127.600001 129.600001) (width 0.25) (layer "B.Cu") (net 12))
- (segment (start 127.600001 118.073001) (end 128.425001 117.248001) (width 0.25) (layer "B.Cu") (net 12))
- (segment (start 132.7864 107.05001) (end 133 106.83641) (width 0.25) (layer "B.Cu") (net 12))
- (segment (start 128.425001 117.248001) (end 128.425001 116.451999) (width 0.25) (layer "B.Cu") (net 12))
- (segment (start 128.425001 116.451999) (end 128 116.026998) (width 0.25) (layer "B.Cu") (net 12))
- (segment (start 127.600001 129.600001) (end 127.600001 118.073001) (width 0.25) (layer "B.Cu") (net 12))
- (segment (start 128 116.026998) (end 128 107.73641) (width 0.25) (layer "B.Cu") (net 12))
- (segment (start 146.95 89.625) (end 146.875 89.7) (width 0.25) (layer "F.Cu") (net 13))
- (segment (start 146.95 87.9) (end 146.95 89.625) (width 0.25) (layer "F.Cu") (net 13))
- (via (at 132.2 136.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 13))
- (segment (start 133.5 138.095) (end 133.5 137.9) (width 0.25) (layer "F.Cu") (net 13))
- (segment (start 133.5 137.9) (end 132.2 136.6) (width 0.25) (layer "F.Cu") (net 13))
- (segment (start 128.45001 111.6) (end 128.45001 111.34999) (width 0.25) (layer "B.Cu") (net 13))
- (segment (start 148.199999 94.200001) (end 147.8 94.6) (width 0.25) (layer "F.Cu") (net 13))
- (segment (start 148.199999 91.024999) (end 148.199999 94.200001) (width 0.25) (layer "F.Cu") (net 13))
- (via (at 147.8 94.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 13))
- (segment (start 146.875 89.7) (end 148.199999 91.024999) (width 0.25) (layer "F.Cu") (net 13))
- (segment (start 128.45001 115.75001) (end 128.45001 111.6) (width 0.25) (layer "B.Cu") (net 13))
- (segment (start 128.875011 117.434401) (end 128.875011 116.175011) (width 0.25) (layer "B.Cu") (net 13))
- (segment (start 128.050011 118.259401) (end 128.875011 117.434401) (width 0.25) (layer "B.Cu") (net 13))
- (segment (start 128.050011 128.977009) (end 128.050011 118.259401) (width 0.25) (layer "B.Cu") (net 13))
- (segment (start 128.725001 129.651999) (end 128.050011 128.977009) (width 0.25) (layer "B.Cu") (net 13))
- (segment (start 128.725001 130.348001) (end 128.725001 129.651999) (width 0.25) (layer "B.Cu") (net 13))
- (segment (start 128.4 130.673002) (end 128.725001 130.348001) (width 0.25) (layer "B.Cu") (net 13))
- (segment (start 131.2 133) (end 128.9 133) (width 0.25) (layer "B.Cu") (net 13))
- (segment (start 128.4 132.5) (end 128.4 130.673002) (width 0.25) (layer "B.Cu") (net 13))
- (segment (start 128.875011 116.175011) (end 128.45001 115.75001) (width 0.25) (layer "B.Cu") (net 13))
- (segment (start 132.2 134) (end 131.2 133) (width 0.25) (layer "B.Cu") (net 13))
- (segment (start 132.2 136.6) (end 132.2 134) (width 0.25) (layer "B.Cu") (net 13))
- (segment (start 128.9 133) (end 128.4 132.5) (width 0.25) (layer "B.Cu") (net 13))
- (segment (start 128.45001 108.04999) (end 128.45001 111.6) (width 0.25) (layer "B.Cu") (net 13))
- (segment (start 128.99998 107.50002) (end 128.45001 108.04999) (width 0.25) (layer "B.Cu") (net 13))
- (segment (start 147.7 94.7) (end 135.4 94.7) (width 0.25) (layer "B.Cu") (net 13))
- (segment (start 147.8 94.6) (end 147.7 94.7) (width 0.25) (layer "B.Cu") (net 13))
- (segment (start 135.4 94.7) (end 134 96.1) (width 0.25) (layer "B.Cu") (net 13))
- (segment (start 134 96.1) (end 134 106.47282) (width 0.25) (layer "B.Cu") (net 13))
- (segment (start 134 106.47282) (end 132.972801 107.500019) (width 0.25) (layer "B.Cu") (net 13))
- (segment (start 132.972801 107.500019) (end 128.99998 107.50002) (width 0.25) (layer "B.Cu") (net 13))
- (segment (start 131.9 91.625) (end 131.775 91.5) (width 0.25) (layer "F.Cu") (net 14))
- (segment (start 131.9 93.3) (end 131.9 91.625) (width 0.25) (layer "F.Cu") (net 14))
- (via (at 127.7 116.8) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14))
- (segment (start 126.5 115.2383) (end 127.1383 115.2383) (width 0.25) (layer "F.Cu") (net 14))
- (segment (start 127.7 115.8) (end 127.7 116.8) (width 0.25) (layer "F.Cu") (net 14))
- (segment (start 127.1383 115.2383) (end 127.7 115.8) (width 0.25) (layer "F.Cu") (net 14))
- (via (at 132.400002 95.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14))
- (segment (start 132.4 95.499998) (end 132.400002 95.5) (width 0.25) (layer "F.Cu") (net 14))
- (segment (start 132.4 93.8) (end 132.4 95.499998) (width 0.25) (layer "F.Cu") (net 14))
- (segment (start 131.9 93.3) (end 132.4 93.8) (width 0.25) (layer "F.Cu") (net 14))
- (segment (start 133 96.099998) (end 132.400002 95.5) (width 0.25) (layer "B.Cu") (net 14))
- (segment (start 127.5 116.6) (end 127.5 107.6) (width 0.25) (layer "B.Cu") (net 14))
- (segment (start 127.7 116.8) (end 127.5 116.6) (width 0.25) (layer "B.Cu") (net 14))
- (segment (start 128.5 106.6) (end 132.6 106.6) (width 0.25) (layer "B.Cu") (net 14))
- (segment (start 127.5 107.6) (end 128.5 106.6) (width 0.25) (layer "B.Cu") (net 14))
- (segment (start 132.6 106.6) (end 133 106.2) (width 0.25) (layer "B.Cu") (net 14))
- (segment (start 133 106.2) (end 133 96.099998) (width 0.25) (layer "B.Cu") (net 14))
- (segment (start 146.875 93.225) (end 146.95 93.3) (width 0.25) (layer "F.Cu") (net 15))
- (segment (start 146.875 91.5) (end 146.875 93.225) (width 0.25) (layer "F.Cu") (net 15))
- (segment (start 146.95 93.925) (end 146.675 94.2) (width 0.25) (layer "F.Cu") (net 15))
- (segment (start 146.675 94.2) (end 143.4 94.2) (width 0.25) (layer "F.Cu") (net 15))
- (segment (start 146.95 93.3) (end 146.95 93.925) (width 0.25) (layer "F.Cu") (net 15))
- (segment (start 143.4 94.2) (end 142.6 95) (width 0.25) (layer "F.Cu") (net 15))
- (segment (start 142.6 95) (end 135.1 95) (width 0.25) (layer "F.Cu") (net 15))
- (segment (start 133.5 96.6) (end 133.5 98.095) (width 0.25) (layer "F.Cu") (net 15))
- (segment (start 135.1 95) (end 133.5 96.6) (width 0.25) (layer "F.Cu") (net 15))
- (via (at 131.5 113.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 16) (tstamp 5CB61BCF))
- (via (at 130.5 114.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 16) (tstamp 5CB61BD5))
- (via (at 131.5 114.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 16) (tstamp 5CB61C23))
- (via (at 130.5 113.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 16) (tstamp 5CB61BD8))
- (via (at 130.5 112.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 16) (tstamp 5CB61C35))
- (via (at 131.5 112.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 16) (tstamp 5CB61C29))
- (via (at 131.5 115.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 16) (tstamp 5CB61C0E))
- (via (at 130.5 115.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 16) (tstamp 5CB61BDB))
- (via (at 129.5 115.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 16) (tstamp 5CB61C26))
- (via (at 129.5 114.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 16) (tstamp 5CB61C17))
- (via (at 129.5 113.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 16) (tstamp 5CB61C32))
- (via (at 129.5 112.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 16) (tstamp 5CB61C14))
- (via (at 129.5 111.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 16) (tstamp 5CB61C1A))
- (via (at 130.5 111.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 16) (tstamp 5CB61C2F))
- (via (at 131.5 111.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 16) (tstamp 5CB61BCC))
- (via (at 130 109) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 16))
- (via (at 133 109) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 16))
- (via (at 136 109) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 16))
- (via (at 136 109) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 16) (tstamp 5CB608E3))
- (via (at 136 109) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 16))
- (via (at 139 109) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 16))
- (via (at 136 112) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 16))
- (via (at 136 115) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 16))
- (via (at 136 118) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 16))
- (via (at 133 118) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 16))
- (via (at 139 118) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 16))
- (via (at 139 115) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 16))
- (via (at 139 112) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 16))
- (via (at 142 115) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 16))
- (via (at 142 118) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 16))
- (via (at 142 109) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 16))
- (via (at 142 112) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 16))
- (via (at 131 126.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 131 127.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 130 127.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 130 126.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 130 125.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 131 125.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 132 126.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 132 125.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 132 124.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 132 127.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 129 124.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 129 125.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 129 126.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 129 127.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 129 128.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 130 128.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 131 128.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 132 128.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 130 131) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 133 131) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 136 131) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 139 131) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 142 131) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 142 128) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 142 125) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 142 122) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 139 122) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 136 122) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 133 122) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 136 125) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 136 128) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 139 128) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 139 125) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 131 124.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 17) (tstamp 5CB60AC9))
- (via (at 130 124.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 17))
- (via (at 130 140) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 130 141) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 129 141) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 129 140) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 129 139) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 129 138) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 130 138) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 131 138) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 131 140) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 131 141) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 131 142) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 130 142) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 129 142) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 128 142) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 128 141) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 128 140) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 128 139) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 128 138) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 130 100) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 130 101) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 129 101) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 129 100) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 129 99) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 130 99) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 131 99) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 131 100) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 131 101) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 131 102) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 130 102) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 129 102) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 128 102) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 128 101) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 128 100) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 128 99) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 128 98) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 129 98) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 130 98) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 131 98) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 130 96.5) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 127 96.5) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 124 96.5) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 121 96.5) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 118 96.5) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18) (tstamp 5C41CD86))
- (via (at 118 99) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 118 102) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 118 105) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 121 105) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 124 105) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 127 105) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 130 105) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 121 102) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 121 99) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 124 99) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 124 102) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 130 136) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 127 136) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 124 136) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 121 136) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 118 136) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 121 139) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 118 139) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 118 142) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 121 142) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 121 145) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 118 145) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 124 145) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 127 145) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 130 145) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 115 136) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 115 139) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 115 142) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 115 145) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 99 129) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 102 128) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18) (tstamp 5CB60912))
- (via (at 97 127) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18) (tstamp 5CB609A9))
- (via (at 104 130) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18) (tstamp 5CB60920))
- (via (at 96 130) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18) (tstamp 5CB6097D))
- (via (at 98 132) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18) (tstamp 5CB60922))
- (via (at 94 128) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18) (tstamp 5CB60977))
- (via (at 111 133) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18) (tstamp 5CB60924))
- (via (at 107 129) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 108 134) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18) (tstamp 5CB60926))
- (via (at 100 126) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 103 133) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18) (tstamp 5CB60943))
- (via (at 101 131) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 112 130) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18) (tstamp 5CB60945))
- (via (at 114 132) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 109 131) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 106 132) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 105 135) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 102 136) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18) (tstamp 5CB609BC))
- (via (at 100 134) (size 2) (drill 1) (layers "F.Cu" "B.Cu") (net 18))
- (via (at 130 139) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18) (tstamp 5CB60B88))
- (via (at 131 139) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (segment (start 73.75 70.65) (end 82.7625 70.65) (width 1.2) (layer "F.Cu") (net 18))
- (segment (start 71.7125 120.8125) (end 71.7125 72.6875) (width 1.2) (layer "F.Cu") (net 18))
- (segment (start 75 124.92) (end 75 124.1) (width 1.2) (layer "F.Cu") (net 18))
- (segment (start 75 124.1) (end 71.7125 120.8125) (width 1.2) (layer "F.Cu") (net 18))
- (segment (start 118 93.475) (end 117.675 93.15) (width 0.25) (layer "F.Cu") (net 18))
- (segment (start 118 96.5) (end 118 93.475) (width 0.25) (layer "F.Cu") (net 18))
- (segment (start 93.9 124.75) (end 93.9 94.5) (width 0.25) (layer "B.Cu") (net 18))
- (segment (start 93.5 124.75) (end 93.9 124.75) (width 0.25) (layer "B.Cu") (net 18))
- (via (at 93.75 93.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18))
- (segment (start 93.9 94.5) (end 93.9 93.4) (width 0.25) (layer "B.Cu") (net 18))
- (segment (start 93.9 93.4) (end 93.75 93.25) (width 0.25) (layer "B.Cu") (net 18))
- (segment (start 95 92.65) (end 95 91.7) (width 0.25) (layer "F.Cu") (net 18))
- (segment (start 94.4 93.25) (end 95 92.65) (width 0.25) (layer "F.Cu") (net 18))
- (segment (start 93.75 93.25) (end 94.4 93.25) (width 0.25) (layer "F.Cu") (net 18))
- (segment (start 72.1 71.1) (end 72.8 71.1) (width 0.25) (layer "F.Cu") (net 18))
- (segment (start 71.7125 72.6875) (end 73.05 71.35) (width 1.2) (layer "F.Cu") (net 18))
- (segment (start 72.8 71.1) (end 73.05 71.35) (width 0.25) (layer "F.Cu") (net 18))
- (segment (start 73.05 71.35) (end 73.75 70.65) (width 1.2) (layer "F.Cu") (net 18))
- (segment (start 124.4 120.32495) (end 124.4 119.02495) (width 0.25) (layer "F.Cu") (net 19))
- (segment (start 128.8 119.02495) (end 127.96141 119.02495) (width 0.25) (layer "F.Cu") (net 19))
- (segment (start 126.98636 120) (end 127 120) (width 0.25) (layer "F.Cu") (net 19))
- (segment (start 127.96141 119.02495) (end 126.98636 120) (width 0.25) (layer "F.Cu") (net 19))
- (segment (start 126.98636 120) (end 126.98636 120.98636) (width 0.25) (layer "F.Cu") (net 19))
- (segment (start 95.5 109.5) (end 98.4875 109.5) (width 0.25) (layer "F.Cu") (net 19))
- (segment (start 95.75 95.5) (end 95.5 95.75) (width 0.25) (layer "F.Cu") (net 19))
- (segment (start 95.5 95.75) (end 95.5 109.5) (width 0.25) (layer "F.Cu") (net 19))
- (segment (start 96.875 95.5) (end 95.75 95.5) (width 0.25) (layer "F.Cu") (net 19))
- (segment (start 97.875 94.5) (end 96.875 95.5) (width 0.25) (layer "F.Cu") (net 19))
- (segment (start 97.875 93.5) (end 99.5 91.875) (width 0.25) (layer "F.Cu") (net 19))
- (segment (start 97.875 94.5) (end 97.875 93.5) (width 0.25) (layer "F.Cu") (net 19))
- (segment (start 129.89 89.565) (end 130.025 89.7) (width 0.25) (layer "F.Cu") (net 20))
- (segment (start 127.3 89.565) (end 129.89 89.565) (width 0.25) (layer "F.Cu") (net 20))
- (segment (start 144.99 89.565) (end 145.125 89.7) (width 0.25) (layer "F.Cu") (net 21))
- (segment (start 142.3 89.565) (end 144.99 89.565) (width 0.25) (layer "F.Cu") (net 21))
- (segment (start 129.42 92.105) (end 130.025 91.5) (width 0.25) (layer "F.Cu") (net 22))
- (segment (start 127.3 92.105) (end 129.42 92.105) (width 0.25) (layer "F.Cu") (net 22))
- (segment (start 144.52 92.105) (end 145.125 91.5) (width 0.25) (layer "F.Cu") (net 23))
- (segment (start 142.3 92.105) (end 144.52 92.105) (width 0.25) (layer "F.Cu") (net 23))
- (via (at 135.3 91.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 24))
- (segment (start 121.9 92.105) (end 123.22967 92.105) (width 0.25) (layer "F.Cu") (net 24))
- (segment (start 123.22967 92.105) (end 123.362335 92.237665) (width 0.25) (layer "F.Cu") (net 24))
- (via (at 123.362335 92.237665) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 24))
- (segment (start 123.762334 91.837666) (end 123.362335 92.237665) (width 0.25) (layer "B.Cu") (net 24))
- (segment (start 124.025001 91.574999) (end 123.762334 91.837666) (width 0.25) (layer "B.Cu") (net 24))
- (segment (start 134.525001 91.574999) (end 124.025001 91.574999) (width 0.25) (layer "B.Cu") (net 24))
- (segment (start 135.3 91.1) (end 135 91.1) (width 0.25) (layer "B.Cu") (net 24))
- (segment (start 135 91.1) (end 134.525001 91.574999) (width 0.25) (layer "B.Cu") (net 24))
- (segment (start 135.93641 89.6) (end 136.865 89.6) (width 0.25) (layer "F.Cu") (net 24))
- (segment (start 136.865 89.6) (end 136.9 89.565) (width 0.25) (layer "F.Cu") (net 24))
- (segment (start 135.3 90.23641) (end 135.93641 89.6) (width 0.25) (layer "F.Cu") (net 24))
- (segment (start 135.3 91.1) (end 135.3 90.23641) (width 0.25) (layer "F.Cu") (net 24))
- (segment (start 124.375 79.375) (end 125.35 79.375) (width 0.25) (layer "F.Cu") (net 24))
- (segment (start 123.5 80.25) (end 124.375 79.375) (width 0.25) (layer "F.Cu") (net 24))
- (segment (start 120.049989 87.05001) (end 123.5 83.599999) (width 0.25) (layer "F.Cu") (net 24))
- (segment (start 123.5 83.599999) (end 123.5 80.25) (width 0.25) (layer "F.Cu") (net 24))
- (segment (start 120.231996 92.105) (end 119.274998 91.148002) (width 0.25) (layer "F.Cu") (net 24))
- (segment (start 121.9 92.105) (end 120.231996 92.105) (width 0.25) (layer "F.Cu") (net 24))
- (segment (start 119.274998 91.148002) (end 119.274998 89.525002) (width 0.25) (layer "F.Cu") (net 24))
- (segment (start 120.049989 88.750011) (end 120.049989 87.05001) (width 0.25) (layer "F.Cu") (net 24))
- (segment (start 138.525 88.415) (end 138.525 86.975) (width 0.25) (layer "F.Cu") (net 24))
- (segment (start 138.525 86.975) (end 138.525 86.4) (width 0.25) (layer "F.Cu") (net 24))
- (segment (start 137.375 89.565) (end 138.525 88.415) (width 0.25) (layer "F.Cu") (net 24))
- (segment (start 136.9 89.565) (end 137.375 89.565) (width 0.25) (layer "F.Cu") (net 24))
- (segment (start 119.8 88.6) (end 120 88.8) (width 0.25) (layer "F.Cu") (net 24))
- (segment (start 119.2 88.6) (end 119.8 88.6) (width 0.25) (layer "F.Cu") (net 24))
- (segment (start 119.274998 89.525002) (end 120 88.8) (width 0.25) (layer "F.Cu") (net 24))
- (segment (start 120 88.8) (end 120.049989 88.750011) (width 0.25) (layer "F.Cu") (net 24))
- (segment (start 135.259934 92.105) (end 135.253882 92.098948) (width 0.25) (layer "F.Cu") (net 25))
- (segment (start 136.9 92.105) (end 135.259934 92.105) (width 0.25) (layer "F.Cu") (net 25))
- (via (at 135.253882 92.098948) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 25))
- (segment (start 124.44999 91.63999) (end 124.44999 91.734315) (width 0.25) (layer "F.Cu") (net 25))
- (segment (start 121.9 89.565) (end 122.375 89.565) (width 0.25) (layer "F.Cu") (net 25))
- (via (at 124.44999 92.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 25))
- (segment (start 122.375 89.565) (end 124.44999 91.63999) (width 0.25) (layer "F.Cu") (net 25))
- (segment (start 124.44999 91.734315) (end 124.44999 92.3) (width 0.25) (layer "F.Cu") (net 25))
- (segment (start 135.05283 92.3) (end 124.44999 92.3) (width 0.25) (layer "B.Cu") (net 25))
- (segment (start 135.253882 92.098948) (end 135.05283 92.3) (width 0.25) (layer "B.Cu") (net 25))
- (segment (start 121.9 89.565) (end 121.425 89.565) (width 0.25) (layer "F.Cu") (net 25))
- (segment (start 123.950011 83.786399) (end 123.950011 81.099989) (width 0.25) (layer "F.Cu") (net 25))
- (segment (start 123.950011 81.099989) (end 124.375 80.675) (width 0.25) (layer "F.Cu") (net 25))
- (segment (start 120.5 88.64) (end 120.5 87.23641) (width 0.25) (layer "F.Cu") (net 25))
- (segment (start 120.5 87.23641) (end 123.950011 83.786399) (width 0.25) (layer "F.Cu") (net 25))
- (segment (start 121.425 89.565) (end 120.5 88.64) (width 0.25) (layer "F.Cu") (net 25))
- (segment (start 124.375 80.675) (end 125.35 80.675) (width 0.25) (layer "F.Cu") (net 25))
- (segment (start 134.023948 92.098948) (end 134 92.075) (width 0.25) (layer "F.Cu") (net 25))
- (segment (start 135.253882 92.098948) (end 134.023948 92.098948) (width 0.25) (layer "F.Cu") (net 25))
- (segment (start 135.2 92.15283) (end 135.253882 92.098948) (width 0.25) (layer "F.Cu") (net 25))
- (segment (start 135.2 93.5) (end 135.2 92.15283) (width 0.25) (layer "F.Cu") (net 25))
- (segment (start 124.375 75.475) (end 125.35 75.475) (width 0.25) (layer "F.Cu") (net 26))
- (segment (start 123.149998 75.475) (end 124.375 75.475) (width 0.25) (layer "F.Cu") (net 26))
- (segment (start 115 67.325002) (end 123.149998 75.475) (width 0.25) (layer "F.Cu") (net 26))
- (segment (start 115 65.6) (end 115 67.325002) (width 0.25) (layer "F.Cu") (net 26))
- (segment (start 116.55 64.05) (end 115 65.6) (width 0.25) (layer "F.Cu") (net 26))
- (segment (start 115.700001 59.819999) (end 116.55 58.97) (width 0.25) (layer "F.Cu") (net 27))
- (segment (start 114.54999 60.97001) (end 115.700001 59.819999) (width 0.25) (layer "F.Cu") (net 27))
- (segment (start 114.54999 67.54999) (end 114.54999 60.97001) (width 0.25) (layer "F.Cu") (net 27))
- (segment (start 125.35 76.125) (end 123.125 76.125) (width 0.25) (layer "F.Cu") (net 27))
- (segment (start 123.125 76.125) (end 114.54999 67.54999) (width 0.25) (layer "F.Cu") (net 27))
- (via (at 116.9 81) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 28))
- (segment (start 117.273005 81) (end 116.9 81) (width 0.25) (layer "F.Cu") (net 28))
- (segment (start 119.45 80.025) (end 118.248005 80.025) (width 0.25) (layer "F.Cu") (net 28))
- (segment (start 118.248005 80.025) (end 117.273005 81) (width 0.25) (layer "F.Cu") (net 28))
- (via (at 129.399998 82.200011) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 28))
- (segment (start 117.465685 81) (end 117.765707 81.300022) (width 0.25) (layer "B.Cu") (net 28))
- (segment (start 117.765707 81.300022) (end 128.42702 81.300022) (width 0.25) (layer "B.Cu") (net 28))
- (segment (start 116.9 81) (end 117.465685 81) (width 0.25) (layer "B.Cu") (net 28))
- (segment (start 128.42702 81.300022) (end 129.327009 82.200011) (width 0.25) (layer "B.Cu") (net 28))
- (segment (start 129.327009 82.200011) (end 129.399998 82.200011) (width 0.25) (layer "B.Cu") (net 28))
- (segment (start 129.965683 82.200011) (end 129.399998 82.200011) (width 0.25) (layer "F.Cu") (net 28))
- (segment (start 135.38 80.2) (end 131.965694 80.2) (width 0.25) (layer "F.Cu") (net 28))
- (segment (start 136.655 78.925) (end 135.38 80.2) (width 0.25) (layer "F.Cu") (net 28))
- (segment (start 131.965694 80.2) (end 129.965683 82.200011) (width 0.25) (layer "F.Cu") (net 28))
- (segment (start 136.655 78.45) (end 136.655 78.925) (width 0.25) (layer "F.Cu") (net 28))
- (via (at 117.7 82.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 29))
- (segment (start 118.475 80.675) (end 119.45 80.675) (width 0.25) (layer "F.Cu") (net 29))
- (segment (start 117.7 81.45) (end 118.475 80.675) (width 0.25) (layer "F.Cu") (net 29))
- (segment (start 117.7 82.4) (end 117.7 81.45) (width 0.25) (layer "F.Cu") (net 29))
- (segment (start 127.826685 81.829532) (end 128.226684 82.229531) (width 0.25) (layer "B.Cu") (net 29))
- (segment (start 117.7 82.4) (end 118.349967 81.750033) (width 0.25) (layer "B.Cu") (net 29))
- (via (at 128.226684 82.229531) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 29))
- (segment (start 127.747186 81.750033) (end 127.826685 81.829532) (width 0.25) (layer "B.Cu") (net 29))
- (segment (start 118.349967 81.750033) (end 127.747186 81.750033) (width 0.25) (layer "B.Cu") (net 29))
- (segment (start 128.6 81.856215) (end 128.226684 82.229531) (width 0.25) (layer "F.Cu") (net 29))
- (segment (start 128.6 80.8) (end 128.6 81.856215) (width 0.25) (layer "F.Cu") (net 29))
- (segment (start 132.845 78.45) (end 132.295 78.45) (width 0.25) (layer "F.Cu") (net 29))
- (segment (start 129 80.4) (end 128.6 80.8) (width 0.25) (layer "F.Cu") (net 29))
- (segment (start 130.345 80.4) (end 129 80.4) (width 0.25) (layer "F.Cu") (net 29))
- (segment (start 132.295 78.45) (end 130.345 80.4) (width 0.25) (layer "F.Cu") (net 29))
- (segment (start 147.68 61.5) (end 148.88 62.7) (width 0.25) (layer "F.Cu") (net 30))
- (segment (start 143.914998 61.5) (end 147.68 61.5) (width 0.25) (layer "F.Cu") (net 30))
- (segment (start 142.5 62.914998) (end 143.914998 61.5) (width 0.25) (layer "F.Cu") (net 30))
- (segment (start 130.23 61.5) (end 131.980501 61.5) (width 0.25) (layer "F.Cu") (net 30))
- (segment (start 129.03 62.7) (end 130.23 61.5) (width 0.25) (layer "F.Cu") (net 30))
- (segment (start 131.980501 61.5) (end 135.480501 65) (width 0.25) (layer "F.Cu") (net 30))
- (segment (start 142.5 64) (end 142.5 62.914998) (width 0.25) (layer "F.Cu") (net 30))
- (segment (start 141.5 65) (end 142.5 64) (width 0.25) (layer "F.Cu") (net 30))
- (segment (start 135.480501 65) (end 135.5 65) (width 0.25) (layer "F.Cu") (net 30))
- (via (at 135.5 65) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 30))
- (segment (start 135.5 65) (end 141.5 65) (width 0.25) (layer "F.Cu") (net 30))
- (via (at 138.5 69) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 30))
- (segment (start 138.5 68) (end 138.5 68.434315) (width 0.25) (layer "B.Cu") (net 30))
- (segment (start 138.5 68.434315) (end 138.5 69) (width 0.25) (layer "B.Cu") (net 30))
- (segment (start 135.5 65) (end 138.5 68) (width 0.25) (layer "B.Cu") (net 30))
- (segment (start 135.5 71.5) (end 136.46 71.5) (width 0.25) (layer "F.Cu") (net 30))
- (segment (start 136.46 71.5) (end 138.5 69.46) (width 0.25) (layer "F.Cu") (net 30))
- (segment (start 135.385 73.05) (end 135.385 71.615) (width 0.25) (layer "F.Cu") (net 30))
- (segment (start 138.5 69.46) (end 138.5 69) (width 0.25) (layer "F.Cu") (net 30))
- (segment (start 135.385 71.615) (end 135.5 71.5) (width 0.25) (layer "F.Cu") (net 30))
- (segment (start 128.759999 65.229999) (end 128.01 64.48) (width 0.25) (layer "F.Cu") (net 31))
- (segment (start 129.53 66) (end 128.759999 65.229999) (width 0.25) (layer "F.Cu") (net 31))
- (segment (start 147.86 64.48) (end 146.34 66) (width 0.25) (layer "F.Cu") (net 31))
- (via (at 135 66) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 31))
- (segment (start 134.5 66) (end 135 66) (width 0.25) (layer "F.Cu") (net 31))
- (segment (start 134.5 66) (end 129.53 66) (width 0.25) (layer "F.Cu") (net 31))
- (segment (start 146.34 66) (end 134.5 66) (width 0.25) (layer "F.Cu") (net 31))
- (via (at 137.5 69) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 31))
- (segment (start 134.115 71.885) (end 134.115 73.05) (width 0.25) (layer "F.Cu") (net 31))
- (segment (start 135 71) (end 134.115 71.885) (width 0.25) (layer "F.Cu") (net 31))
- (segment (start 137.5 69) (end 137.5 69.5) (width 0.25) (layer "F.Cu") (net 31))
- (segment (start 136 71) (end 135 71) (width 0.25) (layer "F.Cu") (net 31))
- (segment (start 137.5 69.5) (end 136 71) (width 0.25) (layer "F.Cu") (net 31))
- (segment (start 137.5 68) (end 137.5 69) (width 0.25) (layer "B.Cu") (net 31))
- (segment (start 135 66) (end 135.5 66) (width 0.25) (layer "B.Cu") (net 31))
- (segment (start 135.5 66) (end 137.5 68) (width 0.25) (layer "B.Cu") (net 31))
- (segment (start 124.95 63.46) (end 125.97 64.48) (width 0.5) (layer "B.Cu") (net 32))
- (segment (start 124.95 62.7) (end 124.95 63.46) (width 0.5) (layer "B.Cu") (net 32))
- (segment (start 144.8 63.46) (end 145.82 64.48) (width 0.5) (layer "B.Cu") (net 32))
- (segment (start 144.8 62.7) (end 144.8 63.46) (width 0.5) (layer "B.Cu") (net 32))
- (segment (start 126.150001 61.499999) (end 124.95 62.7) (width 0.5) (layer "B.Cu") (net 32))
- (segment (start 131.646001 61.499999) (end 126.150001 61.499999) (width 0.5) (layer "B.Cu") (net 32))
- (segment (start 132.381003 62.235001) (end 131.646001 61.499999) (width 0.5) (layer "B.Cu") (net 32))
- (segment (start 135.856001 62.235001) (end 132.381003 62.235001) (width 0.5) (layer "B.Cu") (net 32))
- (segment (start 135.951003 62.139999) (end 135.856001 62.235001) (width 0.5) (layer "B.Cu") (net 32))
- (segment (start 140.926001 62.139999) (end 135.951003 62.139999) (width 0.5) (layer "B.Cu") (net 32))
- (segment (start 141.486002 62.7) (end 140.926001 62.139999) (width 0.5) (layer "B.Cu") (net 32))
- (segment (start 144.8 62.7) (end 141.486002 62.7) (width 0.5) (layer "B.Cu") (net 32))
- (via (at 131.1 120.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 33))
- (segment (start 131 120.5) (end 131.1 120.4) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 129.775 120.97495) (end 128.8 120.97495) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 130.02505 120.97495) (end 129.775 120.97495) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 131.1 120.4) (end 130.6 120.4) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 130.6 120.4) (end 130.02505 120.97495) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 126.325 76.775) (end 125.35 76.775) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 126.3 73.6) (end 127.5 74.8) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 127.5 76.2) (end 126.935001 76.764999) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 126.3 73.575) (end 126.3 73.6) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 127.5 74.8) (end 127.5 76.2) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 126.935001 76.764999) (end 126.335001 76.764999) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 126.335001 76.764999) (end 126.325 76.775) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 167 120.4) (end 167.7 119.7) (width 0.25) (layer "B.Cu") (net 33))
- (segment (start 131.1 120.4) (end 167 120.4) (width 0.25) (layer "B.Cu") (net 33))
- (segment (start 167.7 119.7) (end 167.7 97.2) (width 0.25) (layer "B.Cu") (net 33))
- (via (at 162.6 93.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 33))
- (segment (start 163.7 93.2) (end 162.6 93.2) (width 0.25) (layer "B.Cu") (net 33))
- (segment (start 164.65 94.15) (end 163.7 93.2) (width 0.25) (layer "B.Cu") (net 33))
- (segment (start 167.7 97.2) (end 164.65 94.15) (width 0.25) (layer "B.Cu") (net 33))
- (segment (start 160.86 78.75) (end 160.86 77.2) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 162 79.89) (end 160.86 78.75) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 162.6 93.2) (end 162 92.6) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 162 92.6) (end 162 79.89) (width 0.25) (layer "F.Cu") (net 33))
- (via (at 108.9 90.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 33))
- (segment (start 120.500001 83.03641) (end 120.500001 79.663588) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 108.9 90.3) (end 109.775045 89.424955) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 123.388589 76.775) (end 124.375 76.775) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 124.375 76.775) (end 125.35 76.775) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 114.111456 89.424955) (end 120.500001 83.03641) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 120.500001 79.663588) (end 123.388589 76.775) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 104.1 85.5) (end 108.9 90.3) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 103.774999 85.174999) (end 104.1 85.5) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 95.675001 85.174999) (end 103.774999 85.174999) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 94.5 87.3) (end 94.5 86.35) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 94.5 86.35) (end 95.675001 85.174999) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 110.2 89.3) (end 110.075045 89.424955) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 110.2 88.7) (end 110.2 89.3) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 109.775045 89.424955) (end 110.075045 89.424955) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 110.075045 89.424955) (end 114.111456 89.424955) (width 0.25) (layer "F.Cu") (net 33))
- (segment (start 108.9 90.865685) (end 111.234337 93.200022) (width 0.25) (layer "B.Cu") (net 33))
- (segment (start 134.700002 90.375) (end 135.648002 90.375) (width 0.25) (layer "B.Cu") (net 33))
- (segment (start 108.9 90.3) (end 108.9 90.865685) (width 0.25) (layer "B.Cu") (net 33))
- (segment (start 134.024992 91.050009) (end 134.700002 90.375) (width 0.25) (layer "B.Cu") (net 33))
- (segment (start 153.582115 92.800022) (end 153.732126 92.650011) (width 0.25) (layer "B.Cu") (net 33))
- (segment (start 123.426998 91.1) (end 133.974999 91.1) (width 0.25) (layer "B.Cu") (net 33))
- (segment (start 162.200001 92.800001) (end 162.6 93.2) (width 0.25) (layer "B.Cu") (net 33))
- (segment (start 135.648002 90.375) (end 138.073023 92.800022) (width 0.25) (layer "B.Cu") (net 33))
- (segment (start 162.050011 92.650011) (end 162.200001 92.800001) (width 0.25) (layer "B.Cu") (net 33))
- (segment (start 138.073023 92.800022) (end 153.582115 92.800022) (width 0.25) (layer "B.Cu") (net 33))
- (segment (start 121.326976 93.200022) (end 123.426998 91.1) (width 0.25) (layer "B.Cu") (net 33))
- (segment (start 133.974999 91.1) (end 134.024992 91.050009) (width 0.25) (layer "B.Cu") (net 33))
- (segment (start 111.234337 93.200022) (end 121.326976 93.200022) (width 0.25) (layer "B.Cu") (net 33))
- (segment (start 153.732126 92.650011) (end 162.050011 92.650011) (width 0.25) (layer "B.Cu") (net 33))
- (segment (start 128 76.336411) (end 128 74.2) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 128 74.2) (end 128 73.575) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 126.911411 77.425) (end 128 76.336411) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 125.35 77.425) (end 126.911411 77.425) (width 0.25) (layer "F.Cu") (net 34))
- (via (at 166.5 94.8) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 34))
- (segment (start 129.775 120.32495) (end 128.8 120.32495) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 167.249989 95.549989) (end 167.249989 119.050011) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 166.5 94.8) (end 167.249989 95.549989) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 167.249989 119.050011) (end 166.625001 119.674999) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 166.625001 119.674999) (end 130.688591 119.674999) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 130.688591 119.674999) (end 130.03864 120.32495) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 130.03864 120.32495) (end 129.775 120.32495) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 166.5 94.8) (end 163.9 92.2) (width 0.25) (layer "B.Cu") (net 34))
- (segment (start 163.9 92.2) (end 163.6 92.2) (width 0.25) (layer "B.Cu") (net 34))
- (segment (start 163.6 92.2) (end 162.2 92.2) (width 0.25) (layer "B.Cu") (net 34))
- (via (at 162.72501 92.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 34))
- (segment (start 162.2 92.2) (end 162.72501 92.2) (width 0.25) (layer "B.Cu") (net 34))
- (segment (start 162.72501 91.759319) (end 162.72501 92.2) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 162.72501 79.92501) (end 162.72501 91.759319) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 163.4 77.2) (end 163.4 79.25002) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 163.4 79.25002) (end 162.72501 79.92501) (width 0.25) (layer "F.Cu") (net 34))
- (via (at 109.9 90.374989) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 34))
- (segment (start 110.400023 89.874966) (end 110.299999 89.97499) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 123.375 77.425) (end 120.950012 79.849988) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 125.35 77.425) (end 123.375 77.425) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 120.950012 79.849988) (end 120.950012 83.22281) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 114.297856 89.874966) (end 110.400023 89.874966) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 120.950012 83.22281) (end 114.297856 89.874966) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 110.299999 89.97499) (end 109.9 90.374989) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 94 86.21359) (end 95.488601 84.724989) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 94 87.3) (end 94 86.21359) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 95.488601 84.724989) (end 104.124989 84.724989) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 104.124989 84.724989) (end 106.1 86.7) (width 0.25) (layer "F.Cu") (net 34))
- (via (at 108.712653 89.087347) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 34))
- (segment (start 108.487347 89.087347) (end 108.712653 89.087347) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 109.9 90.274694) (end 109.9 90.374989) (width 0.25) (layer "B.Cu") (net 34))
- (segment (start 108.712653 89.087347) (end 109.9 90.274694) (width 0.25) (layer "B.Cu") (net 34))
- (segment (start 108.2 87.8) (end 107.7 88.3) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 108.2 87.7) (end 108.2 87.8) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 106.1 86.7) (end 107.7 88.3) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 107.7 88.3) (end 108.487347 89.087347) (width 0.25) (layer "F.Cu") (net 34))
- (segment (start 109.9 90.374989) (end 112.275022 92.750011) (width 0.25) (layer "B.Cu") (net 34))
- (segment (start 123.036412 90.599999) (end 133.838591 90.599999) (width 0.25) (layer "B.Cu") (net 34))
- (segment (start 153.545726 92.2) (end 161.670726 92.2) (width 0.25) (layer "B.Cu") (net 34))
- (segment (start 133.838591 90.599999) (end 134.513602 89.924989) (width 0.25) (layer "B.Cu") (net 34))
- (segment (start 112.275022 92.750011) (end 120.8864 92.750011) (width 0.25) (layer "B.Cu") (net 34))
- (segment (start 120.8864 92.750011) (end 123.036412 90.599999) (width 0.25) (layer "B.Cu") (net 34))
- (segment (start 134.513602 89.924989) (end 135.834402 89.924989) (width 0.25) (layer "B.Cu") (net 34))
- (segment (start 161.670726 92.2) (end 162.2 92.2) (width 0.25) (layer "B.Cu") (net 34))
- (segment (start 153.395715 92.350011) (end 153.545726 92.2) (width 0.25) (layer "B.Cu") (net 34))
- (segment (start 138.259423 92.350011) (end 153.395715 92.350011) (width 0.25) (layer "B.Cu") (net 34))
- (segment (start 135.834402 89.924989) (end 138.259423 92.350011) (width 0.25) (layer "B.Cu") (net 34))
- (segment (start 167.249989 69.275011) (end 167.375 69.15) (width 0.25) (layer "F.Cu") (net 35))
- (segment (start 167.249989 85.850011) (end 167.249989 69.275011) (width 0.25) (layer "F.Cu") (net 35))
- (segment (start 164.1 87.715) (end 165.385 87.715) (width 0.25) (layer "F.Cu") (net 35))
- (segment (start 165.385 87.715) (end 167.249989 85.850011) (width 0.25) (layer "F.Cu") (net 35))
- (segment (start 165.265 88.985) (end 164.1 88.985) (width 0.25) (layer "F.Cu") (net 36))
- (segment (start 168.15 67.65) (end 168.55 68.05) (width 0.25) (layer "F.Cu") (net 36))
- (segment (start 167.375 67.65) (end 168.15 67.65) (width 0.25) (layer "F.Cu") (net 36))
- (segment (start 168.55 68.05) (end 168.55 69.85) (width 0.25) (layer "F.Cu") (net 36))
- (segment (start 168.55 69.85) (end 167.7 70.7) (width 0.25) (layer "F.Cu") (net 36))
- (segment (start 167.7 70.7) (end 167.7 86.55) (width 0.25) (layer "F.Cu") (net 36))
- (segment (start 167.7 86.55) (end 165.265 88.985) (width 0.25) (layer "F.Cu") (net 36))
- (segment (start 119.45 79.375) (end 118.125 79.375) (width 0.25) (layer "F.Cu") (net 37))
- (segment (start 118.125 79.375) (end 117.487339 80.012661) (width 0.25) (layer "F.Cu") (net 37))
- (segment (start 117.487339 80.012661) (end 117.187342 80.012661) (width 0.25) (layer "F.Cu") (net 37))
- (via (at 117.187342 80.012661) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 37))
- (segment (start 134.115 79.475) (end 134.115 78.45) (width 0.25) (layer "F.Cu") (net 37))
- (segment (start 133.7 79.7) (end 133.89 79.7) (width 0.25) (layer "F.Cu") (net 37))
- (segment (start 135.385 79.515) (end 135.385 78.45) (width 0.25) (layer "F.Cu") (net 37))
- (segment (start 135.2 79.7) (end 135.385 79.515) (width 0.25) (layer "F.Cu") (net 37))
- (segment (start 134.115 79.615) (end 134.2 79.7) (width 0.25) (layer "F.Cu") (net 37))
- (segment (start 134.115 78.45) (end 134.115 79.615) (width 0.25) (layer "F.Cu") (net 37))
- (segment (start 133.7 79.7) (end 134.2 79.7) (width 0.25) (layer "F.Cu") (net 37))
- (segment (start 134.2 79.7) (end 135.2 79.7) (width 0.25) (layer "F.Cu") (net 37))
- (segment (start 138.425 76.475) (end 139 76.475) (width 0.25) (layer "F.Cu") (net 37))
- (segment (start 136.335 76.475) (end 138.425 76.475) (width 0.25) (layer "F.Cu") (net 37))
- (segment (start 135.385 77.425) (end 136.335 76.475) (width 0.25) (layer "F.Cu") (net 37))
- (segment (start 135.385 78.45) (end 135.385 77.425) (width 0.25) (layer "F.Cu") (net 37))
- (via (at 129.4 81.200002) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 37))
- (segment (start 118.024692 80.850011) (end 129.050009 80.850011) (width 0.25) (layer "B.Cu") (net 37))
- (segment (start 129.050009 80.850011) (end 129.4 81.200002) (width 0.25) (layer "B.Cu") (net 37))
- (segment (start 117.187342 80.012661) (end 118.024692 80.850011) (width 0.25) (layer "B.Cu") (net 37))
- (segment (start 130.329282 81.200002) (end 129.965685 81.200002) (width 0.25) (layer "F.Cu") (net 37))
- (segment (start 129.965685 81.200002) (end 129.4 81.200002) (width 0.25) (layer "F.Cu") (net 37))
- (segment (start 133.7 79.7) (end 131.829284 79.7) (width 0.25) (layer "F.Cu") (net 37))
- (segment (start 131.829284 79.7) (end 130.329282 81.200002) (width 0.25) (layer "F.Cu") (net 37))
- (segment (start 125.35 81.75) (end 125.35 81.325) (width 0.25) (layer "F.Cu") (net 38))
- (segment (start 156.88141 86.445) (end 154.540964 84.104554) (width 0.25) (layer "F.Cu") (net 38))
- (segment (start 158.7 86.445) (end 156.88141 86.445) (width 0.25) (layer "F.Cu") (net 38))
- (segment (start 154.540964 84.104554) (end 127.394103 84.104552) (width 0.25) (layer "F.Cu") (net 38))
- (segment (start 127.394103 84.104552) (end 126.224978 82.935428) (width 0.25) (layer "F.Cu") (net 38))
- (segment (start 126.224978 82.935428) (end 126.224978 82.624978) (width 0.25) (layer "F.Cu") (net 38))
- (segment (start 126.224978 82.624978) (end 125.35 81.75) (width 0.25) (layer "F.Cu") (net 38))
- (via (at 106.837347 89.262653) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 38))
- (segment (start 106.837347 89.041837) (end 106.837347 89.262653) (width 0.25) (layer "F.Cu") (net 38))
- (segment (start 101.3 86.425) (end 104.22051 86.425) (width 0.25) (layer "F.Cu") (net 38))
- (segment (start 104.22051 86.425) (end 106.837347 89.041837) (width 0.25) (layer "F.Cu") (net 38))
- (via (at 154.2 91.475) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 38))
- (segment (start 160 86.8) (end 159.645 86.445) (width 0.25) (layer "F.Cu") (net 38))
- (segment (start 154.9 90.775) (end 154.9 89.2) (width 0.25) (layer "F.Cu") (net 38))
- (segment (start 154.2 91.475) (end 154.9 90.775) (width 0.25) (layer "F.Cu") (net 38))
- (segment (start 159.645 86.445) (end 158.7 86.445) (width 0.25) (layer "F.Cu") (net 38))
- (segment (start 154.9 89.2) (end 155.740001 88.359999) (width 0.25) (layer "F.Cu") (net 38))
- (segment (start 160 88.2) (end 160 86.8) (width 0.25) (layer "F.Cu") (net 38))
- (segment (start 155.740001 88.359999) (end 159.840001 88.359999) (width 0.25) (layer "F.Cu") (net 38))
- (segment (start 159.840001 88.359999) (end 160 88.2) (width 0.25) (layer "F.Cu") (net 38))
- (segment (start 107.237346 88.862654) (end 106.837347 89.262653) (width 0.25) (layer "B.Cu") (net 38))
- (segment (start 107.737654 88.362346) (end 107.237346 88.862654) (width 0.25) (layer "B.Cu") (net 38))
- (segment (start 110.625001 89.926693) (end 109.060654 88.362346) (width 0.25) (layer "B.Cu") (net 38))
- (segment (start 110.625001 90.425001) (end 110.625001 89.926693) (width 0.25) (layer "B.Cu") (net 38))
- (segment (start 112.5 92.3) (end 110.625001 90.425001) (width 0.25) (layer "B.Cu") (net 38))
- (segment (start 122.850009 90.149991) (end 120.7 92.3) (width 0.25) (layer "B.Cu") (net 38))
- (segment (start 133.58642 90.149991) (end 122.850009 90.149991) (width 0.25) (layer "B.Cu") (net 38))
- (segment (start 154.2 91.475) (end 153.634315 91.475) (width 0.25) (layer "B.Cu") (net 38))
- (segment (start 153.634315 91.475) (end 153.209315 91.9) (width 0.25) (layer "B.Cu") (net 38))
- (segment (start 138.445823 91.9) (end 136.020801 89.474978) (width 0.25) (layer "B.Cu") (net 38))
- (segment (start 134.261433 89.474978) (end 133.58642 90.149991) (width 0.25) (layer "B.Cu") (net 38))
- (segment (start 109.060654 88.362346) (end 107.737654 88.362346) (width 0.25) (layer "B.Cu") (net 38))
- (segment (start 120.7 92.3) (end 112.5 92.3) (width 0.25) (layer "B.Cu") (net 38))
- (segment (start 153.209315 91.9) (end 138.445823 91.9) (width 0.25) (layer "B.Cu") (net 38))
- (segment (start 136.020801 89.474978) (end 134.261433 89.474978) (width 0.25) (layer "B.Cu") (net 38))
- (segment (start 154.9 89.08934) (end 154.9 89.2) (width 0.25) (layer "F.Cu") (net 38))
- (segment (start 154.81066 89) (end 154.9 89.08934) (width 0.25) (layer "F.Cu") (net 38))
- (segment (start 153.75 89) (end 154.81066 89) (width 0.25) (layer "F.Cu") (net 38))
- (segment (start 126.674989 80.374989) (end 126.325 80.025) (width 0.25) (layer "F.Cu") (net 39))
- (segment (start 127.580504 83.654543) (end 126.674989 82.749028) (width 0.25) (layer "F.Cu") (net 39))
- (segment (start 154.727364 83.654544) (end 127.580504 83.654543) (width 0.25) (layer "F.Cu") (net 39))
- (segment (start 126.674989 82.749028) (end 126.674989 80.374989) (width 0.25) (layer "F.Cu") (net 39))
- (segment (start 159.690002 85.8) (end 156.87282 85.8) (width 0.25) (layer "F.Cu") (net 39))
- (segment (start 158.7 90.255) (end 159.15 90.255) (width 0.25) (layer "F.Cu") (net 39))
- (segment (start 159.15 90.255) (end 160.6 88.805) (width 0.25) (layer "F.Cu") (net 39))
- (segment (start 126.325 80.025) (end 125.35 80.025) (width 0.25) (layer "F.Cu") (net 39))
- (segment (start 160.6 86.709998) (end 159.690002 85.8) (width 0.25) (layer "F.Cu") (net 39))
- (segment (start 160.6 88.805) (end 160.6 86.709998) (width 0.25) (layer "F.Cu") (net 39))
- (segment (start 158.7 90.255) (end 157.045 90.255) (width 0.25) (layer "F.Cu") (net 39))
- (via (at 157 90.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 39))
- (segment (start 157.045 90.255) (end 157 90.3) (width 0.25) (layer "F.Cu") (net 39))
- (segment (start 133.40002 89.69998) (end 122.60002 89.69998) (width 0.25) (layer "B.Cu") (net 39))
- (via (at 117.2 91.391621) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 39))
- (segment (start 152.55001 91.44999) (end 138.64999 91.44999) (width 0.25) (layer "B.Cu") (net 39))
- (segment (start 117.599999 91.79162) (end 117.2 91.391621) (width 0.25) (layer "B.Cu") (net 39))
- (segment (start 134.174999 88.925001) (end 133.40002 89.69998) (width 0.25) (layer "B.Cu") (net 39))
- (segment (start 120.50838 91.79162) (end 117.599999 91.79162) (width 0.25) (layer "B.Cu") (net 39))
- (segment (start 136.125001 88.925001) (end 134.174999 88.925001) (width 0.25) (layer "B.Cu") (net 39))
- (segment (start 157 90.3) (end 153.7 90.3) (width 0.25) (layer "B.Cu") (net 39))
- (segment (start 138.64999 91.44999) (end 136.125001 88.925001) (width 0.25) (layer "B.Cu") (net 39))
- (segment (start 153.7 90.3) (end 152.55001 91.44999) (width 0.25) (layer "B.Cu") (net 39))
- (segment (start 122.60002 89.69998) (end 120.50838 91.79162) (width 0.25) (layer "B.Cu") (net 39))
- (segment (start 107.8 92.25) (end 107.1 92.25) (width 0.25) (layer "F.Cu") (net 39))
- (segment (start 108.05 92.5) (end 107.8 92.25) (width 0.25) (layer "F.Cu") (net 39))
- (segment (start 116.77501 91.816611) (end 116.77501 93.6205) (width 0.25) (layer "F.Cu") (net 39))
- (segment (start 116.77501 93.6205) (end 116.4455 93.95001) (width 0.25) (layer "F.Cu") (net 39))
- (segment (start 116.4455 93.95001) (end 114.85001 93.95001) (width 0.25) (layer "F.Cu") (net 39))
- (segment (start 114.85001 93.95001) (end 113.4 92.5) (width 0.25) (layer "F.Cu") (net 39))
- (segment (start 117.2 91.391621) (end 116.77501 91.816611) (width 0.25) (layer "F.Cu") (net 39))
- (segment (start 113.4 92.5) (end 108.05 92.5) (width 0.25) (layer "F.Cu") (net 39))
- (segment (start 156.6 84.85) (end 156.26141 85.18859) (width 0.25) (layer "F.Cu") (net 39))
- (segment (start 156.87282 85.8) (end 156.26141 85.18859) (width 0.25) (layer "F.Cu") (net 39))
- (segment (start 156.6 84.6) (end 156.6 84.85) (width 0.25) (layer "F.Cu") (net 39))
- (segment (start 156.26141 85.18859) (end 154.727364 83.654544) (width 0.25) (layer "F.Cu") (net 39))
- (segment (start 155.37 69.15) (end 155.22 69.3) (width 0.25) (layer "F.Cu") (net 40))
- (segment (start 161.275 69.15) (end 155.37 69.15) (width 0.25) (layer "F.Cu") (net 40))
- (segment (start 160.5909 67.65) (end 160.190879 68.050021) (width 0.25) (layer "F.Cu") (net 41))
- (segment (start 161.275 67.65) (end 160.5909 67.65) (width 0.25) (layer "F.Cu") (net 41))
- (segment (start 145.039979 68.050021) (end 143.79 69.3) (width 0.25) (layer "F.Cu") (net 41))
- (segment (start 160.190879 68.050021) (end 145.039979 68.050021) (width 0.25) (layer "F.Cu") (net 41))
- (segment (start 165.625 67.075) (end 165.625 67.65) (width 0.25) (layer "F.Cu") (net 46))
- (segment (start 164.749989 66.199989) (end 165.625 67.075) (width 0.25) (layer "F.Cu") (net 46))
- (segment (start 144.21718 67.6) (end 144.66718 67.15) (width 0.25) (layer "F.Cu") (net 46))
- (segment (start 123.94 69.3) (end 125.64 67.6) (width 0.25) (layer "F.Cu") (net 46))
- (segment (start 125.64 67.6) (end 144.21718 67.6) (width 0.25) (layer "F.Cu") (net 46))
- (segment (start 159.818079 67.15) (end 160.76809 66.199989) (width 0.25) (layer "F.Cu") (net 46))
- (segment (start 144.66718 67.15) (end 159.818079 67.15) (width 0.25) (layer "F.Cu") (net 46))
- (segment (start 160.76809 66.199989) (end 164.749989 66.199989) (width 0.25) (layer "F.Cu") (net 46))
- (segment (start 136.62 68.05) (end 135.37 69.3) (width 0.25) (layer "F.Cu") (net 47))
- (segment (start 144.40359 68.05) (end 136.62 68.05) (width 0.25) (layer "F.Cu") (net 47))
- (segment (start 160.004479 67.600011) (end 144.853579 67.600011) (width 0.25) (layer "F.Cu") (net 47))
- (segment (start 165.625 69.15) (end 164.4 67.925) (width 0.25) (layer "F.Cu") (net 47))
- (segment (start 164.4 66.95) (end 164.1 66.65) (width 0.25) (layer "F.Cu") (net 47))
- (segment (start 144.853579 67.600011) (end 144.40359 68.05) (width 0.25) (layer "F.Cu") (net 47))
- (segment (start 164.1 66.65) (end 160.95449 66.65) (width 0.25) (layer "F.Cu") (net 47))
- (segment (start 164.4 67.925) (end 164.4 66.95) (width 0.25) (layer "F.Cu") (net 47))
- (segment (start 160.95449 66.65) (end 160.004479 67.600011) (width 0.25) (layer "F.Cu") (net 47))
- (segment (start 163.524072 69.649072) (end 163.025 69.15) (width 0.25) (layer "F.Cu") (net 49))
- (segment (start 166.349967 72.474967) (end 163.524072 69.649072) (width 0.25) (layer "F.Cu") (net 49))
- (segment (start 164.1 85.175) (end 165.325 85.175) (width 0.25) (layer "F.Cu") (net 49))
- (segment (start 166.349967 84.150033) (end 166.349967 72.474967) (width 0.25) (layer "F.Cu") (net 49))
- (segment (start 165.325 85.175) (end 166.349967 84.150033) (width 0.25) (layer "F.Cu") (net 49))
- (via (at 168.75 91.05) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 50))
- (segment (start 164.1 90.255) (end 167.955 90.255) (width 0.25) (layer "F.Cu") (net 50))
- (segment (start 167.955 90.255) (end 168.75 91.05) (width 0.25) (layer "F.Cu") (net 50))
- (via (at 126.8 152.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 50))
- (segment (start 126.8 151.126998) (end 126.8 152.2) (width 0.25) (layer "F.Cu") (net 50))
- (segment (start 128.925 150.2) (end 127.726998 150.2) (width 0.25) (layer "F.Cu") (net 50))
- (segment (start 127.726998 150.2) (end 126.8 151.126998) (width 0.25) (layer "F.Cu") (net 50))
- (segment (start 148.036412 146.6) (end 141.5864 153.050011) (width 0.25) (layer "B.Cu") (net 50))
- (segment (start 153.85 146.6) (end 148.036412 146.6) (width 0.25) (layer "B.Cu") (net 50))
- (segment (start 127.650011 153.050011) (end 126.8 152.2) (width 0.25) (layer "B.Cu") (net 50))
- (segment (start 141.5864 153.050011) (end 127.650011 153.050011) (width 0.25) (layer "B.Cu") (net 50))
- (segment (start 156.550023 143.899977) (end 153.85 146.6) (width 0.25) (layer "B.Cu") (net 50))
- (segment (start 168.75 142.63641) (end 168.75 142.45) (width 0.25) (layer "B.Cu") (net 50))
- (segment (start 167.486435 143.899975) (end 168.75 142.63641) (width 0.25) (layer "B.Cu") (net 50))
- (segment (start 157.100023 143.899977) (end 167.486435 143.899975) (width 0.25) (layer "B.Cu") (net 50))
- (segment (start 157.100023 143.899977) (end 156.550023 143.899977) (width 0.25) (layer "B.Cu") (net 50))
- (segment (start 168.75 91.05) (end 168.75 142.45) (width 0.25) (layer "B.Cu") (net 50))
- (via (at 87.9 152.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 51))
- (segment (start 81.549072 153.374072) (end 81.05 152.875) (width 0.25) (layer "F.Cu") (net 51))
- (segment (start 87.340001 153.375001) (end 81.550001 153.375001) (width 0.25) (layer "F.Cu") (net 51))
- (segment (start 81.550001 153.375001) (end 81.549072 153.374072) (width 0.25) (layer "F.Cu") (net 51))
- (segment (start 87.9 152.3) (end 87.9 152.815002) (width 0.25) (layer "F.Cu") (net 51))
- (segment (start 87.9 152.815002) (end 87.340001 153.375001) (width 0.25) (layer "F.Cu") (net 51))
- (via (at 146.280875 150.685242) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 51))
- (segment (start 146.280875 148.519125) (end 146.280875 150.685242) (width 0.25) (layer "F.Cu") (net 51))
- (segment (start 149 145.8) (end 146.280875 148.519125) (width 0.25) (layer "F.Cu") (net 51))
- (segment (start 158.740364 145.8) (end 149 145.8) (width 0.25) (layer "F.Cu") (net 51))
- (segment (start 167.711421 144.67499) (end 159.865374 144.67499) (width 0.25) (layer "F.Cu") (net 51))
- (segment (start 164.1 91.525) (end 167.125 91.525) (width 0.25) (layer "F.Cu") (net 51))
- (segment (start 159.865374 144.67499) (end 158.740364 145.8) (width 0.25) (layer "F.Cu") (net 51))
- (segment (start 168.7 93.099999) (end 168.7 143.686411) (width 0.25) (layer "F.Cu") (net 51))
- (segment (start 168.7 143.686411) (end 167.711421 144.67499) (width 0.25) (layer "F.Cu") (net 51))
- (segment (start 167.125 91.525) (end 168.7 93.099999) (width 0.25) (layer "F.Cu") (net 51))
- (segment (start 90.000044 154.400044) (end 143.131758 154.400044) (width 0.25) (layer "B.Cu") (net 51))
- (segment (start 143.131758 154.400044) (end 146.280875 151.250927) (width 0.25) (layer "B.Cu") (net 51))
- (segment (start 87.9 152.3) (end 90.000044 154.400044) (width 0.25) (layer "B.Cu") (net 51))
- (segment (start 146.280875 151.250927) (end 146.280875 150.685242) (width 0.25) (layer "B.Cu") (net 51))
- (segment (start 81.1 147.875) (end 82.3 149.075) (width 0.25) (layer "F.Cu") (net 52))
- (via (at 82.3 149.075) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 52))
- (via (at 144.830864 150.830865) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 52))
- (segment (start 168.249989 143.500011) (end 167.525021 144.224979) (width 0.25) (layer "F.Cu") (net 52))
- (segment (start 167.525021 144.224979) (end 159.678974 144.224979) (width 0.25) (layer "F.Cu") (net 52))
- (segment (start 148.8136 145.349989) (end 144.830864 149.332725) (width 0.25) (layer "F.Cu") (net 52))
- (segment (start 159.678974 144.224979) (end 158.553964 145.349989) (width 0.25) (layer "F.Cu") (net 52))
- (segment (start 144.830864 150.26518) (end 144.830864 150.830865) (width 0.25) (layer "F.Cu") (net 52))
- (segment (start 158.553964 145.349989) (end 148.8136 145.349989) (width 0.25) (layer "F.Cu") (net 52))
- (segment (start 168.249989 93.286399) (end 168.249989 143.500011) (width 0.25) (layer "F.Cu") (net 52))
- (segment (start 164.1 92.795) (end 167.75859 92.795) (width 0.25) (layer "F.Cu") (net 52))
- (segment (start 144.830864 149.332725) (end 144.830864 150.26518) (width 0.25) (layer "F.Cu") (net 52))
- (segment (start 167.75859 92.795) (end 168.249989 93.286399) (width 0.25) (layer "F.Cu") (net 52))
- (segment (start 92.500022 153.500022) (end 88.075 149.075) (width 0.25) (layer "B.Cu") (net 52))
- (segment (start 88.075 149.075) (end 82.3 149.075) (width 0.25) (layer "B.Cu") (net 52))
- (segment (start 142.161707 153.500022) (end 92.500022 153.500022) (width 0.25) (layer "B.Cu") (net 52))
- (segment (start 144.830864 150.830865) (end 142.161707 153.500022) (width 0.25) (layer "B.Cu") (net 52))
- (segment (start 159.775001 93.424999) (end 160.499992 94.14999) (width 0.25) (layer "F.Cu") (net 53))
- (segment (start 158.7 91.525) (end 159.15 91.525) (width 0.25) (layer "F.Cu") (net 53))
- (segment (start 159.15 91.525) (end 159.775001 92.150001) (width 0.25) (layer "F.Cu") (net 53))
- (segment (start 159.775001 92.150001) (end 159.775001 93.424999) (width 0.25) (layer "F.Cu") (net 53))
- (via (at 167.4 93.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 53))
- (segment (start 163.8136 94.14999) (end 164.36359 93.6) (width 0.25) (layer "F.Cu") (net 53))
- (segment (start 160.499992 94.14999) (end 163.8136 94.14999) (width 0.25) (layer "F.Cu") (net 53))
- (segment (start 164.36359 93.6) (end 167.4 93.6) (width 0.25) (layer "F.Cu") (net 53))
- (via (at 127.6 151.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 53))
- (segment (start 128.125 151.4) (end 128.925 152.2) (width 0.25) (layer "F.Cu") (net 53))
- (segment (start 127.6 151.4) (end 128.125 151.4) (width 0.25) (layer "F.Cu") (net 53))
- (segment (start 168.249989 94.449989) (end 167.799999 93.999999) (width 0.25) (layer "B.Cu") (net 53))
- (segment (start 168.249989 142.500011) (end 168.249989 94.449989) (width 0.25) (layer "B.Cu") (net 53))
- (segment (start 167.300034 143.449966) (end 168.249989 142.500011) (width 0.25) (layer "B.Cu") (net 53))
- (segment (start 153.6636 146.14999) (end 156.363624 143.449966) (width 0.25) (layer "B.Cu") (net 53))
- (segment (start 147.850012 146.14999) (end 153.6636 146.14999) (width 0.25) (layer "B.Cu") (net 53))
- (segment (start 167.799999 93.999999) (end 167.4 93.6) (width 0.25) (layer "B.Cu") (net 53))
- (segment (start 141.4 152.6) (end 147.850012 146.14999) (width 0.25) (layer "B.Cu") (net 53))
- (segment (start 156.363624 143.449966) (end 167.300034 143.449966) (width 0.25) (layer "B.Cu") (net 53))
- (segment (start 128.8 152.6) (end 141.4 152.6) (width 0.25) (layer "B.Cu") (net 53))
- (segment (start 127.6 151.4) (end 128.8 152.6) (width 0.25) (layer "B.Cu") (net 53))
- (segment (start 163.2 67.65) (end 164.4 68.85) (width 0.25) (layer "F.Cu") (net 54))
- (segment (start 163.025 67.65) (end 163.2 67.65) (width 0.25) (layer "F.Cu") (net 54))
- (segment (start 166.799978 72.288568) (end 166.799978 85.000022) (width 0.25) (layer "F.Cu") (net 54))
- (segment (start 164.4 69.88859) (end 166.799978 72.288568) (width 0.25) (layer "F.Cu") (net 54))
- (segment (start 165.355 86.445) (end 164.1 86.445) (width 0.25) (layer "F.Cu") (net 54))
- (segment (start 164.4 68.85) (end 164.4 69.88859) (width 0.25) (layer "F.Cu") (net 54))
- (segment (start 166.799978 85.000022) (end 165.355 86.445) (width 0.25) (layer "F.Cu") (net 54))
- (segment (start 130.875 152) (end 130.675 152.2) (width 0.25) (layer "F.Cu") (net 55))
- (segment (start 133.47 152) (end 130.875 152) (width 0.25) (layer "F.Cu") (net 55))
- (segment (start 82.795 151.125) (end 83.67 152) (width 0.25) (layer "F.Cu") (net 56))
- (segment (start 81.05 151.125) (end 82.795 151.125) (width 0.25) (layer "F.Cu") (net 56))
- (segment (start 83.67 147) (end 81.975 147) (width 0.25) (layer "F.Cu") (net 57))
- (segment (start 81.975 147) (end 81.1 146.125) (width 0.25) (layer "F.Cu") (net 57))
- (segment (start 133.47 147.405) (end 133.47 147) (width 0.25) (layer "F.Cu") (net 58))
- (segment (start 130.675 150.2) (end 133.47 147.405) (width 0.25) (layer "F.Cu") (net 58))
- (segment (start 115.175 76.775) (end 114.55 77.4) (width 0.25) (layer "F.Cu") (net 59))
- (segment (start 119.45 76.775) (end 115.175 76.775) (width 0.25) (layer "F.Cu") (net 59))
- (segment (start 114.45 77.5) (end 114.55 77.4) (width 0.25) (layer "F.Cu") (net 59))
- (segment (start 114.45 80.45) (end 114.45 77.5) (width 0.25) (layer "F.Cu") (net 59))
- (segment (start 112.25 74.65) (end 112.25 74.5) (width 0.25) (layer "F.Cu") (net 60))
- (segment (start 109.9 77) (end 112.25 74.65) (width 0.25) (layer "F.Cu") (net 60))
- (segment (start 109.2 77) (end 109.9 77) (width 0.25) (layer "F.Cu") (net 60))
- (segment (start 113.5 75.9) (end 112.25 74.65) (width 0.25) (layer "F.Cu") (net 60))
- (segment (start 115.550001 75.9) (end 113.5 75.9) (width 0.25) (layer "F.Cu") (net 60))
- (segment (start 119.45 76.125) (end 115.775001 76.125) (width 0.25) (layer "F.Cu") (net 60))
- (segment (start 115.775001 76.125) (end 115.550001 75.9) (width 0.25) (layer "F.Cu") (net 60))
- (segment (start 114.985002 81.475) (end 110.375 81.475) (width 0.25) (layer "F.Cu") (net 61))
- (segment (start 115.4 81.060002) (end 114.985002 81.475) (width 0.25) (layer "F.Cu") (net 61))
- (segment (start 110.375 81.475) (end 109.8 81.475) (width 0.25) (layer "F.Cu") (net 61))
- (segment (start 119.45 77.425) (end 117.575 77.425) (width 0.25) (layer "F.Cu") (net 61))
- (segment (start 115.4 79.6) (end 115.4 81.060002) (width 0.25) (layer "F.Cu") (net 61))
- (segment (start 117.575 77.425) (end 115.4 79.6) (width 0.25) (layer "F.Cu") (net 61))
- (segment (start 101.425 88.3) (end 101.3 88.175) (width 0.25) (layer "F.Cu") (net 62))
- (segment (start 103.025 88.3) (end 101.425 88.3) (width 0.25) (layer "F.Cu") (net 62))
- (segment (start 101.4 88.275) (end 101.3 88.175) (width 0.25) (layer "F.Cu") (net 62))
- (segment (start 101.4 90.05) (end 101.4 88.275) (width 0.25) (layer "F.Cu") (net 62))
- (segment (start 157.275 64.375) (end 156.49 63.59) (width 0.8) (layer "F.Cu") (net 63))
- (segment (start 159.25 64.375) (end 157.275 64.375) (width 0.8) (layer "F.Cu") (net 63))
- (segment (start 95.5 93.375) (end 94.375 94.5) (width 0.25) (layer "F.Cu") (net 64))
- (segment (start 95.5 91.7) (end 95.5 93.375) (width 0.25) (layer "F.Cu") (net 64))
- (segment (start 96.975 89.85) (end 97.6 89.85) (width 0.25) (layer "F.Cu") (net 64))
- (segment (start 96.4 89.85) (end 96.975 89.85) (width 0.25) (layer "F.Cu") (net 64))
- (segment (start 95.5 90.75) (end 96.4 89.85) (width 0.25) (layer "F.Cu") (net 64))
- (segment (start 95.5 91.7) (end 95.5 90.75) (width 0.25) (layer "F.Cu") (net 64))
- (segment (start 96 94.375) (end 96.125 94.5) (width 0.25) (layer "F.Cu") (net 65))
- (segment (start 96 91.7) (end 96 94.375) (width 0.25) (layer "F.Cu") (net 65))
- (segment (start 96.05 91.75) (end 96 91.7) (width 0.25) (layer "F.Cu") (net 65))
- (segment (start 97.6 91.75) (end 96.05 91.75) (width 0.25) (layer "F.Cu") (net 65))
- (segment (start 101.375 94.5) (end 103.125 94.5) (width 0.25) (layer "F.Cu") (net 66))
- (segment (start 105.1 92.525) (end 105.1 91.3) (width 0.25) (layer "F.Cu") (net 66))
- (segment (start 103.125 94.5) (end 105.1 92.525) (width 0.25) (layer "F.Cu") (net 66))
- (segment (start 102.82 91.95) (end 103.6 91.95) (width 0.25) (layer "F.Cu") (net 67))
- (segment (start 101.07001 93.69999) (end 102.82 91.95) (width 0.25) (layer "F.Cu") (net 67))
- (segment (start 100.42501 93.69999) (end 101.07001 93.69999) (width 0.25) (layer "F.Cu") (net 67))
- (segment (start 99.625 94.5) (end 100.42501 93.69999) (width 0.25) (layer "F.Cu") (net 67))
- (segment (start 104.775 88.875) (end 104.775 88.3) (width 0.25) (layer "F.Cu") (net 67))
- (segment (start 104.775 90.2) (end 104.775 88.875) (width 0.25) (layer "F.Cu") (net 67))
- (segment (start 103.6 91.375) (end 104.775 90.2) (width 0.25) (layer "F.Cu") (net 67))
- (segment (start 103.6 91.95) (end 103.6 91.375) (width 0.25) (layer "F.Cu") (net 67))
- (segment (start 100.62 91.95) (end 101.4 91.95) (width 0.25) (layer "F.Cu") (net 68))
- (segment (start 100.4 91.73) (end 100.62 91.95) (width 0.25) (layer "F.Cu") (net 68))
- (segment (start 99.5 90.125) (end 100.4 91.025) (width 0.25) (layer "F.Cu") (net 68))
- (segment (start 100.4 91.025) (end 100.4 91.73) (width 0.25) (layer "F.Cu") (net 68))
-
- (zone (net 18) (net_name "/VIN") (layer "F.Cu") (tstamp 5C54F5F5) (hatch edge 0.508)
- (priority 2)
- (connect_pads yes (clearance 0.25))
- (min_thickness 0.254)
- (fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 132 133) (xy 132 147) (xy 130 149) (xy 99 149) (xy 93 143)
- (xy 70 143) (xy 70 120) (xy 115 120) (xy 128 133)
- )
- )
- (filled_polygon
- (pts
- (xy 89.733421 124.266579) (xy 89.79055 124.313464) (xy 89.855728 124.348303) (xy 89.926451 124.369756) (xy 90 124.377)
- (xy 100.843842 124.377) (xy 104.733421 128.266579) (xy 104.79055 128.313464) (xy 104.855728 128.348303) (xy 104.926451 128.369756)
- (xy 105 128.377) (xy 112.843842 128.377) (xy 117.733421 133.266579) (xy 117.79055 133.313464) (xy 117.855728 133.348303)
- (xy 117.926451 133.369756) (xy 118 133.377) (xy 128 133.377) (xy 128.073549 133.369756) (xy 128.144272 133.348303)
- (xy 128.20945 133.313464) (xy 128.266579 133.266579) (xy 128.313464 133.20945) (xy 128.348303 133.144272) (xy 128.353542 133.127)
- (xy 131.873 133.127) (xy 131.873 135.894428) (xy 131.831952 135.911431) (xy 131.704691 135.996464) (xy 131.596464 136.104691)
- (xy 131.511431 136.231952) (xy 131.452859 136.373357) (xy 131.423 136.523472) (xy 131.423 136.676528) (xy 131.452859 136.826643)
- (xy 131.511431 136.968048) (xy 131.596464 137.095309) (xy 131.704691 137.203536) (xy 131.831952 137.288569) (xy 131.873 137.305571)
- (xy 131.873 137.32377) (xy 131.814537 137.355019) (xy 131.757131 137.402131) (xy 131.710019 137.459537) (xy 131.675012 137.52503)
- (xy 131.653455 137.596095) (xy 131.646176 137.67) (xy 131.646176 138.52) (xy 131.653455 138.593905) (xy 131.675012 138.66497)
- (xy 131.709772 138.73) (xy 131.675012 138.79503) (xy 131.653455 138.866095) (xy 131.646176 138.94) (xy 131.646176 139.79)
- (xy 131.653455 139.863905) (xy 131.675012 139.93497) (xy 131.709772 140) (xy 131.675012 140.06503) (xy 131.653455 140.136095)
- (xy 131.646176 140.21) (xy 131.646176 141.06) (xy 131.653455 141.133905) (xy 131.675012 141.20497) (xy 131.709772 141.27)
- (xy 131.675012 141.33503) (xy 131.653455 141.406095) (xy 131.646176 141.48) (xy 131.646176 142.33) (xy 131.653455 142.403905)
- (xy 131.675012 142.47497) (xy 131.710019 142.540463) (xy 131.757131 142.597869) (xy 131.814537 142.644981) (xy 131.873 142.67623)
- (xy 131.873 145.79944) (xy 131.870012 145.80503) (xy 131.848455 145.876095) (xy 131.841176 145.95) (xy 131.841176 146.979218)
- (xy 129.947394 148.873) (xy 114.127 148.873) (xy 114.127 147.5) (xy 114.12456 147.475224) (xy 114.117333 147.451399)
- (xy 114.105597 147.429443) (xy 114.089803 147.410197) (xy 112.589803 145.910197) (xy 112.570557 145.894403) (xy 112.548601 145.882667)
- (xy 112.524776 145.87544) (xy 112.5 145.873) (xy 107.5 145.873) (xy 107.475224 145.87544) (xy 107.451399 145.882667)
- (xy 107.429443 145.894403) (xy 107.410197 145.910197) (xy 105.910197 147.410197) (xy 105.894403 147.429443) (xy 105.882667 147.451399)
- (xy 105.87544 147.475224) (xy 105.873 147.5) (xy 105.873 148.873) (xy 99.052606 148.873) (xy 93.089803 142.910197)
- (xy 93.070557 142.894403) (xy 93.048601 142.882667) (xy 93.024776 142.87544) (xy 93 142.873) (xy 70.377 142.873)
- (xy 70.377 120.377) (xy 85.843842 120.377)
- )
- )
- )
- (zone (net 2) (net_name "GND") (layer "F.Cu") (tstamp 5C54F5F2) (hatch edge 0.508)
- (priority 4)
- (connect_pads yes (clearance 0.25))
- (min_thickness 0.254)
- (fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 70 120) (xy 82 120) (xy 84 120) (xy 86 120) (xy 90 124)
- (xy 96 124) (xy 96 95) (xy 90 95) (xy 70 95)
- )
- )
- (filled_polygon
- (pts
- (xy 91.623 96) (xy 91.62544 96.024776) (xy 91.632667 96.048601) (xy 91.644403 96.070557) (xy 91.660197 96.089803)
- (xy 91.679443 96.105597) (xy 91.701399 96.117333) (xy 91.725224 96.12456) (xy 91.75 96.127) (xy 94.373 96.127)
- (xy 94.373 123.873) (xy 90.052606 123.873) (xy 86.089803 119.910197) (xy 86.070557 119.894403) (xy 86.048601 119.882667)
- (xy 86.024776 119.87544) (xy 86 119.873) (xy 72.6895 119.873) (xy 72.6895 95.127) (xy 91.623 95.127)
- )
- )
- )
- (zone (net 19) (net_name "/GND_MEAS") (layer "F.Cu") (tstamp 5C54F5EF) (hatch edge 0.508)
- (priority 3)
- (connect_pads yes (clearance 0.25))
- (min_thickness 0.254)
- (fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 96 95) (xy 96 124) (xy 101 124) (xy 105 128) (xy 113 128)
- (xy 118 133) (xy 128 133) (xy 128 107) (xy 117 107) (xy 114 104)
- (xy 114 98) (xy 114 95)
- )
- )
- (filled_polygon
- (pts
- (xy 98.901693 95.173307) (xy 98.995089 95.249955) (xy 99.101643 95.306909) (xy 99.217261 95.341982) (xy 99.3375 95.353824)
- (xy 99.9125 95.353824) (xy 100.032739 95.341982) (xy 100.148357 95.306909) (xy 100.254911 95.249955) (xy 100.348307 95.173307)
- (xy 100.38631 95.127) (xy 100.61369 95.127) (xy 100.651693 95.173307) (xy 100.745089 95.249955) (xy 100.851643 95.306909)
- (xy 100.967261 95.341982) (xy 101.0875 95.353824) (xy 101.6625 95.353824) (xy 101.782739 95.341982) (xy 101.898357 95.306909)
- (xy 102.004911 95.249955) (xy 102.098307 95.173307) (xy 102.13631 95.127) (xy 102.36369 95.127) (xy 102.401693 95.173307)
- (xy 102.495089 95.249955) (xy 102.601643 95.306909) (xy 102.717261 95.341982) (xy 102.8375 95.353824) (xy 103.4125 95.353824)
- (xy 103.532739 95.341982) (xy 103.648357 95.306909) (xy 103.754911 95.249955) (xy 103.848307 95.173307) (xy 103.88631 95.127)
- (xy 104.11369 95.127) (xy 104.151693 95.173307) (xy 104.245089 95.249955) (xy 104.351643 95.306909) (xy 104.467261 95.341982)
- (xy 104.5875 95.353824) (xy 105.1625 95.353824) (xy 105.282739 95.341982) (xy 105.398357 95.306909) (xy 105.504911 95.249955)
- (xy 105.598307 95.173307) (xy 105.63631 95.127) (xy 105.873 95.127) (xy 105.873 99.5) (xy 105.87544 99.524776)
- (xy 105.882667 99.548601) (xy 105.894403 99.570557) (xy 105.910197 99.589803) (xy 107.410197 101.089803) (xy 107.429443 101.105597)
- (xy 107.451399 101.117333) (xy 107.475224 101.12456) (xy 107.5 101.127) (xy 112.5 101.127) (xy 112.524776 101.12456)
- (xy 112.548601 101.117333) (xy 112.570557 101.105597) (xy 112.589803 101.089803) (xy 113.873 99.806606) (xy 113.873 104)
- (xy 113.87544 104.024776) (xy 113.882667 104.048601) (xy 113.894403 104.070557) (xy 113.910197 104.089803) (xy 116.910197 107.089803)
- (xy 116.929443 107.105597) (xy 116.951399 107.117333) (xy 116.975224 107.12456) (xy 117 107.127) (xy 127.873 107.127)
- (xy 127.873 114.434476) (xy 125.025 114.434476) (xy 124.951095 114.441755) (xy 124.88003 114.463312) (xy 124.814537 114.498319)
- (xy 124.757131 114.545431) (xy 124.710019 114.602837) (xy 124.675012 114.66833) (xy 124.653455 114.739395) (xy 124.646176 114.8133)
- (xy 124.646176 115.6633) (xy 124.653455 115.737205) (xy 124.675012 115.80827) (xy 124.710019 115.873763) (xy 124.757131 115.931169)
- (xy 124.814537 115.978281) (xy 124.88003 116.013288) (xy 124.951095 116.034845) (xy 125.025 116.042124) (xy 127.198 116.042124)
- (xy 127.198 116.203155) (xy 127.096464 116.304691) (xy 127.011431 116.431952) (xy 126.952859 116.573357) (xy 126.923 116.723472)
- (xy 126.923 116.876528) (xy 126.952859 117.026643) (xy 127.011431 117.168048) (xy 127.096464 117.295309) (xy 127.204691 117.403536)
- (xy 127.331952 117.488569) (xy 127.473357 117.547141) (xy 127.623472 117.577) (xy 127.776528 117.577) (xy 127.873 117.557811)
- (xy 127.873 119.130445) (xy 127.864537 119.134969) (xy 127.807131 119.182081) (xy 127.760019 119.239487) (xy 127.725012 119.30498)
- (xy 127.703455 119.376045) (xy 127.696176 119.44995) (xy 127.696176 119.89995) (xy 127.703455 119.973855) (xy 127.711371 119.99995)
- (xy 127.703455 120.026045) (xy 127.696176 120.09995) (xy 127.696176 120.54995) (xy 127.703455 120.623855) (xy 127.711371 120.64995)
- (xy 127.703455 120.676045) (xy 127.696176 120.74995) (xy 127.696176 121.022951) (xy 126.132936 121.022951) (xy 125.747399 120.637415)
- (xy 125.731684 120.618266) (xy 125.655245 120.555533) (xy 125.568036 120.508919) (xy 125.473409 120.480214) (xy 125.399653 120.47295)
- (xy 125.399643 120.47295) (xy 125.379302 120.470947) (xy 125.335463 120.434969) (xy 125.26997 120.399962) (xy 125.198905 120.378405)
- (xy 125.125 120.371126) (xy 123.675 120.371126) (xy 123.601095 120.378405) (xy 123.53003 120.399962) (xy 123.464537 120.434969)
- (xy 123.418257 120.47295) (xy 122.953824 120.47295) (xy 122.953824 120.35) (xy 122.946545 120.276095) (xy 122.924988 120.20503)
- (xy 122.889981 120.139537) (xy 122.842869 120.082131) (xy 122.785463 120.035019) (xy 122.71997 120.000012) (xy 122.648905 119.978455)
- (xy 122.575 119.971176) (xy 121.825 119.971176) (xy 121.751095 119.978455) (xy 121.68003 120.000012) (xy 121.614537 120.035019)
- (xy 121.557131 120.082131) (xy 121.510019 120.139537) (xy 121.475012 120.20503) (xy 121.453455 120.276095) (xy 121.446176 120.35)
- (xy 121.446176 121.55) (xy 121.453455 121.623905) (xy 121.475012 121.69497) (xy 121.510019 121.760463) (xy 121.557131 121.817869)
- (xy 121.614537 121.864981) (xy 121.68003 121.899988) (xy 121.751095 121.921545) (xy 121.825 121.928824) (xy 122.575 121.928824)
- (xy 122.648905 121.921545) (xy 122.71997 121.899988) (xy 122.785463 121.864981) (xy 122.842869 121.817869) (xy 122.889981 121.760463)
- (xy 122.924988 121.69497) (xy 122.946545 121.623905) (xy 122.953824 121.55) (xy 122.953824 121.47695) (xy 123.418257 121.47695)
- (xy 123.464537 121.514931) (xy 123.53003 121.549938) (xy 123.601095 121.571495) (xy 123.675 121.578774) (xy 125.125 121.578774)
- (xy 125.198905 121.571495) (xy 125.247016 121.556901) (xy 125.552604 121.862489) (xy 125.568317 121.881635) (xy 125.587463 121.897348)
- (xy 125.587465 121.89735) (xy 125.625653 121.92869) (xy 125.644756 121.944368) (xy 125.731965 121.990982) (xy 125.826592 122.019687)
- (xy 125.900348 122.026951) (xy 125.900358 122.026951) (xy 125.925001 122.029378) (xy 125.949644 122.026951) (xy 127.873 122.026951)
- (xy 127.873 127.767876) (xy 125.025 127.767876) (xy 124.951095 127.775155) (xy 124.88003 127.796712) (xy 124.814537 127.831719)
- (xy 124.757131 127.878831) (xy 124.710019 127.936237) (xy 124.675012 128.00173) (xy 124.653455 128.072795) (xy 124.646176 128.1467)
- (xy 124.646176 128.9967) (xy 124.653455 129.070605) (xy 124.675012 129.14167) (xy 124.710019 129.207163) (xy 124.757131 129.264569)
- (xy 124.814537 129.311681) (xy 124.88003 129.346688) (xy 124.951095 129.368245) (xy 125.025 129.375524) (xy 127.498 129.375524)
- (xy 127.498 129.403155) (xy 127.396464 129.504691) (xy 127.311431 129.631952) (xy 127.252859 129.773357) (xy 127.223 129.923472)
- (xy 127.223 130.076528) (xy 127.252859 130.226643) (xy 127.311431 130.368048) (xy 127.396464 130.495309) (xy 127.504691 130.603536)
- (xy 127.631952 130.688569) (xy 127.773357 130.747141) (xy 127.873 130.766961) (xy 127.873 132.873) (xy 118.052606 132.873)
- (xy 113.089803 127.910197) (xy 113.070557 127.894403) (xy 113.048601 127.882667) (xy 113.024776 127.87544) (xy 113 127.873)
- (xy 107.791861 127.873) (xy 107.652254 127.779718) (xy 107.401656 127.675917) (xy 107.135623 127.623) (xy 106.864377 127.623)
- (xy 106.598344 127.675917) (xy 106.347746 127.779718) (xy 106.208139 127.873) (xy 105.052606 127.873) (xy 101.089803 123.910197)
- (xy 101.070557 123.894403) (xy 101.048601 123.882667) (xy 101.024776 123.87544) (xy 101 123.873) (xy 96.627 123.873)
- (xy 96.627 95.313388) (xy 96.648357 95.306909) (xy 96.754911 95.249955) (xy 96.848307 95.173307) (xy 96.88631 95.127)
- (xy 98.86369 95.127)
- )
- )
- )
- (zone (net 18) (net_name "/VIN") (layer "F.Cu") (tstamp 5C54F5EC) (hatch edge 0.508)
- (priority 1)
- (connect_pads yes (clearance 0.25))
- (min_thickness 0.254)
- (fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 114 95) (xy 132 95) (xy 132 107) (xy 114 107)
- )
- )
- (filled_polygon
- (pts
- (xy 131.711433 95.131952) (xy 131.652861 95.273357) (xy 131.623002 95.423472) (xy 131.623002 95.576528) (xy 131.652861 95.726643)
- (xy 131.711433 95.868048) (xy 131.796466 95.995309) (xy 131.873 96.071843) (xy 131.873 97.32377) (xy 131.814537 97.355019)
- (xy 131.757131 97.402131) (xy 131.710019 97.459537) (xy 131.675012 97.52503) (xy 131.653455 97.596095) (xy 131.646176 97.67)
- (xy 131.646176 98.52) (xy 131.653455 98.593905) (xy 131.675012 98.66497) (xy 131.709772 98.73) (xy 131.675012 98.79503)
- (xy 131.653455 98.866095) (xy 131.646176 98.94) (xy 131.646176 99.79) (xy 131.653455 99.863905) (xy 131.675012 99.93497)
- (xy 131.709772 100) (xy 131.675012 100.06503) (xy 131.653455 100.136095) (xy 131.646176 100.21) (xy 131.646176 101.06)
- (xy 131.653455 101.133905) (xy 131.675012 101.20497) (xy 131.709772 101.27) (xy 131.675012 101.33503) (xy 131.653455 101.406095)
- (xy 131.646176 101.48) (xy 131.646176 102.33) (xy 131.653455 102.403905) (xy 131.675012 102.47497) (xy 131.710019 102.540463)
- (xy 131.757131 102.597869) (xy 131.814537 102.644981) (xy 131.873 102.67623) (xy 131.873 106.873) (xy 128.353542 106.873)
- (xy 128.348303 106.855728) (xy 128.313464 106.79055) (xy 128.266579 106.733421) (xy 128.20945 106.686536) (xy 128.144272 106.651697)
- (xy 128.073549 106.630244) (xy 128 106.623) (xy 117.156158 106.623) (xy 114.377 103.843842) (xy 114.377 95.127)
- (xy 131.714742 95.127)
- )
- )
- )
- (zone (net 16) (net_name "/OUT_A") (layer "F.Cu") (tstamp 5C54F5E9) (hatch edge 0.508)
- (connect_pads yes (clearance 0.25))
- (min_thickness 0.254)
- (fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 128 95) (xy 170 95) (xy 170 120) (xy 128 120)
- )
- )
- (filled_polygon
- (pts
- (xy 165.811431 95.168048) (xy 165.896464 95.295309) (xy 166.004691 95.403536) (xy 166.131952 95.488569) (xy 166.273357 95.547141)
- (xy 166.423472 95.577) (xy 166.567065 95.577) (xy 166.747989 95.757925) (xy 166.74799 118.842075) (xy 166.417067 119.172999)
- (xy 130.713236 119.172999) (xy 130.688591 119.170572) (xy 130.663945 119.172999) (xy 130.663938 119.172999) (xy 130.599023 119.179392)
- (xy 130.590181 119.180263) (xy 130.495554 119.208968) (xy 130.408345 119.255582) (xy 130.351056 119.302599) (xy 130.351053 119.302602)
- (xy 130.331907 119.318315) (xy 130.316194 119.337461) (xy 130.030655 119.623) (xy 129.903824 119.623) (xy 129.903824 119.44995)
- (xy 129.896545 119.376045) (xy 129.888629 119.34995) (xy 129.896545 119.323855) (xy 129.903824 119.24995) (xy 129.903824 118.79995)
- (xy 129.896545 118.726045) (xy 129.874988 118.65498) (xy 129.839981 118.589487) (xy 129.792869 118.532081) (xy 129.735463 118.484969)
- (xy 129.66997 118.449962) (xy 129.598905 118.428405) (xy 129.525 118.421126) (xy 128.377 118.421126) (xy 128.377 117.185362)
- (xy 128.388569 117.168048) (xy 128.447141 117.026643) (xy 128.477 116.876528) (xy 128.477 116.723472) (xy 128.447141 116.573357)
- (xy 128.388569 116.431952) (xy 128.377 116.414638) (xy 128.377 107.377) (xy 132 107.377) (xy 132.073549 107.369756)
- (xy 132.144272 107.348303) (xy 132.20945 107.313464) (xy 132.266579 107.266579) (xy 132.313464 107.20945) (xy 132.348303 107.144272)
- (xy 132.369756 107.073549) (xy 132.377 107) (xy 132.377 98.898824) (xy 134.975 98.898824) (xy 135.048905 98.891545)
- (xy 135.11997 98.869988) (xy 135.185463 98.834981) (xy 135.242869 98.787869) (xy 135.289981 98.730463) (xy 135.324988 98.66497)
- (xy 135.346545 98.593905) (xy 135.353824 98.52) (xy 135.353824 97.67) (xy 135.346545 97.596095) (xy 135.324988 97.52503)
- (xy 135.289981 97.459537) (xy 135.242869 97.402131) (xy 135.185463 97.355019) (xy 135.11997 97.320012) (xy 135.048905 97.298455)
- (xy 134.975 97.291176) (xy 134.002 97.291176) (xy 134.002 96.807934) (xy 135.307936 95.502) (xy 136.873197 95.502)
- (xy 136.87544 95.524776) (xy 136.882667 95.548601) (xy 136.894403 95.570557) (xy 136.910197 95.589803) (xy 136.929443 95.605597)
- (xy 136.951399 95.617333) (xy 136.975224 95.62456) (xy 137 95.627) (xy 148.873 95.627) (xy 148.873 99.5)
- (xy 148.87544 99.524776) (xy 148.882667 99.548601) (xy 148.894403 99.570557) (xy 148.910197 99.589803) (xy 150.410197 101.089803)
- (xy 150.429443 101.105597) (xy 150.451399 101.117333) (xy 150.475224 101.12456) (xy 150.5 101.127) (xy 155.5 101.127)
- (xy 155.524776 101.12456) (xy 155.548601 101.117333) (xy 155.570557 101.105597) (xy 155.589803 101.089803) (xy 157.089803 99.589803)
- (xy 157.105597 99.570557) (xy 157.117333 99.548601) (xy 157.12456 99.524776) (xy 157.127 99.5) (xy 157.127 95.127)
- (xy 165.794428 95.127)
- )
- )
- )
- (zone (net 17) (net_name "/OUT_B") (layer "F.Cu") (tstamp 5C54F5E6) (hatch edge 0.508)
- (priority 1)
- (connect_pads yes (clearance 0.25))
- (min_thickness 0.254)
- (fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 128 120) (xy 170 120) (xy 170 143) (xy 158 143) (xy 154 147)
- (xy 128 147)
- )
- )
- (filled_polygon
- (pts
- (xy 167.198001 142.873) (xy 158 142.873) (xy 157.975224 142.87544) (xy 157.951399 142.882667) (xy 157.929443 142.894403)
- (xy 157.910197 142.910197) (xy 156.920776 143.899618) (xy 156.831952 143.93641) (xy 156.704691 144.021443) (xy 156.596464 144.12967)
- (xy 156.511431 144.256931) (xy 156.474639 144.345755) (xy 155.972405 144.847989) (xy 148.838242 144.847989) (xy 148.813599 144.845562)
- (xy 148.788956 144.847989) (xy 148.788947 144.847989) (xy 148.715191 144.855253) (xy 148.620564 144.883958) (xy 148.533355 144.930572)
- (xy 148.533353 144.930573) (xy 148.533354 144.930573) (xy 148.503304 144.955235) (xy 148.456916 144.993305) (xy 148.441203 145.012451)
- (xy 146.580654 146.873) (xy 137.258824 146.873) (xy 137.258824 145.95) (xy 137.251545 145.876095) (xy 137.229988 145.80503)
- (xy 137.194981 145.739537) (xy 137.147869 145.682131) (xy 137.090463 145.635019) (xy 137.02497 145.600012) (xy 136.953905 145.578455)
- (xy 136.88 145.571176) (xy 135.38 145.571176) (xy 135.306095 145.578455) (xy 135.23503 145.600012) (xy 135.169537 145.635019)
- (xy 135.112131 145.682131) (xy 135.065019 145.739537) (xy 135.05 145.767635) (xy 135.034981 145.739537) (xy 134.987869 145.682131)
- (xy 134.930463 145.635019) (xy 134.86497 145.600012) (xy 134.793905 145.578455) (xy 134.72 145.571176) (xy 132.377 145.571176)
- (xy 132.377 138.898824) (xy 134.975 138.898824) (xy 135.048905 138.891545) (xy 135.11997 138.869988) (xy 135.185463 138.834981)
- (xy 135.242869 138.787869) (xy 135.289981 138.730463) (xy 135.324988 138.66497) (xy 135.346545 138.593905) (xy 135.353824 138.52)
- (xy 135.353824 137.67) (xy 135.346545 137.596095) (xy 135.324988 137.52503) (xy 135.289981 137.459537) (xy 135.242869 137.402131)
- (xy 135.185463 137.355019) (xy 135.11997 137.320012) (xy 135.048905 137.298455) (xy 134.975 137.291176) (xy 133.601112 137.291176)
- (xy 132.977 136.667065) (xy 132.977 136.523472) (xy 132.947141 136.373357) (xy 132.888569 136.231952) (xy 132.803536 136.104691)
- (xy 132.695309 135.996464) (xy 132.568048 135.911431) (xy 132.426643 135.852859) (xy 132.377 135.842985) (xy 132.377 133)
- (xy 132.369756 132.926451) (xy 132.348303 132.855728) (xy 132.313464 132.79055) (xy 132.266579 132.733421) (xy 132.20945 132.686536)
- (xy 132.144272 132.651697) (xy 132.073549 132.630244) (xy 132 132.623) (xy 128.377 132.623) (xy 128.377 130.682587)
- (xy 128.495309 130.603536) (xy 128.603536 130.495309) (xy 128.688569 130.368048) (xy 128.747141 130.226643) (xy 128.777 130.076528)
- (xy 128.777 129.923472) (xy 128.747141 129.773357) (xy 128.688569 129.631952) (xy 128.603536 129.504691) (xy 128.502 129.403155)
- (xy 128.502 129.046342) (xy 128.504427 129.021699) (xy 128.502 128.997056) (xy 128.502 128.997047) (xy 128.494736 128.923291)
- (xy 128.466031 128.828664) (xy 128.419417 128.741455) (xy 128.377 128.689771) (xy 128.377 122.026951) (xy 131.023408 122.026951)
- (xy 131.048051 122.029378) (xy 131.072694 122.026951) (xy 131.072704 122.026951) (xy 131.14646 122.019687) (xy 131.241087 121.990982)
- (xy 131.328296 121.944368) (xy 131.404735 121.881635) (xy 131.420452 121.862484) (xy 132.407935 120.875002) (xy 167.198 120.875002)
- )
- )
- )
- (zone (net 16) (net_name "/OUT_A") (layer "B.Cu") (tstamp 5C54F5E3) (hatch edge 0.508)
- (connect_pads yes (clearance 0.25))
- (min_thickness 0.254)
- (fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 128 95) (xy 170 95) (xy 170 120) (xy 128 120)
- )
- )
- (filled_polygon
- (pts
- (xy 152.063539 95.248005) (xy 151.739736 95.464364) (xy 151.464364 95.739736) (xy 151.248005 96.063539) (xy 151.098975 96.42333)
- (xy 151.023 96.805282) (xy 151.023 97.194718) (xy 151.098975 97.57667) (xy 151.248005 97.936461) (xy 151.464364 98.260264)
- (xy 151.739736 98.535636) (xy 152.063539 98.751995) (xy 152.42333 98.901025) (xy 152.805282 98.977) (xy 153.194718 98.977)
- (xy 153.57667 98.901025) (xy 153.936461 98.751995) (xy 154.260264 98.535636) (xy 154.535636 98.260264) (xy 154.751995 97.936461)
- (xy 154.901025 97.57667) (xy 154.977 97.194718) (xy 154.977 96.805282) (xy 154.901025 96.42333) (xy 154.751995 96.063539)
- (xy 154.535636 95.739736) (xy 154.260264 95.464364) (xy 153.936461 95.248005) (xy 153.644328 95.127) (xy 164.917066 95.127)
- (xy 167.198001 97.407936) (xy 167.198 119.492065) (xy 167.067065 119.623) (xy 128.552011 119.623) (xy 128.552011 118.467335)
- (xy 129.212549 117.806798) (xy 129.231695 117.791085) (xy 129.294428 117.714646) (xy 129.341042 117.627437) (xy 129.369747 117.53281)
- (xy 129.377011 117.459054) (xy 129.377011 117.459045) (xy 129.379438 117.434402) (xy 129.377011 117.409759) (xy 129.377011 116.199653)
- (xy 129.379438 116.17501) (xy 129.377011 116.150367) (xy 129.377011 116.150358) (xy 129.369747 116.076602) (xy 129.341042 115.981975)
- (xy 129.294428 115.894766) (xy 129.27875 115.875663) (xy 129.24741 115.837475) (xy 129.247408 115.837473) (xy 129.231695 115.818327)
- (xy 129.212549 115.802614) (xy 128.95201 115.542076) (xy 128.95201 108.257924) (xy 129.207915 108.00202) (xy 132.948148 108.002018)
- (xy 132.972801 108.004446) (xy 133.07121 107.994754) (xy 133.165837 107.966049) (xy 133.253046 107.919435) (xy 133.310336 107.872418)
- (xy 133.310339 107.872415) (xy 133.329485 107.856702) (xy 133.345198 107.837556) (xy 134.337543 106.845213) (xy 134.356684 106.829504)
- (xy 134.372392 106.810364) (xy 134.3724 106.810356) (xy 134.419417 106.753066) (xy 134.459748 106.677611) (xy 134.466031 106.665856)
- (xy 134.494736 106.571229) (xy 134.502 106.497473) (xy 134.502 106.497463) (xy 134.504427 106.47282) (xy 134.502 106.448177)
- (xy 134.502 96.307934) (xy 135.607935 95.202) (xy 147.303155 95.202) (xy 147.304691 95.203536) (xy 147.431952 95.288569)
- (xy 147.573357 95.347141) (xy 147.723472 95.377) (xy 147.876528 95.377) (xy 148.026643 95.347141) (xy 148.168048 95.288569)
- (xy 148.295309 95.203536) (xy 148.371845 95.127) (xy 152.355672 95.127)
- )
- )
- )
- (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 0) (hatch edge 0.508)
- (connect_pads yes (clearance 0.508))
- (min_thickness 0.254)
- (keepout (tracks allowed) (vias allowed) (copperpour not_allowed))
- (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 94.5 95) (xy 96.5 95) (xy 96.5 124) (xy 94.5 124)
- )
- )
- )
- (zone (net 2) (net_name "GND") (layer "F.Cu") (tstamp 5CC16178) (hatch edge 0.508)
- (priority 4)
- (connect_pads (clearance 0.25))
- (min_thickness 0.254)
- (fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 70 94) (xy 170 94) (xy 170 55) (xy 70 55)
- )
- )
- (filled_polygon
- (pts
- (xy 106.099397 89.013822) (xy 106.090206 89.03601) (xy 106.060347 89.186125) (xy 106.060347 89.339181) (xy 106.090206 89.489296)
- (xy 106.148778 89.630701) (xy 106.233811 89.757962) (xy 106.295559 89.81971) (xy 106.278455 89.876095) (xy 106.271176 89.95)
- (xy 106.273 90.12875) (xy 106.36725 90.223) (xy 106.973 90.223) (xy 106.973 90.203) (xy 107.227 90.203)
- (xy 107.227 90.223) (xy 107.83275 90.223) (xy 107.927 90.12875) (xy 107.927927 90.037862) (xy 108.123 90.232935)
- (xy 108.123 90.376528) (xy 108.152859 90.526643) (xy 108.211431 90.668048) (xy 108.263678 90.746242) (xy 108.25 90.746176)
- (xy 108.176095 90.753455) (xy 108.10503 90.775012) (xy 108.039537 90.810019) (xy 107.982131 90.857131) (xy 107.935019 90.914537)
- (xy 107.900012 90.98003) (xy 107.878455 91.051095) (xy 107.871176 91.125) (xy 107.873 91.27875) (xy 107.96725 91.373)
- (xy 108.723 91.373) (xy 108.723 91.353) (xy 108.977 91.353) (xy 108.977 91.373) (xy 108.997 91.373)
- (xy 108.997 91.627) (xy 108.977 91.627) (xy 108.977 91.647) (xy 108.723 91.647) (xy 108.723 91.627)
- (xy 107.96725 91.627) (xy 107.896237 91.698013) (xy 107.864981 91.639537) (xy 107.817869 91.582131) (xy 107.760463 91.535019)
- (xy 107.69497 91.500012) (xy 107.623905 91.478455) (xy 107.55 91.471176) (xy 106.65 91.471176) (xy 106.576095 91.478455)
- (xy 106.50503 91.500012) (xy 106.439537 91.535019) (xy 106.382131 91.582131) (xy 106.335019 91.639537) (xy 106.300012 91.70503)
- (xy 106.278455 91.776095) (xy 106.271176 91.85) (xy 106.271176 92.65) (xy 106.278455 92.723905) (xy 106.300012 92.79497)
- (xy 106.335019 92.860463) (xy 106.382131 92.917869) (xy 106.439537 92.964981) (xy 106.50503 92.999988) (xy 106.576095 93.021545)
- (xy 106.65 93.028824) (xy 107.29157 93.028824) (xy 106.447394 93.873) (xy 105.74623 93.873) (xy 105.714981 93.814537)
- (xy 105.667869 93.757131) (xy 105.610463 93.710019) (xy 105.54497 93.675012) (xy 105.473905 93.653455) (xy 105.4 93.646176)
- (xy 105.09625 93.648) (xy 105.002 93.74225) (xy 105.002 93.873) (xy 104.748 93.873) (xy 104.748 93.74225)
- (xy 104.670343 93.664593) (xy 105.437543 92.897393) (xy 105.456684 92.881684) (xy 105.472392 92.862544) (xy 105.4724 92.862536)
- (xy 105.519417 92.805246) (xy 105.551636 92.744967) (xy 105.566031 92.718036) (xy 105.594736 92.623409) (xy 105.602 92.549653)
- (xy 105.602 92.549643) (xy 105.604427 92.525) (xy 105.602 92.500357) (xy 105.602 92.073702) (xy 105.623905 92.071545)
- (xy 105.69497 92.049988) (xy 105.760463 92.014981) (xy 105.817869 91.967869) (xy 105.864981 91.910463) (xy 105.899988 91.84497)
- (xy 105.921545 91.773905) (xy 105.928824 91.7) (xy 105.928824 90.9) (xy 105.921545 90.826095) (xy 105.899988 90.75503)
- (xy 105.8973 90.75) (xy 106.271176 90.75) (xy 106.278455 90.823905) (xy 106.300012 90.89497) (xy 106.335019 90.960463)
- (xy 106.382131 91.017869) (xy 106.439537 91.064981) (xy 106.50503 91.099988) (xy 106.576095 91.121545) (xy 106.65 91.128824)
- (xy 106.87875 91.127) (xy 106.973 91.03275) (xy 106.973 90.477) (xy 107.227 90.477) (xy 107.227 91.03275)
- (xy 107.32125 91.127) (xy 107.55 91.128824) (xy 107.623905 91.121545) (xy 107.69497 91.099988) (xy 107.760463 91.064981)
- (xy 107.817869 91.017869) (xy 107.864981 90.960463) (xy 107.899988 90.89497) (xy 107.921545 90.823905) (xy 107.928824 90.75)
- (xy 107.927 90.57125) (xy 107.83275 90.477) (xy 107.227 90.477) (xy 106.973 90.477) (xy 106.36725 90.477)
- (xy 106.273 90.57125) (xy 106.271176 90.75) (xy 105.8973 90.75) (xy 105.864981 90.689537) (xy 105.817869 90.632131)
- (xy 105.760463 90.585019) (xy 105.69497 90.550012) (xy 105.623905 90.528455) (xy 105.55 90.521176) (xy 105.160825 90.521176)
- (xy 105.182509 90.494755) (xy 105.194417 90.480245) (xy 105.241031 90.393036) (xy 105.269736 90.298409) (xy 105.277 90.224653)
- (xy 105.277 90.224643) (xy 105.279427 90.2) (xy 105.277 90.175357) (xy 105.277 89.113388) (xy 105.298357 89.106909)
- (xy 105.404911 89.049955) (xy 105.498307 88.973307) (xy 105.574955 88.879911) (xy 105.631909 88.773357) (xy 105.666982 88.657739)
- (xy 105.673826 88.58825)
- )
- )
- (filled_polygon
- (pts
- (xy 124.246176 78.3) (xy 124.253455 78.373905) (xy 124.261371 78.4) (xy 124.253455 78.426095) (xy 124.246176 78.5)
- (xy 124.248 78.53275) (xy 124.34225 78.627) (xy 124.437023 78.627) (xy 124.48003 78.649988) (xy 124.551095 78.671545)
- (xy 124.625 78.678824) (xy 126.075 78.678824) (xy 126.148905 78.671545) (xy 126.21997 78.649988) (xy 126.262977 78.627)
- (xy 126.35775 78.627) (xy 126.40775 78.577) (xy 127.100357 78.577) (xy 127.125 78.579427) (xy 127.149643 78.577)
- (xy 127.149653 78.577) (xy 127.223409 78.569736) (xy 127.318036 78.541031) (xy 127.405245 78.494417) (xy 127.481684 78.431684)
- (xy 127.497401 78.412533) (xy 127.861037 78.048898) (xy 127.875012 78.09497) (xy 127.910019 78.160463) (xy 127.957131 78.217869)
- (xy 128.014537 78.264981) (xy 128.08003 78.299988) (xy 128.08007 78.3) (xy 128.08003 78.300012) (xy 128.014537 78.335019)
- (xy 127.957131 78.382131) (xy 127.910019 78.439537) (xy 127.875012 78.50503) (xy 127.853455 78.576095) (xy 127.846176 78.65)
- (xy 127.848 79.02875) (xy 127.942248 79.122998) (xy 127.848 79.122998) (xy 127.848 79.249155) (xy 127.745309 79.146464)
- (xy 127.618048 79.061431) (xy 127.476643 79.002859) (xy 127.326528 78.973) (xy 127.173472 78.973) (xy 127.023357 79.002859)
- (xy 126.881952 79.061431) (xy 126.754691 79.146464) (xy 126.646464 79.254691) (xy 126.561431 79.381952) (xy 126.502859 79.523357)
- (xy 126.497042 79.552601) (xy 126.453824 79.53949) (xy 126.453824 79.15) (xy 126.446545 79.076095) (xy 126.438629 79.05)
- (xy 126.446545 79.023905) (xy 126.453824 78.95) (xy 126.452 78.91725) (xy 126.35775 78.823) (xy 126.262977 78.823)
- (xy 126.21997 78.800012) (xy 126.148905 78.778455) (xy 126.075 78.771176) (xy 124.625 78.771176) (xy 124.551095 78.778455)
- (xy 124.48003 78.800012) (xy 124.437023 78.823) (xy 124.34225 78.823) (xy 124.285903 78.879347) (xy 124.276591 78.880264)
- (xy 124.181964 78.908969) (xy 124.094755 78.955583) (xy 124.094753 78.955584) (xy 124.094754 78.955584) (xy 124.037464 79.0026)
- (xy 124.037456 79.002608) (xy 124.018316 79.018316) (xy 124.002607 79.037457) (xy 123.162463 79.877603) (xy 123.143317 79.893316)
- (xy 123.127604 79.912462) (xy 123.127601 79.912465) (xy 123.080584 79.969755) (xy 123.03397 80.056964) (xy 123.005265 80.151591)
- (xy 122.995573 80.25) (xy 122.998001 80.274653) (xy 122.998 83.392064) (xy 119.712452 86.677613) (xy 119.693306 86.693326)
- (xy 119.677593 86.712472) (xy 119.67759 86.712475) (xy 119.630573 86.769765) (xy 119.583959 86.856974) (xy 119.555254 86.951601)
- (xy 119.545562 87.05001) (xy 119.54799 87.074663) (xy 119.54799 87.524286) (xy 119.528734 87.51631) (xy 119.311 87.473)
- (xy 119.089 87.473) (xy 118.871266 87.51631) (xy 118.666165 87.601266) (xy 118.481579 87.724602) (xy 118.324602 87.881579)
- (xy 118.201266 88.066165) (xy 118.11631 88.271266) (xy 118.073 88.489) (xy 118.073 88.711) (xy 118.11631 88.928734)
- (xy 118.201266 89.133835) (xy 118.324602 89.318421) (xy 118.481579 89.475398) (xy 118.666165 89.598734) (xy 118.772999 89.642986)
- (xy 118.772998 91.123359) (xy 118.770571 91.148002) (xy 118.772998 91.172645) (xy 118.772998 91.172654) (xy 118.780262 91.24641)
- (xy 118.808967 91.341037) (xy 118.855581 91.428247) (xy 118.918314 91.504686) (xy 118.937465 91.520403) (xy 119.859599 92.442538)
- (xy 119.875312 92.461684) (xy 119.894458 92.477397) (xy 119.894461 92.4774) (xy 119.95175 92.524417) (xy 120.038959 92.571031)
- (xy 120.133586 92.599736) (xy 120.142428 92.600607) (xy 120.207343 92.607) (xy 120.20735 92.607) (xy 120.231996 92.609427)
- (xy 120.256641 92.607) (xy 120.805495 92.607) (xy 120.810019 92.615463) (xy 120.857131 92.672869) (xy 120.914537 92.719981)
- (xy 120.98003 92.754988) (xy 121.051095 92.776545) (xy 121.125 92.783824) (xy 122.675 92.783824) (xy 122.748905 92.776545)
- (xy 122.789926 92.764101) (xy 122.867026 92.841201) (xy 122.994287 92.926234) (xy 123.135692 92.984806) (xy 123.285807 93.014665)
- (xy 123.438863 93.014665) (xy 123.588978 92.984806) (xy 123.730383 92.926234) (xy 123.857644 92.841201) (xy 123.874995 92.82385)
- (xy 123.954681 92.903536) (xy 124.081942 92.988569) (xy 124.223347 93.047141) (xy 124.373462 93.077) (xy 124.526518 93.077)
- (xy 124.676633 93.047141) (xy 124.723 93.027935) (xy 124.723 93.101538) (xy 124.752859 93.251653) (xy 124.811431 93.393058)
- (xy 124.896464 93.520319) (xy 125.004691 93.628546) (xy 125.131952 93.713579) (xy 125.273357 93.772151) (xy 125.423472 93.80201)
- (xy 125.576528 93.80201) (xy 125.726643 93.772151) (xy 125.868048 93.713579) (xy 125.995309 93.628546) (xy 126.103536 93.520319)
- (xy 126.188569 93.393058) (xy 126.247141 93.251653) (xy 126.277 93.101538) (xy 126.277 92.948482) (xy 126.247141 92.798367)
- (xy 126.188569 92.656962) (xy 126.127 92.564817) (xy 126.127 91.619711) (xy 126.284712 91.462) (xy 126.337023 91.462)
- (xy 126.35199 91.47) (xy 126.314537 91.490019) (xy 126.257131 91.537131) (xy 126.210019 91.594537) (xy 126.175012 91.66003)
- (xy 126.153455 91.731095) (xy 126.146176 91.805) (xy 126.146176 92.405) (xy 126.153455 92.478905) (xy 126.175012 92.54997)
- (xy 126.210019 92.615463) (xy 126.257131 92.672869) (xy 126.314537 92.719981) (xy 126.38003 92.754988) (xy 126.451095 92.776545)
- (xy 126.525 92.783824) (xy 128.075 92.783824) (xy 128.148905 92.776545) (xy 128.21997 92.754988) (xy 128.285463 92.719981)
- (xy 128.342869 92.672869) (xy 128.389981 92.615463) (xy 128.394505 92.607) (xy 129.195185 92.607) (xy 129.189537 92.610019)
- (xy 129.132131 92.657131) (xy 129.085019 92.714537) (xy 129.050012 92.78003) (xy 129.028455 92.851095) (xy 129.021176 92.925)
- (xy 129.023 93.07875) (xy 129.11725 93.173) (xy 129.873 93.173) (xy 129.873 92.64225) (xy 129.77875 92.548)
- (xy 129.657219 92.547415) (xy 129.700245 92.524417) (xy 129.776684 92.461684) (xy 129.792401 92.442533) (xy 129.88111 92.353824)
- (xy 130.3125 92.353824) (xy 130.432739 92.341982) (xy 130.548357 92.306909) (xy 130.654911 92.249955) (xy 130.748307 92.173307)
- (xy 130.824955 92.079911) (xy 130.881909 91.973357) (xy 130.9 91.91372) (xy 130.918091 91.973357) (xy 130.975045 92.079911)
- (xy 131.051693 92.173307) (xy 131.145089 92.249955) (xy 131.251643 92.306909) (xy 131.367261 92.341982) (xy 131.398001 92.345009)
- (xy 131.398 92.546176) (xy 131.3 92.546176) (xy 131.226095 92.553455) (xy 131.15503 92.575012) (xy 131.089537 92.610019)
- (xy 131.032131 92.657131) (xy 130.985019 92.714537) (xy 130.950012 92.78003) (xy 130.95 92.78007) (xy 130.949988 92.78003)
- (xy 130.914981 92.714537) (xy 130.867869 92.657131) (xy 130.810463 92.610019) (xy 130.74497 92.575012) (xy 130.673905 92.553455)
- (xy 130.6 92.546176) (xy 130.22125 92.548) (xy 130.127 92.64225) (xy 130.127 93.173) (xy 130.147 93.173)
- (xy 130.147 93.427) (xy 130.127 93.427) (xy 130.127 93.447) (xy 129.873 93.447) (xy 129.873 93.427)
- (xy 129.11725 93.427) (xy 129.023 93.52125) (xy 129.021176 93.675) (xy 129.028455 93.748905) (xy 129.050012 93.81997)
- (xy 129.078357 93.873) (xy 118.502 93.873) (xy 118.502 93.679313) (xy 118.531909 93.623357) (xy 118.566982 93.507739)
- (xy 118.578824 93.3875) (xy 118.578824 92.9125) (xy 118.566982 92.792261) (xy 118.531909 92.676643) (xy 118.474955 92.570089)
- (xy 118.398307 92.476693) (xy 118.304911 92.400045) (xy 118.198357 92.343091) (xy 118.082739 92.308018) (xy 117.9625 92.296176)
- (xy 117.3875 92.296176) (xy 117.27701 92.307058) (xy 117.27701 92.168525) (xy 117.426643 92.138762) (xy 117.568048 92.08019)
- (xy 117.695309 91.995157) (xy 117.803536 91.88693) (xy 117.888569 91.759669) (xy 117.947141 91.618264) (xy 117.977 91.468149)
- (xy 117.977 91.315093) (xy 117.947141 91.164978) (xy 117.888569 91.023573) (xy 117.803536 90.896312) (xy 117.695309 90.788085)
- (xy 117.568048 90.703052) (xy 117.426643 90.64448) (xy 117.276528 90.614621) (xy 117.123472 90.614621) (xy 116.973357 90.64448)
- (xy 116.831952 90.703052) (xy 116.704691 90.788085) (xy 116.596464 90.896312) (xy 116.511431 91.023573) (xy 116.452859 91.164978)
- (xy 116.423 91.315093) (xy 116.423 91.456091) (xy 116.418326 91.459927) (xy 116.355593 91.536366) (xy 116.308979 91.623576)
- (xy 116.280274 91.718203) (xy 116.27301 91.791959) (xy 116.27301 91.791968) (xy 116.270583 91.816611) (xy 116.27301 91.841254)
- (xy 116.27301 92.302135) (xy 116.2125 92.296176) (xy 115.748873 92.296176) (xy 115.760463 92.289981) (xy 115.817869 92.242869)
- (xy 115.864981 92.185463) (xy 115.899988 92.11997) (xy 115.921545 92.048905) (xy 115.928824 91.975) (xy 115.927 91.72125)
- (xy 115.83275 91.627) (xy 115.152 91.627) (xy 115.152 92.25775) (xy 115.24625 92.352) (xy 115.383434 92.352824)
- (xy 115.295089 92.400045) (xy 115.201693 92.476693) (xy 115.125045 92.570089) (xy 115.100655 92.61572) (xy 114.820342 92.335408)
- (xy 114.898 92.25775) (xy 114.898 91.627) (xy 114.878 91.627) (xy 114.878 91.373) (xy 114.898 91.373)
- (xy 114.898 90.74225) (xy 115.152 90.74225) (xy 115.152 91.373) (xy 115.83275 91.373) (xy 115.927 91.27875)
- (xy 115.928824 91.025) (xy 115.921545 90.951095) (xy 115.899988 90.88003) (xy 115.864981 90.814537) (xy 115.817869 90.757131)
- (xy 115.760463 90.710019) (xy 115.69497 90.675012) (xy 115.623905 90.653455) (xy 115.55 90.646176) (xy 115.24625 90.648)
- (xy 115.152 90.74225) (xy 114.898 90.74225) (xy 114.80375 90.648) (xy 114.5 90.646176) (xy 114.426095 90.653455)
- (xy 114.35503 90.675012) (xy 114.289537 90.710019) (xy 114.232131 90.757131) (xy 114.185019 90.814537) (xy 114.150012 90.88003)
- (xy 114.128455 90.951095) (xy 114.122709 91.009432) (xy 114.074955 90.920089) (xy 113.998307 90.826693) (xy 113.904911 90.750045)
- (xy 113.798357 90.693091) (xy 113.682739 90.658018) (xy 113.5625 90.646176) (xy 113.13111 90.646176) (xy 112.8619 90.376966)
- (xy 114.273213 90.376966) (xy 114.297856 90.379393) (xy 114.322499 90.376966) (xy 114.322509 90.376966) (xy 114.396265 90.369702)
- (xy 114.490892 90.340997) (xy 114.578101 90.294383) (xy 114.65454 90.23165) (xy 114.670258 90.212498) (xy 121.28755 83.595207)
- (xy 121.306696 83.579494) (xy 121.325868 83.556134) (xy 121.363349 83.510463) (xy 121.369429 83.503055) (xy 121.416043 83.415846)
- (xy 121.444748 83.321219) (xy 121.452012 83.247463) (xy 121.452012 83.247454) (xy 121.454439 83.222811) (xy 121.452012 83.198168)
- (xy 121.452012 80.057922) (xy 123.582935 77.927) (xy 124.246176 77.927)
- )
- )
- (filled_polygon
- (pts
- (xy 124.498774 82.980711) (xy 124.583807 83.107972) (xy 124.692034 83.216199) (xy 124.819295 83.301232) (xy 124.9607 83.359804)
- (xy 125.110815 83.389663) (xy 125.254409 83.389663) (xy 126.756851 84.892107) (xy 126.77256 84.911248) (xy 126.7917 84.926956)
- (xy 126.791708 84.926964) (xy 126.848998 84.973981) (xy 126.889359 84.995554) (xy 126.936208 85.020595) (xy 127.030835 85.0493)
- (xy 127.104591 85.056564) (xy 127.104601 85.056564) (xy 127.129244 85.058991) (xy 127.153887 85.056564) (xy 154.14663 85.056564)
- (xy 154.525664 85.435598) (xy 154.371266 85.46631) (xy 154.166165 85.551266) (xy 153.981579 85.674602) (xy 153.824602 85.831579)
- (xy 153.701266 86.016165) (xy 153.61631 86.221266) (xy 153.573 86.439) (xy 153.573 86.661) (xy 153.61631 86.878734)
- (xy 153.701266 87.083835) (xy 153.824602 87.268421) (xy 153.981579 87.425398) (xy 154.166165 87.548734) (xy 154.371266 87.63369)
- (xy 154.589 87.677) (xy 154.811 87.677) (xy 155.028734 87.63369) (xy 155.233835 87.548734) (xy 155.418421 87.425398)
- (xy 155.575398 87.268421) (xy 155.698734 87.083835) (xy 155.78369 86.878734) (xy 155.814402 86.724336) (xy 156.948064 87.857999)
- (xy 155.764644 87.857999) (xy 155.740001 87.855572) (xy 155.715358 87.857999) (xy 155.715348 87.857999) (xy 155.641592 87.865263)
- (xy 155.546965 87.893968) (xy 155.459756 87.940582) (xy 155.459754 87.940583) (xy 155.459755 87.940583) (xy 155.402465 87.987599)
- (xy 155.402457 87.987607) (xy 155.383317 88.003315) (xy 155.367608 88.022456) (xy 154.886977 88.503088) (xy 154.835313 88.498)
- (xy 154.835303 88.498) (xy 154.81066 88.495573) (xy 154.786017 88.498) (xy 154.761921 88.498) (xy 154.748734 88.466165)
- (xy 154.625398 88.281579) (xy 154.468421 88.124602) (xy 154.283835 88.001266) (xy 154.078734 87.91631) (xy 153.861 87.873)
- (xy 153.639 87.873) (xy 153.421266 87.91631) (xy 153.216165 88.001266) (xy 153.031579 88.124602) (xy 152.874602 88.281579)
- (xy 152.751266 88.466165) (xy 152.66631 88.671266) (xy 152.623 88.889) (xy 152.623 89.111) (xy 152.66631 89.328734)
- (xy 152.751266 89.533835) (xy 152.874602 89.718421) (xy 153.031579 89.875398) (xy 153.216165 89.998734) (xy 153.421266 90.08369)
- (xy 153.639 90.127) (xy 153.861 90.127) (xy 154.078734 90.08369) (xy 154.283835 89.998734) (xy 154.398001 89.922451)
- (xy 154.398 90.567065) (xy 154.267065 90.698) (xy 154.123472 90.698) (xy 153.973357 90.727859) (xy 153.831952 90.786431)
- (xy 153.704691 90.871464) (xy 153.596464 90.979691) (xy 153.511431 91.106952) (xy 153.452859 91.248357) (xy 153.423 91.398472)
- (xy 153.423 91.551528) (xy 153.452859 91.701643) (xy 153.511431 91.843048) (xy 153.596464 91.970309) (xy 153.704691 92.078536)
- (xy 153.831952 92.163569) (xy 153.973357 92.222141) (xy 154.123472 92.252) (xy 154.276528 92.252) (xy 154.426643 92.222141)
- (xy 154.568048 92.163569) (xy 154.695309 92.078536) (xy 154.803536 91.970309) (xy 154.888569 91.843048) (xy 154.947141 91.701643)
- (xy 154.977 91.551528) (xy 154.977 91.407935) (xy 155.237538 91.147397) (xy 155.247673 91.139079) (xy 155.246176 91.45)
- (xy 155.253455 91.523905) (xy 155.275012 91.59497) (xy 155.310019 91.660463) (xy 155.357131 91.717869) (xy 155.414537 91.764981)
- (xy 155.48003 91.799988) (xy 155.48007 91.8) (xy 155.48003 91.800012) (xy 155.414537 91.835019) (xy 155.357131 91.882131)
- (xy 155.310019 91.939537) (xy 155.275012 92.00503) (xy 155.253455 92.076095) (xy 155.246176 92.15) (xy 155.246176 92.873)
- (xy 150.5 92.873) (xy 150.475224 92.87544) (xy 150.451399 92.882667) (xy 150.429443 92.894403) (xy 150.410197 92.910197)
- (xy 149.447394 93.873) (xy 148.701999 93.873) (xy 148.701999 91.049644) (xy 148.704426 91.024999) (xy 148.701999 91.000353)
- (xy 148.701999 91.000346) (xy 148.694735 90.92659) (xy 148.694735 90.926589) (xy 148.66603 90.831962) (xy 148.619416 90.744753)
- (xy 148.572399 90.687464) (xy 148.572396 90.687461) (xy 148.556683 90.668315) (xy 148.537537 90.652602) (xy 147.778824 89.89389)
- (xy 147.778824 89.4625) (xy 147.766982 89.342261) (xy 147.731909 89.226643) (xy 147.674955 89.120089) (xy 147.598307 89.026693)
- (xy 147.504911 88.950045) (xy 147.452 88.921764) (xy 147.452 88.653824) (xy 147.55 88.653824) (xy 147.623905 88.646545)
- (xy 147.69497 88.624988) (xy 147.760463 88.589981) (xy 147.817869 88.542869) (xy 147.864981 88.485463) (xy 147.899988 88.41997)
- (xy 147.921545 88.348905) (xy 147.928824 88.275) (xy 147.928824 87.525) (xy 147.921545 87.451095) (xy 147.899988 87.38003)
- (xy 147.864981 87.314537) (xy 147.817869 87.257131) (xy 147.760463 87.210019) (xy 147.69497 87.175012) (xy 147.623905 87.153455)
- (xy 147.55 87.146176) (xy 146.35 87.146176) (xy 146.276095 87.153455) (xy 146.20503 87.175012) (xy 146.139537 87.210019)
- (xy 146.082131 87.257131) (xy 146.035019 87.314537) (xy 146.000012 87.38003) (xy 146 87.38007) (xy 145.999988 87.38003)
- (xy 145.964981 87.314537) (xy 145.917869 87.257131) (xy 145.860463 87.210019) (xy 145.79497 87.175012) (xy 145.723905 87.153455)
- (xy 145.65 87.146176) (xy 145.27125 87.148) (xy 145.177 87.24225) (xy 145.177 87.773) (xy 145.197 87.773)
- (xy 145.197 88.027) (xy 145.177 88.027) (xy 145.177 88.55775) (xy 145.27125 88.652) (xy 145.65 88.653824)
- (xy 145.723905 88.646545) (xy 145.79497 88.624988) (xy 145.860463 88.589981) (xy 145.917869 88.542869) (xy 145.964981 88.485463)
- (xy 145.999988 88.41997) (xy 146 88.41993) (xy 146.000012 88.41997) (xy 146.035019 88.485463) (xy 146.082131 88.542869)
- (xy 146.139537 88.589981) (xy 146.20503 88.624988) (xy 146.276095 88.646545) (xy 146.35 88.653824) (xy 146.448 88.653824)
- (xy 146.448001 88.863861) (xy 146.351643 88.893091) (xy 146.245089 88.950045) (xy 146.151693 89.026693) (xy 146.075045 89.120089)
- (xy 146.018091 89.226643) (xy 146 89.28628) (xy 145.981909 89.226643) (xy 145.924955 89.120089) (xy 145.848307 89.026693)
- (xy 145.754911 88.950045) (xy 145.648357 88.893091) (xy 145.532739 88.858018) (xy 145.4125 88.846176) (xy 144.8375 88.846176)
- (xy 144.717261 88.858018) (xy 144.601643 88.893091) (xy 144.495089 88.950045) (xy 144.401693 89.026693) (xy 144.371897 89.063)
- (xy 143.394505 89.063) (xy 143.389981 89.054537) (xy 143.342869 88.997131) (xy 143.285463 88.950019) (xy 143.24801 88.93)
- (xy 143.285463 88.909981) (xy 143.342869 88.862869) (xy 143.389981 88.805463) (xy 143.424988 88.73997) (xy 143.446545 88.668905)
- (xy 143.453824 88.595) (xy 143.453824 88.275) (xy 144.071176 88.275) (xy 144.078455 88.348905) (xy 144.100012 88.41997)
- (xy 144.135019 88.485463) (xy 144.182131 88.542869) (xy 144.239537 88.589981) (xy 144.30503 88.624988) (xy 144.376095 88.646545)
- (xy 144.45 88.653824) (xy 144.82875 88.652) (xy 144.923 88.55775) (xy 144.923 88.027) (xy 144.16725 88.027)
- (xy 144.073 88.12125) (xy 144.071176 88.275) (xy 143.453824 88.275) (xy 143.453824 87.995) (xy 143.446545 87.921095)
- (xy 143.424988 87.85003) (xy 143.389981 87.784537) (xy 143.342869 87.727131) (xy 143.285463 87.680019) (xy 143.21997 87.645012)
- (xy 143.148905 87.623455) (xy 143.075 87.616176) (xy 141.525 87.616176) (xy 141.451095 87.623455) (xy 141.38003 87.645012)
- (xy 141.314537 87.680019) (xy 141.257131 87.727131) (xy 141.210019 87.784537) (xy 141.175012 87.85003) (xy 141.153455 87.921095)
- (xy 141.146176 87.995) (xy 141.146176 88.595) (xy 141.153455 88.668905) (xy 141.175012 88.73997) (xy 141.210019 88.805463)
- (xy 141.257131 88.862869) (xy 141.314537 88.909981) (xy 141.35199 88.93) (xy 141.314537 88.950019) (xy 141.257131 88.997131)
- (xy 141.210019 89.054537) (xy 141.175012 89.12003) (xy 141.153455 89.191095) (xy 141.146176 89.265) (xy 141.146176 89.865)
- (xy 141.153455 89.938905) (xy 141.175012 90.00997) (xy 141.210019 90.075463) (xy 141.257131 90.132869) (xy 141.314537 90.179981)
- (xy 141.35199 90.2) (xy 141.337023 90.208) (xy 141.055794 90.208) (xy 141.025 90.204967) (xy 140.994206 90.208)
- (xy 140.902087 90.217073) (xy 140.783897 90.252925) (xy 140.674972 90.311147) (xy 140.579499 90.389499) (xy 140.559866 90.413422)
- (xy 140.028427 90.944862) (xy 140.004499 90.964499) (xy 139.926147 91.059973) (xy 139.867925 91.168898) (xy 139.84184 91.254891)
- (xy 139.832073 91.287088) (xy 139.819967 91.41) (xy 139.823 91.440794) (xy 139.823 91.530794) (xy 139.823001 91.530804)
- (xy 139.823 93.344206) (xy 139.823 93.498835) (xy 139.776095 93.503455) (xy 139.70503 93.525012) (xy 139.639537 93.560019)
- (xy 139.582131 93.607131) (xy 139.535019 93.664537) (xy 139.500012 93.73003) (xy 139.5 93.73007) (xy 139.499988 93.73003)
- (xy 139.464981 93.664537) (xy 139.417869 93.607131) (xy 139.360463 93.560019) (xy 139.29497 93.525012) (xy 139.223905 93.503455)
- (xy 139.15 93.496176) (xy 138.77125 93.498) (xy 138.677 93.59225) (xy 138.677 93.873) (xy 138.423 93.873)
- (xy 138.423 93.59225) (xy 138.32875 93.498) (xy 137.95 93.496176) (xy 137.876095 93.503455) (xy 137.80503 93.525012)
- (xy 137.739537 93.560019) (xy 137.682131 93.607131) (xy 137.635019 93.664537) (xy 137.600012 93.73003) (xy 137.578455 93.801095)
- (xy 137.571373 93.873) (xy 136.265354 93.873) (xy 136.28369 93.828734) (xy 136.327 93.611) (xy 136.327 93.389)
- (xy 136.28369 93.171266) (xy 136.198734 92.966165) (xy 136.075398 92.781579) (xy 136.072469 92.77865) (xy 136.125 92.783824)
- (xy 137.675 92.783824) (xy 137.748905 92.776545) (xy 137.81997 92.754988) (xy 137.885463 92.719981) (xy 137.942869 92.672869)
- (xy 137.989981 92.615463) (xy 138.024988 92.54997) (xy 138.046545 92.478905) (xy 138.053824 92.405) (xy 138.053824 91.805)
- (xy 138.046545 91.731095) (xy 138.024988 91.66003) (xy 137.989981 91.594537) (xy 137.942869 91.537131) (xy 137.885463 91.490019)
- (xy 137.84801 91.47) (xy 137.885463 91.449981) (xy 137.942869 91.402869) (xy 137.989981 91.345463) (xy 138.024988 91.27997)
- (xy 138.046545 91.208905) (xy 138.053824 91.135) (xy 138.052 91.05625) (xy 137.95775 90.962) (xy 137.027 90.962)
- (xy 137.027 90.982) (xy 136.773 90.982) (xy 136.773 90.962) (xy 136.753 90.962) (xy 136.753 90.708)
- (xy 136.773 90.708) (xy 136.773 90.688) (xy 137.027 90.688) (xy 137.027 90.708) (xy 137.95775 90.708)
- (xy 138.052 90.61375) (xy 138.053824 90.535) (xy 138.046545 90.461095) (xy 138.024988 90.39003) (xy 137.989981 90.324537)
- (xy 137.942869 90.267131) (xy 137.885463 90.220019) (xy 137.84801 90.2) (xy 137.885463 90.179981) (xy 137.942869 90.132869)
- (xy 137.989981 90.075463) (xy 138.024988 90.00997) (xy 138.046545 89.938905) (xy 138.053824 89.865) (xy 138.053824 89.596111)
- (xy 138.862543 88.787393) (xy 138.881684 88.771684) (xy 138.897392 88.752544) (xy 138.8974 88.752536) (xy 138.944417 88.695246)
- (xy 138.991031 88.608037) (xy 139.019736 88.51341) (xy 139.02214 88.489) (xy 139.027 88.439653) (xy 139.027 88.439646)
- (xy 139.029427 88.415) (xy 139.027 88.390355) (xy 139.027 87.525) (xy 144.071176 87.525) (xy 144.073 87.67875)
- (xy 144.16725 87.773) (xy 144.923 87.773) (xy 144.923 87.24225) (xy 144.82875 87.148) (xy 144.45 87.146176)
- (xy 144.376095 87.153455) (xy 144.30503 87.175012) (xy 144.239537 87.210019) (xy 144.182131 87.257131) (xy 144.135019 87.314537)
- (xy 144.100012 87.38003) (xy 144.078455 87.451095) (xy 144.071176 87.525) (xy 139.027 87.525) (xy 139.027 87.213388)
- (xy 139.048357 87.206909) (xy 139.154911 87.149955) (xy 139.248307 87.073307) (xy 139.324955 86.979911) (xy 139.372709 86.890568)
- (xy 139.378455 86.948905) (xy 139.400012 87.01997) (xy 139.435019 87.085463) (xy 139.482131 87.142869) (xy 139.539537 87.189981)
- (xy 139.60503 87.224988) (xy 139.676095 87.246545) (xy 139.75 87.253824) (xy 140.05375 87.252) (xy 140.148 87.15775)
- (xy 140.148 86.527) (xy 140.402 86.527) (xy 140.402 87.15775) (xy 140.49625 87.252) (xy 140.8 87.253824)
- (xy 140.873905 87.246545) (xy 140.94497 87.224988) (xy 141.010463 87.189981) (xy 141.067869 87.142869) (xy 141.114981 87.085463)
- (xy 141.149988 87.01997) (xy 141.171545 86.948905) (xy 141.178824 86.875) (xy 141.177 86.62125) (xy 141.08275 86.527)
- (xy 140.402 86.527) (xy 140.148 86.527) (xy 140.128 86.527) (xy 140.128 86.273) (xy 140.148 86.273)
- (xy 140.148 85.64225) (xy 140.402 85.64225) (xy 140.402 86.273) (xy 141.08275 86.273) (xy 141.177 86.17875)
- (xy 141.178824 85.925) (xy 141.171545 85.851095) (xy 141.149988 85.78003) (xy 141.114981 85.714537) (xy 141.067869 85.657131)
- (xy 141.010463 85.610019) (xy 140.94497 85.575012) (xy 140.873905 85.553455) (xy 140.8 85.546176) (xy 140.49625 85.548)
- (xy 140.402 85.64225) (xy 140.148 85.64225) (xy 140.05375 85.548) (xy 139.75 85.546176) (xy 139.676095 85.553455)
- (xy 139.60503 85.575012) (xy 139.539537 85.610019) (xy 139.482131 85.657131) (xy 139.435019 85.714537) (xy 139.400012 85.78003)
- (xy 139.378455 85.851095) (xy 139.372709 85.909432) (xy 139.324955 85.820089) (xy 139.248307 85.726693) (xy 139.154911 85.650045)
- (xy 139.048357 85.593091) (xy 138.932739 85.558018) (xy 138.8125 85.546176) (xy 138.2375 85.546176) (xy 138.117261 85.558018)
- (xy 138.001643 85.593091) (xy 137.895089 85.650045) (xy 137.801693 85.726693) (xy 137.725045 85.820089) (xy 137.668091 85.926643)
- (xy 137.633018 86.042261) (xy 137.621176 86.1625) (xy 137.621176 86.6375) (xy 137.633018 86.757739) (xy 137.668091 86.873357)
- (xy 137.725045 86.979911) (xy 137.801693 87.073307) (xy 137.895089 87.149955) (xy 138.001643 87.206909) (xy 138.023001 87.213388)
- (xy 138.023 87.846311) (xy 137.989981 87.784537) (xy 137.942869 87.727131) (xy 137.885463 87.680019) (xy 137.81997 87.645012)
- (xy 137.748905 87.623455) (xy 137.675 87.616176) (xy 136.797273 87.616176) (xy 136.80864 87.611798) (xy 136.858694 87.585043)
- (xy 136.938805 87.41841) (xy 136.3 86.779605) (xy 135.661195 87.41841) (xy 135.741306 87.585043) (xy 135.933017 87.670141)
- (xy 135.914537 87.680019) (xy 135.857131 87.727131) (xy 135.810019 87.784537) (xy 135.775012 87.85003) (xy 135.753455 87.921095)
- (xy 135.746176 87.995) (xy 135.746176 88.595) (xy 135.753455 88.668905) (xy 135.775012 88.73997) (xy 135.810019 88.805463)
- (xy 135.857131 88.862869) (xy 135.914537 88.909981) (xy 135.95199 88.93) (xy 135.914537 88.950019) (xy 135.857131 88.997131)
- (xy 135.810019 89.054537) (xy 135.775012 89.12003) (xy 135.773562 89.124812) (xy 135.743374 89.133969) (xy 135.723807 89.144428)
- (xy 135.656164 89.180583) (xy 135.598874 89.2276) (xy 135.598866 89.227608) (xy 135.579726 89.243316) (xy 135.564017 89.262457)
- (xy 134.962462 89.864013) (xy 134.943317 89.879726) (xy 134.927604 89.898872) (xy 134.927601 89.898875) (xy 134.880584 89.956165)
- (xy 134.852572 90.008573) (xy 134.853824 89.8) (xy 134.846545 89.726095) (xy 134.824988 89.65503) (xy 134.789981 89.589537)
- (xy 134.742869 89.532131) (xy 134.685463 89.485019) (xy 134.61997 89.450012) (xy 134.548905 89.428455) (xy 134.475 89.421176)
- (xy 134.22125 89.423) (xy 134.127 89.51725) (xy 134.127 90.198) (xy 134.147 90.198) (xy 134.147 90.452)
- (xy 134.127 90.452) (xy 134.127 90.472) (xy 133.873 90.472) (xy 133.873 90.452) (xy 133.24225 90.452)
- (xy 133.239795 90.454455) (xy 133.237538 90.452603) (xy 132.678824 89.89389) (xy 132.678824 89.8) (xy 133.146176 89.8)
- (xy 133.148 90.10375) (xy 133.24225 90.198) (xy 133.873 90.198) (xy 133.873 89.51725) (xy 133.77875 89.423)
- (xy 133.525 89.421176) (xy 133.451095 89.428455) (xy 133.38003 89.450012) (xy 133.314537 89.485019) (xy 133.257131 89.532131)
- (xy 133.210019 89.589537) (xy 133.175012 89.65503) (xy 133.153455 89.726095) (xy 133.146176 89.8) (xy 132.678824 89.8)
- (xy 132.678824 89.4625) (xy 132.666982 89.342261) (xy 132.631909 89.226643) (xy 132.574955 89.120089) (xy 132.498307 89.026693)
- (xy 132.404911 88.950045) (xy 132.298357 88.893091) (xy 132.277 88.886612) (xy 132.277 88.653824) (xy 132.45 88.653824)
- (xy 132.523905 88.646545) (xy 132.59497 88.624988) (xy 132.660463 88.589981) (xy 132.717869 88.542869) (xy 132.764981 88.485463)
- (xy 132.799988 88.41997) (xy 132.821545 88.348905) (xy 132.828824 88.275) (xy 132.828824 87.525) (xy 132.821545 87.451095)
- (xy 132.799988 87.38003) (xy 132.764981 87.314537) (xy 132.717869 87.257131) (xy 132.660463 87.210019) (xy 132.59497 87.175012)
- (xy 132.523905 87.153455) (xy 132.45 87.146176) (xy 131.25 87.146176) (xy 131.176095 87.153455) (xy 131.10503 87.175012)
- (xy 131.039537 87.210019) (xy 130.982131 87.257131) (xy 130.935019 87.314537) (xy 130.900012 87.38003) (xy 130.9 87.38007)
- (xy 130.899988 87.38003) (xy 130.864981 87.314537) (xy 130.817869 87.257131) (xy 130.760463 87.210019) (xy 130.69497 87.175012)
- (xy 130.623905 87.153455) (xy 130.55 87.146176) (xy 130.17125 87.148) (xy 130.077 87.24225) (xy 130.077 87.773)
- (xy 130.097 87.773) (xy 130.097 88.027) (xy 130.077 88.027) (xy 130.077 88.55775) (xy 130.17125 88.652)
- (xy 130.55 88.653824) (xy 130.623905 88.646545) (xy 130.69497 88.624988) (xy 130.760463 88.589981) (xy 130.817869 88.542869)
- (xy 130.864981 88.485463) (xy 130.899988 88.41997) (xy 130.9 88.41993) (xy 130.900012 88.41997) (xy 130.935019 88.485463)
- (xy 130.982131 88.542869) (xy 131.039537 88.589981) (xy 131.10503 88.624988) (xy 131.176095 88.646545) (xy 131.25 88.653824)
- (xy 131.273001 88.653824) (xy 131.273 88.886612) (xy 131.251643 88.893091) (xy 131.145089 88.950045) (xy 131.051693 89.026693)
- (xy 130.975045 89.120089) (xy 130.918091 89.226643) (xy 130.9 89.28628) (xy 130.881909 89.226643) (xy 130.824955 89.120089)
- (xy 130.748307 89.026693) (xy 130.654911 88.950045) (xy 130.548357 88.893091) (xy 130.432739 88.858018) (xy 130.3125 88.846176)
- (xy 129.7375 88.846176) (xy 129.617261 88.858018) (xy 129.501643 88.893091) (xy 129.395089 88.950045) (xy 129.301693 89.026693)
- (xy 129.271897 89.063) (xy 128.394505 89.063) (xy 128.389981 89.054537) (xy 128.342869 88.997131) (xy 128.285463 88.950019)
- (xy 128.24801 88.93) (xy 128.285463 88.909981) (xy 128.342869 88.862869) (xy 128.389981 88.805463) (xy 128.424988 88.73997)
- (xy 128.446545 88.668905) (xy 128.453824 88.595) (xy 128.453824 88.275) (xy 128.971176 88.275) (xy 128.978455 88.348905)
- (xy 129.000012 88.41997) (xy 129.035019 88.485463) (xy 129.082131 88.542869) (xy 129.139537 88.589981) (xy 129.20503 88.624988)
- (xy 129.276095 88.646545) (xy 129.35 88.653824) (xy 129.72875 88.652) (xy 129.823 88.55775) (xy 129.823 88.027)
- (xy 129.06725 88.027) (xy 128.973 88.12125) (xy 128.971176 88.275) (xy 128.453824 88.275) (xy 128.453824 87.995)
- (xy 128.446545 87.921095) (xy 128.424988 87.85003) (xy 128.389981 87.784537) (xy 128.342869 87.727131) (xy 128.285463 87.680019)
- (xy 128.21997 87.645012) (xy 128.148905 87.623455) (xy 128.075 87.616176) (xy 126.525 87.616176) (xy 126.451095 87.623455)
- (xy 126.38003 87.645012) (xy 126.314537 87.680019) (xy 126.257131 87.727131) (xy 126.210019 87.784537) (xy 126.177 87.846311)
- (xy 126.177 87.525) (xy 128.971176 87.525) (xy 128.973 87.67875) (xy 129.06725 87.773) (xy 129.823 87.773)
- (xy 129.823 87.24225) (xy 129.72875 87.148) (xy 129.35 87.146176) (xy 129.276095 87.153455) (xy 129.20503 87.175012)
- (xy 129.139537 87.210019) (xy 129.082131 87.257131) (xy 129.035019 87.314537) (xy 129.000012 87.38003) (xy 128.978455 87.451095)
- (xy 128.971176 87.525) (xy 126.177 87.525) (xy 126.177 86.682723) (xy 135.170572 86.682723) (xy 135.208412 86.901474)
- (xy 135.288202 87.10864) (xy 135.314957 87.158694) (xy 135.48159 87.238805) (xy 136.120395 86.6) (xy 136.479605 86.6)
- (xy 137.11841 87.238805) (xy 137.285043 87.158694) (xy 137.375111 86.955787) (xy 137.423864 86.739207) (xy 137.429428 86.517277)
- (xy 137.391588 86.298526) (xy 137.311798 86.09136) (xy 137.285043 86.041306) (xy 137.11841 85.961195) (xy 136.479605 86.6)
- (xy 136.120395 86.6) (xy 135.48159 85.961195) (xy 135.314957 86.041306) (xy 135.224889 86.244213) (xy 135.176136 86.460793)
- (xy 135.170572 86.682723) (xy 126.177 86.682723) (xy 126.177 86.651165) (xy 126.223905 86.646545) (xy 126.29497 86.624988)
- (xy 126.360463 86.589981) (xy 126.417869 86.542869) (xy 126.464981 86.485463) (xy 126.499988 86.41997) (xy 126.521545 86.348905)
- (xy 126.528824 86.275) (xy 126.528824 85.78159) (xy 135.661195 85.78159) (xy 136.3 86.420395) (xy 136.938805 85.78159)
- (xy 136.858694 85.614957) (xy 136.655787 85.524889) (xy 136.439207 85.476136) (xy 136.217277 85.470572) (xy 135.998526 85.508412)
- (xy 135.79136 85.588202) (xy 135.741306 85.614957) (xy 135.661195 85.78159) (xy 126.528824 85.78159) (xy 126.528824 85.525)
- (xy 126.521545 85.451095) (xy 126.499988 85.38003) (xy 126.464981 85.314537) (xy 126.417869 85.257131) (xy 126.360463 85.210019)
- (xy 126.29497 85.175012) (xy 126.223905 85.153455) (xy 126.15 85.146176) (xy 124.95 85.146176) (xy 124.876095 85.153455)
- (xy 124.80503 85.175012) (xy 124.739537 85.210019) (xy 124.682131 85.257131) (xy 124.635019 85.314537) (xy 124.600012 85.38003)
- (xy 124.6 85.38007) (xy 124.599988 85.38003) (xy 124.564981 85.314537) (xy 124.517869 85.257131) (xy 124.460463 85.210019)
- (xy 124.39497 85.175012) (xy 124.323905 85.153455) (xy 124.25 85.146176) (xy 123.87125 85.148) (xy 123.777 85.24225)
- (xy 123.777 85.773) (xy 123.797 85.773) (xy 123.797 86.027) (xy 123.777 86.027) (xy 123.777 86.55775)
- (xy 123.87125 86.652) (xy 124.25 86.653824) (xy 124.323905 86.646545) (xy 124.39497 86.624988) (xy 124.460463 86.589981)
- (xy 124.517869 86.542869) (xy 124.564981 86.485463) (xy 124.599988 86.41997) (xy 124.6 86.41993) (xy 124.600012 86.41997)
- (xy 124.635019 86.485463) (xy 124.682131 86.542869) (xy 124.739537 86.589981) (xy 124.80503 86.624988) (xy 124.876095 86.646545)
- (xy 124.923 86.651165) (xy 124.923001 90.329196) (xy 124.919967 90.36) (xy 124.932073 90.482912) (xy 124.96574 90.593896)
- (xy 124.967926 90.601103) (xy 125.026148 90.710028) (xy 125.1045 90.805501) (xy 125.128422 90.825133) (xy 125.138289 90.835)
- (xy 125.078427 90.894862) (xy 125.054499 90.914499) (xy 124.976147 91.009973) (xy 124.917925 91.118898) (xy 124.887853 91.218035)
- (xy 124.882073 91.237088) (xy 124.869967 91.36) (xy 124.870063 91.360972) (xy 124.869407 91.359745) (xy 124.843027 91.327601)
- (xy 124.822389 91.302454) (xy 124.822387 91.302452) (xy 124.806674 91.283306) (xy 124.787528 91.267593) (xy 123.053824 89.53389)
- (xy 123.053824 89.265) (xy 123.046545 89.191095) (xy 123.024988 89.12003) (xy 122.989981 89.054537) (xy 122.942869 88.997131)
- (xy 122.885463 88.950019) (xy 122.84801 88.93) (xy 122.885463 88.909981) (xy 122.942869 88.862869) (xy 122.989981 88.805463)
- (xy 123.024988 88.73997) (xy 123.046545 88.668905) (xy 123.053824 88.595) (xy 123.053824 87.995) (xy 123.046545 87.921095)
- (xy 123.024988 87.85003) (xy 122.989981 87.784537) (xy 122.942869 87.727131) (xy 122.885463 87.680019) (xy 122.81997 87.645012)
- (xy 122.748905 87.623455) (xy 122.675 87.616176) (xy 121.125 87.616176) (xy 121.051095 87.623455) (xy 121.002 87.638348)
- (xy 121.002 87.444344) (xy 122.171344 86.275) (xy 122.671176 86.275) (xy 122.678455 86.348905) (xy 122.700012 86.41997)
- (xy 122.735019 86.485463) (xy 122.782131 86.542869) (xy 122.839537 86.589981) (xy 122.90503 86.624988) (xy 122.976095 86.646545)
- (xy 123.05 86.653824) (xy 123.42875 86.652) (xy 123.523 86.55775) (xy 123.523 86.027) (xy 122.76725 86.027)
- (xy 122.673 86.12125) (xy 122.671176 86.275) (xy 122.171344 86.275) (xy 122.720297 85.726047) (xy 122.76725 85.773)
- (xy 123.523 85.773) (xy 123.523 85.24225) (xy 123.42875 85.148) (xy 123.29897 85.147375) (xy 124.287549 84.158796)
- (xy 124.306695 84.143083) (xy 124.336385 84.106907) (xy 124.369427 84.066645) (xy 124.369756 84.06603) (xy 124.416042 83.979435)
- (xy 124.444747 83.884808) (xy 124.452011 83.811052) (xy 124.452011 83.811043) (xy 124.454438 83.7864) (xy 124.452011 83.761757)
- (xy 124.452011 82.867815)
- )
- )
- (filled_polygon
- (pts
- (xy 79.493324 65.407833) (xy 79.333835 65.301266) (xy 79.128734 65.21631) (xy 78.911 65.173) (xy 78.689 65.173)
- (xy 78.471266 65.21631) (xy 78.266165 65.301266) (xy 78.081579 65.424602) (xy 77.924602 65.581579) (xy 77.801266 65.766165)
- (xy 77.71631 65.971266) (xy 77.673 66.189) (xy 77.673 66.411) (xy 77.71631 66.628734) (xy 77.801266 66.833835)
- (xy 77.924602 67.018421) (xy 78.081579 67.175398) (xy 78.173001 67.236484) (xy 78.173001 67.619257) (xy 78.147746 67.629718)
- (xy 77.922213 67.780414) (xy 77.730414 67.972213) (xy 77.579718 68.197746) (xy 77.475917 68.448344) (xy 77.423 68.714377)
- (xy 77.423 68.985623) (xy 77.475917 69.251656) (xy 77.579718 69.502254) (xy 77.693807 69.673) (xy 73.797982 69.673)
- (xy 73.749999 69.668274) (xy 73.702016 69.673) (xy 73.702007 69.673) (xy 73.558475 69.687137) (xy 73.374309 69.743003)
- (xy 73.204582 69.833724) (xy 73.055814 69.955814) (xy 73.02522 69.993093) (xy 72.803609 70.214705) (xy 72.633835 70.101266)
- (xy 72.428734 70.01631) (xy 72.211 69.973) (xy 71.989 69.973) (xy 71.771266 70.01631) (xy 71.566165 70.101266)
- (xy 71.381579 70.224602) (xy 71.224602 70.381579) (xy 71.101266 70.566165) (xy 71.01631 70.771266) (xy 70.973 70.989)
- (xy 70.973 71.211) (xy 71.01631 71.428734) (xy 71.101266 71.633835) (xy 71.214705 71.803609) (xy 71.055593 71.962721)
- (xy 71.018315 71.993314) (xy 70.987722 72.030592) (xy 70.987721 72.030593) (xy 70.896225 72.142082) (xy 70.805503 72.31181)
- (xy 70.749637 72.495975) (xy 70.730773 72.6875) (xy 70.735501 72.735503) (xy 70.735501 81.015471) (xy 70.727152 81.025644)
- (xy 70.669042 81.134359) (xy 70.633259 81.252323) (xy 70.621176 81.375) (xy 70.621176 82.625) (xy 70.633259 82.747677)
- (xy 70.669042 82.865641) (xy 70.727152 82.974356) (xy 70.735501 82.984529) (xy 70.735501 93.873) (xy 70.377 93.873)
- (xy 70.377 65.127) (xy 79.774157 65.127)
- )
- )
- (filled_polygon
- (pts
- (xy 118.623 65.5) (xy 118.630244 65.573549) (xy 118.651697 65.644272) (xy 118.686536 65.70945) (xy 118.733421 65.766579)
- (xy 118.79055 65.813464) (xy 118.855728 65.848303) (xy 118.926451 65.869756) (xy 119 65.877) (xy 128.697065 65.877)
- (xy 129.157607 66.337543) (xy 129.173316 66.356684) (xy 129.192456 66.372392) (xy 129.192464 66.3724) (xy 129.236422 66.408475)
- (xy 129.249755 66.419417) (xy 129.336964 66.466031) (xy 129.431591 66.494736) (xy 129.505347 66.502) (xy 129.505357 66.502)
- (xy 129.53 66.504427) (xy 129.554643 66.502) (xy 134.403155 66.502) (xy 134.504691 66.603536) (xy 134.631952 66.688569)
- (xy 134.773357 66.747141) (xy 134.923472 66.777) (xy 135.076528 66.777) (xy 135.226643 66.747141) (xy 135.368048 66.688569)
- (xy 135.495309 66.603536) (xy 135.596845 66.502) (xy 146.315357 66.502) (xy 146.34 66.504427) (xy 146.364643 66.502)
- (xy 146.364653 66.502) (xy 146.438409 66.494736) (xy 146.533036 66.466031) (xy 146.620245 66.419417) (xy 146.696684 66.356684)
- (xy 146.712401 66.337533) (xy 147.172935 65.877) (xy 158 65.877) (xy 158.073549 65.869756) (xy 158.144272 65.848303)
- (xy 158.20945 65.813464) (xy 158.266579 65.766579) (xy 158.313464 65.70945) (xy 158.348303 65.644272) (xy 158.369756 65.573549)
- (xy 158.377 65.5) (xy 158.377 65.152) (xy 158.642118 65.152) (xy 158.670089 65.174955) (xy 158.759432 65.222709)
- (xy 158.701095 65.228455) (xy 158.63003 65.250012) (xy 158.564537 65.285019) (xy 158.507131 65.332131) (xy 158.460019 65.389537)
- (xy 158.425012 65.45503) (xy 158.403455 65.526095) (xy 158.396176 65.6) (xy 158.398 65.90375) (xy 158.49225 65.998)
- (xy 159.123 65.998) (xy 159.123 65.978) (xy 159.377 65.978) (xy 159.377 65.998) (xy 160.00775 65.998)
- (xy 160.102 65.90375) (xy 160.103824 65.6) (xy 160.096545 65.526095) (xy 160.074988 65.45503) (xy 160.039981 65.389537)
- (xy 159.992869 65.332131) (xy 159.935463 65.285019) (xy 159.86997 65.250012) (xy 159.798905 65.228455) (xy 159.740568 65.222709)
- (xy 159.829911 65.174955) (xy 159.923307 65.098307) (xy 159.999955 65.004911) (xy 160.056909 64.898357) (xy 160.091982 64.782739)
- (xy 160.103824 64.6625) (xy 160.103824 64.0875) (xy 160.091982 63.967261) (xy 160.056909 63.851643) (xy 159.999955 63.745089)
- (xy 159.923307 63.651693) (xy 159.829911 63.575045) (xy 159.723357 63.518091) (xy 159.607739 63.483018) (xy 159.4875 63.471176)
- (xy 159.0125 63.471176) (xy 158.892261 63.483018) (xy 158.776643 63.518091) (xy 158.670089 63.575045) (xy 158.642118 63.598)
- (xy 158.377 63.598) (xy 158.377 55.377) (xy 159.873 55.377) (xy 159.873 63) (xy 159.87544 63.024776)
- (xy 159.882667 63.048601) (xy 159.894403 63.070557) (xy 159.910197 63.089803) (xy 161.910197 65.089803) (xy 161.929443 65.105597)
- (xy 161.951399 65.117333) (xy 161.975224 65.12456) (xy 162 65.127) (xy 169.623001 65.127) (xy 169.623001 93.873)
- (xy 169.202 93.873) (xy 169.202 93.124644) (xy 169.204427 93.099999) (xy 169.202 93.075356) (xy 169.202 93.075346)
- (xy 169.194736 93.00159) (xy 169.166031 92.906963) (xy 169.141176 92.860463) (xy 169.119417 92.819753) (xy 169.0724 92.762463)
- (xy 169.072392 92.762455) (xy 169.056684 92.743315) (xy 169.037543 92.727606) (xy 167.497397 91.187462) (xy 167.481684 91.168316)
- (xy 167.462536 91.152601) (xy 167.462535 91.1526) (xy 167.438347 91.13275) (xy 167.405245 91.105583) (xy 167.318036 91.058969)
- (xy 167.223409 91.030264) (xy 167.149653 91.023) (xy 167.149643 91.023) (xy 167.125 91.020573) (xy 167.100357 91.023)
- (xy 165.169505 91.023) (xy 165.164981 91.014537) (xy 165.117869 90.957131) (xy 165.060463 90.910019) (xy 165.02301 90.89)
- (xy 165.060463 90.869981) (xy 165.117869 90.822869) (xy 165.164981 90.765463) (xy 165.169505 90.757) (xy 167.747066 90.757)
- (xy 167.973 90.982935) (xy 167.973 91.126528) (xy 168.002859 91.276643) (xy 168.061431 91.418048) (xy 168.146464 91.545309)
- (xy 168.254691 91.653536) (xy 168.381952 91.738569) (xy 168.523357 91.797141) (xy 168.673472 91.827) (xy 168.826528 91.827)
- (xy 168.976643 91.797141) (xy 169.118048 91.738569) (xy 169.245309 91.653536) (xy 169.353536 91.545309) (xy 169.438569 91.418048)
- (xy 169.497141 91.276643) (xy 169.527 91.126528) (xy 169.527 90.973472) (xy 169.497141 90.823357) (xy 169.438569 90.681952)
- (xy 169.353536 90.554691) (xy 169.245309 90.446464) (xy 169.118048 90.361431) (xy 168.976643 90.302859) (xy 168.826528 90.273)
- (xy 168.682935 90.273) (xy 168.327399 89.917465) (xy 168.311684 89.898316) (xy 168.235245 89.835583) (xy 168.148036 89.788969)
- (xy 168.053409 89.760264) (xy 167.979653 89.753) (xy 167.979643 89.753) (xy 167.955 89.750573) (xy 167.930357 89.753)
- (xy 165.169505 89.753) (xy 165.164981 89.744537) (xy 165.117869 89.687131) (xy 165.060463 89.640019) (xy 165.02301 89.62)
- (xy 165.060463 89.599981) (xy 165.117869 89.552869) (xy 165.164981 89.495463) (xy 165.169505 89.487) (xy 165.240357 89.487)
- (xy 165.265 89.489427) (xy 165.289643 89.487) (xy 165.289653 89.487) (xy 165.363409 89.479736) (xy 165.458036 89.451031)
- (xy 165.545245 89.404417) (xy 165.621684 89.341684) (xy 165.637402 89.322533) (xy 168.037538 86.922397) (xy 168.056684 86.906684)
- (xy 168.078809 86.879726) (xy 168.107976 86.844186) (xy 168.119417 86.830245) (xy 168.166031 86.743036) (xy 168.194736 86.648409)
- (xy 168.202 86.574653) (xy 168.202 86.574644) (xy 168.204427 86.550001) (xy 168.202 86.525358) (xy 168.202 70.907934)
- (xy 168.887538 70.222397) (xy 168.906684 70.206684) (xy 168.922397 70.187538) (xy 168.9224 70.187535) (xy 168.969417 70.130246)
- (xy 169.016031 70.043037) (xy 169.044736 69.94841) (xy 169.04702 69.925221) (xy 169.052 69.874653) (xy 169.052 69.874646)
- (xy 169.054427 69.85) (xy 169.052 69.825355) (xy 169.052 68.074642) (xy 169.054427 68.049999) (xy 169.052 68.025356)
- (xy 169.052 68.025347) (xy 169.044736 67.951591) (xy 169.016031 67.856964) (xy 168.969417 67.769755) (xy 168.947352 67.742869)
- (xy 168.922399 67.712464) (xy 168.922397 67.712462) (xy 168.906684 67.693316) (xy 168.887536 67.677601) (xy 168.522401 67.312467)
- (xy 168.506684 67.293316) (xy 168.430245 67.230583) (xy 168.343036 67.183969) (xy 168.248409 67.155264) (xy 168.21893 67.152361)
- (xy 168.174955 67.070089) (xy 168.098307 66.976693) (xy 168.004911 66.900045) (xy 167.898357 66.843091) (xy 167.782739 66.808018)
- (xy 167.6625 66.796176) (xy 167.0875 66.796176) (xy 166.967261 66.808018) (xy 166.851643 66.843091) (xy 166.745089 66.900045)
- (xy 166.651693 66.976693) (xy 166.575045 67.070089) (xy 166.518091 67.176643) (xy 166.5 67.23628) (xy 166.481909 67.176643)
- (xy 166.424955 67.070089) (xy 166.348307 66.976693) (xy 166.254911 66.900045) (xy 166.148357 66.843091) (xy 166.055138 66.814813)
- (xy 166.044417 66.794755) (xy 166.020843 66.766031) (xy 165.997399 66.737464) (xy 165.997397 66.737462) (xy 165.981684 66.718316)
- (xy 165.962538 66.702603) (xy 165.12239 65.862456) (xy 165.106673 65.843305) (xy 165.030234 65.780572) (xy 164.943025 65.733958)
- (xy 164.848398 65.705253) (xy 164.774642 65.697989) (xy 164.774632 65.697989) (xy 164.749989 65.695562) (xy 164.725346 65.697989)
- (xy 160.792732 65.697989) (xy 160.768089 65.695562) (xy 160.743446 65.697989) (xy 160.743437 65.697989) (xy 160.669681 65.705253)
- (xy 160.575054 65.733958) (xy 160.487845 65.780572) (xy 160.484003 65.783725) (xy 160.434633 65.824243) (xy 160.411406 65.843305)
- (xy 160.395693 65.862451) (xy 160.006144 66.252) (xy 159.377 66.252) (xy 159.377 66.272) (xy 159.123 66.272)
- (xy 159.123 66.252) (xy 158.49225 66.252) (xy 158.398 66.34625) (xy 158.396188 66.648) (xy 144.691822 66.648)
- (xy 144.667179 66.645573) (xy 144.642536 66.648) (xy 144.642527 66.648) (xy 144.568771 66.655264) (xy 144.474144 66.683969)
- (xy 144.386935 66.730583) (xy 144.367971 66.746147) (xy 144.330377 66.777) (xy 144.310496 66.793316) (xy 144.294783 66.812462)
- (xy 144.009246 67.098) (xy 125.664642 67.098) (xy 125.639999 67.095573) (xy 125.615356 67.098) (xy 125.615347 67.098)
- (xy 125.541591 67.105264) (xy 125.446964 67.133969) (xy 125.359755 67.180583) (xy 125.359753 67.180584) (xy 125.359754 67.180584)
- (xy 125.303197 67.227) (xy 125.283316 67.243316) (xy 125.267603 67.262462) (xy 124.300569 68.229497) (xy 124.268734 68.21631)
- (xy 124.051 68.173) (xy 123.829 68.173) (xy 123.611266 68.21631) (xy 123.406165 68.301266) (xy 123.221579 68.424602)
- (xy 123.064602 68.581579) (xy 122.941266 68.766165) (xy 122.85631 68.971266) (xy 122.813 69.189) (xy 122.813 69.411)
- (xy 122.85631 69.628734) (xy 122.941266 69.833835) (xy 123.064602 70.018421) (xy 123.221579 70.175398) (xy 123.406165 70.298734)
- (xy 123.611266 70.38369) (xy 123.829 70.427) (xy 124.051 70.427) (xy 124.268734 70.38369) (xy 124.473835 70.298734)
- (xy 124.658421 70.175398) (xy 124.715409 70.11841) (xy 132.441195 70.11841) (xy 132.521306 70.285043) (xy 132.724213 70.375111)
- (xy 132.940793 70.423864) (xy 133.162723 70.429428) (xy 133.381474 70.391588) (xy 133.58864 70.311798) (xy 133.638694 70.285043)
- (xy 133.718805 70.11841) (xy 133.08 69.479605) (xy 132.441195 70.11841) (xy 124.715409 70.11841) (xy 124.815398 70.018421)
- (xy 124.938734 69.833835) (xy 125.02369 69.628734) (xy 125.067 69.411) (xy 125.067 69.382723) (xy 131.950572 69.382723)
- (xy 131.988412 69.601474) (xy 132.068202 69.80864) (xy 132.094957 69.858694) (xy 132.26159 69.938805) (xy 132.900395 69.3)
- (xy 133.259605 69.3) (xy 133.89841 69.938805) (xy 134.065043 69.858694) (xy 134.155111 69.655787) (xy 134.203864 69.439207)
- (xy 134.209428 69.217277) (xy 134.171588 68.998526) (xy 134.091798 68.79136) (xy 134.065043 68.741306) (xy 133.89841 68.661195)
- (xy 133.259605 69.3) (xy 132.900395 69.3) (xy 132.26159 68.661195) (xy 132.094957 68.741306) (xy 132.004889 68.944213)
- (xy 131.956136 69.160793) (xy 131.950572 69.382723) (xy 125.067 69.382723) (xy 125.067 69.189) (xy 125.02369 68.971266)
- (xy 125.010503 68.939431) (xy 125.468344 68.48159) (xy 132.441195 68.48159) (xy 133.08 69.120395) (xy 133.718805 68.48159)
- (xy 133.638694 68.314957) (xy 133.435787 68.224889) (xy 133.219207 68.176136) (xy 132.997277 68.170572) (xy 132.778526 68.208412)
- (xy 132.57136 68.288202) (xy 132.521306 68.314957) (xy 132.441195 68.48159) (xy 125.468344 68.48159) (xy 125.847935 68.102)
- (xy 135.858065 68.102) (xy 135.730569 68.229497) (xy 135.698734 68.21631) (xy 135.481 68.173) (xy 135.259 68.173)
- (xy 135.041266 68.21631) (xy 134.836165 68.301266) (xy 134.651579 68.424602) (xy 134.494602 68.581579) (xy 134.371266 68.766165)
- (xy 134.28631 68.971266) (xy 134.243 69.189) (xy 134.243 69.411) (xy 134.28631 69.628734) (xy 134.371266 69.833835)
- (xy 134.494602 70.018421) (xy 134.651579 70.175398) (xy 134.836165 70.298734) (xy 135.041266 70.38369) (xy 135.259 70.427)
- (xy 135.481 70.427) (xy 135.698734 70.38369) (xy 135.903835 70.298734) (xy 136.088421 70.175398) (xy 136.245398 70.018421)
- (xy 136.368734 69.833835) (xy 136.45369 69.628734) (xy 136.497 69.411) (xy 136.497 69.189) (xy 136.45369 68.971266)
- (xy 136.440503 68.939431) (xy 136.827935 68.552) (xy 136.864853 68.552) (xy 136.811431 68.631952) (xy 136.752859 68.773357)
- (xy 136.723 68.923472) (xy 136.723 69.076528) (xy 136.752859 69.226643) (xy 136.811431 69.368048) (xy 136.855726 69.43434)
- (xy 135.792066 70.498) (xy 135.024642 70.498) (xy 134.999999 70.495573) (xy 134.975356 70.498) (xy 134.975347 70.498)
- (xy 134.901591 70.505264) (xy 134.806964 70.533969) (xy 134.719755 70.580583) (xy 134.643316 70.643316) (xy 134.627603 70.662462)
- (xy 133.777467 71.512599) (xy 133.758316 71.528316) (xy 133.695583 71.604755) (xy 133.648969 71.691965) (xy 133.620264 71.786592)
- (xy 133.613 71.860348) (xy 133.613 71.860357) (xy 133.610573 71.885) (xy 133.613 71.909643) (xy 133.613 71.955495)
- (xy 133.604537 71.960019) (xy 133.547131 72.007131) (xy 133.500019 72.064537) (xy 133.48 72.10199) (xy 133.459981 72.064537)
- (xy 133.412869 72.007131) (xy 133.355463 71.960019) (xy 133.28997 71.925012) (xy 133.218905 71.903455) (xy 133.145 71.896176)
- (xy 132.545 71.896176) (xy 132.471095 71.903455) (xy 132.40003 71.925012) (xy 132.334537 71.960019) (xy 132.277131 72.007131)
- (xy 132.230019 72.064537) (xy 132.195012 72.13003) (xy 132.173455 72.201095) (xy 132.166176 72.275) (xy 132.166176 73.573)
- (xy 131.751165 73.573) (xy 131.746545 73.526095) (xy 131.724988 73.45503) (xy 131.689981 73.389537) (xy 131.642869 73.332131)
- (xy 131.585463 73.285019) (xy 131.51997 73.250012) (xy 131.51993 73.25) (xy 131.51997 73.249988) (xy 131.585463 73.214981)
- (xy 131.642869 73.167869) (xy 131.689981 73.110463) (xy 131.724988 73.04497) (xy 131.746545 72.973905) (xy 131.753824 72.9)
- (xy 131.752 72.52125) (xy 131.65775 72.427) (xy 131.127 72.427) (xy 131.127 72.447) (xy 130.873 72.447)
- (xy 130.873 72.427) (xy 130.34225 72.427) (xy 130.248 72.52125) (xy 130.246176 72.9) (xy 130.253455 72.973905)
- (xy 130.275012 73.04497) (xy 130.310019 73.110463) (xy 130.357131 73.167869) (xy 130.414537 73.214981) (xy 130.48003 73.249988)
- (xy 130.48007 73.25) (xy 130.48003 73.250012) (xy 130.414537 73.285019) (xy 130.357131 73.332131) (xy 130.310019 73.389537)
- (xy 130.292133 73.423) (xy 129.977 73.423) (xy 129.977 72.338155) (xy 129.980758 72.299999) (xy 129.977 72.261843)
- (xy 129.977 72.261834) (xy 129.965757 72.147681) (xy 129.921327 72.001216) (xy 129.894503 71.951032) (xy 129.849177 71.866233)
- (xy 129.807976 71.81603) (xy 129.75208 71.74792) (xy 129.722432 71.723589) (xy 129.698843 71.7) (xy 130.246176 71.7)
- (xy 130.248 72.07875) (xy 130.34225 72.173) (xy 130.873 72.173) (xy 130.873 71.41725) (xy 131.127 71.41725)
- (xy 131.127 72.173) (xy 131.65775 72.173) (xy 131.752 72.07875) (xy 131.753824 71.7) (xy 131.746545 71.626095)
- (xy 131.724988 71.55503) (xy 131.689981 71.489537) (xy 131.642869 71.432131) (xy 131.585463 71.385019) (xy 131.51997 71.350012)
- (xy 131.448905 71.328455) (xy 131.375 71.321176) (xy 131.22125 71.323) (xy 131.127 71.41725) (xy 130.873 71.41725)
- (xy 130.77875 71.323) (xy 130.625 71.321176) (xy 130.551095 71.328455) (xy 130.48003 71.350012) (xy 130.414537 71.385019)
- (xy 130.357131 71.432131) (xy 130.310019 71.489537) (xy 130.275012 71.55503) (xy 130.253455 71.626095) (xy 130.246176 71.7)
- (xy 129.698843 71.7) (xy 129.301413 71.30257) (xy 129.27708 71.27292) (xy 129.158766 71.175823) (xy 129.023784 71.103673)
- (xy 128.877319 71.059243) (xy 128.763166 71.048) (xy 128.763163 71.048) (xy 128.725 71.044241) (xy 128.686837 71.048)
- (xy 128.607882 71.048) (xy 128.579911 71.025045) (xy 128.473357 70.968091) (xy 128.357739 70.933018) (xy 128.2375 70.921176)
- (xy 127.7625 70.921176) (xy 127.642261 70.933018) (xy 127.526643 70.968091) (xy 127.420089 71.025045) (xy 127.392118 71.048)
- (xy 126.907882 71.048) (xy 126.879911 71.025045) (xy 126.773357 70.968091) (xy 126.657739 70.933018) (xy 126.5375 70.921176)
- (xy 126.0625 70.921176) (xy 125.942261 70.933018) (xy 125.826643 70.968091) (xy 125.720089 71.025045) (xy 125.692118 71.048)
- (xy 120.84716 71.048) (xy 119.91757 70.11841) (xy 121.011195 70.11841) (xy 121.091306 70.285043) (xy 121.294213 70.375111)
- (xy 121.510793 70.423864) (xy 121.732723 70.429428) (xy 121.951474 70.391588) (xy 122.15864 70.311798) (xy 122.208694 70.285043)
- (xy 122.288805 70.11841) (xy 121.65 69.479605) (xy 121.011195 70.11841) (xy 119.91757 70.11841) (xy 119.181883 69.382723)
- (xy 120.520572 69.382723) (xy 120.558412 69.601474) (xy 120.638202 69.80864) (xy 120.664957 69.858694) (xy 120.83159 69.938805)
- (xy 121.470395 69.3) (xy 121.829605 69.3) (xy 122.46841 69.938805) (xy 122.635043 69.858694) (xy 122.725111 69.655787)
- (xy 122.773864 69.439207) (xy 122.779428 69.217277) (xy 122.741588 68.998526) (xy 122.661798 68.79136) (xy 122.635043 68.741306)
- (xy 122.46841 68.661195) (xy 121.829605 69.3) (xy 121.470395 69.3) (xy 120.83159 68.661195) (xy 120.664957 68.741306)
- (xy 120.574889 68.944213) (xy 120.526136 69.160793) (xy 120.520572 69.382723) (xy 119.181883 69.382723) (xy 118.28075 68.48159)
- (xy 121.011195 68.48159) (xy 121.65 69.120395) (xy 122.288805 68.48159) (xy 122.208694 68.314957) (xy 122.005787 68.224889)
- (xy 121.789207 68.176136) (xy 121.567277 68.170572) (xy 121.348526 68.208412) (xy 121.14136 68.288202) (xy 121.091306 68.314957)
- (xy 121.011195 68.48159) (xy 118.28075 68.48159) (xy 117.573759 67.7746) (xy 117.610463 67.754981) (xy 117.667869 67.707869)
- (xy 117.714981 67.650463) (xy 117.749988 67.58497) (xy 117.771545 67.513905) (xy 117.778824 67.44) (xy 117.778824 65.74)
- (xy 117.771545 65.666095) (xy 117.749988 65.59503) (xy 117.714981 65.529537) (xy 117.667869 65.472131) (xy 117.610463 65.425019)
- (xy 117.54497 65.390012) (xy 117.473905 65.368455) (xy 117.4 65.361176) (xy 115.948758 65.361176) (xy 116.110918 65.199016)
- (xy 116.309466 65.259245) (xy 116.489732 65.277) (xy 116.610268 65.277) (xy 116.790534 65.259245) (xy 117.021824 65.189084)
- (xy 117.234983 65.075149) (xy 117.421817 64.921817) (xy 117.575149 64.734983) (xy 117.689084 64.521824) (xy 117.759245 64.290534)
- (xy 117.782936 64.05) (xy 117.759245 63.809466) (xy 117.689084 63.578176) (xy 117.575149 63.365017) (xy 117.421817 63.178183)
- (xy 117.234983 63.024851) (xy 117.021824 62.910916) (xy 116.790534 62.840755) (xy 116.610268 62.823) (xy 116.489732 62.823)
- (xy 116.309466 62.840755) (xy 116.078176 62.910916) (xy 115.865017 63.024851) (xy 115.678183 63.178183) (xy 115.524851 63.365017)
- (xy 115.410916 63.578176) (xy 115.340755 63.809466) (xy 115.317064 64.05) (xy 115.340755 64.290534) (xy 115.400984 64.489082)
- (xy 115.05199 64.838076) (xy 115.05199 61.826351) (xy 115.364482 61.826351) (xy 115.388518 61.905605) (xy 115.488014 62.124597)
- (xy 115.628322 62.319971) (xy 115.804049 62.484218) (xy 116.008443 62.611026) (xy 116.233648 62.695523) (xy 116.423 62.636166)
- (xy 116.423 61.637) (xy 116.677 61.637) (xy 116.677 62.636166) (xy 116.866352 62.695523) (xy 117.091557 62.611026)
- (xy 117.295951 62.484218) (xy 117.471678 62.319971) (xy 117.611986 62.124597) (xy 117.711482 61.905605) (xy 117.735518 61.826351)
- (xy 117.675608 61.637) (xy 116.677 61.637) (xy 116.423 61.637) (xy 115.424392 61.637) (xy 115.364482 61.826351)
- (xy 115.05199 61.826351) (xy 115.05199 61.193649) (xy 115.364482 61.193649) (xy 115.424392 61.383) (xy 116.423 61.383)
- (xy 116.423 60.383834) (xy 116.677 60.383834) (xy 116.677 61.383) (xy 117.675608 61.383) (xy 117.735518 61.193649)
- (xy 117.711482 61.114395) (xy 117.611986 60.895403) (xy 117.471678 60.700029) (xy 117.295951 60.535782) (xy 117.091557 60.408974)
- (xy 116.866352 60.324477) (xy 116.677 60.383834) (xy 116.423 60.383834) (xy 116.233648 60.324477) (xy 116.008443 60.408974)
- (xy 115.804049 60.535782) (xy 115.628322 60.700029) (xy 115.488014 60.895403) (xy 115.388518 61.114395) (xy 115.364482 61.193649)
- (xy 115.05199 61.193649) (xy 115.05199 61.177944) (xy 116.0724 60.157535) (xy 116.072404 60.15753) (xy 116.110918 60.119016)
- (xy 116.309466 60.179245) (xy 116.489732 60.197) (xy 116.610268 60.197) (xy 116.790534 60.179245) (xy 117.021824 60.109084)
- (xy 117.234983 59.995149) (xy 117.421817 59.841817) (xy 117.575149 59.654983) (xy 117.689084 59.441824) (xy 117.759245 59.210534)
- (xy 117.782936 58.97) (xy 117.759245 58.729466) (xy 117.689084 58.498176) (xy 117.575149 58.285017) (xy 117.421817 58.098183)
- (xy 117.234983 57.944851) (xy 117.021824 57.830916) (xy 116.790534 57.760755) (xy 116.610268 57.743) (xy 116.489732 57.743)
- (xy 116.309466 57.760755) (xy 116.078176 57.830916) (xy 115.865017 57.944851) (xy 115.678183 58.098183) (xy 115.524851 58.285017)
- (xy 115.410916 58.498176) (xy 115.340755 58.729466) (xy 115.317064 58.97) (xy 115.340755 59.210534) (xy 115.400984 59.409082)
- (xy 115.36247 59.447596) (xy 115.362465 59.4476) (xy 114.212453 60.597613) (xy 114.193307 60.613326) (xy 114.177594 60.632472)
- (xy 114.177591 60.632475) (xy 114.130574 60.689765) (xy 114.08396 60.776974) (xy 114.055255 60.871601) (xy 114.045563 60.97001)
- (xy 114.047991 60.994663) (xy 114.04799 67.525347) (xy 114.045563 67.54999) (xy 114.04799 67.574633) (xy 114.04799 67.574642)
- (xy 114.055254 67.648398) (xy 114.083959 67.743025) (xy 114.130573 67.830235) (xy 114.193306 67.906674) (xy 114.212458 67.922392)
- (xy 122.752603 76.462538) (xy 122.768316 76.481684) (xy 122.787462 76.497397) (xy 122.787464 76.497399) (xy 122.818659 76.523)
- (xy 122.844755 76.544417) (xy 122.886776 76.566878) (xy 121.002001 78.451654) (xy 121.002001 75.014642) (xy 121.004428 74.989999)
- (xy 121.002001 74.965356) (xy 121.002001 74.965346) (xy 120.994737 74.89159) (xy 120.966032 74.796963) (xy 120.94008 74.74841)
- (xy 120.919418 74.709753) (xy 120.872401 74.652463) (xy 120.872393 74.652455) (xy 120.856685 74.633315) (xy 120.837544 74.617606)
- (xy 117.057402 70.837466) (xy 117.041685 70.818315) (xy 116.965246 70.755582) (xy 116.878037 70.708968) (xy 116.78341 70.680263)
- (xy 116.709654 70.672999) (xy 116.709644 70.672999) (xy 116.685001 70.670572) (xy 116.660358 70.672999) (xy 113.480438 70.672999)
- (xy 113.474987 70.655029) (xy 113.43998 70.589536) (xy 113.392868 70.53213) (xy 113.335462 70.485018) (xy 113.269969 70.450011)
- (xy 113.198904 70.428454) (xy 113.124999 70.421175) (xy 113.102 70.421175) (xy 113.102 69.851511) (xy 113.104911 69.849955)
- (xy 113.198307 69.773307) (xy 113.274955 69.679911) (xy 113.331909 69.573357) (xy 113.366982 69.457739) (xy 113.378824 69.3375)
- (xy 113.378824 68.8625) (xy 113.366982 68.742261) (xy 113.331909 68.626643) (xy 113.274955 68.520089) (xy 113.198307 68.426693)
- (xy 113.104911 68.350045) (xy 113.102 68.348489) (xy 113.102 67.851511) (xy 113.104911 67.849955) (xy 113.198307 67.773307)
- (xy 113.274955 67.679911) (xy 113.331909 67.573357) (xy 113.366982 67.457739) (xy 113.378824 67.3375) (xy 113.378824 66.8625)
- (xy 113.366982 66.742261) (xy 113.331909 66.626643) (xy 113.274955 66.520089) (xy 113.198307 66.426693) (xy 113.104911 66.350045)
- (xy 112.998357 66.293091) (xy 112.882739 66.258018) (xy 112.7625 66.246176) (xy 112.1875 66.246176) (xy 112.067261 66.258018)
- (xy 111.951643 66.293091) (xy 111.845089 66.350045) (xy 111.751693 66.426693) (xy 111.675045 66.520089) (xy 111.618091 66.626643)
- (xy 111.6 66.68628) (xy 111.581909 66.626643) (xy 111.524955 66.520089) (xy 111.448307 66.426693) (xy 111.354911 66.350045)
- (xy 111.248357 66.293091) (xy 111.132739 66.258018) (xy 111.0125 66.246176) (xy 110.4375 66.246176) (xy 110.317261 66.258018)
- (xy 110.201643 66.293091) (xy 110.095089 66.350045) (xy 110.001693 66.426693) (xy 109.925045 66.520089) (xy 109.868091 66.626643)
- (xy 109.833018 66.742261) (xy 109.821176 66.8625) (xy 109.821176 67.117112) (xy 109.622019 67.316269) (xy 109.53275 67.227)
- (xy 108.777 67.227) (xy 108.777 67.75775) (xy 108.87125 67.852) (xy 109.085258 67.853031) (xy 108.7375 68.200788)
- (xy 108.388904 67.852192) (xy 108.42875 67.852) (xy 108.523 67.75775) (xy 108.523 67.227) (xy 108.503 67.227)
- (xy 108.503 66.973) (xy 108.523 66.973) (xy 108.523 66.44225) (xy 108.777 66.44225) (xy 108.777 66.973)
- (xy 109.53275 66.973) (xy 109.627 66.87875) (xy 109.628824 66.725) (xy 109.621545 66.651095) (xy 109.599988 66.58003)
- (xy 109.564981 66.514537) (xy 109.517869 66.457131) (xy 109.460463 66.410019) (xy 109.39497 66.375012) (xy 109.323905 66.353455)
- (xy 109.25 66.346176) (xy 108.87125 66.348) (xy 108.777 66.44225) (xy 108.523 66.44225) (xy 108.489353 66.408603)
- (xy 108.521327 66.348784) (xy 108.527428 66.328673) (xy 108.565757 66.202319) (xy 108.577 66.088166) (xy 108.577 66.088157)
- (xy 108.580758 66.050001) (xy 108.577 66.011845) (xy 108.577 64.778824) (xy 108.8 64.778824) (xy 108.873905 64.771545)
- (xy 108.94497 64.749988) (xy 109.010463 64.714981) (xy 109.067869 64.667869) (xy 109.114981 64.610463) (xy 109.149988 64.54497)
- (xy 109.171545 64.473905) (xy 109.178824 64.4) (xy 109.178824 62.4) (xy 109.171545 62.326095) (xy 109.149988 62.25503)
- (xy 109.114981 62.189537) (xy 109.067869 62.132131) (xy 109.010463 62.085019) (xy 108.94497 62.050012) (xy 108.873905 62.028455)
- (xy 108.8 62.021176) (xy 106.8 62.021176) (xy 106.726095 62.028455) (xy 106.65503 62.050012) (xy 106.589537 62.085019)
- (xy 106.532131 62.132131) (xy 106.485019 62.189537) (xy 106.450012 62.25503) (xy 106.428455 62.326095) (xy 106.421176 62.4)
- (xy 106.421176 63.397138) (xy 104.269787 65.548527) (xy 104.264981 65.539537) (xy 104.217869 65.482131) (xy 104.160463 65.435019)
- (xy 104.09497 65.400012) (xy 104.023905 65.378455) (xy 103.95 65.371176) (xy 101.65 65.371176) (xy 101.576095 65.378455)
- (xy 101.50503 65.400012) (xy 101.439537 65.435019) (xy 101.382131 65.482131) (xy 101.335019 65.539537) (xy 101.300012 65.60503)
- (xy 101.278455 65.676095) (xy 101.271176 65.75) (xy 101.271176 69.25) (xy 101.278455 69.323905) (xy 101.300012 69.39497)
- (xy 101.317337 69.427383) (xy 101.247681 69.434243) (xy 101.13253 69.469174) (xy 101.101216 69.478673) (xy 100.966233 69.550823)
- (xy 100.886777 69.616031) (xy 100.84792 69.64792) (xy 100.823592 69.677564) (xy 100.028157 70.473) (xy 98.953824 70.473)
- (xy 98.953824 70.47) (xy 98.946545 70.396095) (xy 98.924988 70.32503) (xy 98.889981 70.259537) (xy 98.842869 70.202131)
- (xy 98.785463 70.155019) (xy 98.71997 70.120012) (xy 98.648905 70.098455) (xy 98.575 70.091176) (xy 97.925 70.091176)
- (xy 97.851095 70.098455) (xy 97.78003 70.120012) (xy 97.775 70.122701) (xy 97.76997 70.120012) (xy 97.698905 70.098455)
- (xy 97.625 70.091176) (xy 97.52125 70.093) (xy 97.427 70.18725) (xy 97.427 71.123) (xy 97.447 71.123)
- (xy 97.447 71.377) (xy 97.427 71.377) (xy 97.427 71.397) (xy 97.173 71.397) (xy 97.173 71.377)
- (xy 97.153 71.377) (xy 97.153 71.123) (xy 97.173 71.123) (xy 97.173 70.18725) (xy 97.07875 70.093)
- (xy 96.975 70.091176) (xy 96.901095 70.098455) (xy 96.83003 70.120012) (xy 96.825 70.122701) (xy 96.81997 70.120012)
- (xy 96.748905 70.098455) (xy 96.675 70.091176) (xy 96.025 70.091176) (xy 95.951095 70.098455) (xy 95.88003 70.120012)
- (xy 95.814537 70.155019) (xy 95.757131 70.202131) (xy 95.710019 70.259537) (xy 95.675012 70.32503) (xy 95.653455 70.396095)
- (xy 95.646176 70.47) (xy 95.646176 70.473) (xy 91.938155 70.473) (xy 91.899999 70.469242) (xy 91.861843 70.473)
- (xy 91.861834 70.473) (xy 91.747681 70.484243) (xy 91.601216 70.528673) (xy 91.521699 70.571176) (xy 88.9 70.571176)
- (xy 88.826095 70.578455) (xy 88.75503 70.600012) (xy 88.689537 70.635019) (xy 88.632131 70.682131) (xy 88.585019 70.739537)
- (xy 88.550012 70.80503) (xy 88.528455 70.876095) (xy 88.521176 70.95) (xy 88.521176 73.35) (xy 88.528455 73.423905)
- (xy 88.550012 73.49497) (xy 88.585019 73.560463) (xy 88.632131 73.617869) (xy 88.689537 73.664981) (xy 88.75503 73.699988)
- (xy 88.826095 73.721545) (xy 88.9 73.728824) (xy 93.1 73.728824) (xy 93.173905 73.721545) (xy 93.24497 73.699988)
- (xy 93.310463 73.664981) (xy 93.367869 73.617869) (xy 93.414981 73.560463) (xy 93.449988 73.49497) (xy 93.471545 73.423905)
- (xy 93.478824 73.35) (xy 93.478824 72.027) (xy 95.573001 72.027) (xy 95.573001 72.446829) (xy 95.569241 72.485002)
- (xy 95.584244 72.63732) (xy 95.628673 72.783785) (xy 95.700823 72.918768) (xy 95.746073 72.973905) (xy 95.797921 73.037082)
- (xy 95.827565 73.06141) (xy 96.188585 73.42243) (xy 96.189053 73.423) (xy 94.113163 73.423) (xy 94.075 73.419241)
- (xy 94.036836 73.423) (xy 94.036834 73.423) (xy 93.922681 73.434243) (xy 93.776216 73.478673) (xy 93.745727 73.49497)
- (xy 93.641233 73.550823) (xy 93.559469 73.617925) (xy 93.52292 73.64792) (xy 93.498592 73.677564) (xy 92.827565 74.348592)
- (xy 92.797921 74.37292) (xy 92.773593 74.402564) (xy 92.773591 74.402566) (xy 92.700823 74.491234) (xy 92.628673 74.626217)
- (xy 92.599486 74.722434) (xy 92.584244 74.772681) (xy 92.583981 74.775348) (xy 92.569241 74.925) (xy 92.573001 74.963173)
- (xy 92.573001 75.392132) (xy 92.539537 75.410019) (xy 92.482131 75.457131) (xy 92.435019 75.514537) (xy 92.400012 75.58003)
- (xy 92.378455 75.651095) (xy 92.371176 75.725) (xy 92.371176 76.475) (xy 92.373001 76.493528) (xy 92.373001 77.506474)
- (xy 92.371176 77.525) (xy 92.371176 78.123) (xy 91.228824 78.123) (xy 91.228824 77.2) (xy 91.221545 77.126095)
- (xy 91.199988 77.05503) (xy 91.164981 76.989537) (xy 91.117869 76.932131) (xy 91.060463 76.885019) (xy 90.99497 76.850012)
- (xy 90.923905 76.828455) (xy 90.85 76.821176) (xy 88.85 76.821176) (xy 88.776095 76.828455) (xy 88.70503 76.850012)
- (xy 88.639537 76.885019) (xy 88.582131 76.932131) (xy 88.535019 76.989537) (xy 88.500012 77.05503) (xy 88.478455 77.126095)
- (xy 88.471176 77.2) (xy 88.471176 78.123) (xy 84.851409 78.123) (xy 84.817869 78.082131) (xy 84.760463 78.035019)
- (xy 84.69497 78.000012) (xy 84.623905 77.978455) (xy 84.55 77.971176) (xy 82.55 77.971176) (xy 82.476095 77.978455)
- (xy 82.40503 78.000012) (xy 82.339537 78.035019) (xy 82.326997 78.04531) (xy 81.831687 77.55) (xy 82.171176 77.55)
- (xy 82.178455 77.623905) (xy 82.200012 77.69497) (xy 82.235019 77.760463) (xy 82.282131 77.817869) (xy 82.339537 77.864981)
- (xy 82.40503 77.899988) (xy 82.476095 77.921545) (xy 82.55 77.928824) (xy 83.32875 77.927) (xy 83.423 77.83275)
- (xy 83.423 76.927) (xy 83.677 76.927) (xy 83.677 77.83275) (xy 83.77125 77.927) (xy 84.55 77.928824)
- (xy 84.623905 77.921545) (xy 84.69497 77.899988) (xy 84.760463 77.864981) (xy 84.817869 77.817869) (xy 84.864981 77.760463)
- (xy 84.899988 77.69497) (xy 84.921545 77.623905) (xy 84.928824 77.55) (xy 84.927 77.02125) (xy 84.83275 76.927)
- (xy 83.677 76.927) (xy 83.423 76.927) (xy 82.26725 76.927) (xy 82.173 77.02125) (xy 82.171176 77.55)
- (xy 81.831687 77.55) (xy 80.331687 76.05) (xy 82.171176 76.05) (xy 82.173 76.57875) (xy 82.26725 76.673)
- (xy 83.423 76.673) (xy 83.423 75.76725) (xy 83.677 75.76725) (xy 83.677 76.673) (xy 84.83275 76.673)
- (xy 84.927 76.57875) (xy 84.928824 76.05) (xy 84.921545 75.976095) (xy 84.899988 75.90503) (xy 84.864981 75.839537)
- (xy 84.817869 75.782131) (xy 84.760463 75.735019) (xy 84.69497 75.700012) (xy 84.623905 75.678455) (xy 84.55 75.671176)
- (xy 83.77125 75.673) (xy 83.677 75.76725) (xy 83.423 75.76725) (xy 83.32875 75.673) (xy 82.55 75.671176)
- (xy 82.476095 75.678455) (xy 82.40503 75.700012) (xy 82.339537 75.735019) (xy 82.282131 75.782131) (xy 82.235019 75.839537)
- (xy 82.200012 75.90503) (xy 82.178455 75.976095) (xy 82.171176 76.05) (xy 80.331687 76.05) (xy 80.178824 75.897138)
- (xy 80.178824 74.9) (xy 80.171545 74.826095) (xy 80.149988 74.75503) (xy 80.114981 74.689537) (xy 80.067869 74.632131)
- (xy 80.010463 74.585019) (xy 79.94497 74.550012) (xy 79.873905 74.528455) (xy 79.8 74.521176) (xy 77.8 74.521176)
- (xy 77.726095 74.528455) (xy 77.65503 74.550012) (xy 77.589537 74.585019) (xy 77.532131 74.632131) (xy 77.485019 74.689537)
- (xy 77.450012 74.75503) (xy 77.428455 74.826095) (xy 77.421176 74.9) (xy 77.421176 76.9) (xy 77.428455 76.973905)
- (xy 77.450012 77.04497) (xy 77.485019 77.110463) (xy 77.532131 77.167869) (xy 77.589537 77.214981) (xy 77.65503 77.249988)
- (xy 77.726095 77.271545) (xy 77.8 77.278824) (xy 78.797138 77.278824) (xy 81.27522 79.756907) (xy 81.305814 79.794186)
- (xy 81.454582 79.916276) (xy 81.624309 80.006997) (xy 81.808475 80.062863) (xy 81.952007 80.077) (xy 81.952016 80.077)
- (xy 81.999999 80.081726) (xy 82.047982 80.077) (xy 82.248591 80.077) (xy 82.282131 80.117869) (xy 82.339537 80.164981)
- (xy 82.40503 80.199988) (xy 82.476095 80.221545) (xy 82.55 80.228824) (xy 84.55 80.228824) (xy 84.623905 80.221545)
- (xy 84.69497 80.199988) (xy 84.760463 80.164981) (xy 84.817869 80.117869) (xy 84.851409 80.077) (xy 88.471176 80.077)
- (xy 88.471176 81) (xy 88.478455 81.073905) (xy 88.500012 81.14497) (xy 88.535019 81.210463) (xy 88.582131 81.267869)
- (xy 88.639537 81.314981) (xy 88.70503 81.349988) (xy 88.776095 81.371545) (xy 88.85 81.378824) (xy 90.85 81.378824)
- (xy 90.923905 81.371545) (xy 90.99497 81.349988) (xy 91.060463 81.314981) (xy 91.117869 81.267869) (xy 91.164981 81.210463)
- (xy 91.199988 81.14497) (xy 91.221545 81.073905) (xy 91.228824 81) (xy 91.228824 80.077) (xy 92.245314 80.077)
- (xy 92.37422 80.205907) (xy 92.378455 80.248905) (xy 92.400012 80.31997) (xy 92.435019 80.385463) (xy 92.482131 80.442869)
- (xy 92.539537 80.489981) (xy 92.573 80.507868) (xy 92.573 81.013165) (xy 92.573001 81.013175) (xy 92.573 84.948154)
- (xy 91.437569 86.083586) (xy 91.437564 86.08359) (xy 89.477564 88.043591) (xy 89.44792 88.067919) (xy 89.423592 88.097563)
- (xy 89.42359 88.097565) (xy 89.350822 88.186233) (xy 89.278672 88.321216) (xy 89.25538 88.398) (xy 89.235815 88.4625)
- (xy 89.234243 88.467681) (xy 89.21924 88.619999) (xy 89.223 88.658172) (xy 89.222999 90.586835) (xy 89.21924 90.624999)
- (xy 89.222999 90.663162) (xy 89.222999 90.663164) (xy 89.234242 90.777317) (xy 89.274492 90.910001) (xy 89.278672 90.923782)
- (xy 89.350822 91.058765) (xy 89.390148 91.106684) (xy 89.447919 91.177079) (xy 89.477568 91.201411) (xy 90.248592 91.972436)
- (xy 90.27292 92.00208) (xy 90.302564 92.026408) (xy 90.302565 92.026409) (xy 90.391234 92.099178) (xy 90.478034 92.145573)
- (xy 90.526216 92.171327) (xy 90.672681 92.215757) (xy 90.786834 92.227) (xy 90.786836 92.227) (xy 90.825 92.230759)
- (xy 90.863163 92.227) (xy 91.292133 92.227) (xy 91.310019 92.260463) (xy 91.357131 92.317869) (xy 91.414537 92.364981)
- (xy 91.48003 92.399988) (xy 91.551095 92.421545) (xy 91.625 92.428824) (xy 92.375 92.428824) (xy 92.448905 92.421545)
- (xy 92.51997 92.399988) (xy 92.585463 92.364981) (xy 92.642869 92.317869) (xy 92.689981 92.260463) (xy 92.72123 92.202)
- (xy 93.471176 92.202) (xy 93.471176 92.4) (xy 93.478455 92.473905) (xy 93.49127 92.51615) (xy 93.381952 92.561431)
- (xy 93.254691 92.646464) (xy 93.146464 92.754691) (xy 93.061431 92.881952) (xy 93.002859 93.023357) (xy 92.973 93.173472)
- (xy 92.973 93.326528) (xy 93.002859 93.476643) (xy 93.061431 93.618048) (xy 93.073785 93.636537) (xy 91.74921 93.644778)
- (xy 91.725224 93.647216) (xy 91.701399 93.654443) (xy 91.679443 93.666179) (xy 91.660197 93.681973) (xy 91.644403 93.701219)
- (xy 91.632667 93.723175) (xy 91.62544 93.747) (xy 91.623 93.771776) (xy 91.623 93.873) (xy 72.6895 93.873)
- (xy 72.6895 89.097122) (xy 72.982483 89.097122) (xy 73.092881 89.28933) (xy 73.338495 89.40443) (xy 73.601845 89.4694)
- (xy 73.872809 89.481745) (xy 74.140975 89.440991) (xy 74.396038 89.348703) (xy 74.507119 89.28933) (xy 74.617517 89.097122)
- (xy 73.8 88.279605) (xy 72.982483 89.097122) (xy 72.6895 89.097122) (xy 72.6895 88.852396) (xy 72.802878 88.917517)
- (xy 73.620395 88.1) (xy 73.979605 88.1) (xy 74.797122 88.917517) (xy 74.98933 88.807119) (xy 75.10443 88.561505)
- (xy 75.1694 88.298155) (xy 75.181745 88.027191) (xy 75.140991 87.759025) (xy 75.048703 87.503962) (xy 74.98933 87.392881)
- (xy 74.797122 87.282483) (xy 73.979605 88.1) (xy 73.620395 88.1) (xy 72.802878 87.282483) (xy 72.6895 87.347604)
- (xy 72.6895 87.102878) (xy 72.982483 87.102878) (xy 73.8 87.920395) (xy 74.617517 87.102878) (xy 74.507119 86.91067)
- (xy 74.261505 86.79557) (xy 73.998155 86.7306) (xy 73.727191 86.718255) (xy 73.459025 86.759009) (xy 73.203962 86.851297)
- (xy 73.092881 86.91067) (xy 72.982483 87.102878) (xy 72.6895 87.102878) (xy 72.6895 82.984528) (xy 72.697848 82.974356)
- (xy 72.755958 82.865641) (xy 72.791741 82.747677) (xy 72.803824 82.625) (xy 72.803824 81.375) (xy 73.596176 81.375)
- (xy 73.596176 82.625) (xy 73.608259 82.747677) (xy 73.644042 82.865641) (xy 73.702152 82.974356) (xy 73.780354 83.069646)
- (xy 73.875644 83.147848) (xy 73.984359 83.205958) (xy 74.102323 83.241741) (xy 74.225 83.253824) (xy 74.559638 83.253824)
- (xy 77.823001 86.517188) (xy 77.823001 86.721176) (xy 77.8 86.721176) (xy 77.726095 86.728455) (xy 77.65503 86.750012)
- (xy 77.589537 86.785019) (xy 77.532131 86.832131) (xy 77.485019 86.889537) (xy 77.450012 86.95503) (xy 77.428455 87.026095)
- (xy 77.421176 87.1) (xy 77.421176 89.1) (xy 77.428455 89.173905) (xy 77.450012 89.24497) (xy 77.485019 89.310463)
- (xy 77.532131 89.367869) (xy 77.589537 89.414981) (xy 77.65503 89.449988) (xy 77.726095 89.471545) (xy 77.8 89.478824)
- (xy 79.8 89.478824) (xy 79.873905 89.471545) (xy 79.94497 89.449988) (xy 80.010463 89.414981) (xy 80.067869 89.367869)
- (xy 80.114981 89.310463) (xy 80.149988 89.24497) (xy 80.171545 89.173905) (xy 80.178824 89.1) (xy 80.178824 88.41841)
- (xy 85.961195 88.41841) (xy 86.041306 88.585043) (xy 86.244213 88.675111) (xy 86.460793 88.723864) (xy 86.682723 88.729428)
- (xy 86.901474 88.691588) (xy 87.10864 88.611798) (xy 87.158694 88.585043) (xy 87.238805 88.41841) (xy 86.6 87.779605)
- (xy 85.961195 88.41841) (xy 80.178824 88.41841) (xy 80.178824 87.682723) (xy 85.470572 87.682723) (xy 85.508412 87.901474)
- (xy 85.588202 88.10864) (xy 85.614957 88.158694) (xy 85.78159 88.238805) (xy 86.420395 87.6) (xy 86.779605 87.6)
- (xy 87.41841 88.238805) (xy 87.585043 88.158694) (xy 87.675111 87.955787) (xy 87.723864 87.739207) (xy 87.729428 87.517277)
- (xy 87.691588 87.298526) (xy 87.611798 87.09136) (xy 87.585043 87.041306) (xy 87.41841 86.961195) (xy 86.779605 87.6)
- (xy 86.420395 87.6) (xy 85.78159 86.961195) (xy 85.614957 87.041306) (xy 85.524889 87.244213) (xy 85.476136 87.460793)
- (xy 85.470572 87.682723) (xy 80.178824 87.682723) (xy 80.178824 87.1) (xy 80.171545 87.026095) (xy 80.149988 86.95503)
- (xy 80.114981 86.889537) (xy 80.067869 86.832131) (xy 80.010463 86.785019) (xy 80.004048 86.78159) (xy 85.961195 86.78159)
- (xy 86.6 87.420395) (xy 87.238805 86.78159) (xy 87.158694 86.614957) (xy 86.955787 86.524889) (xy 86.739207 86.476136)
- (xy 86.517277 86.470572) (xy 86.298526 86.508412) (xy 86.09136 86.588202) (xy 86.041306 86.614957) (xy 85.961195 86.78159)
- (xy 80.004048 86.78159) (xy 79.94497 86.750012) (xy 79.873905 86.728455) (xy 79.8 86.721176) (xy 79.777 86.721176)
- (xy 79.777 86.554686) (xy 81.220061 85.111625) (xy 81.224602 85.118421) (xy 81.381579 85.275398) (xy 81.566165 85.398734)
- (xy 81.771266 85.48369) (xy 81.989 85.527) (xy 82.211 85.527) (xy 82.428734 85.48369) (xy 82.633835 85.398734)
- (xy 82.818421 85.275398) (xy 82.975398 85.118421) (xy 83.098734 84.933835) (xy 83.18369 84.728734) (xy 83.227 84.511)
- (xy 83.227 84.289) (xy 83.18369 84.071266) (xy 83.098734 83.866165) (xy 82.975398 83.681579) (xy 82.818421 83.524602)
- (xy 82.811625 83.520061) (xy 83.802863 82.528824) (xy 84.55 82.528824) (xy 84.623905 82.521545) (xy 84.69497 82.499988)
- (xy 84.760463 82.464981) (xy 84.817869 82.417869) (xy 84.864981 82.360463) (xy 84.899988 82.29497) (xy 84.921545 82.223905)
- (xy 84.928824 82.15) (xy 84.928824 80.65) (xy 84.921545 80.576095) (xy 84.899988 80.50503) (xy 84.864981 80.439537)
- (xy 84.817869 80.382131) (xy 84.760463 80.335019) (xy 84.69497 80.300012) (xy 84.623905 80.278455) (xy 84.55 80.271176)
- (xy 82.55 80.271176) (xy 82.476095 80.278455) (xy 82.40503 80.300012) (xy 82.339537 80.335019) (xy 82.282131 80.382131)
- (xy 82.235019 80.439537) (xy 82.200012 80.50503) (xy 82.178455 80.576095) (xy 82.171176 80.65) (xy 82.171176 81.397137)
- (xy 81.50064 82.067674) (xy 81.496545 82.026095) (xy 81.474988 81.95503) (xy 81.439981 81.889537) (xy 81.392869 81.832131)
- (xy 81.335463 81.785019) (xy 81.26997 81.750012) (xy 81.26993 81.75) (xy 81.26997 81.749988) (xy 81.335463 81.714981)
- (xy 81.392869 81.667869) (xy 81.439981 81.610463) (xy 81.474988 81.54497) (xy 81.496545 81.473905) (xy 81.503824 81.4)
- (xy 81.502 81.02125) (xy 81.40775 80.927) (xy 80.877 80.927) (xy 80.877 80.947) (xy 80.623 80.947)
- (xy 80.623 80.927) (xy 80.09225 80.927) (xy 79.998 81.02125) (xy 79.996176 81.4) (xy 80.003455 81.473905)
- (xy 80.025012 81.54497) (xy 80.060019 81.610463) (xy 80.107131 81.667869) (xy 80.164537 81.714981) (xy 80.23003 81.749988)
- (xy 80.23007 81.75) (xy 80.23003 81.750012) (xy 80.164537 81.785019) (xy 80.107131 81.832131) (xy 80.060019 81.889537)
- (xy 80.025012 81.95503) (xy 80.003455 82.026095) (xy 79.998584 82.075551) (xy 79.933725 82.154582) (xy 79.843003 82.32431)
- (xy 79.787137 82.508475) (xy 79.768273 82.7) (xy 79.787137 82.891525) (xy 79.843003 83.07569) (xy 79.933725 83.245418)
- (xy 79.998584 83.32445) (xy 80.003455 83.373905) (xy 80.025012 83.44497) (xy 80.059264 83.50905) (xy 78.81875 84.749564)
- (xy 75.778824 81.709638) (xy 75.778824 81.375) (xy 75.766741 81.252323) (xy 75.730958 81.134359) (xy 75.672848 81.025644)
- (xy 75.594646 80.930354) (xy 75.499356 80.852152) (xy 75.390641 80.794042) (xy 75.272677 80.758259) (xy 75.15 80.746176)
- (xy 74.225 80.746176) (xy 74.102323 80.758259) (xy 73.984359 80.794042) (xy 73.875644 80.852152) (xy 73.780354 80.930354)
- (xy 73.702152 81.025644) (xy 73.644042 81.134359) (xy 73.608259 81.252323) (xy 73.596176 81.375) (xy 72.803824 81.375)
- (xy 72.791741 81.252323) (xy 72.755958 81.134359) (xy 72.697848 81.025644) (xy 72.6895 81.015472) (xy 72.6895 80.2)
- (xy 79.996176 80.2) (xy 79.998 80.57875) (xy 80.09225 80.673) (xy 80.623 80.673) (xy 80.623 79.91725)
- (xy 80.877 79.91725) (xy 80.877 80.673) (xy 81.40775 80.673) (xy 81.502 80.57875) (xy 81.503824 80.2)
- (xy 81.496545 80.126095) (xy 81.474988 80.05503) (xy 81.439981 79.989537) (xy 81.392869 79.932131) (xy 81.335463 79.885019)
- (xy 81.26997 79.850012) (xy 81.198905 79.828455) (xy 81.125 79.821176) (xy 80.97125 79.823) (xy 80.877 79.91725)
- (xy 80.623 79.91725) (xy 80.52875 79.823) (xy 80.375 79.821176) (xy 80.301095 79.828455) (xy 80.23003 79.850012)
- (xy 80.164537 79.885019) (xy 80.107131 79.932131) (xy 80.060019 79.989537) (xy 80.025012 80.05503) (xy 80.003455 80.126095)
- (xy 79.996176 80.2) (xy 72.6895 80.2) (xy 72.6895 76.897122) (xy 72.982483 76.897122) (xy 73.092881 77.08933)
- (xy 73.338495 77.20443) (xy 73.601845 77.2694) (xy 73.872809 77.281745) (xy 74.140975 77.240991) (xy 74.396038 77.148703)
- (xy 74.507119 77.08933) (xy 74.617517 76.897122) (xy 73.8 76.079605) (xy 72.982483 76.897122) (xy 72.6895 76.897122)
- (xy 72.6895 76.652396) (xy 72.802878 76.717517) (xy 73.620395 75.9) (xy 73.979605 75.9) (xy 74.797122 76.717517)
- (xy 74.98933 76.607119) (xy 75.10443 76.361505) (xy 75.1694 76.098155) (xy 75.181745 75.827191) (xy 75.140991 75.559025)
- (xy 75.048703 75.303962) (xy 74.98933 75.192881) (xy 74.797122 75.082483) (xy 73.979605 75.9) (xy 73.620395 75.9)
- (xy 72.802878 75.082483) (xy 72.6895 75.147604) (xy 72.6895 74.902878) (xy 72.982483 74.902878) (xy 73.8 75.720395)
- (xy 74.617517 74.902878) (xy 74.507119 74.71067) (xy 74.261505 74.59557) (xy 73.998155 74.5306) (xy 73.727191 74.518255)
- (xy 73.459025 74.559009) (xy 73.203962 74.651297) (xy 73.092881 74.71067) (xy 72.982483 74.902878) (xy 72.6895 74.902878)
- (xy 72.6895 73.092186) (xy 73.774779 72.006907) (xy 74.154687 71.627) (xy 81.779322 71.627) (xy 81.855354 71.719646)
- (xy 81.950644 71.797848) (xy 82.059359 71.855958) (xy 82.177323 71.891741) (xy 82.3 71.903824) (xy 83.225 71.903824)
- (xy 83.347677 71.891741) (xy 83.465641 71.855958) (xy 83.574356 71.797848) (xy 83.669646 71.719646) (xy 83.747848 71.624356)
- (xy 83.805958 71.515641) (xy 83.841741 71.397677) (xy 83.853824 71.275) (xy 83.853824 70.025) (xy 83.841741 69.902323)
- (xy 83.805958 69.784359) (xy 83.747848 69.675644) (xy 83.669646 69.580354) (xy 83.574356 69.502152) (xy 83.465641 69.444042)
- (xy 83.347677 69.408259) (xy 83.225 69.396176) (xy 82.3 69.396176) (xy 82.177323 69.408259) (xy 82.059359 69.444042)
- (xy 81.950644 69.502152) (xy 81.855354 69.580354) (xy 81.779322 69.673) (xy 79.906193 69.673) (xy 80.020282 69.502254)
- (xy 80.124083 69.251656) (xy 80.177 68.985623) (xy 80.177 68.714377) (xy 80.124083 68.448344) (xy 80.072993 68.325)
- (xy 82.371176 68.325) (xy 82.378455 68.398905) (xy 82.400012 68.46997) (xy 82.435019 68.535463) (xy 82.482131 68.592869)
- (xy 82.539537 68.639981) (xy 82.60503 68.674988) (xy 82.676095 68.696545) (xy 82.75 68.703824) (xy 83.12875 68.702)
- (xy 83.223 68.60775) (xy 83.223 68.077) (xy 82.46725 68.077) (xy 82.373 68.17125) (xy 82.371176 68.325)
- (xy 80.072993 68.325) (xy 80.020282 68.197746) (xy 79.869586 67.972213) (xy 79.727 67.829627) (xy 79.727 67.575)
- (xy 82.371176 67.575) (xy 82.373 67.72875) (xy 82.46725 67.823) (xy 83.223 67.823) (xy 83.223 67.29225)
- (xy 83.477 67.29225) (xy 83.477 67.823) (xy 83.497 67.823) (xy 83.497 68.077) (xy 83.477 68.077)
- (xy 83.477 68.60775) (xy 83.57125 68.702) (xy 83.95 68.703824) (xy 84.023905 68.696545) (xy 84.09497 68.674988)
- (xy 84.160463 68.639981) (xy 84.217869 68.592869) (xy 84.264981 68.535463) (xy 84.299988 68.46997) (xy 84.3 68.46993)
- (xy 84.300012 68.46997) (xy 84.335019 68.535463) (xy 84.382131 68.592869) (xy 84.439537 68.639981) (xy 84.50503 68.674988)
- (xy 84.576095 68.696545) (xy 84.619094 68.70078) (xy 84.760501 68.842187) (xy 84.7605 69.665471) (xy 84.752152 69.675644)
- (xy 84.694042 69.784359) (xy 84.658259 69.902323) (xy 84.646176 70.025) (xy 84.646176 71.275) (xy 84.658259 71.397677)
- (xy 84.694042 71.515641) (xy 84.752152 71.624356) (xy 84.830354 71.719646) (xy 84.925644 71.797848) (xy 85.034359 71.855958)
- (xy 85.152323 71.891741) (xy 85.275 71.903824) (xy 86.2 71.903824) (xy 86.322677 71.891741) (xy 86.440641 71.855958)
- (xy 86.549356 71.797848) (xy 86.644646 71.719646) (xy 86.722848 71.624356) (xy 86.780958 71.515641) (xy 86.816741 71.397677)
- (xy 86.828824 71.275) (xy 86.828824 70.025) (xy 86.816741 69.902323) (xy 86.780958 69.784359) (xy 86.722848 69.675644)
- (xy 86.7145 69.665472) (xy 86.7145 68.927) (xy 88.529394 68.927) (xy 88.550012 68.99497) (xy 88.585019 69.060463)
- (xy 88.632131 69.117869) (xy 88.689537 69.164981) (xy 88.75503 69.199988) (xy 88.826095 69.221545) (xy 88.9 69.228824)
- (xy 93.1 69.228824) (xy 93.173905 69.221545) (xy 93.24497 69.199988) (xy 93.310463 69.164981) (xy 93.367869 69.117869)
- (xy 93.414981 69.060463) (xy 93.449988 68.99497) (xy 93.471545 68.923905) (xy 93.478824 68.85) (xy 93.478824 68.627)
- (xy 94.252007 68.627) (xy 94.3 68.631727) (xy 94.491525 68.612863) (xy 94.511159 68.606907) (xy 94.675691 68.556997)
- (xy 94.845418 68.466276) (xy 94.994186 68.344186) (xy 95.024784 68.306902) (xy 95.661233 67.670453) (xy 95.653455 67.696095)
- (xy 95.646176 67.77) (xy 95.646176 69.33) (xy 95.653455 69.403905) (xy 95.675012 69.47497) (xy 95.710019 69.540463)
- (xy 95.757131 69.597869) (xy 95.814537 69.644981) (xy 95.88003 69.679988) (xy 95.951095 69.701545) (xy 96.025 69.708824)
- (xy 96.675 69.708824) (xy 96.748905 69.701545) (xy 96.81997 69.679988) (xy 96.825 69.677299) (xy 96.83003 69.679988)
- (xy 96.901095 69.701545) (xy 96.975 69.708824) (xy 97.625 69.708824) (xy 97.698905 69.701545) (xy 97.76997 69.679988)
- (xy 97.775 69.677299) (xy 97.78003 69.679988) (xy 97.851095 69.701545) (xy 97.925 69.708824) (xy 98.575 69.708824)
- (xy 98.648905 69.701545) (xy 98.71997 69.679988) (xy 98.785463 69.644981) (xy 98.842869 69.597869) (xy 98.889981 69.540463)
- (xy 98.924988 69.47497) (xy 98.946545 69.403905) (xy 98.953824 69.33) (xy 98.953824 68.88153) (xy 98.971327 68.848784)
- (xy 99.015757 68.702319) (xy 99.027 68.588166) (xy 99.027 68.133155) (xy 99.030758 68.094999) (xy 99.027 68.056843)
- (xy 99.027 68.056835) (xy 99.015757 67.942681) (xy 98.971327 67.796216) (xy 98.959082 67.773307) (xy 98.953036 67.761995)
- (xy 98.946545 67.696095) (xy 98.924988 67.62503) (xy 98.889981 67.559537) (xy 98.877 67.54372) (xy 98.877 67.300794)
- (xy 98.880033 67.27) (xy 98.867927 67.147087) (xy 98.855756 67.106964) (xy 98.832075 67.028897) (xy 98.773853 66.919972)
- (xy 98.695501 66.824499) (xy 98.671578 66.804866) (xy 98.257497 66.390785) (xy 98.206997 66.224309) (xy 98.116276 66.054582)
- (xy 98.077 66.006724) (xy 98.077 64.638155) (xy 98.080758 64.599999) (xy 98.077 64.561843) (xy 98.077 64.561834)
- (xy 98.065757 64.447681) (xy 98.021327 64.301216) (xy 98.010118 64.280245) (xy 97.949177 64.166233) (xy 97.876409 64.077565)
- (xy 97.876408 64.077564) (xy 97.85208 64.04792) (xy 97.822437 64.023593) (xy 97.478824 63.67998) (xy 97.478824 62.4)
- (xy 97.471545 62.326095) (xy 97.449988 62.25503) (xy 97.414981 62.189537) (xy 97.367869 62.132131) (xy 97.310463 62.085019)
- (xy 97.24497 62.050012) (xy 97.173905 62.028455) (xy 97.1 62.021176) (xy 95.1 62.021176) (xy 95.026095 62.028455)
- (xy 94.95503 62.050012) (xy 94.889537 62.085019) (xy 94.832131 62.132131) (xy 94.785019 62.189537) (xy 94.750012 62.25503)
- (xy 94.728455 62.326095) (xy 94.721176 62.4) (xy 94.721176 64.4) (xy 94.728455 64.473905) (xy 94.750012 64.54497)
- (xy 94.785019 64.610463) (xy 94.832131 64.667869) (xy 94.889537 64.714981) (xy 94.95503 64.749988) (xy 95.026095 64.771545)
- (xy 95.1 64.778824) (xy 96.37998 64.778824) (xy 96.523 64.921844) (xy 96.523001 65.623) (xy 95.39799 65.623)
- (xy 95.349999 65.618273) (xy 95.302009 65.623) (xy 95.302007 65.623) (xy 95.158475 65.637137) (xy 94.974309 65.693003)
- (xy 94.804582 65.783724) (xy 94.655814 65.905814) (xy 94.62522 65.943093) (xy 94.506372 66.061942) (xy 94.451216 66.078673)
- (xy 94.420177 66.095264) (xy 94.316234 66.150822) (xy 94.253485 66.202319) (xy 94.19792 66.24792) (xy 94.173592 66.277564)
- (xy 93.778156 66.673) (xy 93.478824 66.673) (xy 93.478824 66.45) (xy 93.471545 66.376095) (xy 93.449988 66.30503)
- (xy 93.414981 66.239537) (xy 93.367869 66.182131) (xy 93.310463 66.135019) (xy 93.24497 66.100012) (xy 93.173905 66.078455)
- (xy 93.1 66.071176) (xy 88.9 66.071176) (xy 88.826095 66.078455) (xy 88.75503 66.100012) (xy 88.689537 66.135019)
- (xy 88.632131 66.182131) (xy 88.585019 66.239537) (xy 88.550012 66.30503) (xy 88.528455 66.376095) (xy 88.521176 66.45)
- (xy 88.521176 66.973) (xy 88.484035 66.973) (xy 88.48369 66.971266) (xy 88.398734 66.766165) (xy 88.275398 66.581579)
- (xy 88.118421 66.424602) (xy 87.933835 66.301266) (xy 87.728734 66.21631) (xy 87.511 66.173) (xy 87.289 66.173)
- (xy 87.071266 66.21631) (xy 86.866165 66.301266) (xy 86.681579 66.424602) (xy 86.524602 66.581579) (xy 86.401266 66.766165)
- (xy 86.31631 66.971266) (xy 86.315965 66.973) (xy 85.297993 66.973) (xy 85.25 66.968273) (xy 85.202007 66.973)
- (xy 85.058475 66.987137) (xy 84.874309 67.043003) (xy 84.704582 67.133724) (xy 84.625549 67.198584) (xy 84.576095 67.203455)
- (xy 84.50503 67.225012) (xy 84.439537 67.260019) (xy 84.382131 67.307131) (xy 84.335019 67.364537) (xy 84.300012 67.43003)
- (xy 84.3 67.43007) (xy 84.299988 67.43003) (xy 84.264981 67.364537) (xy 84.217869 67.307131) (xy 84.160463 67.260019)
- (xy 84.09497 67.225012) (xy 84.023905 67.203455) (xy 83.95 67.196176) (xy 83.57125 67.198) (xy 83.477 67.29225)
- (xy 83.223 67.29225) (xy 83.12875 67.198) (xy 82.75 67.196176) (xy 82.676095 67.203455) (xy 82.60503 67.225012)
- (xy 82.539537 67.260019) (xy 82.482131 67.307131) (xy 82.435019 67.364537) (xy 82.400012 67.43003) (xy 82.378455 67.501095)
- (xy 82.371176 67.575) (xy 79.727 67.575) (xy 79.727 67.371843) (xy 83.386534 63.712309) (xy 83.432131 63.767869)
- (xy 83.489537 63.814981) (xy 83.55503 63.849988) (xy 83.626095 63.871545) (xy 83.7 63.878824) (xy 86.3 63.878824)
- (xy 86.373905 63.871545) (xy 86.44497 63.849988) (xy 86.510463 63.814981) (xy 86.567869 63.767869) (xy 86.614981 63.710463)
- (xy 86.649988 63.64497) (xy 86.671545 63.573905) (xy 86.678824 63.5) (xy 86.678824 61.5) (xy 86.671545 61.426095)
- (xy 86.649988 61.35503) (xy 86.614981 61.289537) (xy 86.567869 61.232131) (xy 86.510463 61.185019) (xy 86.44497 61.150012)
- (xy 86.373905 61.128455) (xy 86.3 61.121176) (xy 86.03895 61.121176) (xy 86.170617 61.034376) (xy 86.363489 60.843883)
- (xy 86.515491 60.619423) (xy 86.620783 60.369622) (xy 86.634383 60.299929) (xy 86.576448 60.087) (xy 85.127 60.087)
- (xy 85.127 60.107) (xy 84.873 60.107) (xy 84.873 60.087) (xy 83.423552 60.087) (xy 83.365617 60.299929)
- (xy 83.379217 60.369622) (xy 83.484509 60.619423) (xy 83.636511 60.843883) (xy 83.829383 61.034376) (xy 83.96105 61.121176)
- (xy 83.7 61.121176) (xy 83.626095 61.128455) (xy 83.55503 61.150012) (xy 83.489537 61.185019) (xy 83.432131 61.232131)
- (xy 83.385019 61.289537) (xy 83.350012 61.35503) (xy 83.328455 61.426095) (xy 83.321176 61.5) (xy 83.321176 61.742283)
- (xy 83.201216 61.778673) (xy 83.151906 61.80503) (xy 83.066233 61.850823) (xy 82.999481 61.905605) (xy 82.94792 61.94792)
- (xy 82.923592 61.977564) (xy 80.127 64.774157) (xy 80.127 59.620071) (xy 83.365617 59.620071) (xy 83.423552 59.833)
- (xy 84.873 59.833) (xy 84.873 58.583) (xy 85.127 58.583) (xy 85.127 59.833) (xy 86.576448 59.833)
- (xy 86.634383 59.620071) (xy 86.620783 59.550378) (xy 86.556186 59.397122) (xy 95.282483 59.397122) (xy 95.392881 59.58933)
- (xy 95.638495 59.70443) (xy 95.901845 59.7694) (xy 96.172809 59.781745) (xy 96.440975 59.740991) (xy 96.696038 59.648703)
- (xy 96.807119 59.58933) (xy 96.917517 59.397122) (xy 106.982483 59.397122) (xy 107.092881 59.58933) (xy 107.338495 59.70443)
- (xy 107.601845 59.7694) (xy 107.872809 59.781745) (xy 108.140975 59.740991) (xy 108.396038 59.648703) (xy 108.507119 59.58933)
- (xy 108.617517 59.397122) (xy 107.8 58.579605) (xy 106.982483 59.397122) (xy 96.917517 59.397122) (xy 96.1 58.579605)
- (xy 95.282483 59.397122) (xy 86.556186 59.397122) (xy 86.515491 59.300577) (xy 86.363489 59.076117) (xy 86.170617 58.885624)
- (xy 85.944288 58.736419) (xy 85.693199 58.634235) (xy 85.427 58.583) (xy 85.127 58.583) (xy 84.873 58.583)
- (xy 84.573 58.583) (xy 84.306801 58.634235) (xy 84.055712 58.736419) (xy 83.829383 58.885624) (xy 83.636511 59.076117)
- (xy 83.484509 59.300577) (xy 83.379217 59.550378) (xy 83.365617 59.620071) (xy 80.127 59.620071) (xy 80.127 58.472809)
- (xy 94.718255 58.472809) (xy 94.759009 58.740975) (xy 94.851297 58.996038) (xy 94.91067 59.107119) (xy 95.102878 59.217517)
- (xy 95.920395 58.4) (xy 96.279605 58.4) (xy 97.097122 59.217517) (xy 97.28933 59.107119) (xy 97.40443 58.861505)
- (xy 97.4694 58.598155) (xy 97.47511 58.472809) (xy 106.418255 58.472809) (xy 106.459009 58.740975) (xy 106.551297 58.996038)
- (xy 106.61067 59.107119) (xy 106.802878 59.217517) (xy 107.620395 58.4) (xy 107.979605 58.4) (xy 108.797122 59.217517)
- (xy 108.98933 59.107119) (xy 109.10443 58.861505) (xy 109.1694 58.598155) (xy 109.181745 58.327191) (xy 109.140991 58.059025)
- (xy 109.048703 57.803962) (xy 108.98933 57.692881) (xy 108.797122 57.582483) (xy 107.979605 58.4) (xy 107.620395 58.4)
- (xy 106.802878 57.582483) (xy 106.61067 57.692881) (xy 106.49557 57.938495) (xy 106.4306 58.201845) (xy 106.418255 58.472809)
- (xy 97.47511 58.472809) (xy 97.481745 58.327191) (xy 97.440991 58.059025) (xy 97.348703 57.803962) (xy 97.28933 57.692881)
- (xy 97.097122 57.582483) (xy 96.279605 58.4) (xy 95.920395 58.4) (xy 95.102878 57.582483) (xy 94.91067 57.692881)
- (xy 94.79557 57.938495) (xy 94.7306 58.201845) (xy 94.718255 58.472809) (xy 80.127 58.472809) (xy 80.127 57.402878)
- (xy 95.282483 57.402878) (xy 96.1 58.220395) (xy 96.917517 57.402878) (xy 106.982483 57.402878) (xy 107.8 58.220395)
- (xy 108.617517 57.402878) (xy 108.507119 57.21067) (xy 108.261505 57.09557) (xy 107.998155 57.0306) (xy 107.727191 57.018255)
- (xy 107.459025 57.059009) (xy 107.203962 57.151297) (xy 107.092881 57.21067) (xy 106.982483 57.402878) (xy 96.917517 57.402878)
- (xy 96.807119 57.21067) (xy 96.561505 57.09557) (xy 96.298155 57.0306) (xy 96.027191 57.018255) (xy 95.759025 57.059009)
- (xy 95.503962 57.151297) (xy 95.392881 57.21067) (xy 95.282483 57.402878) (xy 80.127 57.402878) (xy 80.127 55.377)
- (xy 118.623 55.377)
- )
- )
- (filled_polygon
- (pts
- (xy 141.35199 91.47) (xy 141.314537 91.490019) (xy 141.257131 91.537131) (xy 141.210019 91.594537) (xy 141.175012 91.66003)
- (xy 141.153455 91.731095) (xy 141.146176 91.805) (xy 141.146176 92.405) (xy 141.153455 92.478905) (xy 141.175012 92.54997)
- (xy 141.210019 92.615463) (xy 141.257131 92.672869) (xy 141.314537 92.719981) (xy 141.38003 92.754988) (xy 141.451095 92.776545)
- (xy 141.525 92.783824) (xy 143.075 92.783824) (xy 143.148905 92.776545) (xy 143.21997 92.754988) (xy 143.285463 92.719981)
- (xy 143.342869 92.672869) (xy 143.389981 92.615463) (xy 143.394505 92.607) (xy 144.245185 92.607) (xy 144.239537 92.610019)
- (xy 144.182131 92.657131) (xy 144.135019 92.714537) (xy 144.100012 92.78003) (xy 144.078455 92.851095) (xy 144.071176 92.925)
- (xy 144.073 93.07875) (xy 144.16725 93.173) (xy 144.923 93.173) (xy 144.923 92.64225) (xy 144.82875 92.548)
- (xy 144.756773 92.547653) (xy 144.800245 92.524417) (xy 144.876684 92.461684) (xy 144.892401 92.442533) (xy 144.98111 92.353824)
- (xy 145.4125 92.353824) (xy 145.532739 92.341982) (xy 145.648357 92.306909) (xy 145.754911 92.249955) (xy 145.848307 92.173307)
- (xy 145.924955 92.079911) (xy 145.981909 91.973357) (xy 146 91.91372) (xy 146.018091 91.973357) (xy 146.075045 92.079911)
- (xy 146.151693 92.173307) (xy 146.245089 92.249955) (xy 146.351643 92.306909) (xy 146.373 92.313388) (xy 146.373001 92.546176)
- (xy 146.35 92.546176) (xy 146.276095 92.553455) (xy 146.20503 92.575012) (xy 146.139537 92.610019) (xy 146.082131 92.657131)
- (xy 146.035019 92.714537) (xy 146.000012 92.78003) (xy 146 92.78007) (xy 145.999988 92.78003) (xy 145.964981 92.714537)
- (xy 145.917869 92.657131) (xy 145.860463 92.610019) (xy 145.79497 92.575012) (xy 145.723905 92.553455) (xy 145.65 92.546176)
- (xy 145.27125 92.548) (xy 145.177 92.64225) (xy 145.177 93.173) (xy 145.197 93.173) (xy 145.197 93.427)
- (xy 145.177 93.427) (xy 145.177 93.447) (xy 144.923 93.447) (xy 144.923 93.427) (xy 144.16725 93.427)
- (xy 144.073 93.52125) (xy 144.071176 93.675) (xy 144.073441 93.698) (xy 143.424643 93.698) (xy 143.4 93.695573)
- (xy 143.375357 93.698) (xy 143.375347 93.698) (xy 143.301591 93.705264) (xy 143.277 93.712724) (xy 143.277 93.673472)
- (xy 143.247141 93.523357) (xy 143.188569 93.381952) (xy 143.103536 93.254691) (xy 142.995309 93.146464) (xy 142.868048 93.061431)
- (xy 142.726643 93.002859) (xy 142.576528 92.973) (xy 142.423472 92.973) (xy 142.273357 93.002859) (xy 142.131952 93.061431)
- (xy 142.004691 93.146464) (xy 141.896464 93.254691) (xy 141.811431 93.381952) (xy 141.752859 93.523357) (xy 141.733039 93.623)
- (xy 141.330892 93.623) (xy 141.317869 93.607131) (xy 141.260463 93.560019) (xy 141.19497 93.525012) (xy 141.123905 93.503455)
- (xy 141.077 93.498835) (xy 141.077 91.669711) (xy 141.284712 91.462) (xy 141.337023 91.462)
- )
- )
- (filled_polygon
- (pts
- (xy 161.498 92.575357) (xy 161.495573 92.6) (xy 161.498 92.624643) (xy 161.498 92.624652) (xy 161.505264 92.698408)
- (xy 161.533969 92.793035) (xy 161.580583 92.880245) (xy 161.643316 92.956684) (xy 161.662467 92.972401) (xy 161.823 93.132934)
- (xy 161.823 93.276528) (xy 161.852859 93.426643) (xy 161.911431 93.568048) (xy 161.964846 93.64799) (xy 160.707927 93.64799)
- (xy 160.277001 93.217065) (xy 160.277001 92.174644) (xy 160.279428 92.150001) (xy 160.277001 92.125358) (xy 160.277001 92.125348)
- (xy 160.269737 92.051592) (xy 160.241032 91.956965) (xy 160.194418 91.869756) (xy 160.167975 91.837536) (xy 160.1474 91.812465)
- (xy 160.147398 91.812463) (xy 160.131685 91.793317) (xy 160.112539 91.777604) (xy 159.828824 91.493889) (xy 159.828824 91.413025)
- (xy 159.900001 91.420035) (xy 160.022913 91.407929) (xy 160.141104 91.372076) (xy 160.250028 91.313854) (xy 160.321582 91.255132)
- (xy 161.498 90.078715)
- )
- )
- (filled_polygon
- (pts
- (xy 98.953455 87.023905) (xy 98.975012 87.09497) (xy 99.010019 87.160463) (xy 99.057131 87.217869) (xy 99.114537 87.264981)
- (xy 99.18003 87.299988) (xy 99.18007 87.3) (xy 99.18003 87.300012) (xy 99.114537 87.335019) (xy 99.057131 87.382131)
- (xy 99.010019 87.439537) (xy 98.975012 87.50503) (xy 98.953455 87.576095) (xy 98.946176 87.65) (xy 98.948 88.02875)
- (xy 99.04225 88.123) (xy 99.573 88.123) (xy 99.573 88.103) (xy 99.827 88.103) (xy 99.827 88.123)
- (xy 99.847 88.123) (xy 99.847 88.377) (xy 99.827 88.377) (xy 99.827 88.397) (xy 99.573 88.397)
- (xy 99.573 88.377) (xy 99.04225 88.377) (xy 98.948 88.47125) (xy 98.946176 88.85) (xy 98.953455 88.923905)
- (xy 98.975012 88.99497) (xy 99.010019 89.060463) (xy 99.057131 89.117869) (xy 99.114537 89.164981) (xy 99.18003 89.199988)
- (xy 99.251095 89.221545) (xy 99.254924 89.221922) (xy 99.142261 89.233018) (xy 99.026643 89.268091) (xy 98.920089 89.325045)
- (xy 98.826693 89.401693) (xy 98.750045 89.495089) (xy 98.693091 89.601643) (xy 98.658018 89.717261) (xy 98.646176 89.8375)
- (xy 98.646176 90.4125) (xy 98.658018 90.532739) (xy 98.693091 90.648357) (xy 98.750045 90.754911) (xy 98.826693 90.848307)
- (xy 98.920089 90.924955) (xy 99.026643 90.981909) (xy 99.08628 91) (xy 99.026643 91.018091) (xy 98.920089 91.075045)
- (xy 98.826693 91.151693) (xy 98.750045 91.245089) (xy 98.693091 91.351643) (xy 98.658018 91.467261) (xy 98.646176 91.5875)
- (xy 98.646176 92.018889) (xy 98.353824 92.311241) (xy 98.353824 91.15) (xy 98.346545 91.076095) (xy 98.324988 91.00503)
- (xy 98.289981 90.939537) (xy 98.242869 90.882131) (xy 98.185463 90.835019) (xy 98.11997 90.800012) (xy 98.11993 90.8)
- (xy 98.11997 90.799988) (xy 98.185463 90.764981) (xy 98.242869 90.717869) (xy 98.289981 90.660463) (xy 98.324988 90.59497)
- (xy 98.346545 90.523905) (xy 98.353824 90.45) (xy 98.353824 89.25) (xy 98.346545 89.176095) (xy 98.324988 89.10503)
- (xy 98.289981 89.039537) (xy 98.242869 88.982131) (xy 98.185463 88.935019) (xy 98.11997 88.900012) (xy 98.048905 88.878455)
- (xy 97.975 88.871176) (xy 97.225 88.871176) (xy 97.151095 88.878455) (xy 97.08003 88.900012) (xy 97.014537 88.935019)
- (xy 96.957131 88.982131) (xy 96.910019 89.039537) (xy 96.875012 89.10503) (xy 96.853455 89.176095) (xy 96.846176 89.25)
- (xy 96.846176 89.348) (xy 96.52677 89.348) (xy 96.580245 89.319417) (xy 96.656684 89.256684) (xy 96.672401 89.237533)
- (xy 98.94741 86.962526)
- )
- )
- (filled_polygon
- (pts
- (xy 102.225045 88.879911) (xy 102.301693 88.973307) (xy 102.395089 89.049955) (xy 102.501643 89.106909) (xy 102.617261 89.141982)
- (xy 102.7375 89.153824) (xy 103.214175 89.153824) (xy 103.180584 89.194755) (xy 103.13397 89.281964) (xy 103.114491 89.346176)
- (xy 103.07 89.346176) (xy 102.996095 89.353455) (xy 102.92503 89.375012) (xy 102.859537 89.410019) (xy 102.802131 89.457131)
- (xy 102.755019 89.514537) (xy 102.720012 89.58003) (xy 102.698455 89.651095) (xy 102.691176 89.725) (xy 102.691176 90.375)
- (xy 102.698455 90.448905) (xy 102.720012 90.51997) (xy 102.755019 90.585463) (xy 102.802131 90.642869) (xy 102.859537 90.689981)
- (xy 102.92503 90.724988) (xy 102.996095 90.746545) (xy 103.07 90.753824) (xy 103.511242 90.753824) (xy 103.262463 91.002603)
- (xy 103.243317 91.018316) (xy 103.227604 91.037462) (xy 103.227601 91.037465) (xy 103.180584 91.094755) (xy 103.13397 91.181964)
- (xy 103.114491 91.246176) (xy 103.07 91.246176) (xy 102.996095 91.253455) (xy 102.92503 91.275012) (xy 102.859537 91.310019)
- (xy 102.802131 91.357131) (xy 102.755019 91.414537) (xy 102.733898 91.454052) (xy 102.730432 91.454393) (xy 102.72159 91.455264)
- (xy 102.626963 91.483969) (xy 102.539754 91.530583) (xy 102.482464 91.5776) (xy 102.482456 91.577608) (xy 102.463316 91.593316)
- (xy 102.447607 91.612457) (xy 102.308824 91.75124) (xy 102.308824 91.625) (xy 102.301545 91.551095) (xy 102.279988 91.48003)
- (xy 102.277299 91.475) (xy 102.279988 91.46997) (xy 102.301545 91.398905) (xy 102.308824 91.325) (xy 102.307 91.22125)
- (xy 102.21275 91.127) (xy 101.527 91.127) (xy 101.527 91.147) (xy 101.273 91.147) (xy 101.273 91.127)
- (xy 101.253 91.127) (xy 101.253 90.873) (xy 101.273 90.873) (xy 101.273 90.853) (xy 101.527 90.853)
- (xy 101.527 90.873) (xy 102.21275 90.873) (xy 102.307 90.77875) (xy 102.308824 90.675) (xy 102.301545 90.601095)
- (xy 102.279988 90.53003) (xy 102.277299 90.525) (xy 102.279988 90.51997) (xy 102.301545 90.448905) (xy 102.308824 90.375)
- (xy 102.308824 89.725) (xy 102.301545 89.651095) (xy 102.279988 89.58003) (xy 102.244981 89.514537) (xy 102.197869 89.457131)
- (xy 102.140463 89.410019) (xy 102.07497 89.375012) (xy 102.003905 89.353455) (xy 101.93 89.346176) (xy 101.902 89.346176)
- (xy 101.902 88.956827) (xy 101.973307 88.898307) (xy 102.049955 88.804911) (xy 102.051511 88.802) (xy 102.183401 88.802)
- )
- )
- (filled_polygon
- (pts
- (xy 120.746176 89.596111) (xy 120.746176 89.865) (xy 120.753455 89.938905) (xy 120.775012 90.00997) (xy 120.810019 90.075463)
- (xy 120.857131 90.132869) (xy 120.914537 90.179981) (xy 120.95199 90.2) (xy 120.914537 90.220019) (xy 120.857131 90.267131)
- (xy 120.810019 90.324537) (xy 120.775012 90.39003) (xy 120.753455 90.461095) (xy 120.746176 90.535) (xy 120.748 90.61375)
- (xy 120.84225 90.708) (xy 121.773 90.708) (xy 121.773 90.688) (xy 122.027 90.688) (xy 122.027 90.708)
- (xy 122.047 90.708) (xy 122.047 90.962) (xy 122.027 90.962) (xy 122.027 90.982) (xy 121.773 90.982)
- (xy 121.773 90.962) (xy 120.84225 90.962) (xy 120.748 91.05625) (xy 120.746176 91.135) (xy 120.753455 91.208905)
- (xy 120.775012 91.27997) (xy 120.810019 91.345463) (xy 120.857131 91.402869) (xy 120.914537 91.449981) (xy 120.95199 91.47)
- (xy 120.914537 91.490019) (xy 120.857131 91.537131) (xy 120.810019 91.594537) (xy 120.805495 91.603) (xy 120.439931 91.603)
- (xy 119.776998 90.940068) (xy 119.776998 89.732936) (xy 120.330001 89.179935)
- )
- )
- (filled_polygon
- (pts
- (xy 93.500609 89.237543) (xy 93.516318 89.256684) (xy 93.535458 89.272392) (xy 93.535466 89.2724) (xy 93.592756 89.319417)
- (xy 93.629733 89.339181) (xy 93.679966 89.366031) (xy 93.774593 89.394736) (xy 93.848349 89.402) (xy 93.848359 89.402)
- (xy 93.873002 89.404427) (xy 93.897645 89.402) (xy 96.17323 89.402) (xy 96.119755 89.430583) (xy 96.119753 89.430584)
- (xy 96.119754 89.430584) (xy 96.063264 89.476945) (xy 96.043316 89.493316) (xy 96.027603 89.512462) (xy 95.162463 90.377603)
- (xy 95.143317 90.393316) (xy 95.127604 90.412462) (xy 95.127601 90.412465) (xy 95.080584 90.469755) (xy 95.03397 90.556964)
- (xy 95.014491 90.621176) (xy 94.85 90.621176) (xy 94.776095 90.628455) (xy 94.75 90.636371) (xy 94.723905 90.628455)
- (xy 94.65 90.621176) (xy 94.61725 90.623) (xy 94.523 90.71725) (xy 94.523 90.812023) (xy 94.500012 90.85503)
- (xy 94.5 90.85507) (xy 94.499988 90.85503) (xy 94.477 90.812023) (xy 94.477 90.71725) (xy 94.38275 90.623)
- (xy 94.35 90.621176) (xy 94.276095 90.628455) (xy 94.25 90.636371) (xy 94.223905 90.628455) (xy 94.15 90.621176)
- (xy 93.85 90.621176) (xy 93.776095 90.628455) (xy 93.70503 90.650012) (xy 93.639537 90.685019) (xy 93.582131 90.732131)
- (xy 93.535019 90.789537) (xy 93.500012 90.85503) (xy 93.478455 90.926095) (xy 93.471176 91) (xy 93.471176 91.198)
- (xy 92.753824 91.198) (xy 92.753824 90.85) (xy 92.746545 90.776095) (xy 92.724988 90.70503) (xy 92.689981 90.639537)
- (xy 92.642869 90.582131) (xy 92.585463 90.535019) (xy 92.51997 90.500012) (xy 92.51993 90.5) (xy 92.51997 90.499988)
- (xy 92.585463 90.464981) (xy 92.642869 90.417869) (xy 92.689981 90.360463) (xy 92.724988 90.29497) (xy 92.746545 90.223905)
- (xy 92.753824 90.15) (xy 92.752 89.77125) (xy 92.65775 89.677) (xy 92.127 89.677) (xy 92.127 89.697)
- (xy 91.873 89.697) (xy 91.873 89.677) (xy 91.34225 89.677) (xy 91.248 89.77125) (xy 91.246176 90.15)
- (xy 91.253455 90.223905) (xy 91.275012 90.29497) (xy 91.310019 90.360463) (xy 91.357131 90.417869) (xy 91.414537 90.464981)
- (xy 91.48003 90.499988) (xy 91.48007 90.5) (xy 91.48003 90.500012) (xy 91.414537 90.535019) (xy 91.357131 90.582131)
- (xy 91.310019 90.639537) (xy 91.292133 90.673) (xy 91.146844 90.673) (xy 90.776999 90.303156) (xy 90.776999 88.95)
- (xy 91.246176 88.95) (xy 91.248 89.32875) (xy 91.34225 89.423) (xy 91.873 89.423) (xy 91.873 88.66725)
- (xy 92.127 88.66725) (xy 92.127 89.423) (xy 92.65775 89.423) (xy 92.752 89.32875) (xy 92.753824 88.95)
- (xy 92.746545 88.876095) (xy 92.724988 88.80503) (xy 92.689981 88.739537) (xy 92.642869 88.682131) (xy 92.585463 88.635019)
- (xy 92.51997 88.600012) (xy 92.448905 88.578455) (xy 92.375 88.571176) (xy 92.22125 88.573) (xy 92.127 88.66725)
- (xy 91.873 88.66725) (xy 91.77875 88.573) (xy 91.625 88.571176) (xy 91.551095 88.578455) (xy 91.48003 88.600012)
- (xy 91.414537 88.635019) (xy 91.357131 88.682131) (xy 91.310019 88.739537) (xy 91.275012 88.80503) (xy 91.253455 88.876095)
- (xy 91.246176 88.95) (xy 90.776999 88.95) (xy 90.776999 88.941842) (xy 91.990955 87.727887)
- )
- )
- (filled_polygon
- (pts
- (xy 158.847 89.112) (xy 158.827 89.112) (xy 158.827 89.132) (xy 158.573 89.132) (xy 158.573 89.112)
- (xy 157.66725 89.112) (xy 157.573 89.20625) (xy 157.571176 89.285) (xy 157.578455 89.358905) (xy 157.600012 89.42997)
- (xy 157.635019 89.495463) (xy 157.682131 89.552869) (xy 157.739537 89.599981) (xy 157.77699 89.62) (xy 157.739537 89.640019)
- (xy 157.682131 89.687131) (xy 157.635019 89.744537) (xy 157.630495 89.753) (xy 157.551845 89.753) (xy 157.495309 89.696464)
- (xy 157.368048 89.611431) (xy 157.226643 89.552859) (xy 157.076528 89.523) (xy 156.923472 89.523) (xy 156.773357 89.552859)
- (xy 156.631952 89.611431) (xy 156.504691 89.696464) (xy 156.396464 89.804691) (xy 156.351857 89.871451) (xy 156.22125 89.873)
- (xy 156.127 89.96725) (xy 156.127 90.723) (xy 156.147 90.723) (xy 156.147 90.977) (xy 156.127 90.977)
- (xy 156.127 90.997) (xy 155.873 90.997) (xy 155.873 90.977) (xy 155.853 90.977) (xy 155.853 90.723)
- (xy 155.873 90.723) (xy 155.873 89.96725) (xy 155.77875 89.873) (xy 155.625 89.871176) (xy 155.551095 89.878455)
- (xy 155.48003 89.900012) (xy 155.414537 89.935019) (xy 155.402 89.945308) (xy 155.402 89.407934) (xy 155.947937 88.861999)
- (xy 158.847 88.861999)
- )
- )
- (filled_polygon
- (pts
- (xy 100.458018 88.582739) (xy 100.493091 88.698357) (xy 100.550045 88.804911) (xy 100.626693 88.898307) (xy 100.720089 88.974955)
- (xy 100.826643 89.031909) (xy 100.898001 89.053555) (xy 100.898 89.346176) (xy 100.87 89.346176) (xy 100.796095 89.353455)
- (xy 100.72503 89.375012) (xy 100.659537 89.410019) (xy 100.602131 89.457131) (xy 100.555019 89.514537) (xy 100.520012 89.58003)
- (xy 100.498455 89.651095) (xy 100.491176 89.725) (xy 100.491176 90.375) (xy 100.494589 90.409654) (xy 100.353824 90.268889)
- (xy 100.353824 89.8375) (xy 100.341982 89.717261) (xy 100.306909 89.601643) (xy 100.249955 89.495089) (xy 100.173307 89.401693)
- (xy 100.079911 89.325045) (xy 99.973357 89.268091) (xy 99.857739 89.233018) (xy 99.796635 89.227) (xy 99.827002 89.227)
- (xy 99.827002 89.132752) (xy 99.92125 89.227) (xy 100.075 89.228824) (xy 100.148905 89.221545) (xy 100.21997 89.199988)
- (xy 100.285463 89.164981) (xy 100.342869 89.117869) (xy 100.389981 89.060463) (xy 100.424988 88.99497) (xy 100.446545 88.923905)
- (xy 100.453824 88.85) (xy 100.452255 88.524225)
- )
- )
- (filled_polygon
- (pts
- (xy 96.023 88.28275) (xy 96.115158 88.374908) (xy 96.092066 88.398) (xy 94.080938 88.398) (xy 94.061762 88.378824)
- (xy 94.15 88.378824) (xy 94.223905 88.371545) (xy 94.25 88.363629) (xy 94.276095 88.371545) (xy 94.35 88.378824)
- (xy 94.65 88.378824) (xy 94.723905 88.371545) (xy 94.75 88.363629) (xy 94.776095 88.371545) (xy 94.85 88.378824)
- (xy 95.15 88.378824) (xy 95.223905 88.371545) (xy 95.25 88.363629) (xy 95.276095 88.371545) (xy 95.35 88.378824)
- (xy 95.38275 88.377) (xy 95.477 88.28275) (xy 95.477 88.187977) (xy 95.499988 88.14497) (xy 95.5 88.14493)
- (xy 95.500012 88.14497) (xy 95.523 88.187977) (xy 95.523 88.28275) (xy 95.61725 88.377) (xy 95.65 88.378824)
- (xy 95.723905 88.371545) (xy 95.75 88.363629) (xy 95.776095 88.371545) (xy 95.85 88.378824) (xy 95.88275 88.377)
- (xy 95.977 88.28275) (xy 95.977 88.187977) (xy 95.999988 88.14497) (xy 96.021545 88.073905) (xy 96.023 88.059132)
- )
- )
- (filled_polygon
- (pts
- (xy 100.593589 75.772435) (xy 100.617919 75.802081) (xy 100.647563 75.826409) (xy 100.647564 75.82641) (xy 100.736233 75.899179)
- (xy 100.814532 75.94103) (xy 100.871215 75.971328) (xy 101.01768 76.015758) (xy 101.131833 76.027001) (xy 101.131835 76.027001)
- (xy 101.169999 76.03076) (xy 101.208162 76.027001) (xy 105.128158 76.027001) (xy 107.523009 78.421853) (xy 107.52301 80.611835)
- (xy 107.523009 80.611845) (xy 107.52301 81.861836) (xy 107.51925 81.900009) (xy 107.529672 82.005815) (xy 107.534253 82.052328)
- (xy 107.536203 82.058756) (xy 107.578682 82.198792) (xy 107.650832 82.333775) (xy 107.721289 82.419627) (xy 107.74793 82.452089)
- (xy 107.777574 82.476417) (xy 108.523592 83.222436) (xy 108.54792 83.25208) (xy 108.577564 83.276408) (xy 108.577565 83.276409)
- (xy 108.666233 83.349177) (xy 108.774343 83.406963) (xy 108.801216 83.421327) (xy 108.947681 83.465757) (xy 109.061834 83.477)
- (xy 109.061843 83.477) (xy 109.099999 83.480758) (xy 109.138155 83.477) (xy 114.861837 83.477) (xy 114.9 83.480759)
- (xy 114.938163 83.477) (xy 114.938166 83.477) (xy 115.052319 83.465757) (xy 115.198784 83.421327) (xy 115.333766 83.349177)
- (xy 115.45208 83.25208) (xy 115.476412 83.222431) (xy 116.551419 82.147425) (xy 116.624187 82.058756) (xy 116.696337 81.923773)
- (xy 116.740766 81.777309) (xy 116.742385 81.760871) (xy 116.823472 81.777) (xy 116.976528 81.777) (xy 117.126643 81.747141)
- (xy 117.198001 81.717584) (xy 117.198001 81.803154) (xy 117.096464 81.904691) (xy 117.011431 82.031952) (xy 116.952859 82.173357)
- (xy 116.923 82.323472) (xy 116.923 82.476528) (xy 116.952859 82.626643) (xy 117.011431 82.768048) (xy 117.096464 82.895309)
- (xy 117.204691 83.003536) (xy 117.331952 83.088569) (xy 117.473357 83.147141) (xy 117.623472 83.177) (xy 117.776528 83.177)
- (xy 117.926643 83.147141) (xy 118.068048 83.088569) (xy 118.195309 83.003536) (xy 118.303536 82.895309) (xy 118.388569 82.768048)
- (xy 118.447141 82.626643) (xy 118.477 82.476528) (xy 118.477 82.323472) (xy 118.447141 82.173357) (xy 118.388569 82.031952)
- (xy 118.303536 81.904691) (xy 118.202 81.803155) (xy 118.202 81.657934) (xy 118.346176 81.513758) (xy 118.346176 81.55)
- (xy 118.353455 81.623905) (xy 118.375012 81.69497) (xy 118.410019 81.760463) (xy 118.457131 81.817869) (xy 118.514537 81.864981)
- (xy 118.58003 81.899988) (xy 118.651095 81.921545) (xy 118.725 81.928824) (xy 118.948 81.928824) (xy 118.948001 82.204783)
- (xy 118.931952 82.211431) (xy 118.804691 82.296464) (xy 118.696464 82.404691) (xy 118.611431 82.531952) (xy 118.552859 82.673357)
- (xy 118.523 82.823472) (xy 118.523 82.967065) (xy 114.228313 87.261753) (xy 114.229428 87.217277) (xy 114.191588 86.998526)
- (xy 114.111798 86.79136) (xy 114.085043 86.741306) (xy 113.91841 86.661195) (xy 113.279605 87.3) (xy 113.293748 87.314143)
- (xy 113.114143 87.493748) (xy 113.1 87.479605) (xy 113.085858 87.493748) (xy 112.906253 87.314143) (xy 112.920395 87.3)
- (xy 112.28159 86.661195) (xy 112.114957 86.741306) (xy 112.024889 86.944213) (xy 111.976136 87.160793) (xy 111.970572 87.382723)
- (xy 112.008412 87.601474) (xy 112.088202 87.80864) (xy 112.114957 87.858694) (xy 112.281588 87.938804) (xy 112.234453 87.985939)
- (xy 112.154457 87.952804) (xy 112.004342 87.922945) (xy 111.851286 87.922945) (xy 111.701171 87.952804) (xy 111.559766 88.011376)
- (xy 111.432505 88.096409) (xy 111.324278 88.204636) (xy 111.256615 88.305901) (xy 111.198734 88.166165) (xy 111.075398 87.981579)
- (xy 110.918421 87.824602) (xy 110.733835 87.701266) (xy 110.528734 87.61631) (xy 110.311 87.573) (xy 110.089 87.573)
- (xy 109.871266 87.61631) (xy 109.666165 87.701266) (xy 109.481579 87.824602) (xy 109.324602 87.981579) (xy 109.268352 88.065764)
- (xy 109.28369 88.028734) (xy 109.327 87.811) (xy 109.327 87.589) (xy 109.28369 87.371266) (xy 109.198734 87.166165)
- (xy 109.075398 86.981579) (xy 108.918421 86.824602) (xy 108.733835 86.701266) (xy 108.528734 86.61631) (xy 108.311 86.573)
- (xy 108.089 86.573) (xy 107.871266 86.61631) (xy 107.666165 86.701266) (xy 107.481579 86.824602) (xy 107.324602 86.981579)
- (xy 107.23124 87.121305) (xy 106.591525 86.48159) (xy 112.461195 86.48159) (xy 113.1 87.120395) (xy 113.738805 86.48159)
- (xy 113.658694 86.314957) (xy 113.455787 86.224889) (xy 113.239207 86.176136) (xy 113.017277 86.170572) (xy 112.798526 86.208412)
- (xy 112.59136 86.288202) (xy 112.541306 86.314957) (xy 112.461195 86.48159) (xy 106.591525 86.48159) (xy 106.472403 86.362469)
- (xy 106.472399 86.362464) (xy 104.49739 84.387456) (xy 104.481673 84.368305) (xy 104.405234 84.305572) (xy 104.318025 84.258958)
- (xy 104.223398 84.230253) (xy 104.149642 84.222989) (xy 104.149632 84.222989) (xy 104.124989 84.220562) (xy 104.100346 84.222989)
- (xy 95.513244 84.222989) (xy 95.488601 84.220562) (xy 95.463958 84.222989) (xy 95.463948 84.222989) (xy 95.390192 84.230253)
- (xy 95.295565 84.258958) (xy 95.258247 84.278905) (xy 95.208355 84.305572) (xy 95.151065 84.352589) (xy 95.151057 84.352597)
- (xy 95.131917 84.368305) (xy 95.116208 84.387446) (xy 94.119517 85.384138) (xy 94.127 85.308164) (xy 94.127 85.308155)
- (xy 94.130758 85.269999) (xy 94.127 85.231843) (xy 94.127 80.507867) (xy 94.160463 80.489981) (xy 94.217869 80.442869)
- (xy 94.264981 80.385463) (xy 94.299988 80.31997) (xy 94.3 80.31993) (xy 94.300012 80.31997) (xy 94.335019 80.385463)
- (xy 94.382131 80.442869) (xy 94.439537 80.489981) (xy 94.50503 80.524988) (xy 94.576095 80.546545) (xy 94.65 80.553824)
- (xy 95.02875 80.552) (xy 95.123 80.45775) (xy 95.123 79.927) (xy 95.377 79.927) (xy 95.377 80.45775)
- (xy 95.47125 80.552) (xy 95.85 80.553824) (xy 95.923905 80.546545) (xy 95.99497 80.524988) (xy 96.060463 80.489981)
- (xy 96.117869 80.442869) (xy 96.164981 80.385463) (xy 96.199988 80.31997) (xy 96.221545 80.248905) (xy 96.228824 80.175)
- (xy 96.227 80.02125) (xy 96.13275 79.927) (xy 95.377 79.927) (xy 95.123 79.927) (xy 95.103 79.927)
- (xy 95.103 79.673) (xy 95.123 79.673) (xy 95.123 79.14225) (xy 95.377 79.14225) (xy 95.377 79.673)
- (xy 96.13275 79.673) (xy 96.227 79.57875) (xy 96.228824 79.425) (xy 96.221545 79.351095) (xy 96.199988 79.28003)
- (xy 96.164981 79.214537) (xy 96.117869 79.157131) (xy 96.060463 79.110019) (xy 95.99497 79.075012) (xy 95.923905 79.053455)
- (xy 95.85 79.046176) (xy 95.47125 79.048) (xy 95.377 79.14225) (xy 95.123 79.14225) (xy 95.02875 79.048)
- (xy 94.65 79.046176) (xy 94.576095 79.053455) (xy 94.50503 79.075012) (xy 94.439537 79.110019) (xy 94.382131 79.157131)
- (xy 94.335019 79.214537) (xy 94.327 79.229539) (xy 94.327 78.470461) (xy 94.335019 78.485463) (xy 94.382131 78.542869)
- (xy 94.439537 78.589981) (xy 94.50503 78.624988) (xy 94.576095 78.646545) (xy 94.65 78.653824) (xy 95.02875 78.652)
- (xy 95.123 78.55775) (xy 95.123 78.027) (xy 95.377 78.027) (xy 95.377 78.55775) (xy 95.47125 78.652)
- (xy 95.85 78.653824) (xy 95.923905 78.646545) (xy 95.99497 78.624988) (xy 96.060463 78.589981) (xy 96.117869 78.542869)
- (xy 96.164981 78.485463) (xy 96.199988 78.41997) (xy 96.221545 78.348905) (xy 96.228824 78.275) (xy 96.227 78.12125)
- (xy 96.13275 78.027) (xy 95.377 78.027) (xy 95.123 78.027) (xy 95.103 78.027) (xy 95.103 77.773)
- (xy 95.123 77.773) (xy 95.123 77.24225) (xy 95.377 77.24225) (xy 95.377 77.773) (xy 96.13275 77.773)
- (xy 96.227 77.67875) (xy 96.228824 77.525) (xy 96.221545 77.451095) (xy 96.199988 77.38003) (xy 96.164981 77.314537)
- (xy 96.117869 77.257131) (xy 96.060463 77.210019) (xy 95.99497 77.175012) (xy 95.923905 77.153455) (xy 95.85 77.146176)
- (xy 95.47125 77.148) (xy 95.377 77.24225) (xy 95.123 77.24225) (xy 95.02875 77.148) (xy 94.65 77.146176)
- (xy 94.576095 77.153455) (xy 94.50503 77.175012) (xy 94.439537 77.210019) (xy 94.382131 77.257131) (xy 94.335019 77.314537)
- (xy 94.327 77.329539) (xy 94.327 76.670461) (xy 94.335019 76.685463) (xy 94.382131 76.742869) (xy 94.439537 76.789981)
- (xy 94.50503 76.824988) (xy 94.576095 76.846545) (xy 94.65 76.853824) (xy 95.02875 76.852) (xy 95.123 76.75775)
- (xy 95.123 76.227) (xy 95.377 76.227) (xy 95.377 76.75775) (xy 95.47125 76.852) (xy 95.85 76.853824)
- (xy 95.923905 76.846545) (xy 95.99497 76.824988) (xy 96.060463 76.789981) (xy 96.117869 76.742869) (xy 96.164981 76.685463)
- (xy 96.199988 76.61997) (xy 96.221545 76.548905) (xy 96.228824 76.475) (xy 96.227 76.32125) (xy 96.13275 76.227)
- (xy 95.377 76.227) (xy 95.123 76.227) (xy 95.103 76.227) (xy 95.103 75.973) (xy 95.123 75.973)
- (xy 95.123 75.44225) (xy 95.377 75.44225) (xy 95.377 75.973) (xy 96.13275 75.973) (xy 96.227 75.87875)
- (xy 96.228824 75.725) (xy 96.221545 75.651095) (xy 96.199988 75.58003) (xy 96.164981 75.514537) (xy 96.117869 75.457131)
- (xy 96.060463 75.410019) (xy 95.99497 75.375012) (xy 95.923905 75.353455) (xy 95.85 75.346176) (xy 95.47125 75.348)
- (xy 95.377 75.44225) (xy 95.123 75.44225) (xy 95.02875 75.348) (xy 94.65 75.346176) (xy 94.576095 75.353455)
- (xy 94.50503 75.375012) (xy 94.439537 75.410019) (xy 94.382131 75.457131) (xy 94.335019 75.514537) (xy 94.300012 75.58003)
- (xy 94.3 75.58007) (xy 94.299988 75.58003) (xy 94.264981 75.514537) (xy 94.217869 75.457131) (xy 94.160463 75.410019)
- (xy 94.127 75.392133) (xy 94.127 75.246843) (xy 94.396844 74.977) (xy 99.798155 74.977)
- )
- )
- (filled_polygon
- (pts
- (xy 98.946176 85.75) (xy 98.946176 85.848) (xy 98.874645 85.848) (xy 98.85 85.845573) (xy 98.825354 85.848)
- (xy 98.825347 85.848) (xy 98.760432 85.854393) (xy 98.75159 85.855264) (xy 98.656963 85.883969) (xy 98.569754 85.930583)
- (xy 98.512464 85.9776) (xy 98.512456 85.977608) (xy 98.493316 85.993316) (xy 98.477607 86.012457) (xy 96.528677 87.961389)
- (xy 96.527 87.52125) (xy 96.43275 87.427) (xy 96.023 87.427) (xy 96.023 87.51725) (xy 95.977 87.47125)
- (xy 95.977 87.427) (xy 95.853 87.427) (xy 95.853 87.173) (xy 95.977 87.173) (xy 95.977 87.12875)
- (xy 96.023 87.08275) (xy 96.023 87.173) (xy 96.43275 87.173) (xy 96.527 87.07875) (xy 96.528824 86.6)
- (xy 96.521545 86.526095) (xy 96.499988 86.45503) (xy 96.464981 86.389537) (xy 96.417869 86.332131) (xy 96.360463 86.285019)
- (xy 96.29497 86.250012) (xy 96.223905 86.228455) (xy 96.15 86.221176) (xy 96.11725 86.223) (xy 96.023 86.31725)
- (xy 96.023 86.540868) (xy 96.021545 86.526095) (xy 95.999988 86.45503) (xy 95.977 86.412023) (xy 95.977 86.31725)
- (xy 95.88275 86.223) (xy 95.85 86.221176) (xy 95.776095 86.228455) (xy 95.75 86.236371) (xy 95.723905 86.228455)
- (xy 95.65 86.221176) (xy 95.61725 86.223) (xy 95.523 86.31725) (xy 95.523 86.412023) (xy 95.500012 86.45503)
- (xy 95.5 86.45507) (xy 95.499988 86.45503) (xy 95.477 86.412023) (xy 95.477 86.31725) (xy 95.38275 86.223)
- (xy 95.35 86.221176) (xy 95.33753 86.222404) (xy 95.882936 85.676999) (xy 98.953366 85.676999)
- )
- )
- (filled_polygon
- (pts
- (xy 159.709527 78.26872) (xy 159.881603 78.478396) (xy 160.091279 78.650473) (xy 160.330495 78.778337) (xy 160.359221 78.787051)
- (xy 160.365264 78.848408) (xy 160.393969 78.943035) (xy 160.440583 79.030245) (xy 160.503316 79.106684) (xy 160.522467 79.122401)
- (xy 161.498001 80.097936) (xy 161.498001 85.836289) (xy 160.577 84.915289) (xy 160.577 83.325792) (xy 160.580033 83.294998)
- (xy 160.567927 83.172085) (xy 160.532075 83.053895) (xy 160.501866 82.997378) (xy 160.473853 82.94497) (xy 160.395501 82.849497)
- (xy 160.371568 82.829856) (xy 160.199674 82.657961) (xy 160.180036 82.634032) (xy 160.084563 82.55568) (xy 159.975638 82.497458)
- (xy 159.857448 82.461606) (xy 159.765329 82.452533) (xy 159.734535 82.4495) (xy 159.703741 82.452533) (xy 158.947 82.452533)
- (xy 158.947 78.726224) (xy 159.08872 78.650473) (xy 159.298396 78.478397) (xy 159.470473 78.268721) (xy 159.59 78.045102)
- )
- )
- (filled_polygon
- (pts
- (xy 140.609865 68.589473) (xy 140.681588 68.661196) (xy 140.514957 68.741306) (xy 140.424889 68.944213) (xy 140.376136 69.160793)
- (xy 140.370572 69.382723) (xy 140.408412 69.601474) (xy 140.488202 69.80864) (xy 140.514957 69.858694) (xy 140.68159 69.938805)
- (xy 141.320395 69.3) (xy 141.306253 69.285858) (xy 141.485858 69.106253) (xy 141.5 69.120395) (xy 141.514143 69.106253)
- (xy 141.693748 69.285858) (xy 141.679605 69.3) (xy 142.31841 69.938805) (xy 142.485043 69.858694) (xy 142.575111 69.655787)
- (xy 142.623864 69.439207) (xy 142.629428 69.217277) (xy 142.591588 68.998526) (xy 142.511798 68.79136) (xy 142.485043 68.741306)
- (xy 142.318412 68.661196) (xy 142.390135 68.589473) (xy 142.352662 68.552) (xy 142.944181 68.552) (xy 142.914602 68.581579)
- (xy 142.791266 68.766165) (xy 142.70631 68.971266) (xy 142.663 69.189) (xy 142.663 69.411) (xy 142.70631 69.628734)
- (xy 142.791266 69.833835) (xy 142.914602 70.018421) (xy 143.071579 70.175398) (xy 143.256165 70.298734) (xy 143.461266 70.38369)
- (xy 143.679 70.427) (xy 143.901 70.427) (xy 144.118734 70.38369) (xy 144.323835 70.298734) (xy 144.508421 70.175398)
- (xy 144.565409 70.11841) (xy 152.291195 70.11841) (xy 152.371306 70.285043) (xy 152.574213 70.375111) (xy 152.790793 70.423864)
- (xy 153.012723 70.429428) (xy 153.231474 70.391588) (xy 153.43864 70.311798) (xy 153.488694 70.285043) (xy 153.568805 70.11841)
- (xy 152.93 69.479605) (xy 152.291195 70.11841) (xy 144.565409 70.11841) (xy 144.665398 70.018421) (xy 144.788734 69.833835)
- (xy 144.87369 69.628734) (xy 144.917 69.411) (xy 144.917 69.189) (xy 144.87369 68.971266) (xy 144.860503 68.939431)
- (xy 145.247914 68.552021) (xy 152.077317 68.552021) (xy 152.039865 68.589473) (xy 152.111588 68.661196) (xy 151.944957 68.741306)
- (xy 151.854889 68.944213) (xy 151.806136 69.160793) (xy 151.800572 69.382723) (xy 151.838412 69.601474) (xy 151.918202 69.80864)
- (xy 151.944957 69.858694) (xy 152.11159 69.938805) (xy 152.750395 69.3) (xy 152.736253 69.285858) (xy 152.915858 69.106253)
- (xy 152.93 69.120395) (xy 152.944143 69.106253) (xy 153.123748 69.285858) (xy 153.109605 69.3) (xy 153.74841 69.938805)
- (xy 153.915043 69.858694) (xy 154.005111 69.655787) (xy 154.053864 69.439207) (xy 154.059428 69.217277) (xy 154.021588 68.998526)
- (xy 153.941798 68.79136) (xy 153.915043 68.741306) (xy 153.748412 68.661196) (xy 153.820135 68.589473) (xy 153.782683 68.552021)
- (xy 154.37416 68.552021) (xy 154.344602 68.581579) (xy 154.221266 68.766165) (xy 154.13631 68.971266) (xy 154.093 69.189)
- (xy 154.093 69.411) (xy 154.13631 69.628734) (xy 154.221266 69.833835) (xy 154.344602 70.018421) (xy 154.501579 70.175398)
- (xy 154.686165 70.298734) (xy 154.891266 70.38369) (xy 155.109 70.427) (xy 155.331 70.427) (xy 155.548734 70.38369)
- (xy 155.753835 70.298734) (xy 155.938421 70.175398) (xy 156.095398 70.018421) (xy 156.218734 69.833835) (xy 156.294053 69.652)
- (xy 160.433401 69.652) (xy 160.475045 69.729911) (xy 160.551693 69.823307) (xy 160.645089 69.899955) (xy 160.751643 69.956909)
- (xy 160.867261 69.991982) (xy 160.9875 70.003824) (xy 161.5625 70.003824) (xy 161.682739 69.991982) (xy 161.798357 69.956909)
- (xy 161.904911 69.899955) (xy 161.998307 69.823307) (xy 162.074955 69.729911) (xy 162.131909 69.623357) (xy 162.15 69.56372)
- (xy 162.168091 69.623357) (xy 162.225045 69.729911) (xy 162.301693 69.823307) (xy 162.395089 69.899955) (xy 162.501643 69.956909)
- (xy 162.617261 69.991982) (xy 162.7375 70.003824) (xy 163.168889 70.003824) (xy 163.186536 70.021471) (xy 163.186542 70.021476)
- (xy 165.847968 72.682903) (xy 165.847967 83.942098) (xy 165.147198 84.642868) (xy 165.117869 84.607131) (xy 165.060463 84.560019)
- (xy 165.02301 84.54) (xy 165.060463 84.519981) (xy 165.117869 84.472869) (xy 165.164981 84.415463) (xy 165.199988 84.34997)
- (xy 165.221545 84.278905) (xy 165.228824 84.205) (xy 165.227 84.12625) (xy 165.13275 84.032) (xy 164.227 84.032)
- (xy 164.227 84.052) (xy 163.973 84.052) (xy 163.973 84.032) (xy 163.953 84.032) (xy 163.953 83.778)
- (xy 163.973 83.778) (xy 163.973 83.32225) (xy 164.227 83.32225) (xy 164.227 83.778) (xy 165.13275 83.778)
- (xy 165.227 83.68375) (xy 165.228824 83.605) (xy 165.221545 83.531095) (xy 165.199988 83.46003) (xy 165.164981 83.394537)
- (xy 165.117869 83.337131) (xy 165.060463 83.290019) (xy 164.99497 83.255012) (xy 164.923905 83.233455) (xy 164.85 83.226176)
- (xy 164.32125 83.228) (xy 164.227 83.32225) (xy 163.973 83.32225) (xy 163.87875 83.228) (xy 163.35 83.226176)
- (xy 163.276095 83.233455) (xy 163.22701 83.248345) (xy 163.22701 80.132944) (xy 163.737538 79.622417) (xy 163.756684 79.606704)
- (xy 163.782419 79.575347) (xy 163.816913 79.533316) (xy 163.819417 79.530265) (xy 163.866031 79.443056) (xy 163.894736 79.348429)
- (xy 163.902 79.274673) (xy 163.902 79.274664) (xy 163.904427 79.250021) (xy 163.902 79.225378) (xy 163.902 78.878824)
- (xy 164.4 78.878824) (xy 164.473905 78.871545) (xy 164.54497 78.849988) (xy 164.610463 78.814981) (xy 164.667869 78.767869)
- (xy 164.714981 78.710463) (xy 164.749988 78.64497) (xy 164.771545 78.573905) (xy 164.778824 78.5) (xy 164.778824 75.9)
- (xy 164.771545 75.826095) (xy 164.749988 75.75503) (xy 164.714981 75.689537) (xy 164.667869 75.632131) (xy 164.610463 75.585019)
- (xy 164.54497 75.550012) (xy 164.473905 75.528455) (xy 164.4 75.521176) (xy 162.4 75.521176) (xy 162.326095 75.528455)
- (xy 162.25503 75.550012) (xy 162.189537 75.585019) (xy 162.132131 75.632131) (xy 162.085019 75.689537) (xy 162.050012 75.75503)
- (xy 162.028455 75.826095) (xy 162.021176 75.9) (xy 162.021176 76.151303) (xy 162.010473 76.131279) (xy 161.838397 75.921603)
- (xy 161.628721 75.749527) (xy 161.389505 75.621663) (xy 161.129939 75.542925) (xy 160.86 75.516338) (xy 160.590062 75.542925)
- (xy 160.330496 75.621663) (xy 160.09128 75.749527) (xy 159.881604 75.921603) (xy 159.709527 76.131279) (xy 159.59 76.354898)
- (xy 159.470473 76.131279) (xy 159.298397 75.921603) (xy 159.088721 75.749527) (xy 158.849505 75.621663) (xy 158.589939 75.542925)
- (xy 158.32 75.516338) (xy 158.050062 75.542925) (xy 157.790496 75.621663) (xy 157.55128 75.749527) (xy 157.341604 75.921603)
- (xy 157.169527 76.131279) (xy 157.046563 76.361328) (xy 157.003581 76.255712) (xy 156.854376 76.029383) (xy 156.663883 75.836511)
- (xy 156.439423 75.684509) (xy 156.189622 75.579217) (xy 156.119929 75.565617) (xy 155.907 75.623552) (xy 155.907 77.073)
- (xy 155.927 77.073) (xy 155.927 77.327) (xy 155.907 77.327) (xy 155.907 78.776448) (xy 156.119929 78.834383)
- (xy 156.189622 78.820783) (xy 156.439423 78.715491) (xy 156.663883 78.563489) (xy 156.854376 78.370617) (xy 157.003581 78.144288)
- (xy 157.046563 78.038671) (xy 157.169527 78.26872) (xy 157.341603 78.478396) (xy 157.551279 78.650473) (xy 157.693 78.726225)
- (xy 157.693001 82.452533) (xy 130.423095 82.452533) (xy 132.17363 80.702) (xy 135.355357 80.702) (xy 135.38 80.704427)
- (xy 135.404643 80.702) (xy 135.404653 80.702) (xy 135.478409 80.694736) (xy 135.573036 80.666031) (xy 135.660245 80.619417)
- (xy 135.736684 80.556684) (xy 135.752401 80.537533) (xy 136.686111 79.603824) (xy 136.955 79.603824) (xy 137.028905 79.596545)
- (xy 137.09997 79.574988) (xy 137.165463 79.539981) (xy 137.222869 79.492869) (xy 137.269981 79.435463) (xy 137.304988 79.36997)
- (xy 137.326545 79.298905) (xy 137.333824 79.225) (xy 137.333824 77.675) (xy 137.326545 77.601095) (xy 137.304988 77.53003)
- (xy 137.269981 77.464537) (xy 137.222869 77.407131) (xy 137.165463 77.360019) (xy 137.09997 77.325012) (xy 137.028905 77.303455)
- (xy 136.955 77.296176) (xy 136.355 77.296176) (xy 136.281095 77.303455) (xy 136.21003 77.325012) (xy 136.177575 77.34236)
- (xy 136.542935 76.977) (xy 138.186612 76.977) (xy 138.193091 76.998357) (xy 138.250045 77.104911) (xy 138.326693 77.198307)
- (xy 138.420089 77.274955) (xy 138.526643 77.331909) (xy 138.642261 77.366982) (xy 138.7625 77.378824) (xy 139.2375 77.378824)
- (xy 139.357739 77.366982) (xy 139.473357 77.331909) (xy 139.482541 77.327) (xy 154.403 77.327) (xy 154.403 77.627)
- (xy 154.454235 77.893199) (xy 154.556419 78.144288) (xy 154.705624 78.370617) (xy 154.896117 78.563489) (xy 155.120577 78.715491)
- (xy 155.370378 78.820783) (xy 155.440071 78.834383) (xy 155.653 78.776448) (xy 155.653 77.327) (xy 154.403 77.327)
- (xy 139.482541 77.327) (xy 139.579911 77.274955) (xy 139.673307 77.198307) (xy 139.749955 77.104911) (xy 139.806909 76.998357)
- (xy 139.841982 76.882739) (xy 139.852789 76.773) (xy 154.403 76.773) (xy 154.403 77.073) (xy 155.653 77.073)
- (xy 155.653 75.623552) (xy 155.440071 75.565617) (xy 155.370378 75.579217) (xy 155.120577 75.684509) (xy 154.896117 75.836511)
- (xy 154.705624 76.029383) (xy 154.556419 76.255712) (xy 154.454235 76.506801) (xy 154.403 76.773) (xy 139.852789 76.773)
- (xy 139.853824 76.7625) (xy 139.853824 76.1875) (xy 139.841982 76.067261) (xy 139.806909 75.951643) (xy 139.749955 75.845089)
- (xy 139.673307 75.751693) (xy 139.579911 75.675045) (xy 139.490568 75.627291) (xy 139.548905 75.621545) (xy 139.61997 75.599988)
- (xy 139.685463 75.564981) (xy 139.742869 75.517869) (xy 139.789981 75.460463) (xy 139.824988 75.39497) (xy 139.846545 75.323905)
- (xy 139.853824 75.25) (xy 139.852 74.94625) (xy 139.75775 74.852) (xy 139.127 74.852) (xy 139.127 74.872)
- (xy 138.873 74.872) (xy 138.873 74.852) (xy 138.24225 74.852) (xy 138.148 74.94625) (xy 138.146176 75.25)
- (xy 138.153455 75.323905) (xy 138.175012 75.39497) (xy 138.210019 75.460463) (xy 138.257131 75.517869) (xy 138.314537 75.564981)
- (xy 138.38003 75.599988) (xy 138.451095 75.621545) (xy 138.509432 75.627291) (xy 138.420089 75.675045) (xy 138.326693 75.751693)
- (xy 138.250045 75.845089) (xy 138.193091 75.951643) (xy 138.186612 75.973) (xy 136.359643 75.973) (xy 136.335 75.970573)
- (xy 136.310357 75.973) (xy 136.310347 75.973) (xy 136.236591 75.980264) (xy 136.141964 76.008969) (xy 136.054755 76.055583)
- (xy 136.054753 76.055584) (xy 136.054754 76.055584) (xy 136.002882 76.098155) (xy 135.978316 76.118316) (xy 135.962603 76.137462)
- (xy 135.047465 77.052601) (xy 135.028317 77.068316) (xy 135.012604 77.087462) (xy 135.012601 77.087465) (xy 134.965584 77.144755)
- (xy 134.91897 77.231964) (xy 134.890265 77.326591) (xy 134.887664 77.353003) (xy 134.874537 77.360019) (xy 134.817131 77.407131)
- (xy 134.770019 77.464537) (xy 134.75 77.50199) (xy 134.729981 77.464537) (xy 134.682869 77.407131) (xy 134.625463 77.360019)
- (xy 134.55997 77.325012) (xy 134.488905 77.303455) (xy 134.415 77.296176) (xy 133.815 77.296176) (xy 133.741095 77.303455)
- (xy 133.67003 77.325012) (xy 133.604537 77.360019) (xy 133.547131 77.407131) (xy 133.500019 77.464537) (xy 133.48 77.50199)
- (xy 133.459981 77.464537) (xy 133.412869 77.407131) (xy 133.355463 77.360019) (xy 133.28997 77.325012) (xy 133.218905 77.303455)
- (xy 133.145 77.296176) (xy 132.545 77.296176) (xy 132.471095 77.303455) (xy 132.40003 77.325012) (xy 132.334537 77.360019)
- (xy 132.277131 77.407131) (xy 132.230019 77.464537) (xy 132.195012 77.53003) (xy 132.173455 77.601095) (xy 132.166176 77.675)
- (xy 132.166176 77.96449) (xy 132.101964 77.983969) (xy 132.014755 78.030583) (xy 132.014753 78.030584) (xy 132.014754 78.030584)
- (xy 131.958197 78.077) (xy 131.938316 78.093316) (xy 131.922603 78.112462) (xy 130.137066 79.898) (xy 129.349096 79.898)
- (xy 129.353824 79.85) (xy 129.352 79.47125) (xy 129.25775 79.377) (xy 128.727 79.377) (xy 128.727 79.397)
- (xy 128.473 79.397) (xy 128.473 79.377) (xy 128.453 79.377) (xy 128.453 79.123) (xy 128.473 79.123)
- (xy 128.473 79.103) (xy 128.727 79.103) (xy 128.727 79.123) (xy 129.25775 79.123) (xy 129.352 79.02875)
- (xy 129.353824 78.65) (xy 129.346545 78.576095) (xy 129.324988 78.50503) (xy 129.289981 78.439537) (xy 129.242869 78.382131)
- (xy 129.185463 78.335019) (xy 129.11997 78.300012) (xy 129.11993 78.3) (xy 129.11997 78.299988) (xy 129.185463 78.264981)
- (xy 129.242869 78.217869) (xy 129.289981 78.160463) (xy 129.324988 78.09497) (xy 129.330439 78.077) (xy 129.676528 78.077)
- (xy 129.714154 78.069516) (xy 129.752319 78.065757) (xy 129.789013 78.054626) (xy 129.826643 78.047141) (xy 129.862092 78.032457)
- (xy 129.898784 78.021327) (xy 129.932598 78.003253) (xy 129.968048 77.988569) (xy 129.999955 77.96725) (xy 130.033766 77.949177)
- (xy 130.063402 77.924856) (xy 130.095309 77.903536) (xy 130.122442 77.876403) (xy 130.15208 77.85208) (xy 130.176403 77.822442)
- (xy 130.203536 77.795309) (xy 130.224856 77.763402) (xy 130.249177 77.733766) (xy 130.26725 77.699955) (xy 130.288569 77.668048)
- (xy 130.303253 77.632598) (xy 130.321327 77.598784) (xy 130.332457 77.562092) (xy 130.347141 77.526643) (xy 130.354626 77.489013)
- (xy 130.365757 77.452319) (xy 130.369516 77.414154) (xy 130.377 77.376528) (xy 130.377 77.338166) (xy 130.380759 77.3)
- (xy 130.377 77.261834) (xy 130.377 77.223472) (xy 130.369516 77.185846) (xy 130.365757 77.147681) (xy 130.354626 77.110987)
- (xy 130.347141 77.073357) (xy 130.332457 77.037908) (xy 130.321327 77.001216) (xy 130.303253 76.967402) (xy 130.288569 76.931952)
- (xy 130.26725 76.900045) (xy 130.249177 76.866234) (xy 130.224856 76.836598) (xy 130.203536 76.804691) (xy 130.176403 76.777558)
- (xy 130.15208 76.74792) (xy 130.122442 76.723597) (xy 130.095309 76.696464) (xy 130.063402 76.675144) (xy 130.033766 76.650823)
- (xy 129.999955 76.63275) (xy 129.968048 76.611431) (xy 129.932598 76.596747) (xy 129.898784 76.578673) (xy 129.862092 76.567543)
- (xy 129.826643 76.552859) (xy 129.789013 76.545374) (xy 129.752319 76.534243) (xy 129.714154 76.530484) (xy 129.676528 76.523)
- (xy 129.50201 76.523) (xy 129.50201 75.321843) (xy 129.72243 75.101423) (xy 129.75208 75.07709) (xy 129.776413 75.04744)
- (xy 129.846853 74.977) (xy 130.292133 74.977) (xy 130.310019 75.010463) (xy 130.357131 75.067869) (xy 130.414537 75.114981)
- (xy 130.48003 75.149988) (xy 130.551095 75.171545) (xy 130.625 75.178824) (xy 131.375 75.178824) (xy 131.448905 75.171545)
- (xy 131.51997 75.149988) (xy 131.585463 75.114981) (xy 131.642869 75.067869) (xy 131.689981 75.010463) (xy 131.724988 74.94497)
- (xy 131.746545 74.873905) (xy 131.751165 74.827) (xy 132.609206 74.827) (xy 132.64 74.830033) (xy 132.670794 74.827)
- (xy 132.762913 74.817927) (xy 132.881103 74.782075) (xy 132.990028 74.723853) (xy 133.085501 74.645501) (xy 133.105138 74.621573)
- (xy 133.266573 74.460138) (xy 133.290501 74.440501) (xy 133.368853 74.345028) (xy 133.427075 74.236103) (xy 133.462927 74.117913)
- (xy 133.472 74.025794) (xy 133.473548 74.010082) (xy 133.48 73.99801) (xy 133.500019 74.035463) (xy 133.547131 74.092869)
- (xy 133.604537 74.139981) (xy 133.67003 74.174988) (xy 133.741095 74.196545) (xy 133.815 74.203824) (xy 134.415 74.203824)
- (xy 134.488905 74.196545) (xy 134.55997 74.174988) (xy 134.625463 74.139981) (xy 134.682869 74.092869) (xy 134.729981 74.035463)
- (xy 134.75 73.99801) (xy 134.770019 74.035463) (xy 134.817131 74.092869) (xy 134.874537 74.139981) (xy 134.94003 74.174988)
- (xy 135.011095 74.196545) (xy 135.085 74.203824) (xy 135.685 74.203824) (xy 135.758905 74.196545) (xy 135.82997 74.174988)
- (xy 135.895463 74.139981) (xy 135.952869 74.092869) (xy 135.999981 74.035463) (xy 136.02 73.99801) (xy 136.040019 74.035463)
- (xy 136.087131 74.092869) (xy 136.144537 74.139981) (xy 136.21003 74.174988) (xy 136.281095 74.196545) (xy 136.355 74.203824)
- (xy 136.43375 74.202) (xy 136.528 74.10775) (xy 136.528 73.177) (xy 136.782 73.177) (xy 136.782 74.10775)
- (xy 136.87625 74.202) (xy 136.955 74.203824) (xy 136.993825 74.2) (xy 138.146176 74.2) (xy 138.148 74.50375)
- (xy 138.24225 74.598) (xy 138.873 74.598) (xy 138.873 73.91725) (xy 139.127 73.91725) (xy 139.127 74.598)
- (xy 139.75775 74.598) (xy 139.852 74.50375) (xy 139.853824 74.2) (xy 139.846545 74.126095) (xy 139.824988 74.05503)
- (xy 139.789981 73.989537) (xy 139.742869 73.932131) (xy 139.685463 73.885019) (xy 139.61997 73.850012) (xy 139.548905 73.828455)
- (xy 139.475 73.821176) (xy 139.22125 73.823) (xy 139.127 73.91725) (xy 138.873 73.91725) (xy 138.77875 73.823)
- (xy 138.525 73.821176) (xy 138.451095 73.828455) (xy 138.38003 73.850012) (xy 138.314537 73.885019) (xy 138.257131 73.932131)
- (xy 138.210019 73.989537) (xy 138.175012 74.05503) (xy 138.153455 74.126095) (xy 138.146176 74.2) (xy 136.993825 74.2)
- (xy 137.028905 74.196545) (xy 137.09997 74.174988) (xy 137.165463 74.139981) (xy 137.222869 74.092869) (xy 137.269981 74.035463)
- (xy 137.304988 73.96997) (xy 137.326545 73.898905) (xy 137.333824 73.825) (xy 137.332 73.27125) (xy 137.23775 73.177)
- (xy 136.782 73.177) (xy 136.528 73.177) (xy 136.508 73.177) (xy 136.508 72.923) (xy 136.528 72.923)
- (xy 136.528 72.903) (xy 136.782 72.903) (xy 136.782 72.923) (xy 137.23775 72.923) (xy 137.332 72.82875)
- (xy 137.333824 72.275) (xy 137.326545 72.201095) (xy 137.304988 72.13003) (xy 137.269981 72.064537) (xy 137.222869 72.007131)
- (xy 137.165463 71.960019) (xy 137.09997 71.925012) (xy 137.028905 71.903455) (xy 136.955 71.896176) (xy 136.87625 71.898)
- (xy 136.782002 71.992248) (xy 136.782002 71.898) (xy 136.766341 71.898) (xy 136.816684 71.856684) (xy 136.832401 71.837533)
- (xy 138.551525 70.11841) (xy 140.861195 70.11841) (xy 140.941306 70.285043) (xy 141.144213 70.375111) (xy 141.360793 70.423864)
- (xy 141.582723 70.429428) (xy 141.801474 70.391588) (xy 142.00864 70.311798) (xy 142.058694 70.285043) (xy 142.138805 70.11841)
- (xy 141.5 69.479605) (xy 140.861195 70.11841) (xy 138.551525 70.11841) (xy 138.837543 69.832393) (xy 138.856684 69.816684)
- (xy 138.872392 69.797544) (xy 138.8724 69.797536) (xy 138.919417 69.740246) (xy 138.964081 69.656684) (xy 138.966031 69.653036)
- (xy 138.977421 69.615488) (xy 138.995309 69.603536) (xy 139.103536 69.495309) (xy 139.188569 69.368048) (xy 139.247141 69.226643)
- (xy 139.277 69.076528) (xy 139.277 68.923472) (xy 139.247141 68.773357) (xy 139.188569 68.631952) (xy 139.135147 68.552)
- (xy 140.647338 68.552)
- )
- )
- (filled_polygon
- (pts
- (xy 112.862015 75.97195) (xy 112.47125 75.973) (xy 112.377 76.06725) (xy 112.377 77.273) (xy 112.397 77.273)
- (xy 112.397 77.527) (xy 112.377 77.527) (xy 112.377 78.73275) (xy 112.47125 78.827) (xy 113.15 78.828824)
- (xy 113.223905 78.821545) (xy 113.29497 78.799988) (xy 113.360463 78.764981) (xy 113.4 78.732534) (xy 113.439537 78.764981)
- (xy 113.50503 78.799988) (xy 113.576095 78.821545) (xy 113.65 78.828824) (xy 113.948001 78.828824) (xy 113.948 79.696176)
- (xy 113.85 79.696176) (xy 113.776095 79.703455) (xy 113.70503 79.725012) (xy 113.639537 79.760019) (xy 113.582131 79.807131)
- (xy 113.535019 79.864537) (xy 113.500012 79.93003) (xy 113.5 79.93007) (xy 113.499988 79.93003) (xy 113.464981 79.864537)
- (xy 113.417869 79.807131) (xy 113.360463 79.760019) (xy 113.29497 79.725012) (xy 113.223905 79.703455) (xy 113.15 79.696176)
- (xy 112.77125 79.698) (xy 112.677 79.79225) (xy 112.677 80.323) (xy 112.697 80.323) (xy 112.697 80.577)
- (xy 112.677 80.577) (xy 112.677 80.597) (xy 112.423 80.597) (xy 112.423 80.577) (xy 111.66725 80.577)
- (xy 111.573 80.67125) (xy 111.571176 80.825) (xy 111.578455 80.898905) (xy 111.600012 80.96997) (xy 111.601632 80.973)
- (xy 110.613388 80.973) (xy 110.606909 80.951643) (xy 110.549955 80.845089) (xy 110.473307 80.751693) (xy 110.379911 80.675045)
- (xy 110.273357 80.618091) (xy 110.21372 80.6) (xy 110.273357 80.581909) (xy 110.379911 80.524955) (xy 110.473307 80.448307)
- (xy 110.549955 80.354911) (xy 110.606909 80.248357) (xy 110.641982 80.132739) (xy 110.647668 80.075) (xy 111.571176 80.075)
- (xy 111.573 80.22875) (xy 111.66725 80.323) (xy 112.423 80.323) (xy 112.423 79.79225) (xy 112.32875 79.698)
- (xy 111.95 79.696176) (xy 111.876095 79.703455) (xy 111.80503 79.725012) (xy 111.739537 79.760019) (xy 111.682131 79.807131)
- (xy 111.635019 79.864537) (xy 111.600012 79.93003) (xy 111.578455 80.001095) (xy 111.571176 80.075) (xy 110.647668 80.075)
- (xy 110.653824 80.0125) (xy 110.653824 79.4375) (xy 110.641982 79.317261) (xy 110.606909 79.201643) (xy 110.549955 79.095089)
- (xy 110.473307 79.001693) (xy 110.379911 78.925045) (xy 110.273357 78.868091) (xy 110.157739 78.833018) (xy 110.0375 78.821176)
- (xy 109.5625 78.821176) (xy 109.442261 78.833018) (xy 109.326643 78.868091) (xy 109.220089 78.925045) (xy 109.126693 79.001693)
- (xy 109.077009 79.062233) (xy 109.077009 78.45) (xy 110.971176 78.45) (xy 110.978455 78.523905) (xy 111.000012 78.59497)
- (xy 111.035019 78.660463) (xy 111.082131 78.717869) (xy 111.139537 78.764981) (xy 111.20503 78.799988) (xy 111.276095 78.821545)
- (xy 111.35 78.828824) (xy 112.02875 78.827) (xy 112.123 78.73275) (xy 112.123 77.527) (xy 111.06725 77.527)
- (xy 110.973 77.62125) (xy 110.971176 78.45) (xy 109.077009 78.45) (xy 109.077009 78.138172) (xy 109.080768 78.100009)
- (xy 109.073824 78.029505) (xy 109.068832 77.978824) (xy 109.575 77.978824) (xy 109.648905 77.971545) (xy 109.71997 77.949988)
- (xy 109.785463 77.914981) (xy 109.842869 77.867869) (xy 109.889981 77.810463) (xy 109.924988 77.74497) (xy 109.946545 77.673905)
- (xy 109.953824 77.6) (xy 109.953824 77.499127) (xy 109.998409 77.494736) (xy 110.093036 77.466031) (xy 110.180245 77.419417)
- (xy 110.256684 77.356684) (xy 110.272402 77.337533) (xy 110.97181 76.638124) (xy 110.973 77.17875) (xy 111.06725 77.273)
- (xy 112.123 77.273) (xy 112.123 76.06725) (xy 112.02875 75.973) (xy 111.637985 75.97195) (xy 111.681111 75.928824)
- (xy 112.818889 75.928824)
- )
- )
- (filled_polygon
- (pts
- (xy 111.618091 67.573357) (xy 111.675045 67.679911) (xy 111.751693 67.773307) (xy 111.845089 67.849955) (xy 111.848 67.851511)
- (xy 111.848001 68.348489) (xy 111.845089 68.350045) (xy 111.751693 68.426693) (xy 111.675045 68.520089) (xy 111.627291 68.609432)
- (xy 111.621545 68.551095) (xy 111.599988 68.48003) (xy 111.564981 68.414537) (xy 111.517869 68.357131) (xy 111.460463 68.310019)
- (xy 111.39497 68.275012) (xy 111.323905 68.253455) (xy 111.25 68.246176) (xy 110.94625 68.248) (xy 110.852 68.34225)
- (xy 110.852 68.973) (xy 110.872 68.973) (xy 110.872 69.227) (xy 110.852 69.227) (xy 110.852 69.85775)
- (xy 110.94625 69.952) (xy 111.25 69.953824) (xy 111.323905 69.946545) (xy 111.39497 69.924988) (xy 111.460463 69.889981)
- (xy 111.517869 69.842869) (xy 111.564981 69.785463) (xy 111.599988 69.71997) (xy 111.621545 69.648905) (xy 111.627291 69.590568)
- (xy 111.675045 69.679911) (xy 111.751693 69.773307) (xy 111.845089 69.849955) (xy 111.848 69.851511) (xy 111.848001 70.429392)
- (xy 111.780029 70.450011) (xy 111.714536 70.485018) (xy 111.65713 70.53213) (xy 111.610018 70.589536) (xy 111.575011 70.655029)
- (xy 111.574999 70.655069) (xy 111.574987 70.655029) (xy 111.53998 70.589536) (xy 111.492868 70.53213) (xy 111.435462 70.485018)
- (xy 111.369969 70.450011) (xy 111.298904 70.428454) (xy 111.224999 70.421175) (xy 110.846249 70.422999) (xy 110.751999 70.517249)
- (xy 110.751999 71.047999) (xy 110.771999 71.047999) (xy 110.771999 71.301999) (xy 110.751999 71.301999) (xy 110.751999 71.832749)
- (xy 110.846249 71.926999) (xy 111.224999 71.928823) (xy 111.298904 71.921544) (xy 111.369969 71.899987) (xy 111.435462 71.86498)
- (xy 111.492868 71.817868) (xy 111.53998 71.760462) (xy 111.574987 71.694969) (xy 111.574999 71.694929) (xy 111.575011 71.694969)
- (xy 111.610018 71.760462) (xy 111.65713 71.817868) (xy 111.714536 71.86498) (xy 111.780029 71.899987) (xy 111.851094 71.921544)
- (xy 111.924999 71.928823) (xy 113.124999 71.928823) (xy 113.198904 71.921544) (xy 113.269969 71.899987) (xy 113.335462 71.86498)
- (xy 113.392868 71.817868) (xy 113.43998 71.760462) (xy 113.474987 71.694969) (xy 113.480438 71.676999) (xy 116.477067 71.676999)
- (xy 119.67306 74.872993) (xy 119.67125 74.873) (xy 119.577 74.96725) (xy 119.577 75.377) (xy 119.597 75.377)
- (xy 119.597 75.521176) (xy 118.725 75.521176) (xy 118.651095 75.528455) (xy 118.58003 75.550012) (xy 118.537023 75.573)
- (xy 118.44225 75.573) (xy 118.39225 75.623) (xy 115.982935 75.623) (xy 115.922403 75.562468) (xy 115.906685 75.543316)
- (xy 115.830246 75.480583) (xy 115.828669 75.47974) (xy 115.828164 75.25) (xy 118.346176 75.25) (xy 118.348 75.28275)
- (xy 118.44225 75.377) (xy 119.323 75.377) (xy 119.323 74.96725) (xy 119.22875 74.873) (xy 118.725 74.871176)
- (xy 118.651095 74.878455) (xy 118.58003 74.900012) (xy 118.514537 74.935019) (xy 118.457131 74.982131) (xy 118.410019 75.039537)
- (xy 118.375012 75.10503) (xy 118.353455 75.176095) (xy 118.346176 75.25) (xy 115.828164 75.25) (xy 115.827 74.72125)
- (xy 115.73275 74.627) (xy 114.677 74.627) (xy 114.677 74.647) (xy 114.423 74.647) (xy 114.423 74.627)
- (xy 114.403 74.627) (xy 114.403 74.373) (xy 114.423 74.373) (xy 114.423 73.16725) (xy 114.677 73.16725)
- (xy 114.677 74.373) (xy 115.73275 74.373) (xy 115.827 74.27875) (xy 115.828824 73.45) (xy 115.821545 73.376095)
- (xy 115.799988 73.30503) (xy 115.764981 73.239537) (xy 115.717869 73.182131) (xy 115.660463 73.135019) (xy 115.59497 73.100012)
- (xy 115.523905 73.078455) (xy 115.45 73.071176) (xy 114.77125 73.073) (xy 114.677 73.16725) (xy 114.423 73.16725)
- (xy 114.32875 73.073) (xy 113.65 73.071176) (xy 113.576095 73.078455) (xy 113.50503 73.100012) (xy 113.439537 73.135019)
- (xy 113.4 73.167466) (xy 113.360463 73.135019) (xy 113.29497 73.100012) (xy 113.223905 73.078455) (xy 113.15 73.071176)
- (xy 111.35 73.071176) (xy 111.276095 73.078455) (xy 111.20503 73.100012) (xy 111.139537 73.135019) (xy 111.082131 73.182131)
- (xy 111.035019 73.239537) (xy 111.000012 73.30503) (xy 110.978455 73.376095) (xy 110.971176 73.45) (xy 110.971176 75.218889)
- (xy 109.927327 76.262739) (xy 109.924988 76.25503) (xy 109.889981 76.189537) (xy 109.842869 76.132131) (xy 109.785463 76.085019)
- (xy 109.71997 76.050012) (xy 109.71993 76.05) (xy 109.71997 76.049988) (xy 109.785463 76.014981) (xy 109.842869 75.967869)
- (xy 109.889981 75.910463) (xy 109.924988 75.84497) (xy 109.946545 75.773905) (xy 109.953824 75.7) (xy 109.952 75.32125)
- (xy 109.85775 75.227) (xy 109.327 75.227) (xy 109.327 75.247) (xy 109.073 75.247) (xy 109.073 75.227)
- (xy 108.54225 75.227) (xy 108.448 75.32125) (xy 108.446176 75.7) (xy 108.453455 75.773905) (xy 108.475012 75.84497)
- (xy 108.510019 75.910463) (xy 108.557131 75.967869) (xy 108.614537 76.014981) (xy 108.68003 76.049988) (xy 108.68007 76.05)
- (xy 108.68003 76.050012) (xy 108.614537 76.085019) (xy 108.557131 76.132131) (xy 108.510019 76.189537) (xy 108.475012 76.25503)
- (xy 108.453455 76.326095) (xy 108.446176 76.4) (xy 108.446176 77.147332) (xy 106.026414 74.72757) (xy 106.002081 74.697921)
- (xy 105.883767 74.600824) (xy 105.748785 74.528674) (xy 105.654261 74.5) (xy 108.446176 74.5) (xy 108.448 74.87875)
- (xy 108.54225 74.973) (xy 109.073 74.973) (xy 109.073 74.21725) (xy 109.327 74.21725) (xy 109.327 74.973)
- (xy 109.85775 74.973) (xy 109.952 74.87875) (xy 109.953824 74.5) (xy 109.946545 74.426095) (xy 109.924988 74.35503)
- (xy 109.889981 74.289537) (xy 109.842869 74.232131) (xy 109.785463 74.185019) (xy 109.71997 74.150012) (xy 109.648905 74.128455)
- (xy 109.575 74.121176) (xy 109.42125 74.123) (xy 109.327 74.21725) (xy 109.073 74.21725) (xy 108.97875 74.123)
- (xy 108.825 74.121176) (xy 108.751095 74.128455) (xy 108.68003 74.150012) (xy 108.614537 74.185019) (xy 108.557131 74.232131)
- (xy 108.510019 74.289537) (xy 108.475012 74.35503) (xy 108.453455 74.426095) (xy 108.446176 74.5) (xy 105.654261 74.5)
- (xy 105.60232 74.484244) (xy 105.488167 74.473001) (xy 105.488164 74.473001) (xy 105.450001 74.469242) (xy 105.411838 74.473001)
- (xy 104.328824 74.473001) (xy 104.328824 73.4) (xy 106.046176 73.4) (xy 106.053455 73.473905) (xy 106.075012 73.54497)
- (xy 106.110019 73.610463) (xy 106.157131 73.667869) (xy 106.214537 73.714981) (xy 106.28003 73.749988) (xy 106.351095 73.771545)
- (xy 106.425 73.778824) (xy 106.67875 73.777) (xy 106.773 73.68275) (xy 106.773 73.002) (xy 107.027 73.002)
- (xy 107.027 73.68275) (xy 107.12125 73.777) (xy 107.375 73.778824) (xy 107.448905 73.771545) (xy 107.51997 73.749988)
- (xy 107.585463 73.714981) (xy 107.642869 73.667869) (xy 107.689981 73.610463) (xy 107.724988 73.54497) (xy 107.746545 73.473905)
- (xy 107.753824 73.4) (xy 107.752 73.09625) (xy 107.65775 73.002) (xy 107.027 73.002) (xy 106.773 73.002)
- (xy 106.14225 73.002) (xy 106.048 73.09625) (xy 106.046176 73.4) (xy 104.328824 73.4) (xy 104.328824 71.15)
- (xy 104.321545 71.076095) (xy 104.299988 71.00503) (xy 104.285006 70.977) (xy 105.653157 70.977) (xy 106.046176 71.37002)
- (xy 106.046176 71.4125) (xy 106.058018 71.532739) (xy 106.093091 71.648357) (xy 106.150045 71.754911) (xy 106.226693 71.848307)
- (xy 106.320089 71.924955) (xy 106.409432 71.972709) (xy 106.351095 71.978455) (xy 106.28003 72.000012) (xy 106.214537 72.035019)
- (xy 106.157131 72.082131) (xy 106.110019 72.139537) (xy 106.075012 72.20503) (xy 106.053455 72.276095) (xy 106.046176 72.35)
- (xy 106.048 72.65375) (xy 106.14225 72.748) (xy 106.773 72.748) (xy 106.773 72.728) (xy 107.027 72.728)
- (xy 107.027 72.748) (xy 107.65775 72.748) (xy 107.752 72.65375) (xy 107.753824 72.35) (xy 107.746545 72.276095)
- (xy 107.724988 72.20503) (xy 107.689981 72.139537) (xy 107.642869 72.082131) (xy 107.585463 72.035019) (xy 107.51997 72.000012)
- (xy 107.448905 71.978455) (xy 107.390568 71.972709) (xy 107.479911 71.924955) (xy 107.573307 71.848307) (xy 107.649955 71.754911)
- (xy 107.706909 71.648357) (xy 107.736746 71.549999) (xy 109.646175 71.549999) (xy 109.653454 71.623904) (xy 109.675011 71.694969)
- (xy 109.710018 71.760462) (xy 109.75713 71.817868) (xy 109.814536 71.86498) (xy 109.880029 71.899987) (xy 109.951094 71.921544)
- (xy 110.024999 71.928823) (xy 110.403749 71.926999) (xy 110.497999 71.832749) (xy 110.497999 71.301999) (xy 109.742249 71.301999)
- (xy 109.647999 71.396249) (xy 109.646175 71.549999) (xy 107.736746 71.549999) (xy 107.741982 71.532739) (xy 107.753824 71.4125)
- (xy 107.753824 70.8375) (xy 107.750131 70.799999) (xy 109.646175 70.799999) (xy 109.647999 70.953749) (xy 109.742249 71.047999)
- (xy 110.497999 71.047999) (xy 110.497999 70.517249) (xy 110.403749 70.422999) (xy 110.024999 70.421175) (xy 109.951094 70.428454)
- (xy 109.880029 70.450011) (xy 109.814536 70.485018) (xy 109.75713 70.53213) (xy 109.710018 70.589536) (xy 109.675011 70.655029)
- (xy 109.653454 70.726094) (xy 109.646175 70.799999) (xy 107.750131 70.799999) (xy 107.741982 70.717261) (xy 107.706909 70.601643)
- (xy 107.649955 70.495089) (xy 107.573307 70.401693) (xy 107.479911 70.325045) (xy 107.373357 70.268091) (xy 107.257739 70.233018)
- (xy 107.1375 70.221176) (xy 107.09502 70.221176) (xy 106.948843 70.075) (xy 107.07002 69.953824) (xy 107.1125 69.953824)
- (xy 107.232739 69.941982) (xy 107.348357 69.906909) (xy 107.454911 69.849955) (xy 107.548307 69.773307) (xy 107.624955 69.679911)
- (xy 107.681909 69.573357) (xy 107.7 69.51372) (xy 107.718091 69.573357) (xy 107.775045 69.679911) (xy 107.851693 69.773307)
- (xy 107.945089 69.849955) (xy 108.051643 69.906909) (xy 108.167261 69.941982) (xy 108.2875 69.953824) (xy 108.8625 69.953824)
- (xy 108.982739 69.941982) (xy 109.098357 69.906909) (xy 109.204911 69.849955) (xy 109.298307 69.773307) (xy 109.374955 69.679911)
- (xy 109.43103 69.575) (xy 109.821176 69.575) (xy 109.828455 69.648905) (xy 109.850012 69.71997) (xy 109.885019 69.785463)
- (xy 109.932131 69.842869) (xy 109.989537 69.889981) (xy 110.05503 69.924988) (xy 110.126095 69.946545) (xy 110.2 69.953824)
- (xy 110.50375 69.952) (xy 110.598 69.85775) (xy 110.598 69.227) (xy 109.91725 69.227) (xy 109.823 69.32125)
- (xy 109.821176 69.575) (xy 109.43103 69.575) (xy 109.431909 69.573357) (xy 109.466982 69.457739) (xy 109.478824 69.3375)
- (xy 109.478824 69.232887) (xy 109.827981 68.883731) (xy 109.91725 68.973) (xy 110.598 68.973) (xy 110.598 68.34225)
- (xy 110.50375 68.248) (xy 110.463951 68.247761) (xy 110.757888 67.953824) (xy 111.0125 67.953824) (xy 111.132739 67.941982)
- (xy 111.248357 67.906909) (xy 111.354911 67.849955) (xy 111.448307 67.773307) (xy 111.524955 67.679911) (xy 111.581909 67.573357)
- (xy 111.6 67.51372)
- )
- )
- )
- (zone (net 2) (net_name "GND") (layer "B.Cu") (tstamp 5C54F5D7) (hatch edge 0.508)
- (priority 4)
- (connect_pads yes (clearance 0.25))
- (min_thickness 0.254)
- (fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 70 95) (xy 93 95) (xy 93 124) (xy 87 124) (xy 83 120)
- (xy 70 120)
- )
- )
- (filled_polygon
- (pts
- (xy 92.873 123.873) (xy 87.052606 123.873) (xy 83.089803 119.910197) (xy 83.070557 119.894403) (xy 83.048601 119.882667)
- (xy 83.024776 119.87544) (xy 83 119.873) (xy 70.377 119.873) (xy 70.377 95.127) (xy 92.873 95.127)
- )
- )
- )
- (zone (net 18) (net_name "/VIN") (layer "B.Cu") (tstamp 5C54F5D4) (hatch edge 0.508)
- (priority 1)
- (connect_pads yes (clearance 0.25))
- (min_thickness 0.254)
- (fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 70 120) (xy 97.5 120) (xy 116 120) (xy 116 138) (xy 132 138)
- (xy 132 147) (xy 130 149) (xy 99 149) (xy 93 143) (xy 70 143)
- )
- )
- (filled_polygon
- (pts
- (xy 86.733421 124.266579) (xy 86.79055 124.313464) (xy 86.855728 124.348303) (xy 86.926451 124.369756) (xy 87 124.377)
- (xy 92.873 124.377) (xy 92.873 130) (xy 92.87544 130.024776) (xy 92.882667 130.048601) (xy 92.894403 130.070557)
- (xy 92.910197 130.089803) (xy 109.910197 147.089803) (xy 109.929443 147.105597) (xy 109.951399 147.117333) (xy 109.975224 147.12456)
- (xy 110 147.127) (xy 131.693394 147.127) (xy 129.947394 148.873) (xy 111.62468 148.873) (xy 111.535636 148.739736)
- (xy 111.260264 148.464364) (xy 110.936461 148.248005) (xy 110.57667 148.098975) (xy 110.194718 148.023) (xy 109.805282 148.023)
- (xy 109.42333 148.098975) (xy 109.063539 148.248005) (xy 108.739736 148.464364) (xy 108.464364 148.739736) (xy 108.37532 148.873)
- (xy 99.052606 148.873) (xy 93.089803 142.910197) (xy 93.070557 142.894403) (xy 93.048601 142.882667) (xy 93.024776 142.87544)
- (xy 93 142.873) (xy 72.677 142.873) (xy 72.677 120.377) (xy 82.843842 120.377)
- )
- )
- )
- (zone (net 2) (net_name "GND") (layer "B.Cu") (tstamp 5C54F5D1) (hatch edge 0.508)
- (connect_pads yes (clearance 0.25))
- (min_thickness 0.254)
- (fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 70 143) (xy 170 143) (xy 170 155) (xy 70 155)
- )
- )
- (filled_polygon
- (pts
- (xy 169.623 144.873) (xy 160 144.873) (xy 159.975224 144.87544) (xy 159.951399 144.882667) (xy 159.929443 144.894403)
- (xy 159.910197 144.910197) (xy 159.894403 144.929443) (xy 159.882667 144.951399) (xy 159.87544 144.975224) (xy 159.873 145)
- (xy 159.873 154.623) (xy 143.618736 154.623) (xy 146.618413 151.623324) (xy 146.637559 151.607611) (xy 146.700292 151.531172)
- (xy 146.746906 151.443963) (xy 146.775611 151.349336) (xy 146.782164 151.282798) (xy 146.884411 151.180551) (xy 146.969444 151.05329)
- (xy 147.028016 150.911885) (xy 147.057875 150.76177) (xy 147.057875 150.608714) (xy 147.028016 150.458599) (xy 146.969444 150.317194)
- (xy 146.884411 150.189933) (xy 146.776184 150.081706) (xy 146.648923 149.996673) (xy 146.507518 149.938101) (xy 146.357403 149.908242)
- (xy 146.204347 149.908242) (xy 146.201033 149.908901) (xy 146.304652 149.805282) (xy 151.023 149.805282) (xy 151.023 150.194718)
- (xy 151.098975 150.57667) (xy 151.248005 150.936461) (xy 151.464364 151.260264) (xy 151.739736 151.535636) (xy 152.063539 151.751995)
- (xy 152.42333 151.901025) (xy 152.805282 151.977) (xy 153.194718 151.977) (xy 153.57667 151.901025) (xy 153.936461 151.751995)
- (xy 154.260264 151.535636) (xy 154.535636 151.260264) (xy 154.751995 150.936461) (xy 154.901025 150.57667) (xy 154.977 150.194718)
- (xy 154.977 149.805282) (xy 154.901025 149.42333) (xy 154.751995 149.063539) (xy 154.535636 148.739736) (xy 154.260264 148.464364)
- (xy 153.936461 148.248005) (xy 153.57667 148.098975) (xy 153.194718 148.023) (xy 152.805282 148.023) (xy 152.42333 148.098975)
- (xy 152.063539 148.248005) (xy 151.739736 148.464364) (xy 151.464364 148.739736) (xy 151.248005 149.063539) (xy 151.098975 149.42333)
- (xy 151.023 149.805282) (xy 146.304652 149.805282) (xy 147.073089 149.036846) (xy 147.094605 149.051222) (xy 147.23601 149.109794)
- (xy 147.386125 149.139653) (xy 147.539181 149.139653) (xy 147.689296 149.109794) (xy 147.830701 149.051222) (xy 147.957962 148.966189)
- (xy 148.066189 148.857962) (xy 148.151222 148.730701) (xy 148.209794 148.589296) (xy 148.239653 148.439181) (xy 148.239653 148.286125)
- (xy 148.209794 148.13601) (xy 148.151222 147.994605) (xy 148.136845 147.973089) (xy 148.507935 147.602) (xy 154.700336 147.602)
- (xy 154.724979 147.604427) (xy 154.749622 147.602) (xy 154.749632 147.602) (xy 154.823388 147.594736) (xy 154.918015 147.566031)
- (xy 155.005224 147.519417) (xy 155.081663 147.456684) (xy 155.097381 147.437532) (xy 157.132935 145.401979) (xy 157.276528 145.401979)
- (xy 157.426643 145.37212) (xy 157.568048 145.313548) (xy 157.695309 145.228515) (xy 157.803536 145.120288) (xy 157.888569 144.993027)
- (xy 157.947141 144.851622) (xy 157.977 144.701507) (xy 157.977 144.548451) (xy 157.947865 144.401977) (xy 167.461782 144.401974)
- (xy 167.486435 144.404402) (xy 167.584844 144.39471) (xy 167.679471 144.366005) (xy 167.76668 144.319391) (xy 167.82397 144.272374)
- (xy 167.823973 144.272371) (xy 167.843119 144.256658) (xy 167.858832 144.237512) (xy 168.719345 143.377) (xy 169.623 143.377)
- )
- )
- (filled_polygon
- (pts
- (xy 98.733421 149.266579) (xy 98.79055 149.313464) (xy 98.855728 149.348303) (xy 98.926451 149.369756) (xy 99 149.377)
- (xy 108.118165 149.377) (xy 108.098975 149.42333) (xy 108.023 149.805282) (xy 108.023 150.194718) (xy 108.098975 150.57667)
- (xy 108.248005 150.936461) (xy 108.464364 151.260264) (xy 108.739736 151.535636) (xy 109.063539 151.751995) (xy 109.42333 151.901025)
- (xy 109.805282 151.977) (xy 110.194718 151.977) (xy 110.57667 151.901025) (xy 110.936461 151.751995) (xy 111.260264 151.535636)
- (xy 111.535636 151.260264) (xy 111.751995 150.936461) (xy 111.901025 150.57667) (xy 111.977 150.194718) (xy 111.977 149.805282)
- (xy 111.901025 149.42333) (xy 111.881835 149.377) (xy 130 149.377) (xy 130.073549 149.369756) (xy 130.144272 149.348303)
- (xy 130.20945 149.313464) (xy 130.266579 149.266579) (xy 132.156158 147.377) (xy 145.913067 147.377) (xy 141.192066 152.098)
- (xy 129.007935 152.098) (xy 128.377 151.467066) (xy 128.377 151.323472) (xy 128.347141 151.173357) (xy 128.288569 151.031952)
- (xy 128.203536 150.904691) (xy 128.095309 150.796464) (xy 127.968048 150.711431) (xy 127.826643 150.652859) (xy 127.676528 150.623)
- (xy 127.523472 150.623) (xy 127.373357 150.652859) (xy 127.231952 150.711431) (xy 127.104691 150.796464) (xy 126.996464 150.904691)
- (xy 126.911431 151.031952) (xy 126.852859 151.173357) (xy 126.823 151.323472) (xy 126.823 151.423) (xy 126.723472 151.423)
- (xy 126.573357 151.452859) (xy 126.431952 151.511431) (xy 126.304691 151.596464) (xy 126.196464 151.704691) (xy 126.111431 151.831952)
- (xy 126.052859 151.973357) (xy 126.023 152.123472) (xy 126.023 152.276528) (xy 126.052859 152.426643) (xy 126.111431 152.568048)
- (xy 126.196464 152.695309) (xy 126.304691 152.803536) (xy 126.431952 152.888569) (xy 126.573357 152.947141) (xy 126.723472 152.977)
- (xy 126.867066 152.977) (xy 126.888087 152.998022) (xy 92.707957 152.998022) (xy 88.447402 148.737468) (xy 88.431684 148.718316)
- (xy 88.355245 148.655583) (xy 88.268036 148.608969) (xy 88.173409 148.580264) (xy 88.099653 148.573) (xy 88.099643 148.573)
- (xy 88.075 148.570573) (xy 88.050357 148.573) (xy 82.896845 148.573) (xy 82.795309 148.471464) (xy 82.668048 148.386431)
- (xy 82.526643 148.327859) (xy 82.376528 148.298) (xy 82.223472 148.298) (xy 82.073357 148.327859) (xy 81.931952 148.386431)
- (xy 81.804691 148.471464) (xy 81.696464 148.579691) (xy 81.611431 148.706952) (xy 81.552859 148.848357) (xy 81.523 148.998472)
- (xy 81.523 149.151528) (xy 81.552859 149.301643) (xy 81.611431 149.443048) (xy 81.696464 149.570309) (xy 81.804691 149.678536)
- (xy 81.931952 149.763569) (xy 82.073357 149.822141) (xy 82.223472 149.852) (xy 82.376528 149.852) (xy 82.526643 149.822141)
- (xy 82.668048 149.763569) (xy 82.795309 149.678536) (xy 82.896845 149.577) (xy 87.524153 149.577) (xy 87.483803 149.61735)
- (xy 87.39877 149.744611) (xy 87.340198 149.886016) (xy 87.310339 150.036131) (xy 87.310339 150.189187) (xy 87.340198 150.339302)
- (xy 87.39877 150.480707) (xy 87.483803 150.607968) (xy 87.59203 150.716195) (xy 87.719291 150.801228) (xy 87.860696 150.8598)
- (xy 88.010811 150.889659) (xy 88.154405 150.889659) (xy 91.162789 153.898044) (xy 90.20798 153.898044) (xy 88.677 152.367066)
- (xy 88.677 152.223472) (xy 88.647141 152.073357) (xy 88.588569 151.931952) (xy 88.503536 151.804691) (xy 88.395309 151.696464)
- (xy 88.268048 151.611431) (xy 88.126643 151.552859) (xy 87.976528 151.523) (xy 87.823472 151.523) (xy 87.673357 151.552859)
- (xy 87.531952 151.611431) (xy 87.404691 151.696464) (xy 87.296464 151.804691) (xy 87.211431 151.931952) (xy 87.152859 152.073357)
- (xy 87.123 152.223472) (xy 87.123 152.376528) (xy 87.152859 152.526643) (xy 87.211431 152.668048) (xy 87.296464 152.795309)
- (xy 87.404691 152.903536) (xy 87.531952 152.988569) (xy 87.673357 153.047141) (xy 87.823472 153.077) (xy 87.967066 153.077)
- (xy 89.513064 154.623) (xy 80.127 154.623) (xy 80.127 145) (xy 80.12456 144.975224) (xy 80.117333 144.951399)
- (xy 80.105597 144.929443) (xy 80.089803 144.910197) (xy 80.070557 144.894403) (xy 80.048601 144.882667) (xy 80.024776 144.87544)
- (xy 80 144.873) (xy 70.377 144.873) (xy 70.377 143.377) (xy 92.843842 143.377)
- )
- )
- )
- (zone (net 2) (net_name "GND") (layer "F.Cu") (tstamp 5C54F5CE) (hatch edge 0.508)
- (connect_pads yes (clearance 0.25))
- (min_thickness 0.254)
- (fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 70 143) (xy 170 143) (xy 170 155) (xy 70 155)
- )
- )
- (filled_polygon
- (pts
- (xy 98.733421 149.266579) (xy 98.79055 149.313464) (xy 98.855728 149.348303) (xy 98.926451 149.369756) (xy 99 149.377)
- (xy 105.873 149.377) (xy 105.873 152.5) (xy 105.87544 152.524776) (xy 105.882667 152.548601) (xy 105.894403 152.570557)
- (xy 105.910197 152.589803) (xy 107.410197 154.089803) (xy 107.429443 154.105597) (xy 107.451399 154.117333) (xy 107.475224 154.12456)
- (xy 107.5 154.127) (xy 112.5 154.127) (xy 112.524776 154.12456) (xy 112.548601 154.117333) (xy 112.570557 154.105597)
- (xy 112.589803 154.089803) (xy 114.089803 152.589803) (xy 114.105597 152.570557) (xy 114.117333 152.548601) (xy 114.12456 152.524776)
- (xy 114.127 152.5) (xy 114.127 149.377) (xy 128.454687 149.377) (xy 128.401643 149.393091) (xy 128.295089 149.450045)
- (xy 128.201693 149.526693) (xy 128.125045 149.620089) (xy 128.083401 149.698) (xy 127.75164 149.698) (xy 127.726997 149.695573)
- (xy 127.702354 149.698) (xy 127.702345 149.698) (xy 127.628589 149.705264) (xy 127.533962 149.733969) (xy 127.446753 149.780583)
- (xy 127.370314 149.843316) (xy 127.354601 149.862462) (xy 126.462467 150.754597) (xy 126.443316 150.770314) (xy 126.380583 150.846753)
- (xy 126.333969 150.933963) (xy 126.305264 151.02859) (xy 126.298 151.102346) (xy 126.298 151.102355) (xy 126.295573 151.126998)
- (xy 126.298 151.151641) (xy 126.298 151.603155) (xy 126.196464 151.704691) (xy 126.111431 151.831952) (xy 126.052859 151.973357)
- (xy 126.023 152.123472) (xy 126.023 152.276528) (xy 126.052859 152.426643) (xy 126.111431 152.568048) (xy 126.196464 152.695309)
- (xy 126.304691 152.803536) (xy 126.431952 152.888569) (xy 126.573357 152.947141) (xy 126.723472 152.977) (xy 126.876528 152.977)
- (xy 127.026643 152.947141) (xy 127.168048 152.888569) (xy 127.295309 152.803536) (xy 127.403536 152.695309) (xy 127.488569 152.568048)
- (xy 127.547141 152.426643) (xy 127.577 152.276528) (xy 127.577 152.177) (xy 127.676528 152.177) (xy 127.826643 152.147141)
- (xy 127.968048 152.088569) (xy 128.021176 152.05307) (xy 128.021176 152.4375) (xy 128.033018 152.557739) (xy 128.068091 152.673357)
- (xy 128.125045 152.779911) (xy 128.201693 152.873307) (xy 128.295089 152.949955) (xy 128.401643 153.006909) (xy 128.517261 153.041982)
- (xy 128.6375 153.053824) (xy 129.2125 153.053824) (xy 129.332739 153.041982) (xy 129.448357 153.006909) (xy 129.554911 152.949955)
- (xy 129.648307 152.873307) (xy 129.724955 152.779911) (xy 129.781909 152.673357) (xy 129.8 152.61372) (xy 129.818091 152.673357)
- (xy 129.875045 152.779911) (xy 129.951693 152.873307) (xy 130.045089 152.949955) (xy 130.151643 153.006909) (xy 130.267261 153.041982)
- (xy 130.3875 153.053824) (xy 130.9625 153.053824) (xy 131.082739 153.041982) (xy 131.198357 153.006909) (xy 131.304911 152.949955)
- (xy 131.398307 152.873307) (xy 131.474955 152.779911) (xy 131.531909 152.673357) (xy 131.566982 152.557739) (xy 131.572472 152.502)
- (xy 131.841176 152.502) (xy 131.841176 153.05) (xy 131.848455 153.123905) (xy 131.870012 153.19497) (xy 131.905019 153.260463)
- (xy 131.952131 153.317869) (xy 132.009537 153.364981) (xy 132.07503 153.399988) (xy 132.146095 153.421545) (xy 132.22 153.428824)
- (xy 134.72 153.428824) (xy 134.793905 153.421545) (xy 134.86497 153.399988) (xy 134.930463 153.364981) (xy 134.987869 153.317869)
- (xy 135.034981 153.260463) (xy 135.05 153.232365) (xy 135.065019 153.260463) (xy 135.112131 153.317869) (xy 135.169537 153.364981)
- (xy 135.23503 153.399988) (xy 135.306095 153.421545) (xy 135.38 153.428824) (xy 135.628 153.428824) (xy 135.628 153.605357)
- (xy 135.625573 153.63) (xy 135.628 153.654643) (xy 135.628 153.654652) (xy 135.635264 153.728408) (xy 135.663969 153.823035)
- (xy 135.710583 153.910245) (xy 135.773316 153.986684) (xy 135.792467 154.002401) (xy 136.227603 154.437538) (xy 136.243316 154.456684)
- (xy 136.319755 154.519417) (xy 136.406964 154.566031) (xy 136.501591 154.594736) (xy 136.575347 154.602) (xy 136.575356 154.602)
- (xy 136.599999 154.604427) (xy 136.624642 154.602) (xy 146.275357 154.602) (xy 146.3 154.604427) (xy 146.324643 154.602)
- (xy 146.324653 154.602) (xy 146.398409 154.594736) (xy 146.493036 154.566031) (xy 146.580245 154.519417) (xy 146.656684 154.456684)
- (xy 146.672402 154.437532) (xy 147.800191 153.309743) (xy 147.819337 153.29403) (xy 147.88207 153.217591) (xy 147.928684 153.130382)
- (xy 147.957389 153.035755) (xy 147.964653 152.961999) (xy 147.964653 152.96199) (xy 147.96708 152.937347) (xy 147.964653 152.912704)
- (xy 147.964653 148.959498) (xy 148.066189 148.857962) (xy 148.151222 148.730701) (xy 148.209794 148.589296) (xy 148.239653 148.439181)
- (xy 148.239653 148.286125) (xy 148.209794 148.13601) (xy 148.151222 147.994605) (xy 148.066189 147.867344) (xy 147.957962 147.759117)
- (xy 147.833788 147.676147) (xy 148.132935 147.377) (xy 149.043394 147.377) (xy 148.910197 147.510197) (xy 148.894403 147.529443)
- (xy 148.882667 147.551399) (xy 148.87544 147.575224) (xy 148.873 147.6) (xy 148.873 152.6) (xy 148.87544 152.624776)
- (xy 148.882667 152.648601) (xy 148.894403 152.670557) (xy 148.910197 152.689803) (xy 150.410197 154.189803) (xy 150.429443 154.205597)
- (xy 150.451399 154.217333) (xy 150.475224 154.22456) (xy 150.5 154.227) (xy 155.5 154.227) (xy 155.524776 154.22456)
- (xy 155.548601 154.217333) (xy 155.570557 154.205597) (xy 155.589803 154.189803) (xy 157.089803 152.689803) (xy 157.105597 152.670557)
- (xy 157.117333 152.648601) (xy 157.12456 152.624776) (xy 157.127 152.6) (xy 157.127 147.6) (xy 157.12456 147.575224)
- (xy 157.117333 147.551399) (xy 157.105597 147.529443) (xy 157.089803 147.510197) (xy 155.881606 146.302) (xy 158.715721 146.302)
- (xy 158.740364 146.304427) (xy 158.765007 146.302) (xy 158.765017 146.302) (xy 158.838773 146.294736) (xy 158.9334 146.266031)
- (xy 159.020609 146.219417) (xy 159.097048 146.156684) (xy 159.112765 146.137533) (xy 159.873 145.377299) (xy 159.873 154.623)
- (xy 80.127 154.623) (xy 80.127 150.8375) (xy 80.196176 150.8375) (xy 80.196176 151.4125) (xy 80.208018 151.532739)
- (xy 80.243091 151.648357) (xy 80.300045 151.754911) (xy 80.376693 151.848307) (xy 80.470089 151.924955) (xy 80.576643 151.981909)
- (xy 80.63628 152) (xy 80.576643 152.018091) (xy 80.470089 152.075045) (xy 80.376693 152.151693) (xy 80.300045 152.245089)
- (xy 80.243091 152.351643) (xy 80.208018 152.467261) (xy 80.196176 152.5875) (xy 80.196176 153.1625) (xy 80.208018 153.282739)
- (xy 80.243091 153.398357) (xy 80.300045 153.504911) (xy 80.376693 153.598307) (xy 80.470089 153.674955) (xy 80.576643 153.731909)
- (xy 80.692261 153.766982) (xy 80.8125 153.778824) (xy 81.250755 153.778824) (xy 81.269756 153.794418) (xy 81.356965 153.841032)
- (xy 81.451592 153.869737) (xy 81.525348 153.877001) (xy 81.525358 153.877001) (xy 81.550001 153.879428) (xy 81.574644 153.877001)
- (xy 87.315358 153.877001) (xy 87.340001 153.879428) (xy 87.364644 153.877001) (xy 87.364654 153.877001) (xy 87.43841 153.869737)
- (xy 87.533037 153.841032) (xy 87.620246 153.794418) (xy 87.696685 153.731685) (xy 87.712402 153.712534) (xy 88.237538 153.187399)
- (xy 88.256684 153.171686) (xy 88.286575 153.135265) (xy 88.319416 153.095248) (xy 88.320176 153.093827) (xy 88.366031 153.008038)
- (xy 88.394736 152.913411) (xy 88.395752 152.903093) (xy 88.503536 152.795309) (xy 88.588569 152.668048) (xy 88.647141 152.526643)
- (xy 88.677 152.376528) (xy 88.677 152.223472) (xy 88.647141 152.073357) (xy 88.588569 151.931952) (xy 88.503536 151.804691)
- (xy 88.395309 151.696464) (xy 88.268048 151.611431) (xy 88.126643 151.552859) (xy 87.976528 151.523) (xy 87.823472 151.523)
- (xy 87.673357 151.552859) (xy 87.531952 151.611431) (xy 87.458824 151.660294) (xy 87.458824 150.95) (xy 87.451545 150.876095)
- (xy 87.429988 150.80503) (xy 87.394981 150.739537) (xy 87.347869 150.682131) (xy 87.290463 150.635019) (xy 87.252372 150.614659)
- (xy 87.490494 150.614659) (xy 87.59203 150.716195) (xy 87.719291 150.801228) (xy 87.860696 150.8598) (xy 88.010811 150.889659)
- (xy 88.163867 150.889659) (xy 88.313982 150.8598) (xy 88.455387 150.801228) (xy 88.582648 150.716195) (xy 88.690875 150.607968)
- (xy 88.775908 150.480707) (xy 88.83448 150.339302) (xy 88.864339 150.189187) (xy 88.864339 150.036131) (xy 88.83448 149.886016)
- (xy 88.775908 149.744611) (xy 88.690875 149.61735) (xy 88.582648 149.509123) (xy 88.455387 149.42409) (xy 88.313982 149.365518)
- (xy 88.163867 149.335659) (xy 88.010811 149.335659) (xy 87.860696 149.365518) (xy 87.719291 149.42409) (xy 87.59203 149.509123)
- (xy 87.490494 149.610659) (xy 86.832 149.610659) (xy 86.832 148.428824) (xy 87.08 148.428824) (xy 87.153905 148.421545)
- (xy 87.22497 148.399988) (xy 87.290463 148.364981) (xy 87.347869 148.317869) (xy 87.394981 148.260463) (xy 87.429988 148.19497)
- (xy 87.451545 148.123905) (xy 87.458824 148.05) (xy 87.458824 145.95) (xy 87.451545 145.876095) (xy 87.429988 145.80503)
- (xy 87.394981 145.739537) (xy 87.347869 145.682131) (xy 87.290463 145.635019) (xy 87.22497 145.600012) (xy 87.153905 145.578455)
- (xy 87.08 145.571176) (xy 85.58 145.571176) (xy 85.506095 145.578455) (xy 85.43503 145.600012) (xy 85.369537 145.635019)
- (xy 85.312131 145.682131) (xy 85.265019 145.739537) (xy 85.25 145.767635) (xy 85.234981 145.739537) (xy 85.187869 145.682131)
- (xy 85.130463 145.635019) (xy 85.06497 145.600012) (xy 84.993905 145.578455) (xy 84.92 145.571176) (xy 82.42 145.571176)
- (xy 82.346095 145.578455) (xy 82.27503 145.600012) (xy 82.209537 145.635019) (xy 82.152131 145.682131) (xy 82.105019 145.739537)
- (xy 82.070012 145.80503) (xy 82.048455 145.876095) (xy 82.041176 145.95) (xy 82.041176 146.356241) (xy 81.953824 146.268889)
- (xy 81.953824 145.8375) (xy 81.941982 145.717261) (xy 81.906909 145.601643) (xy 81.849955 145.495089) (xy 81.773307 145.401693)
- (xy 81.679911 145.325045) (xy 81.573357 145.268091) (xy 81.457739 145.233018) (xy 81.3375 145.221176) (xy 80.8625 145.221176)
- (xy 80.742261 145.233018) (xy 80.626643 145.268091) (xy 80.520089 145.325045) (xy 80.426693 145.401693) (xy 80.350045 145.495089)
- (xy 80.293091 145.601643) (xy 80.258018 145.717261) (xy 80.246176 145.8375) (xy 80.246176 146.4125) (xy 80.258018 146.532739)
- (xy 80.293091 146.648357) (xy 80.350045 146.754911) (xy 80.426693 146.848307) (xy 80.520089 146.924955) (xy 80.626643 146.981909)
- (xy 80.68628 147) (xy 80.626643 147.018091) (xy 80.520089 147.075045) (xy 80.426693 147.151693) (xy 80.350045 147.245089)
- (xy 80.293091 147.351643) (xy 80.258018 147.467261) (xy 80.246176 147.5875) (xy 80.246176 148.1625) (xy 80.258018 148.282739)
- (xy 80.293091 148.398357) (xy 80.350045 148.504911) (xy 80.426693 148.598307) (xy 80.520089 148.674955) (xy 80.626643 148.731909)
- (xy 80.742261 148.766982) (xy 80.8625 148.778824) (xy 81.29389 148.778824) (xy 81.523 149.007935) (xy 81.523 149.151528)
- (xy 81.552859 149.301643) (xy 81.611431 149.443048) (xy 81.696464 149.570309) (xy 81.804691 149.678536) (xy 81.931952 149.763569)
- (xy 82.073357 149.822141) (xy 82.223472 149.852) (xy 82.376528 149.852) (xy 82.526643 149.822141) (xy 82.668048 149.763569)
- (xy 82.795309 149.678536) (xy 82.903536 149.570309) (xy 82.988569 149.443048) (xy 83.047141 149.301643) (xy 83.077 149.151528)
- (xy 83.077 148.998472) (xy 83.047141 148.848357) (xy 82.988569 148.706952) (xy 82.903536 148.579691) (xy 82.795309 148.471464)
- (xy 82.731494 148.428824) (xy 84.92 148.428824) (xy 84.993905 148.421545) (xy 85.06497 148.399988) (xy 85.130463 148.364981)
- (xy 85.187869 148.317869) (xy 85.234981 148.260463) (xy 85.25 148.232365) (xy 85.265019 148.260463) (xy 85.312131 148.317869)
- (xy 85.369537 148.364981) (xy 85.43503 148.399988) (xy 85.506095 148.421545) (xy 85.58 148.428824) (xy 85.828 148.428824)
- (xy 85.828001 150.088) (xy 85.825572 150.112659) (xy 85.828001 150.137318) (xy 85.828001 150.571176) (xy 85.58 150.571176)
- (xy 85.506095 150.578455) (xy 85.43503 150.600012) (xy 85.369537 150.635019) (xy 85.312131 150.682131) (xy 85.265019 150.739537)
- (xy 85.25 150.767635) (xy 85.234981 150.739537) (xy 85.187869 150.682131) (xy 85.130463 150.635019) (xy 85.06497 150.600012)
- (xy 84.993905 150.578455) (xy 84.92 150.571176) (xy 82.42 150.571176) (xy 82.346095 150.578455) (xy 82.27503 150.600012)
- (xy 82.232023 150.623) (xy 81.863388 150.623) (xy 81.856909 150.601643) (xy 81.799955 150.495089) (xy 81.723307 150.401693)
- (xy 81.629911 150.325045) (xy 81.523357 150.268091) (xy 81.407739 150.233018) (xy 81.2875 150.221176) (xy 80.8125 150.221176)
- (xy 80.692261 150.233018) (xy 80.576643 150.268091) (xy 80.470089 150.325045) (xy 80.376693 150.401693) (xy 80.300045 150.495089)
- (xy 80.243091 150.601643) (xy 80.208018 150.717261) (xy 80.196176 150.8375) (xy 80.127 150.8375) (xy 80.127 145)
- (xy 80.12456 144.975224) (xy 80.117333 144.951399) (xy 80.105597 144.929443) (xy 80.089803 144.910197) (xy 80.070557 144.894403)
- (xy 80.048601 144.882667) (xy 80.024776 144.87544) (xy 80 144.873) (xy 70.377 144.873) (xy 70.377 143.377)
- (xy 92.843842 143.377)
- )
- )
- (filled_polygon
- (pts
- (xy 144.493332 148.960323) (xy 144.47418 148.976041) (xy 144.411447 149.05248) (xy 144.364833 149.13969) (xy 144.336128 149.234317)
- (xy 144.328864 149.308073) (xy 144.328864 149.308082) (xy 144.326437 149.332725) (xy 144.328864 149.357368) (xy 144.328865 150.234019)
- (xy 144.227328 150.335556) (xy 144.142295 150.462817) (xy 144.083723 150.604222) (xy 144.053864 150.754337) (xy 144.053864 150.907393)
- (xy 144.083723 151.057508) (xy 144.142295 151.198913) (xy 144.227328 151.326174) (xy 144.335555 151.434401) (xy 144.462816 151.519434)
- (xy 144.604221 151.578006) (xy 144.754336 151.607865) (xy 144.907392 151.607865) (xy 145.057507 151.578006) (xy 145.198912 151.519434)
- (xy 145.326173 151.434401) (xy 145.4344 151.326174) (xy 145.519433 151.198913) (xy 145.578005 151.057508) (xy 145.583211 151.031334)
- (xy 145.592306 151.05329) (xy 145.677339 151.180551) (xy 145.785566 151.288778) (xy 145.912827 151.373811) (xy 146.054232 151.432383)
- (xy 146.204347 151.462242) (xy 146.357403 151.462242) (xy 146.507518 151.432383) (xy 146.648923 151.373811) (xy 146.776184 151.288778)
- (xy 146.884411 151.180551) (xy 146.960654 151.066446) (xy 146.960654 152.72941) (xy 146.092065 153.598) (xy 136.807935 153.598)
- (xy 136.638758 153.428824) (xy 136.88 153.428824) (xy 136.953905 153.421545) (xy 137.02497 153.399988) (xy 137.090463 153.364981)
- (xy 137.147869 153.317869) (xy 137.194981 153.260463) (xy 137.229988 153.19497) (xy 137.251545 153.123905) (xy 137.258824 153.05)
- (xy 137.258824 150.95) (xy 137.251545 150.876095) (xy 137.229988 150.80503) (xy 137.194981 150.739537) (xy 137.147869 150.682131)
- (xy 137.090463 150.635019) (xy 137.02497 150.600012) (xy 136.953905 150.578455) (xy 136.88 150.571176) (xy 136.632 150.571176)
- (xy 136.632 148.428824) (xy 136.88 148.428824) (xy 136.953905 148.421545) (xy 137.02497 148.399988) (xy 137.090463 148.364981)
- (xy 137.147869 148.317869) (xy 137.194981 148.260463) (xy 137.229988 148.19497) (xy 137.251545 148.123905) (xy 137.258824 148.05)
- (xy 137.258824 147.377) (xy 146.076655 147.377)
- )
- )
- (filled_polygon
- (pts
- (xy 135.065019 148.260463) (xy 135.112131 148.317869) (xy 135.169537 148.364981) (xy 135.23503 148.399988) (xy 135.306095 148.421545)
- (xy 135.38 148.428824) (xy 135.628 148.428824) (xy 135.628001 150.571176) (xy 135.38 150.571176) (xy 135.306095 150.578455)
- (xy 135.23503 150.600012) (xy 135.169537 150.635019) (xy 135.112131 150.682131) (xy 135.065019 150.739537) (xy 135.05 150.767635)
- (xy 135.034981 150.739537) (xy 134.987869 150.682131) (xy 134.930463 150.635019) (xy 134.86497 150.600012) (xy 134.793905 150.578455)
- (xy 134.72 150.571176) (xy 132.22 150.571176) (xy 132.146095 150.578455) (xy 132.07503 150.600012) (xy 132.009537 150.635019)
- (xy 131.952131 150.682131) (xy 131.905019 150.739537) (xy 131.870012 150.80503) (xy 131.848455 150.876095) (xy 131.841176 150.95)
- (xy 131.841176 151.498) (xy 131.363344 151.498) (xy 131.304911 151.450045) (xy 131.198357 151.393091) (xy 131.082739 151.358018)
- (xy 130.9625 151.346176) (xy 130.3875 151.346176) (xy 130.267261 151.358018) (xy 130.151643 151.393091) (xy 130.045089 151.450045)
- (xy 129.951693 151.526693) (xy 129.875045 151.620089) (xy 129.818091 151.726643) (xy 129.8 151.78628) (xy 129.781909 151.726643)
- (xy 129.724955 151.620089) (xy 129.648307 151.526693) (xy 129.554911 151.450045) (xy 129.448357 151.393091) (xy 129.332739 151.358018)
- (xy 129.2125 151.346176) (xy 128.781111 151.346176) (xy 128.497401 151.062467) (xy 128.481684 151.043316) (xy 128.458244 151.024079)
- (xy 128.517261 151.041982) (xy 128.6375 151.053824) (xy 129.2125 151.053824) (xy 129.332739 151.041982) (xy 129.448357 151.006909)
- (xy 129.554911 150.949955) (xy 129.648307 150.873307) (xy 129.724955 150.779911) (xy 129.781909 150.673357) (xy 129.8 150.61372)
- (xy 129.818091 150.673357) (xy 129.875045 150.779911) (xy 129.951693 150.873307) (xy 130.045089 150.949955) (xy 130.151643 151.006909)
- (xy 130.267261 151.041982) (xy 130.3875 151.053824) (xy 130.9625 151.053824) (xy 131.082739 151.041982) (xy 131.198357 151.006909)
- (xy 131.304911 150.949955) (xy 131.398307 150.873307) (xy 131.474955 150.779911) (xy 131.531909 150.673357) (xy 131.566982 150.557739)
- (xy 131.578824 150.4375) (xy 131.578824 150.00611) (xy 133.156111 148.428824) (xy 134.72 148.428824) (xy 134.793905 148.421545)
- (xy 134.86497 148.399988) (xy 134.930463 148.364981) (xy 134.987869 148.317869) (xy 135.034981 148.260463) (xy 135.05 148.232365)
- )
- )
- )
- (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 0) (hatch edge 0.508)
- (connect_pads yes (clearance 0.25))
- (min_thickness 0.254)
- (keepout (tracks allowed) (vias allowed) (copperpour not_allowed))
- (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 157 94.5) (xy 157 99.5) (xy 155.5 101) (xy 150.5 101) (xy 149 99.5)
- (xy 149 95.5) (xy 137 95.5) (xy 137 94.5) (xy 149 94.5) (xy 150.5 93)
- (xy 155.5 93)
- )
- )
- )
- (zone (net 0) (net_name "") (layer "B.Cu") (tstamp 0) (hatch edge 0.508)
- (connect_pads yes (clearance 0.508))
- (min_thickness 0.254)
- (keepout (tracks allowed) (vias allowed) (copperpour not_allowed))
- (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 93 124.5) (xy 94.75 124.5) (xy 94.75 95) (xy 93 95)
- )
- )
- )
- (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 0) (hatch edge 0.508)
- (connect_pads yes (clearance 0.508))
- (min_thickness 0.254)
- (keepout (tracks allowed) (vias allowed) (copperpour not_allowed))
- (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 91.75 96) (xy 91.75 93.771776) (xy 95.25 93.75) (xy 95.25 96)
- )
- )
- )
- (zone (net 0) (net_name "") (layers F&B.Cu) (tstamp 0) (hatch edge 0.508)
- (connect_pads yes (clearance 0.508))
- (min_thickness 0.254)
- (keepout (tracks not_allowed) (vias not_allowed) (copperpour not_allowed))
- (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 70 55) (xy 80 55) (xy 80 65) (xy 70 65)
- )
- )
- )
- (zone (net 0) (net_name "") (layers F&B.Cu) (tstamp 0) (hatch edge 0.508)
- (connect_pads yes (clearance 0.508))
- (min_thickness 0.254)
- (keepout (tracks not_allowed) (vias not_allowed) (copperpour not_allowed))
- (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 170 55) (xy 160 55) (xy 160 63) (xy 162 65) (xy 170 65)
- )
- )
- )
- (zone (net 0) (net_name "") (layers F&B.Cu) (tstamp 0) (hatch edge 0.508)
- (connect_pads yes (clearance 0.508))
- (min_thickness 0.254)
- (keepout (tracks not_allowed) (vias not_allowed) (copperpour not_allowed))
- (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 170 145) (xy 160 145) (xy 160 155) (xy 170 155)
- )
- )
- )
- (zone (net 0) (net_name "") (layers F&B.Cu) (tstamp 0) (hatch edge 0.508)
- (connect_pads yes (clearance 0.508))
- (min_thickness 0.254)
- (keepout (tracks not_allowed) (vias not_allowed) (copperpour not_allowed))
- (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 70 145) (xy 80 145) (xy 80 155) (xy 70 155)
- )
- )
- )
- (zone (net 2) (net_name "GND") (layer "B.Cu") (tstamp 5C54F5CB) (hatch edge 0.508)
- (priority 4)
- (connect_pads (clearance 0.25))
- (min_thickness 0.254)
- (fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 70 94) (xy 170 94) (xy 170 55) (xy 70 55)
- )
- )
- (filled_polygon
- (pts
- (xy 118.823 67.2) (xy 118.830244 67.273549) (xy 118.851697 67.344272) (xy 118.886536 67.40945) (xy 118.933421 67.466579)
- (xy 118.99055 67.513464) (xy 119.055728 67.548303) (xy 119.126451 67.569756) (xy 119.2 67.577) (xy 136.367065 67.577)
- (xy 136.998 68.207935) (xy 136.998 68.403155) (xy 136.896464 68.504691) (xy 136.811431 68.631952) (xy 136.752859 68.773357)
- (xy 136.723 68.923472) (xy 136.723 69.076528) (xy 136.752859 69.226643) (xy 136.811431 69.368048) (xy 136.896464 69.495309)
- (xy 137.004691 69.603536) (xy 137.131952 69.688569) (xy 137.273357 69.747141) (xy 137.423472 69.777) (xy 137.576528 69.777)
- (xy 137.726643 69.747141) (xy 137.868048 69.688569) (xy 137.995309 69.603536) (xy 138 69.598845) (xy 138.004691 69.603536)
- (xy 138.131952 69.688569) (xy 138.273357 69.747141) (xy 138.423472 69.777) (xy 138.576528 69.777) (xy 138.726643 69.747141)
- (xy 138.868048 69.688569) (xy 138.995309 69.603536) (xy 139.103536 69.495309) (xy 139.178763 69.382723) (xy 140.370572 69.382723)
- (xy 140.408412 69.601474) (xy 140.488202 69.80864) (xy 140.514957 69.858694) (xy 140.68159 69.938805) (xy 141.320395 69.3)
- (xy 141.679605 69.3) (xy 142.31841 69.938805) (xy 142.485043 69.858694) (xy 142.575111 69.655787) (xy 142.623864 69.439207)
- (xy 142.629428 69.217277) (xy 142.624537 69.189) (xy 142.663 69.189) (xy 142.663 69.411) (xy 142.70631 69.628734)
- (xy 142.791266 69.833835) (xy 142.914602 70.018421) (xy 143.071579 70.175398) (xy 143.256165 70.298734) (xy 143.461266 70.38369)
- (xy 143.679 70.427) (xy 143.901 70.427) (xy 144.118734 70.38369) (xy 144.323835 70.298734) (xy 144.508421 70.175398)
- (xy 144.565409 70.11841) (xy 152.291195 70.11841) (xy 152.371306 70.285043) (xy 152.574213 70.375111) (xy 152.790793 70.423864)
- (xy 153.012723 70.429428) (xy 153.231474 70.391588) (xy 153.43864 70.311798) (xy 153.488694 70.285043) (xy 153.568805 70.11841)
- (xy 152.93 69.479605) (xy 152.291195 70.11841) (xy 144.565409 70.11841) (xy 144.665398 70.018421) (xy 144.788734 69.833835)
- (xy 144.87369 69.628734) (xy 144.917 69.411) (xy 144.917 69.382723) (xy 151.800572 69.382723) (xy 151.838412 69.601474)
- (xy 151.918202 69.80864) (xy 151.944957 69.858694) (xy 152.11159 69.938805) (xy 152.750395 69.3) (xy 153.109605 69.3)
- (xy 153.74841 69.938805) (xy 153.915043 69.858694) (xy 154.005111 69.655787) (xy 154.053864 69.439207) (xy 154.059428 69.217277)
- (xy 154.054537 69.189) (xy 154.093 69.189) (xy 154.093 69.411) (xy 154.13631 69.628734) (xy 154.221266 69.833835)
- (xy 154.344602 70.018421) (xy 154.501579 70.175398) (xy 154.686165 70.298734) (xy 154.891266 70.38369) (xy 155.109 70.427)
- (xy 155.331 70.427) (xy 155.548734 70.38369) (xy 155.753835 70.298734) (xy 155.938421 70.175398) (xy 156.095398 70.018421)
- (xy 156.218734 69.833835) (xy 156.30369 69.628734) (xy 156.347 69.411) (xy 156.347 69.189) (xy 156.30369 68.971266)
- (xy 156.218734 68.766165) (xy 156.095398 68.581579) (xy 155.938421 68.424602) (xy 155.753835 68.301266) (xy 155.548734 68.21631)
- (xy 155.331 68.173) (xy 155.109 68.173) (xy 154.891266 68.21631) (xy 154.686165 68.301266) (xy 154.501579 68.424602)
- (xy 154.344602 68.581579) (xy 154.221266 68.766165) (xy 154.13631 68.971266) (xy 154.093 69.189) (xy 154.054537 69.189)
- (xy 154.021588 68.998526) (xy 153.941798 68.79136) (xy 153.915043 68.741306) (xy 153.74841 68.661195) (xy 153.109605 69.3)
- (xy 152.750395 69.3) (xy 152.11159 68.661195) (xy 151.944957 68.741306) (xy 151.854889 68.944213) (xy 151.806136 69.160793)
- (xy 151.800572 69.382723) (xy 144.917 69.382723) (xy 144.917 69.189) (xy 144.87369 68.971266) (xy 144.788734 68.766165)
- (xy 144.665398 68.581579) (xy 144.565409 68.48159) (xy 152.291195 68.48159) (xy 152.93 69.120395) (xy 153.568805 68.48159)
- (xy 153.488694 68.314957) (xy 153.285787 68.224889) (xy 153.069207 68.176136) (xy 152.847277 68.170572) (xy 152.628526 68.208412)
- (xy 152.42136 68.288202) (xy 152.371306 68.314957) (xy 152.291195 68.48159) (xy 144.565409 68.48159) (xy 144.508421 68.424602)
- (xy 144.323835 68.301266) (xy 144.118734 68.21631) (xy 143.901 68.173) (xy 143.679 68.173) (xy 143.461266 68.21631)
- (xy 143.256165 68.301266) (xy 143.071579 68.424602) (xy 142.914602 68.581579) (xy 142.791266 68.766165) (xy 142.70631 68.971266)
- (xy 142.663 69.189) (xy 142.624537 69.189) (xy 142.591588 68.998526) (xy 142.511798 68.79136) (xy 142.485043 68.741306)
- (xy 142.31841 68.661195) (xy 141.679605 69.3) (xy 141.320395 69.3) (xy 140.68159 68.661195) (xy 140.514957 68.741306)
- (xy 140.424889 68.944213) (xy 140.376136 69.160793) (xy 140.370572 69.382723) (xy 139.178763 69.382723) (xy 139.188569 69.368048)
- (xy 139.247141 69.226643) (xy 139.277 69.076528) (xy 139.277 68.923472) (xy 139.247141 68.773357) (xy 139.188569 68.631952)
- (xy 139.103536 68.504691) (xy 139.080435 68.48159) (xy 140.861195 68.48159) (xy 141.5 69.120395) (xy 142.138805 68.48159)
- (xy 142.058694 68.314957) (xy 141.855787 68.224889) (xy 141.639207 68.176136) (xy 141.417277 68.170572) (xy 141.198526 68.208412)
- (xy 140.99136 68.288202) (xy 140.941306 68.314957) (xy 140.861195 68.48159) (xy 139.080435 68.48159) (xy 139.002 68.403155)
- (xy 139.002 68.024643) (xy 139.004427 68) (xy 139.002 67.975357) (xy 139.002 67.975347) (xy 138.994736 67.901591)
- (xy 138.966031 67.806964) (xy 138.938246 67.754981) (xy 138.919417 67.719754) (xy 138.8724 67.662464) (xy 138.872392 67.662456)
- (xy 138.856684 67.643316) (xy 138.837543 67.627607) (xy 138.786936 67.577) (xy 158 67.577) (xy 158.073549 67.569756)
- (xy 158.144272 67.548303) (xy 158.20945 67.513464) (xy 158.266579 67.466579) (xy 158.313464 67.40945) (xy 158.348303 67.344272)
- (xy 158.369756 67.273549) (xy 158.377 67.2) (xy 158.377 55.377) (xy 159.873 55.377) (xy 159.873 63)
- (xy 159.87544 63.024776) (xy 159.882667 63.048601) (xy 159.894403 63.070557) (xy 159.910197 63.089803) (xy 161.910197 65.089803)
- (xy 161.929443 65.105597) (xy 161.951399 65.117333) (xy 161.975224 65.12456) (xy 162 65.127) (xy 169.623001 65.127)
- (xy 169.623001 93.873) (xy 169.252 93.873) (xy 169.252 91.646845) (xy 169.353536 91.545309) (xy 169.438569 91.418048)
- (xy 169.497141 91.276643) (xy 169.527 91.126528) (xy 169.527 90.973472) (xy 169.497141 90.823357) (xy 169.438569 90.681952)
- (xy 169.353536 90.554691) (xy 169.245309 90.446464) (xy 169.118048 90.361431) (xy 168.976643 90.302859) (xy 168.826528 90.273)
- (xy 168.673472 90.273) (xy 168.523357 90.302859) (xy 168.381952 90.361431) (xy 168.254691 90.446464) (xy 168.146464 90.554691)
- (xy 168.061431 90.681952) (xy 168.002859 90.823357) (xy 167.973 90.973472) (xy 167.973 91.126528) (xy 168.002859 91.276643)
- (xy 168.061431 91.418048) (xy 168.146464 91.545309) (xy 168.248 91.646845) (xy 168.248 93.738066) (xy 168.177 93.667066)
- (xy 168.177 93.523472) (xy 168.147141 93.373357) (xy 168.088569 93.231952) (xy 168.003536 93.104691) (xy 167.895309 92.996464)
- (xy 167.768048 92.911431) (xy 167.626643 92.852859) (xy 167.476528 92.823) (xy 167.323472 92.823) (xy 167.173357 92.852859)
- (xy 167.031952 92.911431) (xy 166.904691 92.996464) (xy 166.796464 93.104691) (xy 166.711431 93.231952) (xy 166.652859 93.373357)
- (xy 166.623 93.523472) (xy 166.623 93.676528) (xy 166.652859 93.826643) (xy 166.672061 93.873) (xy 166.282935 93.873)
- (xy 164.272402 91.862468) (xy 164.256684 91.843316) (xy 164.180245 91.780583) (xy 164.093036 91.733969) (xy 163.998409 91.705264)
- (xy 163.924653 91.698) (xy 163.924643 91.698) (xy 163.9 91.695573) (xy 163.875357 91.698) (xy 163.321855 91.698)
- (xy 163.220319 91.596464) (xy 163.093058 91.511431) (xy 162.951653 91.452859) (xy 162.801538 91.423) (xy 162.648482 91.423)
- (xy 162.498367 91.452859) (xy 162.356962 91.511431) (xy 162.229701 91.596464) (xy 162.128165 91.698) (xy 154.947866 91.698)
- (xy 154.977 91.551528) (xy 154.977 91.398472) (xy 154.947141 91.248357) (xy 154.888569 91.106952) (xy 154.803536 90.979691)
- (xy 154.695309 90.871464) (xy 154.591349 90.802) (xy 156.403155 90.802) (xy 156.504691 90.903536) (xy 156.631952 90.988569)
- (xy 156.773357 91.047141) (xy 156.923472 91.077) (xy 157.076528 91.077) (xy 157.226643 91.047141) (xy 157.368048 90.988569)
- (xy 157.495309 90.903536) (xy 157.603536 90.795309) (xy 157.688569 90.668048) (xy 157.747141 90.526643) (xy 157.777 90.376528)
- (xy 157.777 90.223472) (xy 157.747141 90.073357) (xy 157.688569 89.931952) (xy 157.603536 89.804691) (xy 157.495309 89.696464)
- (xy 157.368048 89.611431) (xy 157.226643 89.552859) (xy 157.076528 89.523) (xy 156.923472 89.523) (xy 156.773357 89.552859)
- (xy 156.631952 89.611431) (xy 156.504691 89.696464) (xy 156.403155 89.798) (xy 153.724642 89.798) (xy 153.699999 89.795573)
- (xy 153.675356 89.798) (xy 153.675347 89.798) (xy 153.601591 89.805264) (xy 153.506964 89.833969) (xy 153.419755 89.880583)
- (xy 153.419753 89.880584) (xy 153.419754 89.880584) (xy 153.363571 89.926693) (xy 153.343316 89.943316) (xy 153.327603 89.962462)
- (xy 152.342076 90.94799) (xy 138.857926 90.94799) (xy 136.497402 88.587468) (xy 136.481685 88.568317) (xy 136.405246 88.505584)
- (xy 136.318037 88.45897) (xy 136.22341 88.430265) (xy 136.149654 88.423001) (xy 136.149644 88.423001) (xy 136.125001 88.420574)
- (xy 136.100358 88.423001) (xy 134.199642 88.423001) (xy 134.174999 88.420574) (xy 134.150356 88.423001) (xy 134.150346 88.423001)
- (xy 134.07659 88.430265) (xy 133.981963 88.45897) (xy 133.894754 88.505584) (xy 133.894752 88.505585) (xy 133.894753 88.505585)
- (xy 133.837463 88.552601) (xy 133.837455 88.552609) (xy 133.818315 88.568317) (xy 133.802606 88.587458) (xy 133.192086 89.19798)
- (xy 122.624663 89.19798) (xy 122.60002 89.195553) (xy 122.575377 89.19798) (xy 122.575367 89.19798) (xy 122.501611 89.205244)
- (xy 122.406984 89.233949) (xy 122.366388 89.255648) (xy 122.319774 89.280563) (xy 122.262484 89.32758) (xy 122.262476 89.327588)
- (xy 122.243336 89.343296) (xy 122.227627 89.362437) (xy 120.300446 91.28962) (xy 117.971933 91.28962) (xy 117.947141 91.164978)
- (xy 117.888569 91.023573) (xy 117.803536 90.896312) (xy 117.695309 90.788085) (xy 117.568048 90.703052) (xy 117.426643 90.64448)
- (xy 117.276528 90.614621) (xy 117.123472 90.614621) (xy 116.973357 90.64448) (xy 116.831952 90.703052) (xy 116.704691 90.788085)
- (xy 116.596464 90.896312) (xy 116.511431 91.023573) (xy 116.452859 91.164978) (xy 116.423 91.315093) (xy 116.423 91.468149)
- (xy 116.452859 91.618264) (xy 116.511431 91.759669) (xy 116.537043 91.798) (xy 112.707935 91.798) (xy 112.248139 91.338204)
- (xy 112.368048 91.288536) (xy 112.495309 91.203503) (xy 112.603536 91.095276) (xy 112.688569 90.968015) (xy 112.747141 90.82661)
- (xy 112.777 90.676495) (xy 112.777 90.523439) (xy 112.747141 90.373324) (xy 112.688569 90.231919) (xy 112.603536 90.104658)
- (xy 112.502 90.003122) (xy 112.502 89.224604) (xy 112.53135 89.195254) (xy 112.616383 89.067993) (xy 112.674955 88.926588)
- (xy 112.704814 88.776473) (xy 112.704814 88.623417) (xy 112.674955 88.473302) (xy 112.616383 88.331897) (xy 112.53135 88.204636)
- (xy 112.423123 88.096409) (xy 112.295862 88.011376) (xy 112.154457 87.952804) (xy 112.004342 87.922945) (xy 111.851286 87.922945)
- (xy 111.701171 87.952804) (xy 111.559766 88.011376) (xy 111.432505 88.096409) (xy 111.324278 88.204636) (xy 111.239245 88.331897)
- (xy 111.180673 88.473302) (xy 111.150814 88.623417) (xy 111.150814 88.776473) (xy 111.180673 88.926588) (xy 111.239245 89.067993)
- (xy 111.324278 89.195254) (xy 111.432505 89.303481) (xy 111.498001 89.347244) (xy 111.498 90.003122) (xy 111.396464 90.104658)
- (xy 111.311431 90.231919) (xy 111.261763 90.351829) (xy 111.127001 90.217067) (xy 111.127001 89.951336) (xy 111.129428 89.926693)
- (xy 111.127001 89.90205) (xy 111.127001 89.902041) (xy 111.119737 89.828284) (xy 111.091032 89.733657) (xy 111.055946 89.668015)
- (xy 111.044418 89.646447) (xy 110.997401 89.589157) (xy 110.997393 89.589149) (xy 110.981685 89.570009) (xy 110.962544 89.5543)
- (xy 109.433055 88.024813) (xy 109.417338 88.005662) (xy 109.340899 87.942929) (xy 109.25369 87.896315) (xy 109.159063 87.86761)
- (xy 109.085307 87.860346) (xy 109.085297 87.860346) (xy 109.060654 87.857919) (xy 109.036011 87.860346) (xy 107.762296 87.860346)
- (xy 107.737653 87.857919) (xy 107.71301 87.860346) (xy 107.713001 87.860346) (xy 107.639245 87.86761) (xy 107.544618 87.896315)
- (xy 107.457409 87.942929) (xy 107.38097 88.005662) (xy 107.365257 88.024808) (xy 106.904413 88.485653) (xy 106.760819 88.485653)
- (xy 106.610704 88.515512) (xy 106.469299 88.574084) (xy 106.342038 88.659117) (xy 106.233811 88.767344) (xy 106.148778 88.894605)
- (xy 106.090206 89.03601) (xy 106.060347 89.186125) (xy 106.060347 89.339181) (xy 106.090206 89.489296) (xy 106.148778 89.630701)
- (xy 106.233811 89.757962) (xy 106.342038 89.866189) (xy 106.469299 89.951222) (xy 106.610704 90.009794) (xy 106.760819 90.039653)
- (xy 106.913875 90.039653) (xy 107.06399 90.009794) (xy 107.205395 89.951222) (xy 107.332656 89.866189) (xy 107.440883 89.757962)
- (xy 107.525916 89.630701) (xy 107.584488 89.489296) (xy 107.614347 89.339181) (xy 107.614347 89.195587) (xy 107.945589 88.864346)
- (xy 107.964788 88.864346) (xy 107.935653 89.010819) (xy 107.935653 89.163875) (xy 107.965512 89.31399) (xy 108.024084 89.455395)
- (xy 108.109117 89.582656) (xy 108.217344 89.690883) (xy 108.332996 89.768159) (xy 108.296464 89.804691) (xy 108.211431 89.931952)
- (xy 108.152859 90.073357) (xy 108.123 90.223472) (xy 108.123 90.376528) (xy 108.152859 90.526643) (xy 108.211431 90.668048)
- (xy 108.296464 90.795309) (xy 108.398712 90.897557) (xy 108.405265 90.964094) (xy 108.43397 91.058721) (xy 108.480584 91.14593)
- (xy 108.527601 91.20322) (xy 108.527604 91.203223) (xy 108.543317 91.222369) (xy 108.562463 91.238082) (xy 110.472413 93.148033)
- (xy 109.259828 93.148033) (xy 104.777 88.665206) (xy 104.777 80.923472) (xy 116.123 80.923472) (xy 116.123 81.076528)
- (xy 116.152859 81.226643) (xy 116.211431 81.368048) (xy 116.296464 81.495309) (xy 116.404691 81.603536) (xy 116.531952 81.688569)
- (xy 116.673357 81.747141) (xy 116.823472 81.777) (xy 116.976528 81.777) (xy 117.126643 81.747141) (xy 117.268048 81.688569)
- (xy 117.373715 81.617964) (xy 117.393305 81.637554) (xy 117.409023 81.656706) (xy 117.427487 81.671859) (xy 117.331952 81.711431)
- (xy 117.204691 81.796464) (xy 117.096464 81.904691) (xy 117.011431 82.031952) (xy 116.952859 82.173357) (xy 116.923 82.323472)
- (xy 116.923 82.476528) (xy 116.952859 82.626643) (xy 117.011431 82.768048) (xy 117.096464 82.895309) (xy 117.204691 83.003536)
- (xy 117.331952 83.088569) (xy 117.473357 83.147141) (xy 117.623472 83.177) (xy 117.776528 83.177) (xy 117.926643 83.147141)
- (xy 118.068048 83.088569) (xy 118.195309 83.003536) (xy 118.303536 82.895309) (xy 118.388569 82.768048) (xy 118.447141 82.626643)
- (xy 118.477 82.476528) (xy 118.477 82.332935) (xy 118.557902 82.252033) (xy 118.871187 82.252033) (xy 118.804691 82.296464)
- (xy 118.696464 82.404691) (xy 118.611431 82.531952) (xy 118.552859 82.673357) (xy 118.523 82.823472) (xy 118.523 82.976528)
- (xy 118.552859 83.126643) (xy 118.611431 83.268048) (xy 118.696464 83.395309) (xy 118.804691 83.503536) (xy 118.931952 83.588569)
- (xy 119.073357 83.647141) (xy 119.223472 83.677) (xy 119.376528 83.677) (xy 119.526643 83.647141) (xy 119.668048 83.588569)
- (xy 119.795309 83.503536) (xy 119.903536 83.395309) (xy 119.988569 83.268048) (xy 120.047141 83.126643) (xy 120.077 82.976528)
- (xy 120.077 82.832935) (xy 120.195271 82.714664) (xy 124.41541 82.714664) (xy 124.440202 82.839306) (xy 124.498774 82.980711)
- (xy 124.583807 83.107972) (xy 124.692034 83.216199) (xy 124.819295 83.301232) (xy 124.9607 83.359804) (xy 125.110815 83.389663)
- (xy 125.263871 83.389663) (xy 125.413986 83.359804) (xy 125.555391 83.301232) (xy 125.682652 83.216199) (xy 125.790879 83.107972)
- (xy 125.875912 82.980711) (xy 125.934484 82.839306) (xy 125.964343 82.689191) (xy 125.964343 82.536135) (xy 125.934484 82.38602)
- (xy 125.878985 82.252033) (xy 127.449684 82.252033) (xy 127.449684 82.306059) (xy 127.479543 82.456174) (xy 127.538115 82.597579)
- (xy 127.623148 82.72484) (xy 127.731375 82.833067) (xy 127.858636 82.9181) (xy 128.000041 82.976672) (xy 128.150156 83.006531)
- (xy 128.303212 83.006531) (xy 128.453327 82.976672) (xy 128.594732 82.9181) (xy 128.721993 82.833067) (xy 128.828101 82.726959)
- (xy 128.904689 82.803547) (xy 129.03195 82.88858) (xy 129.173355 82.947152) (xy 129.32347 82.977011) (xy 129.476526 82.977011)
- (xy 129.626641 82.947152) (xy 129.768046 82.88858) (xy 129.895307 82.803547) (xy 130.003534 82.69532) (xy 130.088567 82.568059)
- (xy 130.147139 82.426654) (xy 130.176998 82.276539) (xy 130.176998 82.123483) (xy 130.147139 81.973368) (xy 130.088567 81.831963)
- (xy 130.003534 81.704702) (xy 129.99884 81.700008) (xy 130.003536 81.695311) (xy 130.088569 81.56805) (xy 130.147141 81.426645)
- (xy 130.177 81.27653) (xy 130.177 81.123474) (xy 130.147141 80.973359) (xy 130.088569 80.831954) (xy 130.003536 80.704693)
- (xy 129.895309 80.596466) (xy 129.768048 80.511433) (xy 129.626643 80.452861) (xy 129.476528 80.423002) (xy 129.323472 80.423002)
- (xy 129.318063 80.424078) (xy 129.243045 80.38398) (xy 129.148418 80.355275) (xy 129.074662 80.348011) (xy 129.074652 80.348011)
- (xy 129.050009 80.345584) (xy 129.025366 80.348011) (xy 127.750834 80.348011) (xy 127.853536 80.245309) (xy 127.938569 80.118048)
- (xy 127.979421 80.019422) (xy 130.095306 77.903538) (xy 130.095309 77.903536) (xy 130.203536 77.795309) (xy 130.224855 77.763402)
- (xy 130.249177 77.733766) (xy 130.267249 77.699956) (xy 130.288569 77.668048) (xy 130.303254 77.632595) (xy 130.321327 77.598783)
- (xy 130.332456 77.562097) (xy 130.347141 77.526643) (xy 130.354627 77.489005) (xy 130.365756 77.452319) (xy 130.369514 77.414162)
- (xy 130.377 77.376528) (xy 130.377 77.338164) (xy 130.378099 77.327) (xy 154.403 77.327) (xy 154.403 77.627)
- (xy 154.454235 77.893199) (xy 154.556419 78.144288) (xy 154.705624 78.370617) (xy 154.896117 78.563489) (xy 155.120577 78.715491)
- (xy 155.370378 78.820783) (xy 155.440071 78.834383) (xy 155.653 78.776448) (xy 155.653 77.327) (xy 154.403 77.327)
- (xy 130.378099 77.327) (xy 130.380759 77.3) (xy 130.377 77.261837) (xy 130.377 77.223472) (xy 130.369514 77.185838)
- (xy 130.365756 77.147682) (xy 130.354628 77.110997) (xy 130.347141 77.073357) (xy 130.332455 77.037903) (xy 130.321327 77.001217)
- (xy 130.303254 76.967405) (xy 130.288569 76.931952) (xy 130.267249 76.900044) (xy 130.249177 76.866234) (xy 130.224856 76.836598)
- (xy 130.203536 76.804691) (xy 130.176403 76.777558) (xy 130.172663 76.773) (xy 154.403 76.773) (xy 154.403 77.073)
- (xy 155.653 77.073) (xy 155.653 75.623552) (xy 155.907 75.623552) (xy 155.907 77.073) (xy 155.927 77.073)
- (xy 155.927 77.327) (xy 155.907 77.327) (xy 155.907 78.776448) (xy 156.119929 78.834383) (xy 156.189622 78.820783)
- (xy 156.439423 78.715491) (xy 156.663883 78.563489) (xy 156.854376 78.370617) (xy 157.003581 78.144288) (xy 157.046563 78.038671)
- (xy 157.169527 78.26872) (xy 157.341603 78.478396) (xy 157.551279 78.650473) (xy 157.790495 78.778337) (xy 158.050061 78.857075)
- (xy 158.32 78.883662) (xy 158.589938 78.857075) (xy 158.849504 78.778337) (xy 159.08872 78.650473) (xy 159.298396 78.478397)
- (xy 159.470473 78.268721) (xy 159.59 78.045102) (xy 159.709527 78.26872) (xy 159.881603 78.478396) (xy 160.091279 78.650473)
- (xy 160.330495 78.778337) (xy 160.590061 78.857075) (xy 160.86 78.883662) (xy 161.129938 78.857075) (xy 161.389504 78.778337)
- (xy 161.62872 78.650473) (xy 161.838396 78.478397) (xy 162.010473 78.268721) (xy 162.021176 78.248697) (xy 162.021176 78.5)
- (xy 162.028455 78.573905) (xy 162.050012 78.64497) (xy 162.085019 78.710463) (xy 162.132131 78.767869) (xy 162.189537 78.814981)
- (xy 162.25503 78.849988) (xy 162.326095 78.871545) (xy 162.4 78.878824) (xy 164.4 78.878824) (xy 164.473905 78.871545)
- (xy 164.54497 78.849988) (xy 164.610463 78.814981) (xy 164.667869 78.767869) (xy 164.714981 78.710463) (xy 164.749988 78.64497)
- (xy 164.771545 78.573905) (xy 164.778824 78.5) (xy 164.778824 75.9) (xy 164.771545 75.826095) (xy 164.749988 75.75503)
- (xy 164.714981 75.689537) (xy 164.667869 75.632131) (xy 164.610463 75.585019) (xy 164.54497 75.550012) (xy 164.473905 75.528455)
- (xy 164.4 75.521176) (xy 162.4 75.521176) (xy 162.326095 75.528455) (xy 162.25503 75.550012) (xy 162.189537 75.585019)
- (xy 162.132131 75.632131) (xy 162.085019 75.689537) (xy 162.050012 75.75503) (xy 162.028455 75.826095) (xy 162.021176 75.9)
- (xy 162.021176 76.151303) (xy 162.010473 76.131279) (xy 161.838397 75.921603) (xy 161.628721 75.749527) (xy 161.389505 75.621663)
- (xy 161.129939 75.542925) (xy 160.86 75.516338) (xy 160.590062 75.542925) (xy 160.330496 75.621663) (xy 160.09128 75.749527)
- (xy 159.881604 75.921603) (xy 159.709527 76.131279) (xy 159.59 76.354898) (xy 159.470473 76.131279) (xy 159.298397 75.921603)
- (xy 159.088721 75.749527) (xy 158.849505 75.621663) (xy 158.589939 75.542925) (xy 158.32 75.516338) (xy 158.050062 75.542925)
- (xy 157.790496 75.621663) (xy 157.55128 75.749527) (xy 157.341604 75.921603) (xy 157.169527 76.131279) (xy 157.046563 76.361328)
- (xy 157.003581 76.255712) (xy 156.854376 76.029383) (xy 156.663883 75.836511) (xy 156.439423 75.684509) (xy 156.189622 75.579217)
- (xy 156.119929 75.565617) (xy 155.907 75.623552) (xy 155.653 75.623552) (xy 155.440071 75.565617) (xy 155.370378 75.579217)
- (xy 155.120577 75.684509) (xy 154.896117 75.836511) (xy 154.705624 76.029383) (xy 154.556419 76.255712) (xy 154.454235 76.506801)
- (xy 154.403 76.773) (xy 130.172663 76.773) (xy 130.15208 76.74792) (xy 130.122442 76.723597) (xy 130.095309 76.696464)
- (xy 130.063402 76.675144) (xy 130.033766 76.650823) (xy 129.999956 76.632751) (xy 129.968048 76.611431) (xy 129.932595 76.596746)
- (xy 129.898783 76.578673) (xy 129.862097 76.567545) (xy 129.826643 76.552859) (xy 129.789003 76.545372) (xy 129.752318 76.534244)
- (xy 129.714162 76.530486) (xy 129.676528 76.523) (xy 129.638163 76.523) (xy 129.6 76.519241) (xy 129.561836 76.523)
- (xy 129.523472 76.523) (xy 129.485838 76.530486) (xy 129.447681 76.534244) (xy 129.410995 76.545373) (xy 129.373357 76.552859)
- (xy 129.337903 76.567544) (xy 129.301217 76.578673) (xy 129.267405 76.596746) (xy 129.231952 76.611431) (xy 129.200044 76.632751)
- (xy 129.166234 76.650823) (xy 129.136598 76.675145) (xy 129.104691 76.696464) (xy 128.996464 76.804691) (xy 128.996462 76.804694)
- (xy 126.453156 79.348001) (xy 119.21253 79.348001) (xy 118.342097 78.477569) (xy 118.333459 78.467044) (xy 118.346545 78.423905)
- (xy 118.353824 78.35) (xy 118.353824 77.15) (xy 118.346545 77.076095) (xy 118.324988 77.00503) (xy 118.289981 76.939537)
- (xy 118.242869 76.882131) (xy 118.185463 76.835019) (xy 118.11997 76.800012) (xy 118.11993 76.8) (xy 118.11997 76.799988)
- (xy 118.185463 76.764981) (xy 118.242869 76.717869) (xy 118.289981 76.660463) (xy 118.324988 76.59497) (xy 118.346545 76.523905)
- (xy 118.353824 76.45) (xy 118.352 76.07125) (xy 118.25775 75.977) (xy 117.727 75.977) (xy 117.727 75.997)
- (xy 117.473 75.997) (xy 117.473 75.977) (xy 116.94225 75.977) (xy 116.848 76.07125) (xy 116.846176 76.45)
- (xy 116.853455 76.523905) (xy 116.875012 76.59497) (xy 116.910019 76.660463) (xy 116.957131 76.717869) (xy 117.014537 76.764981)
- (xy 117.08003 76.799988) (xy 117.08007 76.8) (xy 117.08003 76.800012) (xy 117.014537 76.835019) (xy 116.957131 76.882131)
- (xy 116.910019 76.939537) (xy 116.875012 77.00503) (xy 116.853455 77.076095) (xy 116.846176 77.15) (xy 116.846176 78.305539)
- (xy 116.831952 78.311431) (xy 116.800045 78.33275) (xy 116.766234 78.350823) (xy 116.736598 78.375144) (xy 116.704691 78.396464)
- (xy 116.677558 78.423597) (xy 116.64792 78.44792) (xy 116.623597 78.477558) (xy 116.596464 78.504691) (xy 116.575144 78.536598)
- (xy 116.550823 78.566234) (xy 116.53275 78.600045) (xy 116.511431 78.631952) (xy 116.496747 78.667402) (xy 116.478673 78.701216)
- (xy 116.467543 78.737908) (xy 116.452859 78.773357) (xy 116.445374 78.810987) (xy 116.434243 78.847681) (xy 116.430484 78.885846)
- (xy 116.423 78.923472) (xy 116.423 78.961834) (xy 116.419241 79) (xy 116.423 79.038166) (xy 116.423 79.076528)
- (xy 116.430484 79.114154) (xy 116.434243 79.152319) (xy 116.445374 79.189013) (xy 116.452859 79.226643) (xy 116.467543 79.262092)
- (xy 116.478673 79.298784) (xy 116.496747 79.332598) (xy 116.511431 79.368048) (xy 116.53275 79.399955) (xy 116.550823 79.433766)
- (xy 116.575144 79.463402) (xy 116.596464 79.495309) (xy 116.601157 79.500002) (xy 116.583806 79.517352) (xy 116.498773 79.644613)
- (xy 116.440201 79.786018) (xy 116.410342 79.936133) (xy 116.410342 80.089189) (xy 116.440201 80.239304) (xy 116.48349 80.343812)
- (xy 116.404691 80.396464) (xy 116.296464 80.504691) (xy 116.211431 80.631952) (xy 116.152859 80.773357) (xy 116.123 80.923472)
- (xy 104.777 80.923472) (xy 104.777 75.25) (xy 116.846176 75.25) (xy 116.848 75.62875) (xy 116.94225 75.723)
- (xy 117.473 75.723) (xy 117.473 74.96725) (xy 117.727 74.96725) (xy 117.727 75.723) (xy 118.25775 75.723)
- (xy 118.352 75.62875) (xy 118.353824 75.25) (xy 118.346545 75.176095) (xy 118.324988 75.10503) (xy 118.289981 75.039537)
- (xy 118.242869 74.982131) (xy 118.185463 74.935019) (xy 118.11997 74.900012) (xy 118.048905 74.878455) (xy 117.975 74.871176)
- (xy 117.82125 74.873) (xy 117.727 74.96725) (xy 117.473 74.96725) (xy 117.37875 74.873) (xy 117.225 74.871176)
- (xy 117.151095 74.878455) (xy 117.08003 74.900012) (xy 117.014537 74.935019) (xy 116.957131 74.982131) (xy 116.910019 75.039537)
- (xy 116.875012 75.10503) (xy 116.853455 75.176095) (xy 116.846176 75.25) (xy 104.777 75.25) (xy 104.777 70.11841)
- (xy 121.011195 70.11841) (xy 121.091306 70.285043) (xy 121.294213 70.375111) (xy 121.510793 70.423864) (xy 121.732723 70.429428)
- (xy 121.951474 70.391588) (xy 122.15864 70.311798) (xy 122.208694 70.285043) (xy 122.288805 70.11841) (xy 121.65 69.479605)
- (xy 121.011195 70.11841) (xy 104.777 70.11841) (xy 104.777 70.004686) (xy 105.398963 69.382723) (xy 120.520572 69.382723)
- (xy 120.558412 69.601474) (xy 120.638202 69.80864) (xy 120.664957 69.858694) (xy 120.83159 69.938805) (xy 121.470395 69.3)
- (xy 121.829605 69.3) (xy 122.46841 69.938805) (xy 122.635043 69.858694) (xy 122.725111 69.655787) (xy 122.773864 69.439207)
- (xy 122.779428 69.217277) (xy 122.774537 69.189) (xy 122.813 69.189) (xy 122.813 69.411) (xy 122.85631 69.628734)
- (xy 122.941266 69.833835) (xy 123.064602 70.018421) (xy 123.221579 70.175398) (xy 123.406165 70.298734) (xy 123.611266 70.38369)
- (xy 123.829 70.427) (xy 124.051 70.427) (xy 124.268734 70.38369) (xy 124.473835 70.298734) (xy 124.658421 70.175398)
- (xy 124.715409 70.11841) (xy 132.441195 70.11841) (xy 132.521306 70.285043) (xy 132.724213 70.375111) (xy 132.940793 70.423864)
- (xy 133.162723 70.429428) (xy 133.381474 70.391588) (xy 133.58864 70.311798) (xy 133.638694 70.285043) (xy 133.718805 70.11841)
- (xy 133.08 69.479605) (xy 132.441195 70.11841) (xy 124.715409 70.11841) (xy 124.815398 70.018421) (xy 124.938734 69.833835)
- (xy 125.02369 69.628734) (xy 125.067 69.411) (xy 125.067 69.382723) (xy 131.950572 69.382723) (xy 131.988412 69.601474)
- (xy 132.068202 69.80864) (xy 132.094957 69.858694) (xy 132.26159 69.938805) (xy 132.900395 69.3) (xy 133.259605 69.3)
- (xy 133.89841 69.938805) (xy 134.065043 69.858694) (xy 134.155111 69.655787) (xy 134.203864 69.439207) (xy 134.209428 69.217277)
- (xy 134.204537 69.189) (xy 134.243 69.189) (xy 134.243 69.411) (xy 134.28631 69.628734) (xy 134.371266 69.833835)
- (xy 134.494602 70.018421) (xy 134.651579 70.175398) (xy 134.836165 70.298734) (xy 135.041266 70.38369) (xy 135.259 70.427)
- (xy 135.481 70.427) (xy 135.698734 70.38369) (xy 135.903835 70.298734) (xy 136.088421 70.175398) (xy 136.145409 70.11841)
- (xy 140.861195 70.11841) (xy 140.941306 70.285043) (xy 141.144213 70.375111) (xy 141.360793 70.423864) (xy 141.582723 70.429428)
- (xy 141.801474 70.391588) (xy 142.00864 70.311798) (xy 142.058694 70.285043) (xy 142.138805 70.11841) (xy 141.5 69.479605)
- (xy 140.861195 70.11841) (xy 136.145409 70.11841) (xy 136.245398 70.018421) (xy 136.368734 69.833835) (xy 136.45369 69.628734)
- (xy 136.497 69.411) (xy 136.497 69.189) (xy 136.45369 68.971266) (xy 136.368734 68.766165) (xy 136.245398 68.581579)
- (xy 136.088421 68.424602) (xy 135.903835 68.301266) (xy 135.698734 68.21631) (xy 135.481 68.173) (xy 135.259 68.173)
- (xy 135.041266 68.21631) (xy 134.836165 68.301266) (xy 134.651579 68.424602) (xy 134.494602 68.581579) (xy 134.371266 68.766165)
- (xy 134.28631 68.971266) (xy 134.243 69.189) (xy 134.204537 69.189) (xy 134.171588 68.998526) (xy 134.091798 68.79136)
- (xy 134.065043 68.741306) (xy 133.89841 68.661195) (xy 133.259605 69.3) (xy 132.900395 69.3) (xy 132.26159 68.661195)
- (xy 132.094957 68.741306) (xy 132.004889 68.944213) (xy 131.956136 69.160793) (xy 131.950572 69.382723) (xy 125.067 69.382723)
- (xy 125.067 69.189) (xy 125.02369 68.971266) (xy 124.938734 68.766165) (xy 124.815398 68.581579) (xy 124.715409 68.48159)
- (xy 132.441195 68.48159) (xy 133.08 69.120395) (xy 133.718805 68.48159) (xy 133.638694 68.314957) (xy 133.435787 68.224889)
- (xy 133.219207 68.176136) (xy 132.997277 68.170572) (xy 132.778526 68.208412) (xy 132.57136 68.288202) (xy 132.521306 68.314957)
- (xy 132.441195 68.48159) (xy 124.715409 68.48159) (xy 124.658421 68.424602) (xy 124.473835 68.301266) (xy 124.268734 68.21631)
- (xy 124.051 68.173) (xy 123.829 68.173) (xy 123.611266 68.21631) (xy 123.406165 68.301266) (xy 123.221579 68.424602)
- (xy 123.064602 68.581579) (xy 122.941266 68.766165) (xy 122.85631 68.971266) (xy 122.813 69.189) (xy 122.774537 69.189)
- (xy 122.741588 68.998526) (xy 122.661798 68.79136) (xy 122.635043 68.741306) (xy 122.46841 68.661195) (xy 121.829605 69.3)
- (xy 121.470395 69.3) (xy 120.83159 68.661195) (xy 120.664957 68.741306) (xy 120.574889 68.944213) (xy 120.526136 69.160793)
- (xy 120.520572 69.382723) (xy 105.398963 69.382723) (xy 106.300096 68.48159) (xy 121.011195 68.48159) (xy 121.65 69.120395)
- (xy 122.288805 68.48159) (xy 122.208694 68.314957) (xy 122.005787 68.224889) (xy 121.789207 68.176136) (xy 121.567277 68.170572)
- (xy 121.348526 68.208412) (xy 121.14136 68.288202) (xy 121.091306 68.314957) (xy 121.011195 68.48159) (xy 106.300096 68.48159)
- (xy 108.456907 66.32478) (xy 108.494186 66.294186) (xy 108.616276 66.145418) (xy 108.706997 65.975691) (xy 108.762863 65.791525)
- (xy 108.767937 65.74) (xy 115.321176 65.74) (xy 115.321176 67.44) (xy 115.328455 67.513905) (xy 115.350012 67.58497)
- (xy 115.385019 67.650463) (xy 115.432131 67.707869) (xy 115.489537 67.754981) (xy 115.55503 67.789988) (xy 115.626095 67.811545)
- (xy 115.7 67.818824) (xy 117.4 67.818824) (xy 117.473905 67.811545) (xy 117.54497 67.789988) (xy 117.610463 67.754981)
- (xy 117.667869 67.707869) (xy 117.714981 67.650463) (xy 117.749988 67.58497) (xy 117.771545 67.513905) (xy 117.778824 67.44)
- (xy 117.778824 65.74) (xy 117.771545 65.666095) (xy 117.749988 65.59503) (xy 117.714981 65.529537) (xy 117.667869 65.472131)
- (xy 117.610463 65.425019) (xy 117.54497 65.390012) (xy 117.473905 65.368455) (xy 117.4 65.361176) (xy 115.7 65.361176)
- (xy 115.626095 65.368455) (xy 115.55503 65.390012) (xy 115.489537 65.425019) (xy 115.432131 65.472131) (xy 115.385019 65.529537)
- (xy 115.350012 65.59503) (xy 115.328455 65.666095) (xy 115.321176 65.74) (xy 108.767937 65.74) (xy 108.777 65.647993)
- (xy 108.777 65.647991) (xy 108.781727 65.600001) (xy 108.777 65.55201) (xy 108.777 64.778824) (xy 108.8 64.778824)
- (xy 108.873905 64.771545) (xy 108.94497 64.749988) (xy 109.010463 64.714981) (xy 109.067869 64.667869) (xy 109.114981 64.610463)
- (xy 109.149988 64.54497) (xy 109.171545 64.473905) (xy 109.178824 64.4) (xy 109.178824 64.05) (xy 115.317064 64.05)
- (xy 115.340755 64.290534) (xy 115.410916 64.521824) (xy 115.524851 64.734983) (xy 115.678183 64.921817) (xy 115.865017 65.075149)
- (xy 116.078176 65.189084) (xy 116.309466 65.259245) (xy 116.489732 65.277) (xy 116.610268 65.277) (xy 116.790534 65.259245)
- (xy 117.021824 65.189084) (xy 117.234983 65.075149) (xy 117.421817 64.921817) (xy 117.575149 64.734983) (xy 117.689084 64.521824)
- (xy 117.759245 64.290534) (xy 117.782936 64.05) (xy 117.759245 63.809466) (xy 117.689084 63.578176) (xy 117.575149 63.365017)
- (xy 117.421817 63.178183) (xy 117.234983 63.024851) (xy 117.021824 62.910916) (xy 116.790534 62.840755) (xy 116.610268 62.823)
- (xy 116.489732 62.823) (xy 116.309466 62.840755) (xy 116.078176 62.910916) (xy 115.865017 63.024851) (xy 115.678183 63.178183)
- (xy 115.524851 63.365017) (xy 115.410916 63.578176) (xy 115.340755 63.809466) (xy 115.317064 64.05) (xy 109.178824 64.05)
- (xy 109.178824 62.4) (xy 109.171545 62.326095) (xy 109.149988 62.25503) (xy 109.114981 62.189537) (xy 109.067869 62.132131)
- (xy 109.010463 62.085019) (xy 108.94497 62.050012) (xy 108.873905 62.028455) (xy 108.8 62.021176) (xy 106.8 62.021176)
- (xy 106.726095 62.028455) (xy 106.65503 62.050012) (xy 106.589537 62.085019) (xy 106.532131 62.132131) (xy 106.485019 62.189537)
- (xy 106.450012 62.25503) (xy 106.428455 62.326095) (xy 106.421176 62.4) (xy 106.421176 64.4) (xy 106.428455 64.473905)
- (xy 106.450012 64.54497) (xy 106.485019 64.610463) (xy 106.532131 64.667869) (xy 106.589537 64.714981) (xy 106.65503 64.749988)
- (xy 106.726095 64.771545) (xy 106.8 64.778824) (xy 106.823001 64.778824) (xy 106.823001 65.195312) (xy 103.143098 68.875216)
- (xy 103.105814 68.905814) (xy 102.983724 69.054582) (xy 102.909719 69.193036) (xy 102.893003 69.22431) (xy 102.837137 69.408475)
- (xy 102.818273 69.6) (xy 102.823 69.647993) (xy 102.823001 89.021889) (xy 102.818273 89.069892) (xy 102.837137 89.261417)
- (xy 102.893003 89.445582) (xy 102.934384 89.523) (xy 102.983725 89.61531) (xy 103.105815 89.764078) (xy 103.143093 89.794671)
- (xy 107.221422 93.873) (xy 94.402 93.873) (xy 94.402 93.672777) (xy 94.438569 93.618048) (xy 94.497141 93.476643)
- (xy 94.527 93.326528) (xy 94.527 93.173472) (xy 94.497141 93.023357) (xy 94.438569 92.881952) (xy 94.353536 92.754691)
- (xy 94.245309 92.646464) (xy 94.118048 92.561431) (xy 93.976643 92.502859) (xy 93.826528 92.473) (xy 93.673472 92.473)
- (xy 93.523357 92.502859) (xy 93.381952 92.561431) (xy 93.254691 92.646464) (xy 93.146464 92.754691) (xy 93.061431 92.881952)
- (xy 93.002859 93.023357) (xy 92.973 93.173472) (xy 92.973 93.326528) (xy 93.002859 93.476643) (xy 93.061431 93.618048)
- (xy 93.146464 93.745309) (xy 93.254691 93.853536) (xy 93.283821 93.873) (xy 70.377 93.873) (xy 70.377 89.097122)
- (xy 72.982483 89.097122) (xy 73.092881 89.28933) (xy 73.338495 89.40443) (xy 73.601845 89.4694) (xy 73.872809 89.481745)
- (xy 74.140975 89.440991) (xy 74.396038 89.348703) (xy 74.507119 89.28933) (xy 74.617517 89.097122) (xy 73.8 88.279605)
- (xy 72.982483 89.097122) (xy 70.377 89.097122) (xy 70.377 88.172809) (xy 72.418255 88.172809) (xy 72.459009 88.440975)
- (xy 72.551297 88.696038) (xy 72.61067 88.807119) (xy 72.802878 88.917517) (xy 73.620395 88.1) (xy 73.979605 88.1)
- (xy 74.797122 88.917517) (xy 74.98933 88.807119) (xy 75.10443 88.561505) (xy 75.1694 88.298155) (xy 75.181745 88.027191)
- (xy 75.140991 87.759025) (xy 75.048703 87.503962) (xy 74.98933 87.392881) (xy 74.797122 87.282483) (xy 73.979605 88.1)
- (xy 73.620395 88.1) (xy 72.802878 87.282483) (xy 72.61067 87.392881) (xy 72.49557 87.638495) (xy 72.4306 87.901845)
- (xy 72.418255 88.172809) (xy 70.377 88.172809) (xy 70.377 87.102878) (xy 72.982483 87.102878) (xy 73.8 87.920395)
- (xy 74.617517 87.102878) (xy 74.615864 87.1) (xy 77.421176 87.1) (xy 77.421176 89.1) (xy 77.428455 89.173905)
- (xy 77.450012 89.24497) (xy 77.485019 89.310463) (xy 77.532131 89.367869) (xy 77.589537 89.414981) (xy 77.65503 89.449988)
- (xy 77.726095 89.471545) (xy 77.8 89.478824) (xy 79.8 89.478824) (xy 79.873905 89.471545) (xy 79.94497 89.449988)
- (xy 80.010463 89.414981) (xy 80.067869 89.367869) (xy 80.114981 89.310463) (xy 80.149988 89.24497) (xy 80.171545 89.173905)
- (xy 80.178824 89.1) (xy 80.178824 87.1) (xy 80.171545 87.026095) (xy 80.149988 86.95503) (xy 80.114981 86.889537)
- (xy 80.067869 86.832131) (xy 80.010463 86.785019) (xy 79.94497 86.750012) (xy 79.873905 86.728455) (xy 79.8 86.721176)
- (xy 77.8 86.721176) (xy 77.726095 86.728455) (xy 77.65503 86.750012) (xy 77.589537 86.785019) (xy 77.532131 86.832131)
- (xy 77.485019 86.889537) (xy 77.450012 86.95503) (xy 77.428455 87.026095) (xy 77.421176 87.1) (xy 74.615864 87.1)
- (xy 74.507119 86.91067) (xy 74.261505 86.79557) (xy 73.998155 86.7306) (xy 73.727191 86.718255) (xy 73.459025 86.759009)
- (xy 73.203962 86.851297) (xy 73.092881 86.91067) (xy 72.982483 87.102878) (xy 70.377 87.102878) (xy 70.377 76.897122)
- (xy 72.982483 76.897122) (xy 73.092881 77.08933) (xy 73.338495 77.20443) (xy 73.601845 77.2694) (xy 73.872809 77.281745)
- (xy 74.140975 77.240991) (xy 74.396038 77.148703) (xy 74.507119 77.08933) (xy 74.617517 76.897122) (xy 73.8 76.079605)
- (xy 72.982483 76.897122) (xy 70.377 76.897122) (xy 70.377 75.972809) (xy 72.418255 75.972809) (xy 72.459009 76.240975)
- (xy 72.551297 76.496038) (xy 72.61067 76.607119) (xy 72.802878 76.717517) (xy 73.620395 75.9) (xy 73.979605 75.9)
- (xy 74.797122 76.717517) (xy 74.98933 76.607119) (xy 75.10443 76.361505) (xy 75.1694 76.098155) (xy 75.181745 75.827191)
- (xy 75.140991 75.559025) (xy 75.048703 75.303962) (xy 74.98933 75.192881) (xy 74.797122 75.082483) (xy 73.979605 75.9)
- (xy 73.620395 75.9) (xy 72.802878 75.082483) (xy 72.61067 75.192881) (xy 72.49557 75.438495) (xy 72.4306 75.701845)
- (xy 72.418255 75.972809) (xy 70.377 75.972809) (xy 70.377 74.902878) (xy 72.982483 74.902878) (xy 73.8 75.720395)
- (xy 74.617517 74.902878) (xy 74.615864 74.9) (xy 77.421176 74.9) (xy 77.421176 76.9) (xy 77.428455 76.973905)
- (xy 77.450012 77.04497) (xy 77.485019 77.110463) (xy 77.532131 77.167869) (xy 77.589537 77.214981) (xy 77.65503 77.249988)
- (xy 77.726095 77.271545) (xy 77.8 77.278824) (xy 79.8 77.278824) (xy 79.873905 77.271545) (xy 79.94497 77.249988)
- (xy 80.010463 77.214981) (xy 80.067869 77.167869) (xy 80.114981 77.110463) (xy 80.149988 77.04497) (xy 80.171545 76.973905)
- (xy 80.178824 76.9) (xy 80.178824 74.9) (xy 80.171545 74.826095) (xy 80.149988 74.75503) (xy 80.114981 74.689537)
- (xy 80.067869 74.632131) (xy 80.010463 74.585019) (xy 79.94497 74.550012) (xy 79.873905 74.528455) (xy 79.8 74.521176)
- (xy 79.577 74.521176) (xy 79.577 69.98693) (xy 79.677787 69.919586) (xy 79.869586 69.727787) (xy 80.020282 69.502254)
- (xy 80.124083 69.251656) (xy 80.177 68.985623) (xy 80.177 68.714377) (xy 80.124083 68.448344) (xy 80.020282 68.197746)
- (xy 79.869586 67.972213) (xy 79.677787 67.780414) (xy 79.452254 67.629718) (xy 79.201656 67.525917) (xy 78.935623 67.473)
- (xy 78.664377 67.473) (xy 78.398344 67.525917) (xy 78.147746 67.629718) (xy 77.922213 67.780414) (xy 77.730414 67.972213)
- (xy 77.579718 68.197746) (xy 77.475917 68.448344) (xy 77.423 68.714377) (xy 77.423 68.985623) (xy 77.475917 69.251656)
- (xy 77.579718 69.502254) (xy 77.730414 69.727787) (xy 77.922213 69.919586) (xy 78.023001 69.98693) (xy 78.023 74.521176)
- (xy 77.8 74.521176) (xy 77.726095 74.528455) (xy 77.65503 74.550012) (xy 77.589537 74.585019) (xy 77.532131 74.632131)
- (xy 77.485019 74.689537) (xy 77.450012 74.75503) (xy 77.428455 74.826095) (xy 77.421176 74.9) (xy 74.615864 74.9)
- (xy 74.507119 74.71067) (xy 74.261505 74.59557) (xy 73.998155 74.5306) (xy 73.727191 74.518255) (xy 73.459025 74.559009)
- (xy 73.203962 74.651297) (xy 73.092881 74.71067) (xy 72.982483 74.902878) (xy 70.377 74.902878) (xy 70.377 65.127)
- (xy 80 65.127) (xy 80.024776 65.12456) (xy 80.048601 65.117333) (xy 80.070557 65.105597) (xy 80.089803 65.089803)
- (xy 80.105597 65.070557) (xy 80.117333 65.048601) (xy 80.12456 65.024776) (xy 80.127 65) (xy 80.127 61.5)
- (xy 83.321176 61.5) (xy 83.321176 63.5) (xy 83.328455 63.573905) (xy 83.350012 63.64497) (xy 83.385019 63.710463)
- (xy 83.432131 63.767869) (xy 83.489537 63.814981) (xy 83.55503 63.849988) (xy 83.626095 63.871545) (xy 83.7 63.878824)
- (xy 86.3 63.878824) (xy 86.373905 63.871545) (xy 86.44497 63.849988) (xy 86.510463 63.814981) (xy 86.567869 63.767869)
- (xy 86.614981 63.710463) (xy 86.649988 63.64497) (xy 86.671545 63.573905) (xy 86.678824 63.5) (xy 86.678824 62.4)
- (xy 94.721176 62.4) (xy 94.721176 64.4) (xy 94.728455 64.473905) (xy 94.750012 64.54497) (xy 94.785019 64.610463)
- (xy 94.832131 64.667869) (xy 94.889537 64.714981) (xy 94.95503 64.749988) (xy 95.026095 64.771545) (xy 95.1 64.778824)
- (xy 97.1 64.778824) (xy 97.173905 64.771545) (xy 97.24497 64.749988) (xy 97.310463 64.714981) (xy 97.367869 64.667869)
- (xy 97.414981 64.610463) (xy 97.449988 64.54497) (xy 97.471545 64.473905) (xy 97.478824 64.4) (xy 97.478824 62.4)
- (xy 97.471545 62.326095) (xy 97.449988 62.25503) (xy 97.414981 62.189537) (xy 97.367869 62.132131) (xy 97.310463 62.085019)
- (xy 97.24497 62.050012) (xy 97.173905 62.028455) (xy 97.1 62.021176) (xy 95.1 62.021176) (xy 95.026095 62.028455)
- (xy 94.95503 62.050012) (xy 94.889537 62.085019) (xy 94.832131 62.132131) (xy 94.785019 62.189537) (xy 94.750012 62.25503)
- (xy 94.728455 62.326095) (xy 94.721176 62.4) (xy 86.678824 62.4) (xy 86.678824 61.826351) (xy 115.364482 61.826351)
- (xy 115.388518 61.905605) (xy 115.488014 62.124597) (xy 115.628322 62.319971) (xy 115.804049 62.484218) (xy 116.008443 62.611026)
- (xy 116.233648 62.695523) (xy 116.423 62.636166) (xy 116.423 61.637) (xy 116.677 61.637) (xy 116.677 62.636166)
- (xy 116.866352 62.695523) (xy 117.091557 62.611026) (xy 117.295951 62.484218) (xy 117.471678 62.319971) (xy 117.611986 62.124597)
- (xy 117.711482 61.905605) (xy 117.735518 61.826351) (xy 117.675608 61.637) (xy 116.677 61.637) (xy 116.423 61.637)
- (xy 115.424392 61.637) (xy 115.364482 61.826351) (xy 86.678824 61.826351) (xy 86.678824 61.5) (xy 86.671545 61.426095)
- (xy 86.649988 61.35503) (xy 86.614981 61.289537) (xy 86.567869 61.232131) (xy 86.520979 61.193649) (xy 115.364482 61.193649)
- (xy 115.424392 61.383) (xy 116.423 61.383) (xy 116.423 60.383834) (xy 116.677 60.383834) (xy 116.677 61.383)
- (xy 117.675608 61.383) (xy 117.735518 61.193649) (xy 117.711482 61.114395) (xy 117.611986 60.895403) (xy 117.471678 60.700029)
- (xy 117.295951 60.535782) (xy 117.091557 60.408974) (xy 116.866352 60.324477) (xy 116.677 60.383834) (xy 116.423 60.383834)
- (xy 116.233648 60.324477) (xy 116.008443 60.408974) (xy 115.804049 60.535782) (xy 115.628322 60.700029) (xy 115.488014 60.895403)
- (xy 115.388518 61.114395) (xy 115.364482 61.193649) (xy 86.520979 61.193649) (xy 86.510463 61.185019) (xy 86.44497 61.150012)
- (xy 86.373905 61.128455) (xy 86.3 61.121176) (xy 86.03895 61.121176) (xy 86.170617 61.034376) (xy 86.363489 60.843883)
- (xy 86.515491 60.619423) (xy 86.620783 60.369622) (xy 86.634383 60.299929) (xy 86.576448 60.087) (xy 85.127 60.087)
- (xy 85.127 60.107) (xy 84.873 60.107) (xy 84.873 60.087) (xy 83.423552 60.087) (xy 83.365617 60.299929)
- (xy 83.379217 60.369622) (xy 83.484509 60.619423) (xy 83.636511 60.843883) (xy 83.829383 61.034376) (xy 83.96105 61.121176)
- (xy 83.7 61.121176) (xy 83.626095 61.128455) (xy 83.55503 61.150012) (xy 83.489537 61.185019) (xy 83.432131 61.232131)
- (xy 83.385019 61.289537) (xy 83.350012 61.35503) (xy 83.328455 61.426095) (xy 83.321176 61.5) (xy 80.127 61.5)
- (xy 80.127 59.620071) (xy 83.365617 59.620071) (xy 83.423552 59.833) (xy 84.873 59.833) (xy 84.873 58.583)
- (xy 85.127 58.583) (xy 85.127 59.833) (xy 86.576448 59.833) (xy 86.634383 59.620071) (xy 86.620783 59.550378)
- (xy 86.556186 59.397122) (xy 95.282483 59.397122) (xy 95.392881 59.58933) (xy 95.638495 59.70443) (xy 95.901845 59.7694)
- (xy 96.172809 59.781745) (xy 96.440975 59.740991) (xy 96.696038 59.648703) (xy 96.807119 59.58933) (xy 96.917517 59.397122)
- (xy 106.982483 59.397122) (xy 107.092881 59.58933) (xy 107.338495 59.70443) (xy 107.601845 59.7694) (xy 107.872809 59.781745)
- (xy 108.140975 59.740991) (xy 108.396038 59.648703) (xy 108.507119 59.58933) (xy 108.617517 59.397122) (xy 107.8 58.579605)
- (xy 106.982483 59.397122) (xy 96.917517 59.397122) (xy 96.1 58.579605) (xy 95.282483 59.397122) (xy 86.556186 59.397122)
- (xy 86.515491 59.300577) (xy 86.363489 59.076117) (xy 86.170617 58.885624) (xy 85.944288 58.736419) (xy 85.693199 58.634235)
- (xy 85.427 58.583) (xy 85.127 58.583) (xy 84.873 58.583) (xy 84.573 58.583) (xy 84.306801 58.634235)
- (xy 84.055712 58.736419) (xy 83.829383 58.885624) (xy 83.636511 59.076117) (xy 83.484509 59.300577) (xy 83.379217 59.550378)
- (xy 83.365617 59.620071) (xy 80.127 59.620071) (xy 80.127 58.472809) (xy 94.718255 58.472809) (xy 94.759009 58.740975)
- (xy 94.851297 58.996038) (xy 94.91067 59.107119) (xy 95.102878 59.217517) (xy 95.920395 58.4) (xy 96.279605 58.4)
- (xy 97.097122 59.217517) (xy 97.28933 59.107119) (xy 97.40443 58.861505) (xy 97.4694 58.598155) (xy 97.47511 58.472809)
- (xy 106.418255 58.472809) (xy 106.459009 58.740975) (xy 106.551297 58.996038) (xy 106.61067 59.107119) (xy 106.802878 59.217517)
- (xy 107.620395 58.4) (xy 107.979605 58.4) (xy 108.797122 59.217517) (xy 108.98933 59.107119) (xy 109.053586 58.97)
- (xy 115.317064 58.97) (xy 115.340755 59.210534) (xy 115.410916 59.441824) (xy 115.524851 59.654983) (xy 115.678183 59.841817)
- (xy 115.865017 59.995149) (xy 116.078176 60.109084) (xy 116.309466 60.179245) (xy 116.489732 60.197) (xy 116.610268 60.197)
- (xy 116.790534 60.179245) (xy 117.021824 60.109084) (xy 117.234983 59.995149) (xy 117.421817 59.841817) (xy 117.575149 59.654983)
- (xy 117.689084 59.441824) (xy 117.759245 59.210534) (xy 117.782936 58.97) (xy 117.759245 58.729466) (xy 117.689084 58.498176)
- (xy 117.575149 58.285017) (xy 117.421817 58.098183) (xy 117.234983 57.944851) (xy 117.021824 57.830916) (xy 116.790534 57.760755)
- (xy 116.610268 57.743) (xy 116.489732 57.743) (xy 116.309466 57.760755) (xy 116.078176 57.830916) (xy 115.865017 57.944851)
- (xy 115.678183 58.098183) (xy 115.524851 58.285017) (xy 115.410916 58.498176) (xy 115.340755 58.729466) (xy 115.317064 58.97)
- (xy 109.053586 58.97) (xy 109.10443 58.861505) (xy 109.1694 58.598155) (xy 109.181745 58.327191) (xy 109.140991 58.059025)
- (xy 109.048703 57.803962) (xy 108.98933 57.692881) (xy 108.797122 57.582483) (xy 107.979605 58.4) (xy 107.620395 58.4)
- (xy 106.802878 57.582483) (xy 106.61067 57.692881) (xy 106.49557 57.938495) (xy 106.4306 58.201845) (xy 106.418255 58.472809)
- (xy 97.47511 58.472809) (xy 97.481745 58.327191) (xy 97.440991 58.059025) (xy 97.348703 57.803962) (xy 97.28933 57.692881)
- (xy 97.097122 57.582483) (xy 96.279605 58.4) (xy 95.920395 58.4) (xy 95.102878 57.582483) (xy 94.91067 57.692881)
- (xy 94.79557 57.938495) (xy 94.7306 58.201845) (xy 94.718255 58.472809) (xy 80.127 58.472809) (xy 80.127 57.402878)
- (xy 95.282483 57.402878) (xy 96.1 58.220395) (xy 96.917517 57.402878) (xy 106.982483 57.402878) (xy 107.8 58.220395)
- (xy 108.617517 57.402878) (xy 108.507119 57.21067) (xy 108.261505 57.09557) (xy 107.998155 57.0306) (xy 107.727191 57.018255)
- (xy 107.459025 57.059009) (xy 107.203962 57.151297) (xy 107.092881 57.21067) (xy 106.982483 57.402878) (xy 96.917517 57.402878)
- (xy 96.807119 57.21067) (xy 96.561505 57.09557) (xy 96.298155 57.0306) (xy 96.027191 57.018255) (xy 95.759025 57.059009)
- (xy 95.503962 57.151297) (xy 95.392881 57.21067) (xy 95.282483 57.402878) (xy 80.127 57.402878) (xy 80.127 55.377)
- (xy 118.823 55.377)
- )
- )
- )
- (zone (net 63) (net_name "/GND_RS485") (layer "F.Cu") (tstamp 5C54F5BF) (hatch edge 0.508)
- (priority 5)
- (connect_pads (clearance 0.25))
- (min_thickness 0.254)
- (fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 119 55) (xy 119 65.5) (xy 158 65.5) (xy 158 55)
- )
- )
- (filled_polygon
- (pts
- (xy 157.873 65.373) (xy 152.632078 65.373) (xy 152.658421 65.355398) (xy 152.815398 65.198421) (xy 152.938734 65.013835)
- (xy 153.02369 64.808734) (xy 153.067 64.591) (xy 153.067 64.587122) (xy 155.672483 64.587122) (xy 155.782881 64.77933)
- (xy 156.028495 64.89443) (xy 156.291845 64.9594) (xy 156.562809 64.971745) (xy 156.830975 64.930991) (xy 157.086038 64.838703)
- (xy 157.197119 64.77933) (xy 157.307517 64.587122) (xy 156.49 63.769605) (xy 155.672483 64.587122) (xy 153.067 64.587122)
- (xy 153.067 64.369) (xy 153.02369 64.151266) (xy 152.938734 63.946165) (xy 152.815398 63.761579) (xy 152.716628 63.662809)
- (xy 155.108255 63.662809) (xy 155.149009 63.930975) (xy 155.241297 64.186038) (xy 155.30067 64.297119) (xy 155.492878 64.407517)
- (xy 156.310395 63.59) (xy 156.669605 63.59) (xy 157.487122 64.407517) (xy 157.67933 64.297119) (xy 157.79443 64.051505)
- (xy 157.8594 63.788155) (xy 157.871745 63.517191) (xy 157.830991 63.249025) (xy 157.738703 62.993962) (xy 157.67933 62.882881)
- (xy 157.487122 62.772483) (xy 156.669605 63.59) (xy 156.310395 63.59) (xy 155.492878 62.772483) (xy 155.30067 62.882881)
- (xy 155.18557 63.128495) (xy 155.1206 63.391845) (xy 155.108255 63.662809) (xy 152.716628 63.662809) (xy 152.658421 63.604602)
- (xy 152.473835 63.481266) (xy 152.268734 63.39631) (xy 152.051 63.353) (xy 151.839111 63.353) (xy 151.918734 63.233835)
- (xy 152.00369 63.028734) (xy 152.047 62.811) (xy 152.047 62.592878) (xy 155.672483 62.592878) (xy 156.49 63.410395)
- (xy 157.307517 62.592878) (xy 157.197119 62.40067) (xy 156.951505 62.28557) (xy 156.688155 62.2206) (xy 156.417191 62.208255)
- (xy 156.149025 62.249009) (xy 155.893962 62.341297) (xy 155.782881 62.40067) (xy 155.672483 62.592878) (xy 152.047 62.592878)
- (xy 152.047 62.589) (xy 152.00369 62.371266) (xy 151.918734 62.166165) (xy 151.795398 61.981579) (xy 151.638421 61.824602)
- (xy 151.453835 61.701266) (xy 151.248734 61.61631) (xy 151.031 61.573) (xy 150.809 61.573) (xy 150.591266 61.61631)
- (xy 150.386165 61.701266) (xy 150.201579 61.824602) (xy 150.044602 61.981579) (xy 149.921266 62.166165) (xy 149.9 62.217505)
- (xy 149.878734 62.166165) (xy 149.755398 61.981579) (xy 149.598421 61.824602) (xy 149.413835 61.701266) (xy 149.208734 61.61631)
- (xy 148.991 61.573) (xy 148.769 61.573) (xy 148.551266 61.61631) (xy 148.519431 61.629497) (xy 148.052401 61.162467)
- (xy 148.036684 61.143316) (xy 147.960245 61.080583) (xy 147.873036 61.033969) (xy 147.778409 61.005264) (xy 147.704653 60.998)
- (xy 147.704643 60.998) (xy 147.68 60.995573) (xy 147.655357 60.998) (xy 143.93964 60.998) (xy 143.914997 60.995573)
- (xy 143.890354 60.998) (xy 143.890345 60.998) (xy 143.827265 61.004213) (xy 143.935064 60.743962) (xy 144.012 60.35718)
- (xy 144.012 59.96282) (xy 152.708 59.96282) (xy 152.708 60.35718) (xy 152.784936 60.743962) (xy 152.93585 61.108303)
- (xy 153.154945 61.436201) (xy 153.433799 61.715055) (xy 153.761697 61.93415) (xy 154.126038 62.085064) (xy 154.51282 62.162)
- (xy 154.90718 62.162) (xy 155.293962 62.085064) (xy 155.658303 61.93415) (xy 155.986201 61.715055) (xy 156.265055 61.436201)
- (xy 156.48415 61.108303) (xy 156.635064 60.743962) (xy 156.712 60.35718) (xy 156.712 59.96282) (xy 156.635064 59.576038)
- (xy 156.48415 59.211697) (xy 156.265055 58.883799) (xy 155.986201 58.604945) (xy 155.658303 58.38585) (xy 155.293962 58.234936)
- (xy 154.90718 58.158) (xy 154.51282 58.158) (xy 154.126038 58.234936) (xy 153.761697 58.38585) (xy 153.433799 58.604945)
- (xy 153.154945 58.883799) (xy 152.93585 59.211697) (xy 152.784936 59.576038) (xy 152.708 59.96282) (xy 144.012 59.96282)
- (xy 143.935064 59.576038) (xy 143.78415 59.211697) (xy 143.565055 58.883799) (xy 143.286201 58.604945) (xy 142.958303 58.38585)
- (xy 142.593962 58.234936) (xy 142.20718 58.158) (xy 141.81282 58.158) (xy 141.426038 58.234936) (xy 141.061697 58.38585)
- (xy 140.733799 58.604945) (xy 140.454945 58.883799) (xy 140.23585 59.211697) (xy 140.084936 59.576038) (xy 140.008 59.96282)
- (xy 140.008 60.35718) (xy 140.084936 60.743962) (xy 140.23585 61.108303) (xy 140.454945 61.436201) (xy 140.733799 61.715055)
- (xy 141.061697 61.93415) (xy 141.426038 62.085064) (xy 141.81282 62.162) (xy 142.20718 62.162) (xy 142.593962 62.085064)
- (xy 142.638411 62.066653) (xy 142.162463 62.542601) (xy 142.143317 62.558314) (xy 142.127604 62.57746) (xy 142.127601 62.577463)
- (xy 142.080584 62.634753) (xy 142.03397 62.721962) (xy 142.005265 62.816589) (xy 141.995573 62.914998) (xy 141.998001 62.939651)
- (xy 141.998 63.792065) (xy 141.292066 64.498) (xy 141.277926 64.498) (xy 141.297767 64.478159) (xy 141.227124 64.407516)
- (xy 141.41933 64.297119) (xy 141.53443 64.051505) (xy 141.5994 63.788155) (xy 141.611745 63.517191) (xy 141.570991 63.249025)
- (xy 141.478703 62.993962) (xy 141.41933 62.882881) (xy 141.227122 62.772483) (xy 140.409605 63.59) (xy 140.423748 63.604143)
- (xy 140.244143 63.783748) (xy 140.23 63.769605) (xy 140.215858 63.783748) (xy 140.036253 63.604143) (xy 140.050395 63.59)
- (xy 139.232878 62.772483) (xy 139.04067 62.882881) (xy 138.92557 63.128495) (xy 138.8606 63.391845) (xy 138.848255 63.662809)
- (xy 138.889009 63.930975) (xy 138.981297 64.186038) (xy 139.04067 64.297119) (xy 139.232876 64.407516) (xy 139.162233 64.478159)
- (xy 139.182074 64.498) (xy 137.687926 64.498) (xy 137.707767 64.478159) (xy 137.637124 64.407516) (xy 137.82933 64.297119)
- (xy 137.94443 64.051505) (xy 138.0094 63.788155) (xy 138.021745 63.517191) (xy 137.980991 63.249025) (xy 137.888703 62.993962)
- (xy 137.82933 62.882881) (xy 137.637122 62.772483) (xy 136.819605 63.59) (xy 136.833748 63.604143) (xy 136.654143 63.783748)
- (xy 136.64 63.769605) (xy 136.625858 63.783748) (xy 136.446253 63.604143) (xy 136.460395 63.59) (xy 135.642878 62.772483)
- (xy 135.45067 62.882881) (xy 135.33557 63.128495) (xy 135.2706 63.391845) (xy 135.258255 63.662809) (xy 135.299009 63.930975)
- (xy 135.391297 64.186038) (xy 135.408317 64.217882) (xy 133.783313 62.592878) (xy 135.822483 62.592878) (xy 136.64 63.410395)
- (xy 137.457517 62.592878) (xy 139.412483 62.592878) (xy 140.23 63.410395) (xy 141.047517 62.592878) (xy 140.937119 62.40067)
- (xy 140.691505 62.28557) (xy 140.428155 62.2206) (xy 140.157191 62.208255) (xy 139.889025 62.249009) (xy 139.633962 62.341297)
- (xy 139.522881 62.40067) (xy 139.412483 62.592878) (xy 137.457517 62.592878) (xy 137.347119 62.40067) (xy 137.101505 62.28557)
- (xy 136.838155 62.2206) (xy 136.567191 62.208255) (xy 136.299025 62.249009) (xy 136.043962 62.341297) (xy 135.932881 62.40067)
- (xy 135.822483 62.592878) (xy 133.783313 62.592878) (xy 132.352903 61.162468) (xy 132.337185 61.143316) (xy 132.260746 61.080583)
- (xy 132.173537 61.033969) (xy 132.07891 61.005264) (xy 132.005154 60.998) (xy 132.005144 60.998) (xy 131.980501 60.995573)
- (xy 131.955858 60.998) (xy 130.254642 60.998) (xy 130.229999 60.995573) (xy 130.205356 60.998) (xy 130.205347 60.998)
- (xy 130.131591 61.005264) (xy 130.036964 61.033969) (xy 129.949755 61.080583) (xy 129.873316 61.143316) (xy 129.857603 61.162462)
- (xy 129.390569 61.629497) (xy 129.358734 61.61631) (xy 129.141 61.573) (xy 128.919 61.573) (xy 128.701266 61.61631)
- (xy 128.496165 61.701266) (xy 128.311579 61.824602) (xy 128.154602 61.981579) (xy 128.031266 62.166165) (xy 128.010968 62.215169)
- (xy 128.001798 62.19136) (xy 127.975043 62.141306) (xy 127.80841 62.061195) (xy 127.169605 62.7) (xy 127.183748 62.714143)
- (xy 127.004143 62.893748) (xy 126.99 62.879605) (xy 126.975858 62.893748) (xy 126.796253 62.714143) (xy 126.810395 62.7)
- (xy 126.17159 62.061195) (xy 126.078824 62.105793) (xy 126.078824 61.95) (xy 126.072087 61.88159) (xy 126.351195 61.88159)
- (xy 126.99 62.520395) (xy 127.628805 61.88159) (xy 127.548694 61.714957) (xy 127.345787 61.624889) (xy 127.129207 61.576136)
- (xy 126.907277 61.570572) (xy 126.688526 61.608412) (xy 126.48136 61.688202) (xy 126.431306 61.714957) (xy 126.351195 61.88159)
- (xy 126.072087 61.88159) (xy 126.071545 61.876095) (xy 126.049988 61.80503) (xy 126.014981 61.739537) (xy 125.967869 61.682131)
- (xy 125.910463 61.635019) (xy 125.84497 61.600012) (xy 125.773905 61.578455) (xy 125.7 61.571176) (xy 124.2 61.571176)
- (xy 124.126095 61.578455) (xy 124.05503 61.600012) (xy 123.989537 61.635019) (xy 123.932131 61.682131) (xy 123.885019 61.739537)
- (xy 123.850012 61.80503) (xy 123.828455 61.876095) (xy 123.821176 61.95) (xy 123.821176 63.45) (xy 123.828455 63.523905)
- (xy 123.850012 63.59497) (xy 123.885019 63.660463) (xy 123.932131 63.717869) (xy 123.989537 63.764981) (xy 124.05503 63.799988)
- (xy 124.126095 63.821545) (xy 124.2 63.828824) (xy 125.04967 63.828824) (xy 124.971266 63.946165) (xy 124.88631 64.151266)
- (xy 124.843 64.369) (xy 124.843 64.591) (xy 124.88631 64.808734) (xy 124.971266 65.013835) (xy 125.094602 65.198421)
- (xy 125.251579 65.355398) (xy 125.277922 65.373) (xy 119.127 65.373) (xy 119.127 64.587122) (xy 119.562483 64.587122)
- (xy 119.672881 64.77933) (xy 119.918495 64.89443) (xy 120.181845 64.9594) (xy 120.452809 64.971745) (xy 120.720975 64.930991)
- (xy 120.976038 64.838703) (xy 121.087119 64.77933) (xy 121.197517 64.587122) (xy 120.38 63.769605) (xy 119.562483 64.587122)
- (xy 119.127 64.587122) (xy 119.127 64.174162) (xy 119.131297 64.186038) (xy 119.19067 64.297119) (xy 119.382878 64.407517)
- (xy 120.200395 63.59) (xy 120.559605 63.59) (xy 121.377122 64.407517) (xy 121.56933 64.297119) (xy 121.68443 64.051505)
- (xy 121.7494 63.788155) (xy 121.761745 63.517191) (xy 121.720991 63.249025) (xy 121.628703 62.993962) (xy 121.56933 62.882881)
- (xy 121.377122 62.772483) (xy 120.559605 63.59) (xy 120.200395 63.59) (xy 119.382878 62.772483) (xy 119.19067 62.882881)
- (xy 119.127 63.018748) (xy 119.127 62.592878) (xy 119.562483 62.592878) (xy 120.38 63.410395) (xy 121.197517 62.592878)
- (xy 121.087119 62.40067) (xy 120.841505 62.28557) (xy 120.578155 62.2206) (xy 120.307191 62.208255) (xy 120.039025 62.249009)
- (xy 119.783962 62.341297) (xy 119.672881 62.40067) (xy 119.562483 62.592878) (xy 119.127 62.592878) (xy 119.127 59.96282)
- (xy 120.158 59.96282) (xy 120.158 60.35718) (xy 120.234936 60.743962) (xy 120.38585 61.108303) (xy 120.604945 61.436201)
- (xy 120.883799 61.715055) (xy 121.211697 61.93415) (xy 121.576038 62.085064) (xy 121.96282 62.162) (xy 122.35718 62.162)
- (xy 122.743962 62.085064) (xy 123.108303 61.93415) (xy 123.436201 61.715055) (xy 123.715055 61.436201) (xy 123.93415 61.108303)
- (xy 124.085064 60.743962) (xy 124.162 60.35718) (xy 124.162 59.96282) (xy 132.858 59.96282) (xy 132.858 60.35718)
- (xy 132.934936 60.743962) (xy 133.08585 61.108303) (xy 133.304945 61.436201) (xy 133.583799 61.715055) (xy 133.911697 61.93415)
- (xy 134.276038 62.085064) (xy 134.66282 62.162) (xy 135.05718 62.162) (xy 135.443962 62.085064) (xy 135.808303 61.93415)
- (xy 136.136201 61.715055) (xy 136.415055 61.436201) (xy 136.63415 61.108303) (xy 136.785064 60.743962) (xy 136.862 60.35718)
- (xy 136.862 59.96282) (xy 136.785064 59.576038) (xy 136.63415 59.211697) (xy 136.415055 58.883799) (xy 136.136201 58.604945)
- (xy 135.808303 58.38585) (xy 135.443962 58.234936) (xy 135.05718 58.158) (xy 134.66282 58.158) (xy 134.276038 58.234936)
- (xy 133.911697 58.38585) (xy 133.583799 58.604945) (xy 133.304945 58.883799) (xy 133.08585 59.211697) (xy 132.934936 59.576038)
- (xy 132.858 59.96282) (xy 124.162 59.96282) (xy 124.085064 59.576038) (xy 123.93415 59.211697) (xy 123.715055 58.883799)
- (xy 123.436201 58.604945) (xy 123.108303 58.38585) (xy 122.743962 58.234936) (xy 122.35718 58.158) (xy 121.96282 58.158)
- (xy 121.576038 58.234936) (xy 121.211697 58.38585) (xy 120.883799 58.604945) (xy 120.604945 58.883799) (xy 120.38585 59.211697)
- (xy 120.234936 59.576038) (xy 120.158 59.96282) (xy 119.127 59.96282) (xy 119.127 55.377) (xy 157.873 55.377)
- )
- )
- (filled_polygon
- (pts
- (xy 150.093748 64.465858) (xy 150.079605 64.48) (xy 150.71841 65.118805) (xy 150.885043 65.038694) (xy 150.919453 64.961174)
- (xy 150.941266 65.013835) (xy 151.064602 65.198421) (xy 151.221579 65.355398) (xy 151.247922 65.373) (xy 150.502945 65.373)
- (xy 150.538805 65.29841) (xy 149.9 64.659605) (xy 149.261195 65.29841) (xy 149.297055 65.373) (xy 148.552078 65.373)
- (xy 148.578421 65.355398) (xy 148.735398 65.198421) (xy 148.858734 65.013835) (xy 148.879032 64.964831) (xy 148.888202 64.98864)
- (xy 148.914957 65.038694) (xy 149.08159 65.118805) (xy 149.720395 64.48) (xy 149.706253 64.465858) (xy 149.885858 64.286253)
- (xy 149.9 64.300395) (xy 149.914143 64.286253)
- )
- )
- (filled_polygon
- (pts
- (xy 130.243748 64.465858) (xy 130.229605 64.48) (xy 130.86841 65.118805) (xy 131.035043 65.038694) (xy 131.069453 64.961174)
- (xy 131.091266 65.013835) (xy 131.214602 65.198421) (xy 131.371579 65.355398) (xy 131.397922 65.373) (xy 130.652945 65.373)
- (xy 130.688805 65.29841) (xy 130.05 64.659605) (xy 130.035858 64.673748) (xy 129.856253 64.494143) (xy 129.870395 64.48)
- (xy 129.856253 64.465858) (xy 130.035858 64.286253) (xy 130.05 64.300395) (xy 130.064143 64.286253)
- )
- )
- (filled_polygon
- (pts
- (xy 147.033748 62.685858) (xy 147.019605 62.7) (xy 147.033748 62.714143) (xy 146.854143 62.893748) (xy 146.84 62.879605)
- (xy 146.825858 62.893748) (xy 146.646253 62.714143) (xy 146.660395 62.7) (xy 146.646253 62.685858) (xy 146.825858 62.506253)
- (xy 146.84 62.520395) (xy 146.854143 62.506253)
- )
- )
- )
- (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 5C528D61) (hatch edge 0.508)
- (connect_pads yes (clearance 0.25))
- (min_thickness 0.254)
- (keepout (tracks allowed) (vias allowed) (copperpour not_allowed))
- (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 114 94.5) (xy 114 99.5) (xy 112.5 101) (xy 107.5 101) (xy 106 99.5)
- (xy 106 94.5) (xy 107.5 93) (xy 112.5 93)
- )
- )
- )
- (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 5C528D86) (hatch edge 0.508)
- (connect_pads yes (clearance 0.25))
- (min_thickness 0.254)
- (keepout (tracks allowed) (vias allowed) (copperpour not_allowed))
- (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 114 147.5) (xy 114 152.5) (xy 112.5 154) (xy 107.5 154) (xy 106 152.5)
- (xy 106 147.5) (xy 107.5 146) (xy 112.5 146)
- )
- )
- )
- (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 5C528D86) (hatch edge 0.508)
- (connect_pads yes (clearance 0.25))
- (min_thickness 0.254)
- (keepout (tracks allowed) (vias allowed) (copperpour not_allowed))
- (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 157 147.6) (xy 157 152.6) (xy 155.5 154.1) (xy 150.5 154.1) (xy 149 152.6)
- (xy 149 147.6) (xy 150.5 146.1) (xy 155.5 146.1)
- )
- )
- )
- (zone (net 63) (net_name "/GND_RS485") (layer "B.Cu") (tstamp 5C54F5C8) (hatch edge 0.508)
- (priority 5)
- (connect_pads (clearance 0.25))
- (min_thickness 0.254)
- (fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 119.2 55) (xy 158 55) (xy 158 67.2) (xy 119.2 67.2)
- )
- )
- (filled_polygon
- (pts
- (xy 157.873 65.482244) (xy 157.826528 65.473) (xy 157.673472 65.473) (xy 157.523357 65.502859) (xy 157.381952 65.561431)
- (xy 157.254691 65.646464) (xy 157.146464 65.754691) (xy 157.061431 65.881952) (xy 157.002859 66.023357) (xy 156.973 66.173472)
- (xy 156.973 66.326528) (xy 157.002859 66.476643) (xy 157.061431 66.618048) (xy 157.146464 66.745309) (xy 157.254691 66.853536)
- (xy 157.381952 66.938569) (xy 157.523357 66.997141) (xy 157.673472 67.027) (xy 157.826528 67.027) (xy 157.873 67.017756)
- (xy 157.873 67.073) (xy 138.282936 67.073) (xy 136.277 65.067066) (xy 136.277 64.923472) (xy 136.276008 64.918487)
- (xy 136.441845 64.9594) (xy 136.712809 64.971745) (xy 136.980975 64.930991) (xy 137.236038 64.838703) (xy 137.347119 64.77933)
- (xy 137.457517 64.587122) (xy 139.412483 64.587122) (xy 139.522881 64.77933) (xy 139.768495 64.89443) (xy 140.031845 64.9594)
- (xy 140.302809 64.971745) (xy 140.570975 64.930991) (xy 140.826038 64.838703) (xy 140.937119 64.77933) (xy 141.047517 64.587122)
- (xy 140.23 63.769605) (xy 139.412483 64.587122) (xy 137.457517 64.587122) (xy 136.64 63.769605) (xy 136.625858 63.783748)
- (xy 136.446253 63.604143) (xy 136.460395 63.59) (xy 136.446253 63.575858) (xy 136.625858 63.396253) (xy 136.64 63.410395)
- (xy 136.654143 63.396253) (xy 136.833748 63.575858) (xy 136.819605 63.59) (xy 137.637122 64.407517) (xy 137.82933 64.297119)
- (xy 137.94443 64.051505) (xy 138.0094 63.788155) (xy 138.021745 63.517191) (xy 137.980991 63.249025) (xy 137.888703 62.993962)
- (xy 137.82933 62.882881) (xy 137.637124 62.772484) (xy 137.642609 62.766999) (xy 139.227391 62.766999) (xy 139.232876 62.772484)
- (xy 139.04067 62.882881) (xy 138.92557 63.128495) (xy 138.8606 63.391845) (xy 138.848255 63.662809) (xy 138.889009 63.930975)
- (xy 138.981297 64.186038) (xy 139.04067 64.297119) (xy 139.232878 64.407517) (xy 140.050395 63.59) (xy 140.036253 63.575858)
- (xy 140.215858 63.396253) (xy 140.23 63.410395) (xy 140.244143 63.396253) (xy 140.423748 63.575858) (xy 140.409605 63.59)
- (xy 141.227122 64.407517) (xy 141.41933 64.297119) (xy 141.53443 64.051505) (xy 141.5994 63.788155) (xy 141.611745 63.517191)
- (xy 141.582841 63.327) (xy 143.671176 63.327) (xy 143.671176 63.45) (xy 143.678455 63.523905) (xy 143.700012 63.59497)
- (xy 143.735019 63.660463) (xy 143.782131 63.717869) (xy 143.839537 63.764981) (xy 143.90503 63.799988) (xy 143.976095 63.821545)
- (xy 144.05 63.828824) (xy 144.291573 63.828824) (xy 144.3545 63.905501) (xy 144.378422 63.925133) (xy 144.71445 64.261162)
- (xy 144.693 64.369) (xy 144.693 64.591) (xy 144.73631 64.808734) (xy 144.821266 65.013835) (xy 144.944602 65.198421)
- (xy 145.101579 65.355398) (xy 145.286165 65.478734) (xy 145.491266 65.56369) (xy 145.709 65.607) (xy 145.931 65.607)
- (xy 146.148734 65.56369) (xy 146.353835 65.478734) (xy 146.538421 65.355398) (xy 146.695398 65.198421) (xy 146.818734 65.013835)
- (xy 146.84 64.962495) (xy 146.861266 65.013835) (xy 146.984602 65.198421) (xy 147.141579 65.355398) (xy 147.326165 65.478734)
- (xy 147.531266 65.56369) (xy 147.749 65.607) (xy 147.971 65.607) (xy 148.188734 65.56369) (xy 148.393835 65.478734)
- (xy 148.578421 65.355398) (xy 148.635409 65.29841) (xy 149.261195 65.29841) (xy 149.341306 65.465043) (xy 149.544213 65.555111)
- (xy 149.760793 65.603864) (xy 149.982723 65.609428) (xy 150.201474 65.571588) (xy 150.40864 65.491798) (xy 150.458694 65.465043)
- (xy 150.538805 65.29841) (xy 149.9 64.659605) (xy 149.261195 65.29841) (xy 148.635409 65.29841) (xy 148.735398 65.198421)
- (xy 148.858734 65.013835) (xy 148.879032 64.964831) (xy 148.888202 64.98864) (xy 148.914957 65.038694) (xy 149.08159 65.118805)
- (xy 149.720395 64.48) (xy 149.706253 64.465858) (xy 149.885858 64.286253) (xy 149.9 64.300395) (xy 149.914143 64.286253)
- (xy 150.093748 64.465858) (xy 150.079605 64.48) (xy 150.71841 65.118805) (xy 150.885043 65.038694) (xy 150.919453 64.961174)
- (xy 150.941266 65.013835) (xy 151.064602 65.198421) (xy 151.221579 65.355398) (xy 151.406165 65.478734) (xy 151.611266 65.56369)
- (xy 151.829 65.607) (xy 152.051 65.607) (xy 152.268734 65.56369) (xy 152.473835 65.478734) (xy 152.658421 65.355398)
- (xy 152.815398 65.198421) (xy 152.938734 65.013835) (xy 153.02369 64.808734) (xy 153.067 64.591) (xy 153.067 64.587122)
- (xy 155.672483 64.587122) (xy 155.782881 64.77933) (xy 156.028495 64.89443) (xy 156.291845 64.9594) (xy 156.562809 64.971745)
- (xy 156.830975 64.930991) (xy 157.086038 64.838703) (xy 157.197119 64.77933) (xy 157.307517 64.587122) (xy 156.49 63.769605)
- (xy 155.672483 64.587122) (xy 153.067 64.587122) (xy 153.067 64.369) (xy 153.02369 64.151266) (xy 152.938734 63.946165)
- (xy 152.815398 63.761579) (xy 152.716628 63.662809) (xy 155.108255 63.662809) (xy 155.149009 63.930975) (xy 155.241297 64.186038)
- (xy 155.30067 64.297119) (xy 155.492878 64.407517) (xy 156.310395 63.59) (xy 156.669605 63.59) (xy 157.487122 64.407517)
- (xy 157.67933 64.297119) (xy 157.79443 64.051505) (xy 157.8594 63.788155) (xy 157.871745 63.517191) (xy 157.830991 63.249025)
- (xy 157.738703 62.993962) (xy 157.67933 62.882881) (xy 157.487122 62.772483) (xy 156.669605 63.59) (xy 156.310395 63.59)
- (xy 155.492878 62.772483) (xy 155.30067 62.882881) (xy 155.18557 63.128495) (xy 155.1206 63.391845) (xy 155.108255 63.662809)
- (xy 152.716628 63.662809) (xy 152.658421 63.604602) (xy 152.473835 63.481266) (xy 152.268734 63.39631) (xy 152.051 63.353)
- (xy 151.839111 63.353) (xy 151.918734 63.233835) (xy 152.00369 63.028734) (xy 152.047 62.811) (xy 152.047 62.592878)
- (xy 155.672483 62.592878) (xy 156.49 63.410395) (xy 157.307517 62.592878) (xy 157.197119 62.40067) (xy 156.951505 62.28557)
- (xy 156.688155 62.2206) (xy 156.417191 62.208255) (xy 156.149025 62.249009) (xy 155.893962 62.341297) (xy 155.782881 62.40067)
- (xy 155.672483 62.592878) (xy 152.047 62.592878) (xy 152.047 62.589) (xy 152.00369 62.371266) (xy 151.918734 62.166165)
- (xy 151.795398 61.981579) (xy 151.638421 61.824602) (xy 151.453835 61.701266) (xy 151.248734 61.61631) (xy 151.031 61.573)
- (xy 150.809 61.573) (xy 150.591266 61.61631) (xy 150.386165 61.701266) (xy 150.201579 61.824602) (xy 150.044602 61.981579)
- (xy 149.921266 62.166165) (xy 149.9 62.217505) (xy 149.878734 62.166165) (xy 149.755398 61.981579) (xy 149.598421 61.824602)
- (xy 149.413835 61.701266) (xy 149.208734 61.61631) (xy 148.991 61.573) (xy 148.769 61.573) (xy 148.551266 61.61631)
- (xy 148.346165 61.701266) (xy 148.161579 61.824602) (xy 148.004602 61.981579) (xy 147.881266 62.166165) (xy 147.860968 62.215169)
- (xy 147.851798 62.19136) (xy 147.825043 62.141306) (xy 147.65841 62.061195) (xy 147.019605 62.7) (xy 147.033748 62.714143)
- (xy 146.854143 62.893748) (xy 146.84 62.879605) (xy 146.825858 62.893748) (xy 146.646253 62.714143) (xy 146.660395 62.7)
- (xy 146.02159 62.061195) (xy 145.928824 62.105793) (xy 145.928824 61.95) (xy 145.922087 61.88159) (xy 146.201195 61.88159)
- (xy 146.84 62.520395) (xy 147.478805 61.88159) (xy 147.398694 61.714957) (xy 147.195787 61.624889) (xy 146.979207 61.576136)
- (xy 146.757277 61.570572) (xy 146.538526 61.608412) (xy 146.33136 61.688202) (xy 146.281306 61.714957) (xy 146.201195 61.88159)
- (xy 145.922087 61.88159) (xy 145.921545 61.876095) (xy 145.899988 61.80503) (xy 145.864981 61.739537) (xy 145.817869 61.682131)
- (xy 145.760463 61.635019) (xy 145.69497 61.600012) (xy 145.623905 61.578455) (xy 145.55 61.571176) (xy 144.05 61.571176)
- (xy 143.976095 61.578455) (xy 143.90503 61.600012) (xy 143.839537 61.635019) (xy 143.782131 61.682131) (xy 143.735019 61.739537)
- (xy 143.700012 61.80503) (xy 143.678455 61.876095) (xy 143.671176 61.95) (xy 143.671176 62.073) (xy 142.623087 62.073)
- (xy 142.958303 61.93415) (xy 143.286201 61.715055) (xy 143.565055 61.436201) (xy 143.78415 61.108303) (xy 143.935064 60.743962)
- (xy 144.012 60.35718) (xy 144.012 59.96282) (xy 152.708 59.96282) (xy 152.708 60.35718) (xy 152.784936 60.743962)
- (xy 152.93585 61.108303) (xy 153.154945 61.436201) (xy 153.433799 61.715055) (xy 153.761697 61.93415) (xy 154.126038 62.085064)
- (xy 154.51282 62.162) (xy 154.90718 62.162) (xy 155.293962 62.085064) (xy 155.658303 61.93415) (xy 155.986201 61.715055)
- (xy 156.265055 61.436201) (xy 156.48415 61.108303) (xy 156.635064 60.743962) (xy 156.712 60.35718) (xy 156.712 59.96282)
- (xy 156.635064 59.576038) (xy 156.48415 59.211697) (xy 156.265055 58.883799) (xy 155.986201 58.604945) (xy 155.658303 58.38585)
- (xy 155.293962 58.234936) (xy 154.90718 58.158) (xy 154.51282 58.158) (xy 154.126038 58.234936) (xy 153.761697 58.38585)
- (xy 153.433799 58.604945) (xy 153.154945 58.883799) (xy 152.93585 59.211697) (xy 152.784936 59.576038) (xy 152.708 59.96282)
- (xy 144.012 59.96282) (xy 143.935064 59.576038) (xy 143.78415 59.211697) (xy 143.565055 58.883799) (xy 143.286201 58.604945)
- (xy 142.958303 58.38585) (xy 142.593962 58.234936) (xy 142.20718 58.158) (xy 141.81282 58.158) (xy 141.426038 58.234936)
- (xy 141.061697 58.38585) (xy 140.733799 58.604945) (xy 140.454945 58.883799) (xy 140.23585 59.211697) (xy 140.084936 59.576038)
- (xy 140.008 59.96282) (xy 140.008 60.35718) (xy 140.084936 60.743962) (xy 140.23585 61.108303) (xy 140.454945 61.436201)
- (xy 140.531743 61.512999) (xy 136.338257 61.512999) (xy 136.415055 61.436201) (xy 136.63415 61.108303) (xy 136.785064 60.743962)
- (xy 136.862 60.35718) (xy 136.862 59.96282) (xy 136.785064 59.576038) (xy 136.63415 59.211697) (xy 136.415055 58.883799)
- (xy 136.136201 58.604945) (xy 135.808303 58.38585) (xy 135.443962 58.234936) (xy 135.05718 58.158) (xy 134.66282 58.158)
- (xy 134.276038 58.234936) (xy 133.911697 58.38585) (xy 133.583799 58.604945) (xy 133.304945 58.883799) (xy 133.08585 59.211697)
- (xy 132.934936 59.576038) (xy 132.858 59.96282) (xy 132.858 60.35718) (xy 132.934936 60.743962) (xy 133.08585 61.108303)
- (xy 133.304945 61.436201) (xy 133.476745 61.608001) (xy 132.640715 61.608001) (xy 132.111139 61.078426) (xy 132.091502 61.054498)
- (xy 131.996029 60.976146) (xy 131.887104 60.917924) (xy 131.768914 60.882072) (xy 131.676795 60.872999) (xy 131.646001 60.869966)
- (xy 131.615207 60.872999) (xy 126.180795 60.872999) (xy 126.150001 60.869966) (xy 126.119207 60.872999) (xy 126.027088 60.882072)
- (xy 125.908898 60.917924) (xy 125.799973 60.976146) (xy 125.7045 61.054498) (xy 125.684867 61.078421) (xy 125.192112 61.571176)
- (xy 124.2 61.571176) (xy 124.126095 61.578455) (xy 124.05503 61.600012) (xy 123.989537 61.635019) (xy 123.932131 61.682131)
- (xy 123.885019 61.739537) (xy 123.850012 61.80503) (xy 123.828455 61.876095) (xy 123.821176 61.95) (xy 123.821176 63.45)
- (xy 123.828455 63.523905) (xy 123.850012 63.59497) (xy 123.885019 63.660463) (xy 123.932131 63.717869) (xy 123.989537 63.764981)
- (xy 124.05503 63.799988) (xy 124.126095 63.821545) (xy 124.2 63.828824) (xy 124.441573 63.828824) (xy 124.5045 63.905501)
- (xy 124.528422 63.925133) (xy 124.86445 64.261162) (xy 124.843 64.369) (xy 124.843 64.591) (xy 124.88631 64.808734)
- (xy 124.971266 65.013835) (xy 125.094602 65.198421) (xy 125.251579 65.355398) (xy 125.436165 65.478734) (xy 125.641266 65.56369)
- (xy 125.859 65.607) (xy 126.081 65.607) (xy 126.298734 65.56369) (xy 126.503835 65.478734) (xy 126.688421 65.355398)
- (xy 126.845398 65.198421) (xy 126.968734 65.013835) (xy 126.99 64.962495) (xy 127.011266 65.013835) (xy 127.134602 65.198421)
- (xy 127.291579 65.355398) (xy 127.476165 65.478734) (xy 127.681266 65.56369) (xy 127.899 65.607) (xy 128.121 65.607)
- (xy 128.338734 65.56369) (xy 128.543835 65.478734) (xy 128.728421 65.355398) (xy 128.785409 65.29841) (xy 129.411195 65.29841)
- (xy 129.491306 65.465043) (xy 129.694213 65.555111) (xy 129.910793 65.603864) (xy 130.132723 65.609428) (xy 130.351474 65.571588)
- (xy 130.55864 65.491798) (xy 130.608694 65.465043) (xy 130.688805 65.29841) (xy 130.05 64.659605) (xy 129.411195 65.29841)
- (xy 128.785409 65.29841) (xy 128.885398 65.198421) (xy 129.008734 65.013835) (xy 129.029032 64.964831) (xy 129.038202 64.98864)
- (xy 129.064957 65.038694) (xy 129.23159 65.118805) (xy 129.870395 64.48) (xy 129.856253 64.465858) (xy 130.035858 64.286253)
- (xy 130.05 64.300395) (xy 130.064143 64.286253) (xy 130.243748 64.465858) (xy 130.229605 64.48) (xy 130.86841 65.118805)
- (xy 131.035043 65.038694) (xy 131.069453 64.961174) (xy 131.091266 65.013835) (xy 131.214602 65.198421) (xy 131.371579 65.355398)
- (xy 131.556165 65.478734) (xy 131.761266 65.56369) (xy 131.979 65.607) (xy 132.201 65.607) (xy 132.418734 65.56369)
- (xy 132.623835 65.478734) (xy 132.808421 65.355398) (xy 132.965398 65.198421) (xy 133.088734 65.013835) (xy 133.17369 64.808734)
- (xy 133.217 64.591) (xy 133.217 64.369) (xy 133.17369 64.151266) (xy 133.088734 63.946165) (xy 132.965398 63.761579)
- (xy 132.808421 63.604602) (xy 132.623835 63.481266) (xy 132.418734 63.39631) (xy 132.201 63.353) (xy 131.989111 63.353)
- (xy 132.068734 63.233835) (xy 132.15369 63.028734) (xy 132.192611 62.833065) (xy 132.25809 62.852928) (xy 132.381003 62.865034)
- (xy 132.411797 62.862001) (xy 135.487023 62.862001) (xy 135.45067 62.882881) (xy 135.33557 63.128495) (xy 135.2706 63.391845)
- (xy 135.258255 63.662809) (xy 135.299009 63.930975) (xy 135.391297 64.186038) (xy 135.412247 64.225233) (xy 135.273357 64.252859)
- (xy 135.131952 64.311431) (xy 135.004691 64.396464) (xy 134.896464 64.504691) (xy 134.811431 64.631952) (xy 134.752859 64.773357)
- (xy 134.723 64.923472) (xy 134.723 65.076528) (xy 134.752859 65.226643) (xy 134.76513 65.256267) (xy 134.631952 65.311431)
- (xy 134.504691 65.396464) (xy 134.396464 65.504691) (xy 134.311431 65.631952) (xy 134.252859 65.773357) (xy 134.223 65.923472)
- (xy 134.223 66.076528) (xy 134.252859 66.226643) (xy 134.311431 66.368048) (xy 134.396464 66.495309) (xy 134.504691 66.603536)
- (xy 134.631952 66.688569) (xy 134.773357 66.747141) (xy 134.923472 66.777) (xy 135.076528 66.777) (xy 135.226643 66.747141)
- (xy 135.368048 66.688569) (xy 135.43434 66.644274) (xy 135.863066 67.073) (xy 119.327 67.073) (xy 119.327 64.587122)
- (xy 119.562483 64.587122) (xy 119.672881 64.77933) (xy 119.918495 64.89443) (xy 120.181845 64.9594) (xy 120.452809 64.971745)
- (xy 120.720975 64.930991) (xy 120.976038 64.838703) (xy 121.087119 64.77933) (xy 121.197517 64.587122) (xy 120.38 63.769605)
- (xy 119.562483 64.587122) (xy 119.327 64.587122) (xy 119.327 64.375423) (xy 119.382878 64.407517) (xy 120.200395 63.59)
- (xy 120.559605 63.59) (xy 121.377122 64.407517) (xy 121.56933 64.297119) (xy 121.68443 64.051505) (xy 121.7494 63.788155)
- (xy 121.761745 63.517191) (xy 121.720991 63.249025) (xy 121.628703 62.993962) (xy 121.56933 62.882881) (xy 121.377122 62.772483)
- (xy 120.559605 63.59) (xy 120.200395 63.59) (xy 119.382878 62.772483) (xy 119.327 62.804577) (xy 119.327 62.592878)
- (xy 119.562483 62.592878) (xy 120.38 63.410395) (xy 121.197517 62.592878) (xy 121.087119 62.40067) (xy 120.841505 62.28557)
- (xy 120.578155 62.2206) (xy 120.307191 62.208255) (xy 120.039025 62.249009) (xy 119.783962 62.341297) (xy 119.672881 62.40067)
- (xy 119.562483 62.592878) (xy 119.327 62.592878) (xy 119.327 59.96282) (xy 120.158 59.96282) (xy 120.158 60.35718)
- (xy 120.234936 60.743962) (xy 120.38585 61.108303) (xy 120.604945 61.436201) (xy 120.883799 61.715055) (xy 121.211697 61.93415)
- (xy 121.576038 62.085064) (xy 121.96282 62.162) (xy 122.35718 62.162) (xy 122.743962 62.085064) (xy 123.108303 61.93415)
- (xy 123.436201 61.715055) (xy 123.715055 61.436201) (xy 123.93415 61.108303) (xy 124.085064 60.743962) (xy 124.162 60.35718)
- (xy 124.162 59.96282) (xy 124.085064 59.576038) (xy 123.93415 59.211697) (xy 123.715055 58.883799) (xy 123.436201 58.604945)
- (xy 123.108303 58.38585) (xy 122.743962 58.234936) (xy 122.35718 58.158) (xy 121.96282 58.158) (xy 121.576038 58.234936)
- (xy 121.211697 58.38585) (xy 120.883799 58.604945) (xy 120.604945 58.883799) (xy 120.38585 59.211697) (xy 120.234936 59.576038)
- (xy 120.158 59.96282) (xy 119.327 59.96282) (xy 119.327 55.377) (xy 157.873 55.377)
- )
- )
- (filled_polygon
- (pts
- (xy 127.183748 62.685858) (xy 127.169605 62.7) (xy 127.183748 62.714143) (xy 127.004143 62.893748) (xy 126.99 62.879605)
- (xy 126.975858 62.893748) (xy 126.796253 62.714143) (xy 126.810395 62.7) (xy 126.796253 62.685858) (xy 126.975858 62.506253)
- (xy 126.99 62.520395) (xy 127.004143 62.506253)
- )
- )
- )
- (zone (net 17) (net_name "/OUT_B") (layer "B.Cu") (tstamp 5C54F5E0) (hatch edge 0.508)
- (priority 1)
- (connect_pads yes (clearance 0.25))
- (min_thickness 0.254)
- (fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 128 120) (xy 170 120) (xy 170 143) (xy 158 143) (xy 154 147)
- (xy 128 147)
- )
- )
- (filled_polygon
- (pts
- (xy 130.352859 120.173357) (xy 130.323 120.323472) (xy 130.323 120.476528) (xy 130.352859 120.626643) (xy 130.411431 120.768048)
- (xy 130.496464 120.895309) (xy 130.604691 121.003536) (xy 130.731952 121.088569) (xy 130.873357 121.147141) (xy 131.023472 121.177)
- (xy 131.176528 121.177) (xy 131.326643 121.147141) (xy 131.468048 121.088569) (xy 131.595309 121.003536) (xy 131.696845 120.902)
- (xy 166.975357 120.902) (xy 167 120.904427) (xy 167.024643 120.902) (xy 167.024653 120.902) (xy 167.098409 120.894736)
- (xy 167.193036 120.866031) (xy 167.280245 120.819417) (xy 167.356684 120.756684) (xy 167.372401 120.737533) (xy 167.747989 120.361945)
- (xy 167.747989 142.292076) (xy 167.167066 142.873) (xy 158 142.873) (xy 157.975224 142.87544) (xy 157.951399 142.882667)
- (xy 157.929443 142.894403) (xy 157.910197 142.910197) (xy 157.872428 142.947966) (xy 156.388269 142.947966) (xy 156.363624 142.945539)
- (xy 156.338978 142.947966) (xy 156.338971 142.947966) (xy 156.274056 142.954359) (xy 156.265214 142.95523) (xy 156.170587 142.983935)
- (xy 156.083378 143.030549) (xy 156.026089 143.077566) (xy 156.026086 143.077569) (xy 156.00694 143.093282) (xy 155.991227 143.112428)
- (xy 153.455666 145.64799) (xy 147.874655 145.64799) (xy 147.850012 145.645563) (xy 147.825369 145.64799) (xy 147.825359 145.64799)
- (xy 147.751603 145.655254) (xy 147.656976 145.683959) (xy 147.569767 145.730573) (xy 147.493328 145.793306) (xy 147.477615 145.812452)
- (xy 146.417067 146.873) (xy 132.377 146.873) (xy 132.377 137.357015) (xy 132.426643 137.347141) (xy 132.568048 137.288569)
- (xy 132.695309 137.203536) (xy 132.803536 137.095309) (xy 132.888569 136.968048) (xy 132.947141 136.826643) (xy 132.977 136.676528)
- (xy 132.977 136.523472) (xy 132.947141 136.373357) (xy 132.888569 136.231952) (xy 132.803536 136.104691) (xy 132.702 136.003155)
- (xy 132.702 134.024645) (xy 132.704427 134) (xy 132.702 133.975354) (xy 132.702 133.975347) (xy 132.694736 133.901591)
- (xy 132.666031 133.806964) (xy 132.666031 133.806963) (xy 132.619417 133.719754) (xy 132.5724 133.662465) (xy 132.572397 133.662462)
- (xy 132.556684 133.643316) (xy 132.537538 133.627603) (xy 132.377 133.467065) (xy 132.377 133) (xy 132.369756 132.926451)
- (xy 132.348303 132.855728) (xy 132.313464 132.79055) (xy 132.266579 132.733421) (xy 132.20945 132.686536) (xy 132.144272 132.651697)
- (xy 132.073549 132.630244) (xy 132 132.623) (xy 131.531929 132.623) (xy 131.480245 132.580583) (xy 131.393036 132.533969)
- (xy 131.298409 132.505264) (xy 131.224653 132.498) (xy 131.224643 132.498) (xy 131.2 132.495573) (xy 131.175357 132.498)
- (xy 129.107935 132.498) (xy 128.902 132.292065) (xy 128.902 130.880936) (xy 129.062538 130.720398) (xy 129.081685 130.704685)
- (xy 129.097398 130.685539) (xy 129.097401 130.685536) (xy 129.144417 130.628247) (xy 129.144418 130.628246) (xy 129.191032 130.541037)
- (xy 129.219737 130.44641) (xy 129.227001 130.372654) (xy 129.227001 130.372644) (xy 129.229428 130.348001) (xy 129.227001 130.323358)
- (xy 129.227001 129.676641) (xy 129.229428 129.651998) (xy 129.227001 129.627355) (xy 129.227001 129.627346) (xy 129.219737 129.55359)
- (xy 129.191032 129.458963) (xy 129.144418 129.371754) (xy 129.081685 129.295315) (xy 129.062539 129.279602) (xy 128.552011 128.769075)
- (xy 128.552011 120.127) (xy 130.372061 120.127)
- )
- )
- )
- (zone (net 18) (net_name "/VIN") (layer "B.Cu") (tstamp 5C54F5DD) (hatch edge 0.508)
- (priority 2)
- (connect_pads yes (clearance 0.25))
- (min_thickness 0.254)
- (fill yes (arc_segments 16) (thermal_gap 0.25) (thermal_bridge_width 0.508))
- (polygon
- (pts
- (xy 133 95) (xy 133 107) (xy 128 107) (xy 128 133) (xy 132 133)
- (xy 132 147) (xy 112 147) (xy 110 147) (xy 93 130) (xy 93 95)
- (xy 132 95)
- )
- )
- (filled_polygon
- (pts
- (xy 109.063539 95.248005) (xy 108.739736 95.464364) (xy 108.464364 95.739736) (xy 108.248005 96.063539) (xy 108.098975 96.42333)
- (xy 108.023 96.805282) (xy 108.023 97.194718) (xy 108.098975 97.57667) (xy 108.248005 97.936461) (xy 108.464364 98.260264)
- (xy 108.739736 98.535636) (xy 109.063539 98.751995) (xy 109.42333 98.901025) (xy 109.805282 98.977) (xy 110.194718 98.977)
- (xy 110.57667 98.901025) (xy 110.936461 98.751995) (xy 111.260264 98.535636) (xy 111.535636 98.260264) (xy 111.751995 97.936461)
- (xy 111.901025 97.57667) (xy 111.977 97.194718) (xy 111.977 96.805282) (xy 111.901025 96.42333) (xy 111.751995 96.063539)
- (xy 111.535636 95.739736) (xy 111.260264 95.464364) (xy 110.936461 95.248005) (xy 110.644329 95.127) (xy 131.714742 95.127)
- (xy 131.711433 95.131952) (xy 131.652861 95.273357) (xy 131.623002 95.423472) (xy 131.623002 95.576528) (xy 131.652861 95.726643)
- (xy 131.711433 95.868048) (xy 131.796466 95.995309) (xy 131.904693 96.103536) (xy 132.031954 96.188569) (xy 132.173359 96.247141)
- (xy 132.323474 96.277) (xy 132.467067 96.277) (xy 132.498001 96.307934) (xy 132.498 105.992065) (xy 132.392066 106.098)
- (xy 128.524645 106.098) (xy 128.5 106.095573) (xy 128.475354 106.098) (xy 128.475347 106.098) (xy 128.410432 106.104393)
- (xy 128.40159 106.105264) (xy 128.306963 106.133969) (xy 128.219754 106.180583) (xy 128.162465 106.2276) (xy 128.162462 106.227603)
- (xy 128.143316 106.243316) (xy 128.127603 106.262462) (xy 127.162463 107.227603) (xy 127.143317 107.243316) (xy 127.127604 107.262462)
- (xy 127.127601 107.262465) (xy 127.080584 107.319755) (xy 127.03397 107.406964) (xy 127.005265 107.501591) (xy 126.995573 107.6)
- (xy 126.998001 107.624653) (xy 126.998 116.464377) (xy 126.952859 116.573357) (xy 126.923 116.723472) (xy 126.923 116.876528)
- (xy 126.952859 117.026643) (xy 127.011431 117.168048) (xy 127.096464 117.295309) (xy 127.204691 117.403536) (xy 127.331952 117.488569)
- (xy 127.432748 117.53032) (xy 127.262464 117.700604) (xy 127.243318 117.716317) (xy 127.227605 117.735463) (xy 127.227602 117.735466)
- (xy 127.180585 117.792756) (xy 127.133971 117.879965) (xy 127.105266 117.974592) (xy 127.095574 118.073001) (xy 127.098002 118.097654)
- (xy 127.098001 129.575358) (xy 127.095574 129.600001) (xy 127.098001 129.624644) (xy 127.098001 129.624653) (xy 127.105265 129.698409)
- (xy 127.13397 129.793036) (xy 127.180584 129.880246) (xy 127.223 129.931929) (xy 127.223 130.076528) (xy 127.252859 130.226643)
- (xy 127.311431 130.368048) (xy 127.396464 130.495309) (xy 127.504691 130.603536) (xy 127.631952 130.688569) (xy 127.773357 130.747141)
- (xy 127.873 130.766961) (xy 127.873 133) (xy 127.87544 133.024776) (xy 127.882667 133.048601) (xy 127.894403 133.070557)
- (xy 127.910197 133.089803) (xy 127.929443 133.105597) (xy 127.951399 133.117333) (xy 127.975224 133.12456) (xy 128 133.127)
- (xy 128.317065 133.127) (xy 128.527607 133.337543) (xy 128.543316 133.356684) (xy 128.562456 133.372392) (xy 128.562464 133.3724)
- (xy 128.619754 133.419417) (xy 128.666368 133.444332) (xy 128.706964 133.466031) (xy 128.801591 133.494736) (xy 128.875347 133.502)
- (xy 128.875357 133.502) (xy 128.9 133.504427) (xy 128.924643 133.502) (xy 130.992066 133.502) (xy 131.698001 134.207936)
- (xy 131.698 136.003155) (xy 131.596464 136.104691) (xy 131.511431 136.231952) (xy 131.452859 136.373357) (xy 131.423 136.523472)
- (xy 131.423 136.676528) (xy 131.452859 136.826643) (xy 131.511431 136.968048) (xy 131.596464 137.095309) (xy 131.704691 137.203536)
- (xy 131.831952 137.288569) (xy 131.873 137.305572) (xy 131.873 146.873) (xy 110.052606 146.873) (xy 93.127 129.947394)
- (xy 93.127 124.627) (xy 94.75 124.627) (xy 94.774776 124.62456) (xy 94.798601 124.617333) (xy 94.820557 124.605597)
- (xy 94.839803 124.589803) (xy 94.855597 124.570557) (xy 94.867333 124.548601) (xy 94.87456 124.524776) (xy 94.877 124.5)
- (xy 94.877 95.127) (xy 109.355671 95.127)
- )
- )
- )
-)
+(kicad_pcb (version 20171130) (host pcbnew "(5.1.5)-3")
+
+ (general
+ (thickness 1.6)
+ (drawings 78)
+ (tracks 1218)
+ (zones 0)
+ (modules 111)
+ (nets 70)
+ )
+
+ (page A4)
+ (layers
+ (0 F.Cu signal)
+ (31 B.Cu signal)
+ (32 B.Adhes user)
+ (33 F.Adhes user)
+ (34 B.Paste user)
+ (35 F.Paste user)
+ (36 B.SilkS user hide)
+ (37 F.SilkS user)
+ (38 B.Mask user)
+ (39 F.Mask user)
+ (40 Dwgs.User user)
+ (41 Cmts.User user)
+ (42 Eco1.User user)
+ (43 Eco2.User user)
+ (44 Edge.Cuts user)
+ (45 Margin user)
+ (46 B.CrtYd user)
+ (47 F.CrtYd user)
+ (48 B.Fab user)
+ (49 F.Fab user)
+ )
+
+ (setup
+ (last_trace_width 0.25)
+ (user_trace_width 0.15)
+ (user_trace_width 0.25)
+ (user_trace_width 0.5)
+ (user_trace_width 0.8)
+ (user_trace_width 1.2)
+ (user_trace_width 1.8)
+ (user_trace_width 2.5)
+ (user_trace_width 3.2)
+ (trace_clearance 0.2)
+ (zone_clearance 0.6)
+ (zone_45_only no)
+ (trace_min 0.15)
+ (via_size 0.8)
+ (via_drill 0.4)
+ (via_min_size 0.4)
+ (via_min_drill 0.3)
+ (user_via 2 1)
+ (uvia_size 0.3)
+ (uvia_drill 0.1)
+ (uvias_allowed no)
+ (uvia_min_size 0.2)
+ (uvia_min_drill 0.1)
+ (edge_width 0.05)
+ (segment_width 0.2)
+ (pcb_text_width 0.3)
+ (pcb_text_size 1.5 1.5)
+ (mod_edge_width 0.12)
+ (mod_text_size 1 1)
+ (mod_text_width 0.15)
+ (pad_size 3.2 3.2)
+ (pad_drill 3.2)
+ (pad_to_mask_clearance 0.051)
+ (solder_mask_min_width 0.25)
+ (aux_axis_origin 0 0)
+ (visible_elements 7FFFFFFF)
+ (pcbplotparams
+ (layerselection 0x011fc_ffffffff)
+ (usegerberextensions false)
+ (usegerberattributes false)
+ (usegerberadvancedattributes false)
+ (creategerberjobfile false)
+ (excludeedgelayer true)
+ (linewidth 0.100000)
+ (plotframeref false)
+ (viasonmask false)
+ (mode 1)
+ (useauxorigin false)
+ (hpglpennumber 1)
+ (hpglpenspeed 20)
+ (hpglpendiameter 15.000000)
+ (psnegative false)
+ (psa4output false)
+ (plotreference true)
+ (plotvalue true)
+ (plotinvisibletext false)
+ (padsonsilk false)
+ (subtractmaskfromsilk false)
+ (outputformat 1)
+ (mirror false)
+ (drillshape 0)
+ (scaleselection 1)
+ (outputdirectory "gerber"))
+ )
+
+ (net 0 "")
+ (net 1 GND)
+ (net 2 /VBOOT_MON)
+ (net 3 +3V3)
+ (net 4 /VIN_MON)
+ (net 5 "Net-(D1-Pad2)")
+ (net 6 "Net-(U7-Pad3)")
+ (net 7 "Net-(U8-Pad3)")
+ (net 8 /OUT_A)
+ (net 9 /OUT_B)
+ (net 10 /VIN)
+ (net 11 /GND_MEAS)
+ (net 12 /DRV1)
+ (net 13 /DRV2)
+ (net 14 /DRV3)
+ (net 15 /DRV4)
+ (net 16 /CTRL_B)
+ (net 17 /CTRL_A)
+ (net 18 /SWCLK)
+ (net 19 /SWDIO)
+ (net 20 /TX)
+ (net 21 /RX)
+ (net 22 /RX485_A)
+ (net 23 /RS485_B)
+ (net 24 /BUS_VCC)
+ (net 25 /SDA)
+ (net 26 /SCL)
+ (net 27 "Net-(R6-Pad1)")
+ (net 28 "Net-(R12-Pad1)")
+ (net 29 /DE)
+ (net 30 /SCK)
+ (net 31 /MOSI)
+ (net 32 /CLED1)
+ (net 33 /CLED2)
+ (net 34 "Net-(J4-Pad8)")
+ (net 35 "Net-(J4-Pad7)")
+ (net 36 "Net-(J5-Pad7)")
+ (net 37 "Net-(J5-Pad8)")
+ (net 38 /CLED4)
+ (net 39 /CLED3)
+ (net 40 "Net-(U2-Pad9)")
+ (net 41 "Net-(R11-Pad1)")
+ (net 42 /SLED1)
+ (net 43 /SLED2)
+ (net 44 /SLED3)
+ (net 45 /SLED4)
+ (net 46 "Net-(R17-Pad1)")
+ (net 47 "Net-(D4-Pad1)")
+ (net 48 "Net-(D5-Pad1)")
+ (net 49 "Net-(D6-Pad1)")
+ (net 50 "Net-(D7-Pad1)")
+ (net 51 /XT1)
+ (net 52 /XT2)
+ (net 53 /RST)
+ (net 54 /VIsense)
+ (net 55 /GND_RS485)
+ (net 56 "Net-(R33-Pad2)")
+ (net 57 "Net-(R36-Pad1)")
+ (net 58 "Net-(C4-Pad2)")
+ (net 59 "Net-(C4-Pad1)")
+ (net 60 "Net-(C5-Pad1)")
+ (net 61 +12V)
+ (net 62 "Net-(D3-Pad2)")
+ (net 63 /ILIM)
+ (net 64 "Net-(R4-Pad2)")
+ (net 65 "Net-(U3-Pad7)")
+ (net 66 "Net-(U3-Pad6)")
+ (net 67 /PAD)
+ (net 68 /VB2)
+ (net 69 /VB1)
+
+ (net_class Default "This is the default net class."
+ (clearance 0.2)
+ (trace_width 0.25)
+ (via_dia 0.8)
+ (via_drill 0.4)
+ (uvia_dia 0.3)
+ (uvia_drill 0.1)
+ (add_net +12V)
+ (add_net +3V3)
+ (add_net /BUS_VCC)
+ (add_net /CLED1)
+ (add_net /CLED2)
+ (add_net /CLED3)
+ (add_net /CLED4)
+ (add_net /CTRL_A)
+ (add_net /CTRL_B)
+ (add_net /DE)
+ (add_net /GND_MEAS)
+ (add_net /GND_RS485)
+ (add_net /ILIM)
+ (add_net /MOSI)
+ (add_net /PAD)
+ (add_net /RS485_B)
+ (add_net /RST)
+ (add_net /RX)
+ (add_net /RX485_A)
+ (add_net /SCK)
+ (add_net /SCL)
+ (add_net /SDA)
+ (add_net /SLED1)
+ (add_net /SLED2)
+ (add_net /SLED3)
+ (add_net /SLED4)
+ (add_net /SWCLK)
+ (add_net /SWDIO)
+ (add_net /TX)
+ (add_net /VBOOT_MON)
+ (add_net /VIN_MON)
+ (add_net /VIsense)
+ (add_net /XT1)
+ (add_net /XT2)
+ (add_net GND)
+ (add_net "Net-(C4-Pad1)")
+ (add_net "Net-(C4-Pad2)")
+ (add_net "Net-(C5-Pad1)")
+ (add_net "Net-(D1-Pad2)")
+ (add_net "Net-(D3-Pad2)")
+ (add_net "Net-(D4-Pad1)")
+ (add_net "Net-(D5-Pad1)")
+ (add_net "Net-(D6-Pad1)")
+ (add_net "Net-(D7-Pad1)")
+ (add_net "Net-(J4-Pad7)")
+ (add_net "Net-(J4-Pad8)")
+ (add_net "Net-(J5-Pad7)")
+ (add_net "Net-(J5-Pad8)")
+ (add_net "Net-(R11-Pad1)")
+ (add_net "Net-(R12-Pad1)")
+ (add_net "Net-(R17-Pad1)")
+ (add_net "Net-(R33-Pad2)")
+ (add_net "Net-(R36-Pad1)")
+ (add_net "Net-(R4-Pad2)")
+ (add_net "Net-(R6-Pad1)")
+ (add_net "Net-(U2-Pad9)")
+ (add_net "Net-(U3-Pad6)")
+ (add_net "Net-(U3-Pad7)")
+ (add_net "Net-(U7-Pad3)")
+ (add_net "Net-(U8-Pad3)")
+ )
+
+ (net_class HV ""
+ (clearance 0.6)
+ (trace_width 0.25)
+ (via_dia 0.8)
+ (via_drill 0.4)
+ (uvia_dia 0.3)
+ (uvia_drill 0.1)
+ (add_net /DRV1)
+ (add_net /DRV2)
+ (add_net /DRV3)
+ (add_net /DRV4)
+ (add_net /OUT_A)
+ (add_net /OUT_B)
+ (add_net /VB1)
+ (add_net /VB2)
+ (add_net /VIN)
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5E91457B)
+ (at 144.65 85.65 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5EAB0084)
+ (attr smd)
+ (fp_text reference R19 (at 0 1.25) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 0R (at 0 1.43) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 61 +12V))
+ (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 62 "Net-(D3-Pad2)"))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Package_SO:SOIC-8_3.9x4.9mm_P1.27mm (layer F.Cu) (tedit 5D9F72B1) (tstamp 5E8D1C28)
+ (at 92.75 77.5)
+ (descr "SOIC, 8 Pin (JEDEC MS-012AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_8.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+ (tags "SOIC SO")
+ (path /5EDD5D38)
+ (attr smd)
+ (fp_text reference U3 (at 0 3.5) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value TX4138 (at 0 3.4) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.98 0.98) (thickness 0.15)))
+ )
+ (fp_line (start 3.7 -2.7) (end -3.7 -2.7) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 3.7 2.7) (end 3.7 -2.7) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -3.7 2.7) (end 3.7 2.7) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -3.7 -2.7) (end -3.7 2.7) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.95 -1.475) (end -0.975 -2.45) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.95 2.45) (end -1.95 -1.475) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.95 2.45) (end -1.95 2.45) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.975 -2.45) (end 1.95 -2.45) (layer F.Fab) (width 0.1))
+ (fp_line (start 0 -2.56) (end -3.45 -2.56) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0 -2.56) (end 1.95 -2.56) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0 2.56) (end -1.95 2.56) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0 2.56) (end 1.95 2.56) (layer F.SilkS) (width 0.12))
+ (pad 8 smd roundrect (at 2.475 -1.905) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 64 "Net-(R4-Pad2)"))
+ (pad 7 smd roundrect (at 2.475 -0.635) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 65 "Net-(U3-Pad7)"))
+ (pad 6 smd roundrect (at 2.475 0.635) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 66 "Net-(U3-Pad6)"))
+ (pad 5 smd roundrect (at 2.475 1.905) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 60 "Net-(C5-Pad1)"))
+ (pad 4 smd roundrect (at -2.475 1.905) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 1 GND))
+ (pad 3 smd roundrect (at -2.475 0.635) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 10 /VIN))
+ (pad 2 smd roundrect (at -2.475 -0.635) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 63 /ILIM))
+ (pad 1 smd roundrect (at -2.475 -1.905) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 58 "Net-(C4-Pad2)"))
+ (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitor_SMD:C_1206_3216Metric_Pad1.42x1.75mm_HandSolder (layer F.Cu) (tedit 5B301BBE) (tstamp 5E8D1133)
+ (at 104 68.15 180)
+ (descr "Capacitor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (path /5EDD5DF5)
+ (attr smd)
+ (fp_text reference C15 (at 0.25 -1.85) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 10u/16V (at 0 1.82) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.602064 -0.91) (end 0.602064 -0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.602064 0.91) (end 0.602064 0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ )
+ (pad 2 smd roundrect (at 1.4875 0 180) (size 1.425 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.175439)
+ (net 1 GND))
+ (pad 1 smd roundrect (at -1.4875 0 180) (size 1.425 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.175439)
+ (net 61 +12V))
+ (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_1206_3216Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5C898D3C)
+ (at 92 90.5 90)
+ (descr "Capacitor SMD 0603, hand soldering")
+ (tags "capacitor 0603")
+ (path /5C5F270F)
+ (attr smd)
+ (fp_text reference C29 (at -0.1 -1.4 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 100n (at 0 1.5 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 -1.25 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
+ (pad 2 smd rect (at 0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
+ (net 1 GND))
+ (pad 1 smd rect (at -0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
+ (net 3 +3V3))
+ (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBE) (tstamp 5E90B0A9)
+ (at 111.95 60.35 90)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (path /5EDD5DE3)
+ (attr smd)
+ (fp_text reference C19 (at 2.95 0.05 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 100n (at 0 1.43 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0 90) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (pad 2 smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 1 GND))
+ (pad 1 smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 3 +3V3))
+ (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBE) (tstamp 5E90AF74)
+ (at 104 65.9 180)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (path /5EDD5DEF)
+ (attr smd)
+ (fp_text reference C16 (at 3 -0.1) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 100n (at 0 1.43) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 1 GND))
+ (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 61 +12V))
+ (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBE) (tstamp 5E916B85)
+ (at 127.25 85 180)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (path /5EC07EAB)
+ (attr smd)
+ (fp_text reference C2 (at 0.05 1.35) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 1u (at 0 1.43) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 1 GND))
+ (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 61 +12V))
+ (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitor_THT:CP_Radial_D13.0mm_P5.00mm (layer F.Cu) (tedit 5AE50EF1) (tstamp 5E8D1051)
+ (at 79.25 72.75 270)
+ (descr "CP, Radial series, Radial, pin pitch=5.00mm, , diameter=13mm, Electrolytic Capacitor")
+ (tags "CP Radial series Radial pin pitch 5.00mm diameter 13mm Electrolytic Capacitor")
+ (path /5EDD5D4E)
+ (fp_text reference C7 (at 2.5 -7.75 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 2200uF/25V/2A (at 2.5 7.75 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 2.5 0) (end 9 0) (layer F.Fab) (width 0.1))
+ (fp_circle (center 2.5 0) (end 9.12 0) (layer F.SilkS) (width 0.12))
+ (fp_circle (center 2.5 0) (end 9.25 0) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -3.082015 -2.8475) (end -1.782015 -2.8475) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.432015 -3.4975) (end -2.432015 -2.1975) (layer F.Fab) (width 0.1))
+ (fp_line (start 2.5 -6.58) (end 2.5 6.58) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.54 -6.58) (end 2.54 6.58) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.58 -6.58) (end 2.58 6.58) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.62 -6.579) (end 2.62 6.579) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.66 -6.579) (end 2.66 6.579) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.7 -6.577) (end 2.7 6.577) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.74 -6.576) (end 2.74 6.576) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.78 -6.575) (end 2.78 6.575) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.82 -6.573) (end 2.82 6.573) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.86 -6.571) (end 2.86 6.571) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.9 -6.568) (end 2.9 6.568) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.94 -6.566) (end 2.94 6.566) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.98 -6.563) (end 2.98 6.563) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.02 -6.56) (end 3.02 6.56) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.06 -6.557) (end 3.06 6.557) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.1 -6.553) (end 3.1 6.553) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.14 -6.549) (end 3.14 6.549) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.18 -6.545) (end 3.18 6.545) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.221 -6.541) (end 3.221 6.541) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.261 -6.537) (end 3.261 6.537) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.301 -6.532) (end 3.301 6.532) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.341 -6.527) (end 3.341 6.527) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.381 -6.522) (end 3.381 6.522) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.421 -6.516) (end 3.421 6.516) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.461 -6.511) (end 3.461 6.511) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.501 -6.505) (end 3.501 6.505) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.541 -6.498) (end 3.541 6.498) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.581 -6.492) (end 3.581 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.581 1.44) (end 3.581 6.492) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.621 -6.485) (end 3.621 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.621 1.44) (end 3.621 6.485) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.661 -6.478) (end 3.661 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.661 1.44) (end 3.661 6.478) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.701 -6.471) (end 3.701 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.701 1.44) (end 3.701 6.471) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.741 -6.463) (end 3.741 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.741 1.44) (end 3.741 6.463) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.781 -6.456) (end 3.781 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.781 1.44) (end 3.781 6.456) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.821 -6.448) (end 3.821 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.821 1.44) (end 3.821 6.448) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.861 -6.439) (end 3.861 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.861 1.44) (end 3.861 6.439) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.901 -6.431) (end 3.901 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.901 1.44) (end 3.901 6.431) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.941 -6.422) (end 3.941 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.941 1.44) (end 3.941 6.422) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.981 -6.413) (end 3.981 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.981 1.44) (end 3.981 6.413) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.021 -6.404) (end 4.021 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.021 1.44) (end 4.021 6.404) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.061 -6.394) (end 4.061 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.061 1.44) (end 4.061 6.394) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.101 -6.384) (end 4.101 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.101 1.44) (end 4.101 6.384) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.141 -6.374) (end 4.141 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.141 1.44) (end 4.141 6.374) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.181 -6.364) (end 4.181 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.181 1.44) (end 4.181 6.364) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.221 -6.353) (end 4.221 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.221 1.44) (end 4.221 6.353) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.261 -6.342) (end 4.261 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.261 1.44) (end 4.261 6.342) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.301 -6.331) (end 4.301 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.301 1.44) (end 4.301 6.331) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.341 -6.32) (end 4.341 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.341 1.44) (end 4.341 6.32) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.381 -6.308) (end 4.381 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.381 1.44) (end 4.381 6.308) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.421 -6.296) (end 4.421 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.421 1.44) (end 4.421 6.296) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.461 -6.284) (end 4.461 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.461 1.44) (end 4.461 6.284) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.501 -6.271) (end 4.501 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.501 1.44) (end 4.501 6.271) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.541 -6.258) (end 4.541 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.541 1.44) (end 4.541 6.258) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.581 -6.245) (end 4.581 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.581 1.44) (end 4.581 6.245) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.621 -6.232) (end 4.621 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.621 1.44) (end 4.621 6.232) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.661 -6.218) (end 4.661 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.661 1.44) (end 4.661 6.218) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.701 -6.204) (end 4.701 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.701 1.44) (end 4.701 6.204) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.741 -6.19) (end 4.741 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.741 1.44) (end 4.741 6.19) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.781 -6.175) (end 4.781 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.781 1.44) (end 4.781 6.175) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.821 -6.161) (end 4.821 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.821 1.44) (end 4.821 6.161) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.861 -6.146) (end 4.861 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.861 1.44) (end 4.861 6.146) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.901 -6.13) (end 4.901 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.901 1.44) (end 4.901 6.13) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.941 -6.114) (end 4.941 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.941 1.44) (end 4.941 6.114) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.981 -6.098) (end 4.981 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.981 1.44) (end 4.981 6.098) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.021 -6.082) (end 5.021 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.021 1.44) (end 5.021 6.082) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.061 -6.065) (end 5.061 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.061 1.44) (end 5.061 6.065) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.101 -6.049) (end 5.101 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.101 1.44) (end 5.101 6.049) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.141 -6.031) (end 5.141 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.141 1.44) (end 5.141 6.031) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.181 -6.014) (end 5.181 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.181 1.44) (end 5.181 6.014) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.221 -5.996) (end 5.221 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.221 1.44) (end 5.221 5.996) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.261 -5.978) (end 5.261 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.261 1.44) (end 5.261 5.978) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.301 -5.959) (end 5.301 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.301 1.44) (end 5.301 5.959) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.341 -5.94) (end 5.341 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.341 1.44) (end 5.341 5.94) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.381 -5.921) (end 5.381 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.381 1.44) (end 5.381 5.921) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.421 -5.902) (end 5.421 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.421 1.44) (end 5.421 5.902) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.461 -5.882) (end 5.461 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.461 1.44) (end 5.461 5.882) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.501 -5.862) (end 5.501 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.501 1.44) (end 5.501 5.862) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.541 -5.841) (end 5.541 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.541 1.44) (end 5.541 5.841) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.581 -5.82) (end 5.581 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.581 1.44) (end 5.581 5.82) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.621 -5.799) (end 5.621 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.621 1.44) (end 5.621 5.799) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.661 -5.778) (end 5.661 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.661 1.44) (end 5.661 5.778) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.701 -5.756) (end 5.701 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.701 1.44) (end 5.701 5.756) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.741 -5.733) (end 5.741 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.741 1.44) (end 5.741 5.733) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.781 -5.711) (end 5.781 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.781 1.44) (end 5.781 5.711) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.821 -5.688) (end 5.821 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.821 1.44) (end 5.821 5.688) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.861 -5.664) (end 5.861 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.861 1.44) (end 5.861 5.664) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.901 -5.641) (end 5.901 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.901 1.44) (end 5.901 5.641) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.941 -5.617) (end 5.941 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.941 1.44) (end 5.941 5.617) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.981 -5.592) (end 5.981 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.981 1.44) (end 5.981 5.592) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.021 -5.567) (end 6.021 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.021 1.44) (end 6.021 5.567) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.061 -5.542) (end 6.061 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.061 1.44) (end 6.061 5.542) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.101 -5.516) (end 6.101 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.101 1.44) (end 6.101 5.516) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.141 -5.49) (end 6.141 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.141 1.44) (end 6.141 5.49) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.181 -5.463) (end 6.181 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.181 1.44) (end 6.181 5.463) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.221 -5.436) (end 6.221 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.221 1.44) (end 6.221 5.436) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.261 -5.409) (end 6.261 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.261 1.44) (end 6.261 5.409) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.301 -5.381) (end 6.301 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.301 1.44) (end 6.301 5.381) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.341 -5.353) (end 6.341 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.341 1.44) (end 6.341 5.353) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.381 -5.324) (end 6.381 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.381 1.44) (end 6.381 5.324) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.421 -5.295) (end 6.421 -1.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.421 1.44) (end 6.421 5.295) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.461 -5.265) (end 6.461 5.265) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.501 -5.235) (end 6.501 5.235) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.541 -5.205) (end 6.541 5.205) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.581 -5.174) (end 6.581 5.174) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.621 -5.142) (end 6.621 5.142) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.661 -5.11) (end 6.661 5.11) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.701 -5.078) (end 6.701 5.078) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.741 -5.044) (end 6.741 5.044) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.781 -5.011) (end 6.781 5.011) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.821 -4.977) (end 6.821 4.977) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.861 -4.942) (end 6.861 4.942) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.901 -4.907) (end 6.901 4.907) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.941 -4.871) (end 6.941 4.871) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.981 -4.834) (end 6.981 4.834) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.021 -4.797) (end 7.021 4.797) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.061 -4.76) (end 7.061 4.76) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.101 -4.721) (end 7.101 4.721) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.141 -4.682) (end 7.141 4.682) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.181 -4.643) (end 7.181 4.643) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.221 -4.602) (end 7.221 4.602) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.261 -4.561) (end 7.261 4.561) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.301 -4.519) (end 7.301 4.519) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.341 -4.477) (end 7.341 4.477) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.381 -4.434) (end 7.381 4.434) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.421 -4.39) (end 7.421 4.39) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.461 -4.345) (end 7.461 4.345) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.501 -4.299) (end 7.501 4.299) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.541 -4.253) (end 7.541 4.253) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.581 -4.205) (end 7.581 4.205) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.621 -4.157) (end 7.621 4.157) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.661 -4.108) (end 7.661 4.108) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.701 -4.057) (end 7.701 4.057) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.741 -4.006) (end 7.741 4.006) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.781 -3.954) (end 7.781 3.954) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.821 -3.9) (end 7.821 3.9) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.861 -3.846) (end 7.861 3.846) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.901 -3.79) (end 7.901 3.79) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.941 -3.733) (end 7.941 3.733) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.981 -3.675) (end 7.981 3.675) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.021 -3.615) (end 8.021 3.615) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.061 -3.554) (end 8.061 3.554) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.101 -3.491) (end 8.101 3.491) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.141 -3.427) (end 8.141 3.427) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.181 -3.361) (end 8.181 3.361) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.221 -3.293) (end 8.221 3.293) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.261 -3.223) (end 8.261 3.223) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.301 -3.152) (end 8.301 3.152) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.341 -3.078) (end 8.341 3.078) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.381 -3.002) (end 8.381 3.002) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.421 -2.923) (end 8.421 2.923) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.461 -2.842) (end 8.461 2.842) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.501 -2.758) (end 8.501 2.758) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.541 -2.67) (end 8.541 2.67) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.581 -2.579) (end 8.581 2.579) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.621 -2.484) (end 8.621 2.484) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.661 -2.385) (end 8.661 2.385) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.701 -2.281) (end 8.701 2.281) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.741 -2.171) (end 8.741 2.171) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.781 -2.055) (end 8.781 2.055) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.821 -1.931) (end 8.821 1.931) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.861 -1.798) (end 8.861 1.798) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.901 -1.653) (end 8.901 1.653) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.941 -1.494) (end 8.941 1.494) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.981 -1.315) (end 8.981 1.315) (layer F.SilkS) (width 0.12))
+ (fp_line (start 9.021 -1.107) (end 9.021 1.107) (layer F.SilkS) (width 0.12))
+ (fp_line (start 9.061 -0.85) (end 9.061 0.85) (layer F.SilkS) (width 0.12))
+ (fp_line (start 9.101 -0.475) (end 9.101 0.475) (layer F.SilkS) (width 0.12))
+ (fp_line (start -4.584569 -3.715) (end -3.284569 -3.715) (layer F.SilkS) (width 0.12))
+ (fp_line (start -3.934569 -4.365) (end -3.934569 -3.065) (layer F.SilkS) (width 0.12))
+ (fp_text user %R (at 2.5 0 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad 2 thru_hole circle (at 5 0 270) (size 2.4 2.4) (drill 1.2) (layers *.Cu *.Mask)
+ (net 1 GND))
+ (pad 1 thru_hole rect (at 0 0 270) (size 2.4 2.4) (drill 1.2) (layers *.Cu *.Mask)
+ (net 61 +12V))
+ (model ${KISYS3DMOD}/Capacitor_THT.3dshapes/CP_Radial_D13.0mm_P5.00mm.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module TerminalBlock_Phoenix:TerminalBlock_Phoenix_MKDS-1,5-3_1x03_P5.00mm_Horizontal (layer F.Cu) (tedit 5B294EE5) (tstamp 5C8C9EBF)
+ (at 75 115.08 90)
+ (descr "Terminal Block Phoenix MKDS-1,5-3, 3 pins, pitch 5mm, size 15x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix")
+ (tags "THT Terminal Block Phoenix MKDS-1,5-3 pitch 5mm size 15x9.8mm^2 drill 1.3mm pad 2.6mm")
+ (path /5C558772)
+ (fp_text reference J8 (at 5 -6.26 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value IN_GND (at 5 5.66 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_arc (start 0 0) (end 0 1.68) (angle -24) (layer F.SilkS) (width 0.12))
+ (fp_arc (start 0 0) (end 1.535 0.684) (angle -48) (layer F.SilkS) (width 0.12))
+ (fp_arc (start 0 0) (end 0.684 -1.535) (angle -48) (layer F.SilkS) (width 0.12))
+ (fp_arc (start 0 0) (end -1.535 -0.684) (angle -48) (layer F.SilkS) (width 0.12))
+ (fp_arc (start 0 0) (end -0.684 1.535) (angle -25) (layer F.SilkS) (width 0.12))
+ (fp_circle (center 0 0) (end 1.5 0) (layer F.Fab) (width 0.1))
+ (fp_circle (center 5 0) (end 6.5 0) (layer F.Fab) (width 0.1))
+ (fp_circle (center 5 0) (end 6.68 0) (layer F.SilkS) (width 0.12))
+ (fp_circle (center 10 0) (end 11.5 0) (layer F.Fab) (width 0.1))
+ (fp_circle (center 10 0) (end 11.68 0) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.5 -5.2) (end 12.5 -5.2) (layer F.Fab) (width 0.1))
+ (fp_line (start 12.5 -5.2) (end 12.5 4.6) (layer F.Fab) (width 0.1))
+ (fp_line (start 12.5 4.6) (end -2 4.6) (layer F.Fab) (width 0.1))
+ (fp_line (start -2 4.6) (end -2.5 4.1) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.5 4.1) (end -2.5 -5.2) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.5 4.1) (end 12.5 4.1) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.56 4.1) (end 12.56 4.1) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.5 2.6) (end 12.5 2.6) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.56 2.6) (end 12.56 2.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.5 -2.3) (end 12.5 -2.3) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.56 -2.301) (end 12.56 -2.301) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.56 -5.261) (end 12.56 -5.261) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.56 4.66) (end 12.56 4.66) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.56 -5.261) (end -2.56 4.66) (layer F.SilkS) (width 0.12))
+ (fp_line (start 12.56 -5.261) (end 12.56 4.66) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.138 -0.955) (end -0.955 1.138) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.955 -1.138) (end -1.138 0.955) (layer F.Fab) (width 0.1))
+ (fp_line (start 6.138 -0.955) (end 4.046 1.138) (layer F.Fab) (width 0.1))
+ (fp_line (start 5.955 -1.138) (end 3.863 0.955) (layer F.Fab) (width 0.1))
+ (fp_line (start 6.275 -1.069) (end 6.228 -1.023) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.966 1.239) (end 3.931 1.274) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.07 -1.275) (end 6.035 -1.239) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.773 1.023) (end 3.726 1.069) (layer F.SilkS) (width 0.12))
+ (fp_line (start 11.138 -0.955) (end 9.046 1.138) (layer F.Fab) (width 0.1))
+ (fp_line (start 10.955 -1.138) (end 8.863 0.955) (layer F.Fab) (width 0.1))
+ (fp_line (start 11.275 -1.069) (end 11.228 -1.023) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.966 1.239) (end 8.931 1.274) (layer F.SilkS) (width 0.12))
+ (fp_line (start 11.07 -1.275) (end 11.035 -1.239) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.773 1.023) (end 8.726 1.069) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.8 4.16) (end -2.8 4.9) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.8 4.9) (end -2.3 4.9) (layer F.SilkS) (width 0.12))
+ (fp_line (start -3 -5.71) (end -3 5.1) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -3 5.1) (end 13 5.1) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 13 5.1) (end 13 -5.71) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 13 -5.71) (end -3 -5.71) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 5 3.2 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad 3 thru_hole circle (at 10 0 90) (size 2.6 2.6) (drill 1.3) (layers *.Cu *.Mask)
+ (net 1 GND))
+ (pad 2 thru_hole circle (at 5 0 90) (size 2.6 2.6) (drill 1.3) (layers *.Cu *.Mask)
+ (net 1 GND))
+ (pad 1 thru_hole rect (at 0 0 90) (size 2.6 2.6) (drill 1.3) (layers *.Cu *.Mask)
+ (net 1 GND))
+ (model ${KISYS3DMOD}/TerminalBlock_Phoenix.3dshapes/TerminalBlock_Phoenix_MKDS-1,5-3_1x03_P5.00mm_Horizontal.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module TerminalBlock_Phoenix:TerminalBlock_Phoenix_MKDS-1,5-3_1x03_P5.00mm_Horizontal (layer F.Cu) (tedit 5B294EE5) (tstamp 5CA2B8DC)
+ (at 75 135.08 90)
+ (descr "Terminal Block Phoenix MKDS-1,5-3, 3 pins, pitch 5mm, size 15x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix")
+ (tags "THT Terminal Block Phoenix MKDS-1,5-3 pitch 5mm size 15x9.8mm^2 drill 1.3mm pad 2.6mm")
+ (path /5C557B2A)
+ (fp_text reference J7 (at 5 -6.26 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value IN_VCC (at 5 5.66 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_arc (start 0 0) (end 0 1.68) (angle -24) (layer F.SilkS) (width 0.12))
+ (fp_arc (start 0 0) (end 1.535 0.684) (angle -48) (layer F.SilkS) (width 0.12))
+ (fp_arc (start 0 0) (end 0.684 -1.535) (angle -48) (layer F.SilkS) (width 0.12))
+ (fp_arc (start 0 0) (end -1.535 -0.684) (angle -48) (layer F.SilkS) (width 0.12))
+ (fp_arc (start 0 0) (end -0.684 1.535) (angle -25) (layer F.SilkS) (width 0.12))
+ (fp_circle (center 0 0) (end 1.5 0) (layer F.Fab) (width 0.1))
+ (fp_circle (center 5 0) (end 6.5 0) (layer F.Fab) (width 0.1))
+ (fp_circle (center 5 0) (end 6.68 0) (layer F.SilkS) (width 0.12))
+ (fp_circle (center 10 0) (end 11.5 0) (layer F.Fab) (width 0.1))
+ (fp_circle (center 10 0) (end 11.68 0) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.5 -5.2) (end 12.5 -5.2) (layer F.Fab) (width 0.1))
+ (fp_line (start 12.5 -5.2) (end 12.5 4.6) (layer F.Fab) (width 0.1))
+ (fp_line (start 12.5 4.6) (end -2 4.6) (layer F.Fab) (width 0.1))
+ (fp_line (start -2 4.6) (end -2.5 4.1) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.5 4.1) (end -2.5 -5.2) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.5 4.1) (end 12.5 4.1) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.56 4.1) (end 12.56 4.1) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.5 2.6) (end 12.5 2.6) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.56 2.6) (end 12.56 2.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.5 -2.3) (end 12.5 -2.3) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.56 -2.301) (end 12.56 -2.301) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.56 -5.261) (end 12.56 -5.261) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.56 4.66) (end 12.56 4.66) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.56 -5.261) (end -2.56 4.66) (layer F.SilkS) (width 0.12))
+ (fp_line (start 12.56 -5.261) (end 12.56 4.66) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.138 -0.955) (end -0.955 1.138) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.955 -1.138) (end -1.138 0.955) (layer F.Fab) (width 0.1))
+ (fp_line (start 6.138 -0.955) (end 4.046 1.138) (layer F.Fab) (width 0.1))
+ (fp_line (start 5.955 -1.138) (end 3.863 0.955) (layer F.Fab) (width 0.1))
+ (fp_line (start 6.275 -1.069) (end 6.228 -1.023) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.966 1.239) (end 3.931 1.274) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.07 -1.275) (end 6.035 -1.239) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.773 1.023) (end 3.726 1.069) (layer F.SilkS) (width 0.12))
+ (fp_line (start 11.138 -0.955) (end 9.046 1.138) (layer F.Fab) (width 0.1))
+ (fp_line (start 10.955 -1.138) (end 8.863 0.955) (layer F.Fab) (width 0.1))
+ (fp_line (start 11.275 -1.069) (end 11.228 -1.023) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.966 1.239) (end 8.931 1.274) (layer F.SilkS) (width 0.12))
+ (fp_line (start 11.07 -1.275) (end 11.035 -1.239) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.773 1.023) (end 8.726 1.069) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.8 4.16) (end -2.8 4.9) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.8 4.9) (end -2.3 4.9) (layer F.SilkS) (width 0.12))
+ (fp_line (start -3 -5.71) (end -3 5.1) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -3 5.1) (end 13 5.1) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 13 5.1) (end 13 -5.71) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 13 -5.71) (end -3 -5.71) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 5 3.2 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad 3 thru_hole circle (at 10 0 90) (size 2.6 2.6) (drill 1.3) (layers *.Cu *.Mask)
+ (net 10 /VIN))
+ (pad 2 thru_hole circle (at 5 0 90) (size 2.6 2.6) (drill 1.3) (layers *.Cu *.Mask)
+ (net 10 /VIN))
+ (pad 1 thru_hole rect (at 0 0 90) (size 2.6 2.6) (drill 1.3) (layers *.Cu *.Mask)
+ (net 10 /VIN))
+ (model ${KISYS3DMOD}/TerminalBlock_Phoenix.3dshapes/TerminalBlock_Phoenix_MKDS-1,5-3_1x03_P5.00mm_Horizontal.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module TerminalBlock_Phoenix:TerminalBlock_Phoenix_MKDS-1,5-3_1x03_P5.00mm_Horizontal (layer F.Cu) (tedit 5B294EE5) (tstamp 5C898E96)
+ (at 165 124.92 270)
+ (descr "Terminal Block Phoenix MKDS-1,5-3, 3 pins, pitch 5mm, size 15x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix")
+ (tags "THT Terminal Block Phoenix MKDS-1,5-3 pitch 5mm size 15x9.8mm^2 drill 1.3mm pad 2.6mm")
+ (path /5C5D9205)
+ (fp_text reference J2 (at 5 -6.26 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value OUT_B (at 5 5.66 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_arc (start 0 0) (end 0 1.68) (angle -24) (layer F.SilkS) (width 0.12))
+ (fp_arc (start 0 0) (end 1.535 0.684) (angle -48) (layer F.SilkS) (width 0.12))
+ (fp_arc (start 0 0) (end 0.684 -1.535) (angle -48) (layer F.SilkS) (width 0.12))
+ (fp_arc (start 0 0) (end -1.535 -0.684) (angle -48) (layer F.SilkS) (width 0.12))
+ (fp_arc (start 0 0) (end -0.684 1.535) (angle -25) (layer F.SilkS) (width 0.12))
+ (fp_circle (center 0 0) (end 1.5 0) (layer F.Fab) (width 0.1))
+ (fp_circle (center 5 0) (end 6.5 0) (layer F.Fab) (width 0.1))
+ (fp_circle (center 5 0) (end 6.68 0) (layer F.SilkS) (width 0.12))
+ (fp_circle (center 10 0) (end 11.5 0) (layer F.Fab) (width 0.1))
+ (fp_circle (center 10 0) (end 11.68 0) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.5 -5.2) (end 12.5 -5.2) (layer F.Fab) (width 0.1))
+ (fp_line (start 12.5 -5.2) (end 12.5 4.6) (layer F.Fab) (width 0.1))
+ (fp_line (start 12.5 4.6) (end -2 4.6) (layer F.Fab) (width 0.1))
+ (fp_line (start -2 4.6) (end -2.5 4.1) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.5 4.1) (end -2.5 -5.2) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.5 4.1) (end 12.5 4.1) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.56 4.1) (end 12.56 4.1) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.5 2.6) (end 12.5 2.6) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.56 2.6) (end 12.56 2.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.5 -2.3) (end 12.5 -2.3) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.56 -2.301) (end 12.56 -2.301) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.56 -5.261) (end 12.56 -5.261) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.56 4.66) (end 12.56 4.66) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.56 -5.261) (end -2.56 4.66) (layer F.SilkS) (width 0.12))
+ (fp_line (start 12.56 -5.261) (end 12.56 4.66) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.138 -0.955) (end -0.955 1.138) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.955 -1.138) (end -1.138 0.955) (layer F.Fab) (width 0.1))
+ (fp_line (start 6.138 -0.955) (end 4.046 1.138) (layer F.Fab) (width 0.1))
+ (fp_line (start 5.955 -1.138) (end 3.863 0.955) (layer F.Fab) (width 0.1))
+ (fp_line (start 6.275 -1.069) (end 6.228 -1.023) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.966 1.239) (end 3.931 1.274) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.07 -1.275) (end 6.035 -1.239) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.773 1.023) (end 3.726 1.069) (layer F.SilkS) (width 0.12))
+ (fp_line (start 11.138 -0.955) (end 9.046 1.138) (layer F.Fab) (width 0.1))
+ (fp_line (start 10.955 -1.138) (end 8.863 0.955) (layer F.Fab) (width 0.1))
+ (fp_line (start 11.275 -1.069) (end 11.228 -1.023) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.966 1.239) (end 8.931 1.274) (layer F.SilkS) (width 0.12))
+ (fp_line (start 11.07 -1.275) (end 11.035 -1.239) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.773 1.023) (end 8.726 1.069) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.8 4.16) (end -2.8 4.9) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.8 4.9) (end -2.3 4.9) (layer F.SilkS) (width 0.12))
+ (fp_line (start -3 -5.71) (end -3 5.1) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -3 5.1) (end 13 5.1) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 13 5.1) (end 13 -5.71) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 13 -5.71) (end -3 -5.71) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 5 3.2 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad 3 thru_hole circle (at 10 0 270) (size 2.6 2.6) (drill 1.3) (layers *.Cu *.Mask)
+ (net 9 /OUT_B))
+ (pad 2 thru_hole circle (at 5 0 270) (size 2.6 2.6) (drill 1.3) (layers *.Cu *.Mask)
+ (net 9 /OUT_B))
+ (pad 1 thru_hole rect (at 0 0 270) (size 2.6 2.6) (drill 1.3) (layers *.Cu *.Mask)
+ (net 9 /OUT_B))
+ (model ${KISYS3DMOD}/TerminalBlock_Phoenix.3dshapes/TerminalBlock_Phoenix_MKDS-1,5-3_1x03_P5.00mm_Horizontal.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module TerminalBlock_Phoenix:TerminalBlock_Phoenix_MKDS-1,5-3_1x03_P5.00mm_Horizontal (layer F.Cu) (tedit 5B294EE5) (tstamp 5C898E61)
+ (at 165 104.84 270)
+ (descr "Terminal Block Phoenix MKDS-1,5-3, 3 pins, pitch 5mm, size 15x9.8mm^2, drill diamater 1.3mm, pad diameter 2.6mm, see http://www.farnell.com/datasheets/100425.pdf, script-generated using https://github.com/pointhi/kicad-footprint-generator/scripts/TerminalBlock_Phoenix")
+ (tags "THT Terminal Block Phoenix MKDS-1,5-3 pitch 5mm size 15x9.8mm^2 drill 1.3mm pad 2.6mm")
+ (path /5C5DA183)
+ (fp_text reference J1 (at 5 -6.26 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value OUT_A (at 5 5.66 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_arc (start 0 0) (end 0 1.68) (angle -24) (layer F.SilkS) (width 0.12))
+ (fp_arc (start 0 0) (end 1.535 0.684) (angle -48) (layer F.SilkS) (width 0.12))
+ (fp_arc (start 0 0) (end 0.684 -1.535) (angle -48) (layer F.SilkS) (width 0.12))
+ (fp_arc (start 0 0) (end -1.535 -0.684) (angle -48) (layer F.SilkS) (width 0.12))
+ (fp_arc (start 0 0) (end -0.684 1.535) (angle -25) (layer F.SilkS) (width 0.12))
+ (fp_circle (center 0 0) (end 1.5 0) (layer F.Fab) (width 0.1))
+ (fp_circle (center 5 0) (end 6.5 0) (layer F.Fab) (width 0.1))
+ (fp_circle (center 5 0) (end 6.68 0) (layer F.SilkS) (width 0.12))
+ (fp_circle (center 10 0) (end 11.5 0) (layer F.Fab) (width 0.1))
+ (fp_circle (center 10 0) (end 11.68 0) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.5 -5.2) (end 12.5 -5.2) (layer F.Fab) (width 0.1))
+ (fp_line (start 12.5 -5.2) (end 12.5 4.6) (layer F.Fab) (width 0.1))
+ (fp_line (start 12.5 4.6) (end -2 4.6) (layer F.Fab) (width 0.1))
+ (fp_line (start -2 4.6) (end -2.5 4.1) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.5 4.1) (end -2.5 -5.2) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.5 4.1) (end 12.5 4.1) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.56 4.1) (end 12.56 4.1) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.5 2.6) (end 12.5 2.6) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.56 2.6) (end 12.56 2.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.5 -2.3) (end 12.5 -2.3) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.56 -2.301) (end 12.56 -2.301) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.56 -5.261) (end 12.56 -5.261) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.56 4.66) (end 12.56 4.66) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.56 -5.261) (end -2.56 4.66) (layer F.SilkS) (width 0.12))
+ (fp_line (start 12.56 -5.261) (end 12.56 4.66) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.138 -0.955) (end -0.955 1.138) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.955 -1.138) (end -1.138 0.955) (layer F.Fab) (width 0.1))
+ (fp_line (start 6.138 -0.955) (end 4.046 1.138) (layer F.Fab) (width 0.1))
+ (fp_line (start 5.955 -1.138) (end 3.863 0.955) (layer F.Fab) (width 0.1))
+ (fp_line (start 6.275 -1.069) (end 6.228 -1.023) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.966 1.239) (end 3.931 1.274) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.07 -1.275) (end 6.035 -1.239) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.773 1.023) (end 3.726 1.069) (layer F.SilkS) (width 0.12))
+ (fp_line (start 11.138 -0.955) (end 9.046 1.138) (layer F.Fab) (width 0.1))
+ (fp_line (start 10.955 -1.138) (end 8.863 0.955) (layer F.Fab) (width 0.1))
+ (fp_line (start 11.275 -1.069) (end 11.228 -1.023) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.966 1.239) (end 8.931 1.274) (layer F.SilkS) (width 0.12))
+ (fp_line (start 11.07 -1.275) (end 11.035 -1.239) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.773 1.023) (end 8.726 1.069) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.8 4.16) (end -2.8 4.9) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.8 4.9) (end -2.3 4.9) (layer F.SilkS) (width 0.12))
+ (fp_line (start -3 -5.71) (end -3 5.1) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -3 5.1) (end 13 5.1) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 13 5.1) (end 13 -5.71) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 13 -5.71) (end -3 -5.71) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 5 3.2 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad 3 thru_hole circle (at 10 0 270) (size 2.6 2.6) (drill 1.3) (layers *.Cu *.Mask)
+ (net 8 /OUT_A))
+ (pad 2 thru_hole circle (at 5 0 270) (size 2.6 2.6) (drill 1.3) (layers *.Cu *.Mask)
+ (net 8 /OUT_A))
+ (pad 1 thru_hole rect (at 0 0 270) (size 2.6 2.6) (drill 1.3) (layers *.Cu *.Mask)
+ (net 8 /OUT_A))
+ (model ${KISYS3DMOD}/TerminalBlock_Phoenix.3dshapes/TerminalBlock_Phoenix_MKDS-1,5-3_1x03_P5.00mm_Horizontal.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitor_SMD:C_1206_3216Metric_Pad1.42x1.75mm_HandSolder (layer F.Cu) (tedit 5B301BBE) (tstamp 5CC199C9)
+ (at 121.7 93 270)
+ (descr "Capacitor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (path /5C61DEFC)
+ (attr smd)
+ (fp_text reference C23 (at 0.05 1.7 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 470n/60V (at 0 1.82 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.602064 -0.91) (end 0.602064 -0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.602064 0.91) (end 0.602064 0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0 90) (layer F.Fab)
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ )
+ (pad 2 smd roundrect (at 1.4875 0 270) (size 1.425 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.175439)
+ (net 8 /OUT_A))
+ (pad 1 smd roundrect (at -1.4875 0 270) (size 1.425 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.175439)
+ (net 69 /VB1))
+ (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_1206_3216Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Package_TO_SOT_SMD:SOT-223 (layer F.Cu) (tedit 5A02FF57) (tstamp 5E8D1C58)
+ (at 104 60.15 90)
+ (descr "module CMS SOT223 4 pins")
+ (tags "CMS SOT")
+ (path /5EDD5E28)
+ (attr smd)
+ (fp_text reference U5 (at 0 -4.5 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value AP1117-33 (at 0 4.5 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ )
+ (fp_line (start -1.85 -2.3) (end -0.8 -3.35) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.91 3.41) (end 1.91 2.15) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.91 -3.41) (end 1.91 -2.15) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.4 -3.6) (end -4.4 -3.6) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 4.4 3.6) (end 4.4 -3.6) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -4.4 3.6) (end 4.4 3.6) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -4.4 -3.6) (end -4.4 3.6) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.85 -2.3) (end -1.85 3.35) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.85 3.41) (end 1.91 3.41) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.8 -3.35) (end 1.85 -3.35) (layer F.Fab) (width 0.1))
+ (fp_line (start -4.1 -3.41) (end 1.91 -3.41) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.85 3.35) (end 1.85 3.35) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.85 -3.35) (end 1.85 3.35) (layer F.Fab) (width 0.1))
+ (pad 1 smd rect (at -3.15 -2.3 90) (size 2 1.5) (layers F.Cu F.Paste F.Mask)
+ (net 1 GND))
+ (pad 3 smd rect (at -3.15 2.3 90) (size 2 1.5) (layers F.Cu F.Paste F.Mask)
+ (net 61 +12V))
+ (pad 2 smd rect (at -3.15 0 90) (size 2 1.5) (layers F.Cu F.Paste F.Mask)
+ (net 3 +3V3))
+ (pad 4 smd rect (at 3.15 0 90) (size 2 3.8) (layers F.Cu F.Paste F.Mask)
+ (net 67 /PAD))
+ (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-223.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Package_SO:SOIC-8_3.9x4.9mm_P1.27mm (layer F.Cu) (tedit 5C97300E) (tstamp 5E8D1C42)
+ (at 139.55 90.25 270)
+ (descr "SOIC, 8 Pin (JEDEC MS-012AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_8.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+ (tags "SOIC SO")
+ (path /5E9861E0)
+ (attr smd)
+ (fp_text reference U4 (at 4.35 1.35 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value IRS21867S (at 0 3.4 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 0 2.56) (end 1.95 2.56) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0 2.56) (end -1.95 2.56) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0 -2.56) (end 1.95 -2.56) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0 -2.56) (end -3.45 -2.56) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.975 -2.45) (end 1.95 -2.45) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.95 2.45) (end -1.95 2.45) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.95 2.45) (end -1.95 -1.475) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.95 -1.475) (end -0.975 -2.45) (layer F.Fab) (width 0.1))
+ (fp_line (start -3.7 -2.7) (end -3.7 2.7) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -3.7 2.7) (end 3.7 2.7) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 3.7 2.7) (end 3.7 -2.7) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 3.7 -2.7) (end -3.7 -2.7) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0 90) (layer F.Fab)
+ (effects (font (size 0.98 0.98) (thickness 0.15)))
+ )
+ (pad 8 smd roundrect (at 2.475 -1.905 270) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 68 /VB2))
+ (pad 7 smd roundrect (at 2.475 -0.635 270) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 13 /DRV2))
+ (pad 6 smd roundrect (at 2.475 0.635 270) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 9 /OUT_B))
+ (pad 5 smd roundrect (at 2.475 1.905 270) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 15 /DRV4))
+ (pad 4 smd roundrect (at -2.475 1.905 270) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 1 GND))
+ (pad 3 smd roundrect (at -2.475 0.635 270) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 17 /CTRL_A))
+ (pad 2 smd roundrect (at -2.475 -0.635 270) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 16 /CTRL_B))
+ (pad 1 smd roundrect (at -2.475 -1.905 270) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 61 +12V))
+ (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Package_SO:SOIC-8_3.9x4.9mm_P1.27mm (layer F.Cu) (tedit 5C97300E) (tstamp 5E914677)
+ (at 126.1 90.25 270)
+ (descr "SOIC, 8 Pin (JEDEC MS-012AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_8.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py")
+ (tags "SOIC SO")
+ (path /5E88678E)
+ (attr smd)
+ (fp_text reference U1 (at 4.5 -1.4 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value IRS21867S (at 0 3.4 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 0 2.56) (end 1.95 2.56) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0 2.56) (end -1.95 2.56) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0 -2.56) (end 1.95 -2.56) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0 -2.56) (end -3.45 -2.56) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.975 -2.45) (end 1.95 -2.45) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.95 2.45) (end -1.95 2.45) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.95 2.45) (end -1.95 -1.475) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.95 -1.475) (end -0.975 -2.45) (layer F.Fab) (width 0.1))
+ (fp_line (start -3.7 -2.7) (end -3.7 2.7) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -3.7 2.7) (end 3.7 2.7) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 3.7 2.7) (end 3.7 -2.7) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 3.7 -2.7) (end -3.7 -2.7) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0 90) (layer F.Fab)
+ (effects (font (size 0.98 0.98) (thickness 0.15)))
+ )
+ (pad 8 smd roundrect (at 2.475 -1.905 270) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 69 /VB1))
+ (pad 7 smd roundrect (at 2.475 -0.635 270) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 12 /DRV1))
+ (pad 6 smd roundrect (at 2.475 0.635 270) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 8 /OUT_A))
+ (pad 5 smd roundrect (at 2.475 1.905 270) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 14 /DRV3))
+ (pad 4 smd roundrect (at -2.475 1.905 270) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 1 GND))
+ (pad 3 smd roundrect (at -2.475 0.635 270) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 16 /CTRL_B))
+ (pad 2 smd roundrect (at -2.475 -0.635 270) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 17 /CTRL_A))
+ (pad 1 smd roundrect (at -2.475 -1.905 270) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 61 +12V))
+ (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module TestPoint:TestPoint_Pad_D1.5mm (layer F.Cu) (tedit 5A0F774F) (tstamp 5E8D1B0D)
+ (at 103.2 72.25)
+ (descr "SMD pad as test Point, diameter 1.5mm")
+ (tags "test point SMD pad")
+ (path /5EFC40F8)
+ (attr virtual)
+ (fp_text reference TP2 (at 2.55 0) (layer F.SilkS) hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value +12V (at 3.05 0) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 -1.65) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05))
+ (fp_circle (center 0 0) (end 0 0.95) (layer F.SilkS) (width 0.12))
+ (pad 1 smd circle (at 0 0) (size 1.5 1.5) (layers F.Cu F.Mask)
+ (net 61 +12V))
+ )
+
+ (module TestPoint:TestPoint_Pad_D1.5mm (layer F.Cu) (tedit 5A0F774F) (tstamp 5E8D1B05)
+ (at 117.95 88.05)
+ (descr "SMD pad as test Point, diameter 1.5mm")
+ (tags "test point SMD pad")
+ (path /5F579CFA)
+ (attr virtual)
+ (fp_text reference TP1 (at 0 -1.648) (layer F.SilkS) hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value CTRL_B (at 0 1.75) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 -1.65) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05))
+ (fp_circle (center 0 0) (end 0 0.95) (layer F.SilkS) (width 0.12))
+ (pad 1 smd circle (at 0 0) (size 1.5 1.5) (layers F.Cu F.Mask)
+ (net 16 /CTRL_B))
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5E8D196D)
+ (at 120.75 86.05 270)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5F51AA73)
+ (attr smd)
+ (fp_text reference R20 (at -0.05 1.35 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 1k (at 0 1.43 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0 90) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad 2 smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 1 GND))
+ (pad 1 smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 16 /CTRL_B))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5E8D194B)
+ (at 99.75 80.5 270)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5EDD5D87)
+ (attr smd)
+ (fp_text reference R18 (at 0.5 -1.5 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value ? (at 0 1.43 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0 90) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad 2 smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 1 GND))
+ (pad 1 smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 60 "Net-(C5-Pad1)"))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5E8D17FA)
+ (at 101.75 77.75 270)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5EDD5D81)
+ (attr smd)
+ (fp_text reference R7 (at 0 -1.43 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value ? (at 0 1.43 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0 90) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad 2 smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 60 "Net-(C5-Pad1)"))
+ (pad 1 smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 61 +12V))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5E8D17C9)
+ (at 98.25 68.5 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5EDD5D6F)
+ (attr smd)
+ (fp_text reference R5 (at 3 0) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 1k (at 0 1.43) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 58 "Net-(C4-Pad2)"))
+ (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 61 +12V))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5E8D17B8)
+ (at 98.75 77.75 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5EDD5E1F)
+ (attr smd)
+ (fp_text reference R4 (at -1.85 -0.65 90) (layer F.SilkS)
+ (effects (font (size 0.5 0.5) (thickness 0.1)))
+ )
+ (fp_text value 5R1 (at 0 1.43) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 64 "Net-(R4-Pad2)"))
+ (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 59 "Net-(C4-Pad1)"))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5E8D17A7)
+ (at 131.05 85.6 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5E7E35E4)
+ (attr smd)
+ (fp_text reference R3 (at 0.05 1.35) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 0R (at 0 1.43) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 61 +12V))
+ (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 5 "Net-(D1-Pad2)"))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_1210_3225Metric (layer F.Cu) (tedit 5B301BBD) (tstamp 5E8D1785)
+ (at 85 83.25 270)
+ (descr "Resistor SMD 1210 (3225 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags resistor)
+ (path /5EDD5D41)
+ (attr smd)
+ (fp_text reference R1 (at 0 -2.28 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "30mR 1/4W" (at 0 2.28 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -1.6 1.25) (end -1.6 -1.25) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -1.25) (end 1.6 -1.25) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -1.25) (end 1.6 1.25) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 1.25) (end -1.6 1.25) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.602064 -1.36) (end 0.602064 -1.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.602064 1.36) (end 0.602064 1.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.28 1.58) (end -2.28 -1.58) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.28 -1.58) (end 2.28 -1.58) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.28 -1.58) (end 2.28 1.58) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.28 1.58) (end -2.28 1.58) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0 90) (layer F.Fab)
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ )
+ (pad 2 smd roundrect (at 1.4 0 270) (size 1.25 2.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2)
+ (net 10 /VIN))
+ (pad 1 smd roundrect (at -1.4 0 270) (size 1.25 2.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2)
+ (net 63 /ILIM))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_1210_3225Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Inductor_SMD:L_Taiyo-Yuden_NR-40xx_HandSoldering (layer F.Cu) (tedit 5990349D) (tstamp 5E8D170C)
+ (at 98.15 72.25 180)
+ (descr "Inductor, Taiyo Yuden, NR series, Taiyo-Yuden_NR-40xx, 4.0mmx4.0mm")
+ (tags "inductor taiyo-yuden nr smd")
+ (path /5EDD5D5E)
+ (attr smd)
+ (fp_text reference L1 (at 3.9 0 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 47uH/2A (at 0 3.5) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -2 0) (end -2 -1.25) (layer F.Fab) (width 0.1))
+ (fp_line (start -2 -1.25) (end -1.25 -2) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.25 -2) (end 0 -2) (layer F.Fab) (width 0.1))
+ (fp_line (start 2 0) (end 2 -1.25) (layer F.Fab) (width 0.1))
+ (fp_line (start 2 -1.25) (end 1.25 -2) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.25 -2) (end 0 -2) (layer F.Fab) (width 0.1))
+ (fp_line (start 2 0) (end 2 1.25) (layer F.Fab) (width 0.1))
+ (fp_line (start 2 1.25) (end 1.25 2) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.25 2) (end 0 2) (layer F.Fab) (width 0.1))
+ (fp_line (start -2 0) (end -2 1.25) (layer F.Fab) (width 0.1))
+ (fp_line (start -2 1.25) (end -1.25 2) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.25 2) (end 0 2) (layer F.Fab) (width 0.1))
+ (fp_line (start -3 -2.1) (end 3 -2.1) (layer F.SilkS) (width 0.12))
+ (fp_line (start -3 2.1) (end 3 2.1) (layer F.SilkS) (width 0.12))
+ (fp_line (start -3.25 -2.25) (end -3.25 2.25) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -3.25 2.25) (end 3.25 2.25) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 3.25 2.25) (end 3.25 -2.25) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 3.25 -2.25) (end -3.25 -2.25) (layer F.CrtYd) (width 0.05))
+ (pad 2 smd rect (at 1.9 0 180) (size 2.2 3.9) (layers F.Cu F.Paste F.Mask)
+ (net 58 "Net-(C4-Pad2)"))
+ (pad 1 smd rect (at -1.9 0 180) (size 2.2 3.9) (layers F.Cu F.Paste F.Mask)
+ (net 61 +12V))
+ (model ${KISYS3DMOD}/Inductor_SMD.3dshapes/L_Taiyo-Yuden_NR-40xx.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Diode_SMD:D_SMA (layer F.Cu) (tedit 586432E5) (tstamp 5E8D12BF)
+ (at 144.65 90.3 90)
+ (descr "Diode SMA (DO-214AC)")
+ (tags "Diode SMA (DO-214AC)")
+ (path /5EAB007A)
+ (attr smd)
+ (fp_text reference D3 (at -0.85 2.6 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value SS510 (at 0 2.6 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 -2.5 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -3.4 -1.65) (end -3.4 1.65) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.3 1.5) (end -2.3 1.5) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.3 1.5) (end -2.3 -1.5) (layer F.Fab) (width 0.1))
+ (fp_line (start 2.3 -1.5) (end 2.3 1.5) (layer F.Fab) (width 0.1))
+ (fp_line (start 2.3 -1.5) (end -2.3 -1.5) (layer F.Fab) (width 0.1))
+ (fp_line (start -3.5 -1.75) (end 3.5 -1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 3.5 -1.75) (end 3.5 1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 3.5 1.75) (end -3.5 1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -3.5 1.75) (end -3.5 -1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -0.64944 0.00102) (end -1.55114 0.00102) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.50118 0.00102) (end 1.4994 0.00102) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.64944 -0.79908) (end -0.64944 0.80112) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.50118 0.75032) (end 0.50118 -0.79908) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 0.75032) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 -0.79908) (layer F.Fab) (width 0.1))
+ (fp_line (start -3.4 1.65) (end 2 1.65) (layer F.SilkS) (width 0.12))
+ (fp_line (start -3.4 -1.65) (end 2 -1.65) (layer F.SilkS) (width 0.12))
+ (pad 2 smd rect (at 2 0 90) (size 2.5 1.8) (layers F.Cu F.Paste F.Mask)
+ (net 62 "Net-(D3-Pad2)"))
+ (pad 1 smd rect (at -2 0 90) (size 2.5 1.8) (layers F.Cu F.Paste F.Mask)
+ (net 68 /VB2))
+ (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_SMA.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Diode_SMD:D_SMA (layer F.Cu) (tedit 586432E5) (tstamp 5E8D12A7)
+ (at 90 72.5 180)
+ (descr "Diode SMA (DO-214AC)")
+ (tags "Diode SMA (DO-214AC)")
+ (path /5EDD5E14)
+ (attr smd)
+ (fp_text reference D2 (at 0 2.5) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value SS510 (at 0 2.6) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 -2.5) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -3.4 -1.65) (end -3.4 1.65) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.3 1.5) (end -2.3 1.5) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.3 1.5) (end -2.3 -1.5) (layer F.Fab) (width 0.1))
+ (fp_line (start 2.3 -1.5) (end 2.3 1.5) (layer F.Fab) (width 0.1))
+ (fp_line (start 2.3 -1.5) (end -2.3 -1.5) (layer F.Fab) (width 0.1))
+ (fp_line (start -3.5 -1.75) (end 3.5 -1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 3.5 -1.75) (end 3.5 1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 3.5 1.75) (end -3.5 1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -3.5 1.75) (end -3.5 -1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -0.64944 0.00102) (end -1.55114 0.00102) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.50118 0.00102) (end 1.4994 0.00102) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.64944 -0.79908) (end -0.64944 0.80112) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.50118 0.75032) (end 0.50118 -0.79908) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 0.75032) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 -0.79908) (layer F.Fab) (width 0.1))
+ (fp_line (start -3.4 1.65) (end 2 1.65) (layer F.SilkS) (width 0.12))
+ (fp_line (start -3.4 -1.65) (end 2 -1.65) (layer F.SilkS) (width 0.12))
+ (pad 2 smd rect (at 2 0 180) (size 2.5 1.8) (layers F.Cu F.Paste F.Mask)
+ (net 1 GND))
+ (pad 1 smd rect (at -2 0 180) (size 2.5 1.8) (layers F.Cu F.Paste F.Mask)
+ (net 58 "Net-(C4-Pad2)"))
+ (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_SMA.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Diode_SMD:D_SMA (layer F.Cu) (tedit 586432E5) (tstamp 5E8D128F)
+ (at 131.1 90.3 90)
+ (descr "Diode SMA (DO-214AC)")
+ (tags "Diode SMA (DO-214AC)")
+ (path /5E7E19E7)
+ (attr smd)
+ (fp_text reference D1 (at -4.45 -0.1 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value SS510 (at 0 2.6 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 -2.5 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -3.4 -1.65) (end -3.4 1.65) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.3 1.5) (end -2.3 1.5) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.3 1.5) (end -2.3 -1.5) (layer F.Fab) (width 0.1))
+ (fp_line (start 2.3 -1.5) (end 2.3 1.5) (layer F.Fab) (width 0.1))
+ (fp_line (start 2.3 -1.5) (end -2.3 -1.5) (layer F.Fab) (width 0.1))
+ (fp_line (start -3.5 -1.75) (end 3.5 -1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 3.5 -1.75) (end 3.5 1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 3.5 1.75) (end -3.5 1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -3.5 1.75) (end -3.5 -1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -0.64944 0.00102) (end -1.55114 0.00102) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.50118 0.00102) (end 1.4994 0.00102) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.64944 -0.79908) (end -0.64944 0.80112) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.50118 0.75032) (end 0.50118 -0.79908) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 0.75032) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.64944 0.00102) (end 0.50118 -0.79908) (layer F.Fab) (width 0.1))
+ (fp_line (start -3.4 1.65) (end 2 1.65) (layer F.SilkS) (width 0.12))
+ (fp_line (start -3.4 -1.65) (end 2 -1.65) (layer F.SilkS) (width 0.12))
+ (pad 2 smd rect (at 2 0 90) (size 2.5 1.8) (layers F.Cu F.Paste F.Mask)
+ (net 5 "Net-(D1-Pad2)"))
+ (pad 1 smd rect (at -2 0 90) (size 2.5 1.8) (layers F.Cu F.Paste F.Mask)
+ (net 69 /VB1))
+ (model ${KISYS3DMOD}/Diode_SMD.3dshapes/D_SMA.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitor_SMD:C_1206_3216Metric_Pad1.42x1.75mm_HandSolder (layer F.Cu) (tedit 5B301BBE) (tstamp 5E8D11C7)
+ (at 109.4 60.4 90)
+ (descr "Capacitor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (path /5EDD5DE9)
+ (attr smd)
+ (fp_text reference C18 (at 3 0 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 10u/6V (at 0 1.82 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.602064 -0.91) (end 0.602064 -0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.602064 0.91) (end 0.602064 0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0 90) (layer F.Fab)
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ )
+ (pad 2 smd roundrect (at 1.4875 0 90) (size 1.425 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.175439)
+ (net 1 GND))
+ (pad 1 smd roundrect (at -1.4875 0 90) (size 1.425 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.175439)
+ (net 3 +3V3))
+ (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_1206_3216Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitor_THT:CP_Radial_D5.0mm_P2.00mm (layer F.Cu) (tedit 5AE50EF0) (tstamp 5E8D11B6)
+ (at 109.4 66.2 270)
+ (descr "CP, Radial series, Radial, pin pitch=2.00mm, , diameter=5mm, Electrolytic Capacitor")
+ (tags "CP Radial series Radial pin pitch 2.00mm diameter 5mm Electrolytic Capacitor")
+ (path /5EDD5E07)
+ (fp_text reference C17 (at 4.5 -0.1 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 47u (at 1 3.75 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 1 0) (end 3.5 0) (layer F.Fab) (width 0.1))
+ (fp_circle (center 1 0) (end 3.62 0) (layer F.SilkS) (width 0.12))
+ (fp_circle (center 1 0) (end 3.75 0) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.133605 -1.0875) (end -0.633605 -1.0875) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.883605 -1.3375) (end -0.883605 -0.8375) (layer F.Fab) (width 0.1))
+ (fp_line (start 1 1.04) (end 1 2.58) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1 -2.58) (end 1 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.04 1.04) (end 1.04 2.58) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.04 -2.58) (end 1.04 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.08 -2.579) (end 1.08 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.08 1.04) (end 1.08 2.579) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.12 -2.578) (end 1.12 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.12 1.04) (end 1.12 2.578) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.16 -2.576) (end 1.16 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.16 1.04) (end 1.16 2.576) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.2 -2.573) (end 1.2 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.2 1.04) (end 1.2 2.573) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.24 -2.569) (end 1.24 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.24 1.04) (end 1.24 2.569) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.28 -2.565) (end 1.28 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.28 1.04) (end 1.28 2.565) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.32 -2.561) (end 1.32 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.32 1.04) (end 1.32 2.561) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.36 -2.556) (end 1.36 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.36 1.04) (end 1.36 2.556) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.4 -2.55) (end 1.4 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.4 1.04) (end 1.4 2.55) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.44 -2.543) (end 1.44 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.44 1.04) (end 1.44 2.543) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.48 -2.536) (end 1.48 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.48 1.04) (end 1.48 2.536) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.52 -2.528) (end 1.52 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.52 1.04) (end 1.52 2.528) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.56 -2.52) (end 1.56 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.56 1.04) (end 1.56 2.52) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.6 -2.511) (end 1.6 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.6 1.04) (end 1.6 2.511) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.64 -2.501) (end 1.64 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.64 1.04) (end 1.64 2.501) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.68 -2.491) (end 1.68 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.68 1.04) (end 1.68 2.491) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.721 -2.48) (end 1.721 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.721 1.04) (end 1.721 2.48) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.761 -2.468) (end 1.761 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.761 1.04) (end 1.761 2.468) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.801 -2.455) (end 1.801 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.801 1.04) (end 1.801 2.455) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.841 -2.442) (end 1.841 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.841 1.04) (end 1.841 2.442) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.881 -2.428) (end 1.881 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.881 1.04) (end 1.881 2.428) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.921 -2.414) (end 1.921 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.921 1.04) (end 1.921 2.414) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.961 -2.398) (end 1.961 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.961 1.04) (end 1.961 2.398) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.001 -2.382) (end 2.001 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.001 1.04) (end 2.001 2.382) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.041 -2.365) (end 2.041 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.041 1.04) (end 2.041 2.365) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.081 -2.348) (end 2.081 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.081 1.04) (end 2.081 2.348) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.121 -2.329) (end 2.121 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.121 1.04) (end 2.121 2.329) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.161 -2.31) (end 2.161 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.161 1.04) (end 2.161 2.31) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.201 -2.29) (end 2.201 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.201 1.04) (end 2.201 2.29) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.241 -2.268) (end 2.241 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.241 1.04) (end 2.241 2.268) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.281 -2.247) (end 2.281 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.281 1.04) (end 2.281 2.247) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.321 -2.224) (end 2.321 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.321 1.04) (end 2.321 2.224) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.361 -2.2) (end 2.361 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.361 1.04) (end 2.361 2.2) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.401 -2.175) (end 2.401 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.401 1.04) (end 2.401 2.175) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.441 -2.149) (end 2.441 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.441 1.04) (end 2.441 2.149) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.481 -2.122) (end 2.481 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.481 1.04) (end 2.481 2.122) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.521 -2.095) (end 2.521 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.521 1.04) (end 2.521 2.095) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.561 -2.065) (end 2.561 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.561 1.04) (end 2.561 2.065) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.601 -2.035) (end 2.601 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.601 1.04) (end 2.601 2.035) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.641 -2.004) (end 2.641 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.641 1.04) (end 2.641 2.004) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.681 -1.971) (end 2.681 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.681 1.04) (end 2.681 1.971) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.721 -1.937) (end 2.721 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.721 1.04) (end 2.721 1.937) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.761 -1.901) (end 2.761 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.761 1.04) (end 2.761 1.901) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.801 -1.864) (end 2.801 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.801 1.04) (end 2.801 1.864) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.841 -1.826) (end 2.841 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.841 1.04) (end 2.841 1.826) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.881 -1.785) (end 2.881 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.881 1.04) (end 2.881 1.785) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.921 -1.743) (end 2.921 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.921 1.04) (end 2.921 1.743) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.961 -1.699) (end 2.961 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.961 1.04) (end 2.961 1.699) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.001 -1.653) (end 3.001 -1.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.001 1.04) (end 3.001 1.653) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.041 -1.605) (end 3.041 1.605) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.081 -1.554) (end 3.081 1.554) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.121 -1.5) (end 3.121 1.5) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.161 -1.443) (end 3.161 1.443) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.201 -1.383) (end 3.201 1.383) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.241 -1.319) (end 3.241 1.319) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.281 -1.251) (end 3.281 1.251) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.321 -1.178) (end 3.321 1.178) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.361 -1.098) (end 3.361 1.098) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.401 -1.011) (end 3.401 1.011) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.441 -0.915) (end 3.441 0.915) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.481 -0.805) (end 3.481 0.805) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.521 -0.677) (end 3.521 0.677) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.561 -0.518) (end 3.561 0.518) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.601 -0.284) (end 3.601 0.284) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.804775 -1.475) (end -1.304775 -1.475) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.554775 -1.725) (end -1.554775 -1.225) (layer F.SilkS) (width 0.12))
+ (fp_text user %R (at 1 0 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad 2 thru_hole circle (at 2 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
+ (net 1 GND))
+ (pad 1 thru_hole rect (at 0 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
+ (net 3 +3V3))
+ (model ${KISYS3DMOD}/Capacitor_THT.3dshapes/CP_Radial_D5.0mm_P2.00mm.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitor_SMD:C_1206_3216Metric_Pad1.42x1.75mm_HandSolder (layer F.Cu) (tedit 5B301BBE) (tstamp 5E8D10A2)
+ (at 134.9 93.0125 270)
+ (descr "Capacitor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (path /5EB07457)
+ (attr smd)
+ (fp_text reference C10 (at -4.3125 -0.1 270) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 470n/60V (at 0 1.82 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.602064 -0.91) (end 0.602064 -0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.602064 0.91) (end 0.602064 0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0 90) (layer F.Fab)
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ )
+ (pad 2 smd roundrect (at 1.4875 0 270) (size 1.425 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.175439)
+ (net 9 /OUT_B))
+ (pad 1 smd roundrect (at -1.4875 0 270) (size 1.425 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.175439)
+ (net 68 /VB2))
+ (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_1206_3216Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBE) (tstamp 5E8D0F35)
+ (at 97.75 80.5 270)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (path /5EDD5D97)
+ (attr smd)
+ (fp_text reference C5 (at 0.7 1.35 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 12p (at 0 1.43 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0 90) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad 2 smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 1 GND))
+ (pad 1 smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 60 "Net-(C5-Pad1)"))
+ (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBE) (tstamp 5E8D0F24)
+ (at 98.75 76 180)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (path /5EDD5DB6)
+ (attr smd)
+ (fp_text reference C4 (at -2.75 0.25) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 100n/60V (at 0 1.43) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 58 "Net-(C4-Pad2)"))
+ (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 59 "Net-(C4-Pad1)"))
+ (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBE) (tstamp 5E8D0F13)
+ (at 88.65 81.7)
+ (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (path /5EDD5DB0)
+ (attr smd)
+ (fp_text reference C3 (at 0 -1.43) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 100n/60V (at 0 1.43) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 1 GND))
+ (pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 10 /VIN))
+ (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitor_THT:CP_Radial_D10.0mm_P5.00mm (layer F.Cu) (tedit 5AE50EF1) (tstamp 5E8D0F02)
+ (at 80.9 87.95 180)
+ (descr "CP, Radial series, Radial, pin pitch=5.00mm, , diameter=10mm, Electrolytic Capacitor")
+ (tags "CP Radial series Radial pin pitch 5.00mm diameter 10mm Electrolytic Capacitor")
+ (path /5EDD5DA6)
+ (fp_text reference C1 (at 2.5 -6.25) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 220u/63V (at 2.5 6.25) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 2.5 0) (end 7.5 0) (layer F.Fab) (width 0.1))
+ (fp_circle (center 2.5 0) (end 7.62 0) (layer F.SilkS) (width 0.12))
+ (fp_circle (center 2.5 0) (end 7.75 0) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.788861 -2.1875) (end -0.788861 -2.1875) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.288861 -2.6875) (end -1.288861 -1.6875) (layer F.Fab) (width 0.1))
+ (fp_line (start 2.5 -5.08) (end 2.5 5.08) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.54 -5.08) (end 2.54 5.08) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.58 -5.08) (end 2.58 5.08) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.62 -5.079) (end 2.62 5.079) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.66 -5.078) (end 2.66 5.078) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.7 -5.077) (end 2.7 5.077) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.74 -5.075) (end 2.74 5.075) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.78 -5.073) (end 2.78 5.073) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.82 -5.07) (end 2.82 5.07) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.86 -5.068) (end 2.86 5.068) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.9 -5.065) (end 2.9 5.065) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.94 -5.062) (end 2.94 5.062) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.98 -5.058) (end 2.98 5.058) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.02 -5.054) (end 3.02 5.054) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.06 -5.05) (end 3.06 5.05) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.1 -5.045) (end 3.1 5.045) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.14 -5.04) (end 3.14 5.04) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.18 -5.035) (end 3.18 5.035) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.221 -5.03) (end 3.221 5.03) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.261 -5.024) (end 3.261 5.024) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.301 -5.018) (end 3.301 5.018) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.341 -5.011) (end 3.341 5.011) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.381 -5.004) (end 3.381 5.004) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.421 -4.997) (end 3.421 4.997) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.461 -4.99) (end 3.461 4.99) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.501 -4.982) (end 3.501 4.982) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.541 -4.974) (end 3.541 4.974) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.581 -4.965) (end 3.581 4.965) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.621 -4.956) (end 3.621 4.956) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.661 -4.947) (end 3.661 4.947) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.701 -4.938) (end 3.701 4.938) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.741 -4.928) (end 3.741 4.928) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.781 -4.918) (end 3.781 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.781 1.241) (end 3.781 4.918) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.821 -4.907) (end 3.821 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.821 1.241) (end 3.821 4.907) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.861 -4.897) (end 3.861 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.861 1.241) (end 3.861 4.897) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.901 -4.885) (end 3.901 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.901 1.241) (end 3.901 4.885) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.941 -4.874) (end 3.941 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.941 1.241) (end 3.941 4.874) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.981 -4.862) (end 3.981 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.981 1.241) (end 3.981 4.862) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.021 -4.85) (end 4.021 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.021 1.241) (end 4.021 4.85) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.061 -4.837) (end 4.061 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.061 1.241) (end 4.061 4.837) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.101 -4.824) (end 4.101 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.101 1.241) (end 4.101 4.824) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.141 -4.811) (end 4.141 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.141 1.241) (end 4.141 4.811) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.181 -4.797) (end 4.181 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.181 1.241) (end 4.181 4.797) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.221 -4.783) (end 4.221 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.221 1.241) (end 4.221 4.783) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.261 -4.768) (end 4.261 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.261 1.241) (end 4.261 4.768) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.301 -4.754) (end 4.301 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.301 1.241) (end 4.301 4.754) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.341 -4.738) (end 4.341 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.341 1.241) (end 4.341 4.738) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.381 -4.723) (end 4.381 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.381 1.241) (end 4.381 4.723) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.421 -4.707) (end 4.421 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.421 1.241) (end 4.421 4.707) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.461 -4.69) (end 4.461 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.461 1.241) (end 4.461 4.69) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.501 -4.674) (end 4.501 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.501 1.241) (end 4.501 4.674) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.541 -4.657) (end 4.541 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.541 1.241) (end 4.541 4.657) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.581 -4.639) (end 4.581 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.581 1.241) (end 4.581 4.639) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.621 -4.621) (end 4.621 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.621 1.241) (end 4.621 4.621) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.661 -4.603) (end 4.661 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.661 1.241) (end 4.661 4.603) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.701 -4.584) (end 4.701 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.701 1.241) (end 4.701 4.584) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.741 -4.564) (end 4.741 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.741 1.241) (end 4.741 4.564) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.781 -4.545) (end 4.781 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.781 1.241) (end 4.781 4.545) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.821 -4.525) (end 4.821 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.821 1.241) (end 4.821 4.525) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.861 -4.504) (end 4.861 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.861 1.241) (end 4.861 4.504) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.901 -4.483) (end 4.901 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.901 1.241) (end 4.901 4.483) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.941 -4.462) (end 4.941 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.941 1.241) (end 4.941 4.462) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.981 -4.44) (end 4.981 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.981 1.241) (end 4.981 4.44) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.021 -4.417) (end 5.021 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.021 1.241) (end 5.021 4.417) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.061 -4.395) (end 5.061 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.061 1.241) (end 5.061 4.395) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.101 -4.371) (end 5.101 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.101 1.241) (end 5.101 4.371) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.141 -4.347) (end 5.141 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.141 1.241) (end 5.141 4.347) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.181 -4.323) (end 5.181 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.181 1.241) (end 5.181 4.323) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.221 -4.298) (end 5.221 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.221 1.241) (end 5.221 4.298) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.261 -4.273) (end 5.261 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.261 1.241) (end 5.261 4.273) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.301 -4.247) (end 5.301 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.301 1.241) (end 5.301 4.247) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.341 -4.221) (end 5.341 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.341 1.241) (end 5.341 4.221) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.381 -4.194) (end 5.381 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.381 1.241) (end 5.381 4.194) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.421 -4.166) (end 5.421 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.421 1.241) (end 5.421 4.166) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.461 -4.138) (end 5.461 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.461 1.241) (end 5.461 4.138) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.501 -4.11) (end 5.501 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.501 1.241) (end 5.501 4.11) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.541 -4.08) (end 5.541 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.541 1.241) (end 5.541 4.08) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.581 -4.05) (end 5.581 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.581 1.241) (end 5.581 4.05) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.621 -4.02) (end 5.621 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.621 1.241) (end 5.621 4.02) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.661 -3.989) (end 5.661 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.661 1.241) (end 5.661 3.989) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.701 -3.957) (end 5.701 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.701 1.241) (end 5.701 3.957) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.741 -3.925) (end 5.741 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.741 1.241) (end 5.741 3.925) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.781 -3.892) (end 5.781 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.781 1.241) (end 5.781 3.892) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.821 -3.858) (end 5.821 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.821 1.241) (end 5.821 3.858) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.861 -3.824) (end 5.861 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.861 1.241) (end 5.861 3.824) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.901 -3.789) (end 5.901 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.901 1.241) (end 5.901 3.789) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.941 -3.753) (end 5.941 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.941 1.241) (end 5.941 3.753) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.981 -3.716) (end 5.981 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.981 1.241) (end 5.981 3.716) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.021 -3.679) (end 6.021 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.021 1.241) (end 6.021 3.679) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.061 -3.64) (end 6.061 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.061 1.241) (end 6.061 3.64) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.101 -3.601) (end 6.101 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.101 1.241) (end 6.101 3.601) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.141 -3.561) (end 6.141 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.141 1.241) (end 6.141 3.561) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.181 -3.52) (end 6.181 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.181 1.241) (end 6.181 3.52) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.221 -3.478) (end 6.221 -1.241) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.221 1.241) (end 6.221 3.478) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.261 -3.436) (end 6.261 3.436) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.301 -3.392) (end 6.301 3.392) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.341 -3.347) (end 6.341 3.347) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.381 -3.301) (end 6.381 3.301) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.421 -3.254) (end 6.421 3.254) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.461 -3.206) (end 6.461 3.206) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.501 -3.156) (end 6.501 3.156) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.541 -3.106) (end 6.541 3.106) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.581 -3.054) (end 6.581 3.054) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.621 -3) (end 6.621 3) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.661 -2.945) (end 6.661 2.945) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.701 -2.889) (end 6.701 2.889) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.741 -2.83) (end 6.741 2.83) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.781 -2.77) (end 6.781 2.77) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.821 -2.709) (end 6.821 2.709) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.861 -2.645) (end 6.861 2.645) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.901 -2.579) (end 6.901 2.579) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.941 -2.51) (end 6.941 2.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.981 -2.439) (end 6.981 2.439) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.021 -2.365) (end 7.021 2.365) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.061 -2.289) (end 7.061 2.289) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.101 -2.209) (end 7.101 2.209) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.141 -2.125) (end 7.141 2.125) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.181 -2.037) (end 7.181 2.037) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.221 -1.944) (end 7.221 1.944) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.261 -1.846) (end 7.261 1.846) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.301 -1.742) (end 7.301 1.742) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.341 -1.63) (end 7.341 1.63) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.381 -1.51) (end 7.381 1.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.421 -1.378) (end 7.421 1.378) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.461 -1.23) (end 7.461 1.23) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.501 -1.062) (end 7.501 1.062) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.541 -0.862) (end 7.541 0.862) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.581 -0.599) (end 7.581 0.599) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.979646 -2.875) (end -1.979646 -2.875) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.479646 -3.375) (end -2.479646 -2.375) (layer F.SilkS) (width 0.12))
+ (fp_text user %R (at 2.5 0) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad 2 thru_hole circle (at 5 0 180) (size 2 2) (drill 1) (layers *.Cu *.Mask)
+ (net 1 GND))
+ (pad 1 thru_hole rect (at 0 0 180) (size 2 2) (drill 1) (layers *.Cu *.Mask)
+ (net 10 /VIN))
+ (model ${KISYS3DMOD}/Capacitor_THT.3dshapes/CP_Radial_D10.0mm_P5.00mm.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module TestPoint:TestPoint_Pad_D1.5mm (layer F.Cu) (tedit 5A0F774F) (tstamp 5CC1C6B5)
+ (at 156.6 84.6)
+ (descr "SMD pad as test Point, diameter 1.5mm")
+ (tags "test point SMD pad")
+ (path /5CD2DBD6)
+ (attr virtual)
+ (fp_text reference TP15 (at 0 -1.648) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value MOSI (at -2.7 -0.9) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 -1.65) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05))
+ (fp_circle (center 0 0) (end 0 0.95) (layer F.SilkS) (width 0.12))
+ (pad 1 smd circle (at 0 0) (size 1.5 1.5) (layers F.Cu F.Mask)
+ (net 31 /MOSI))
+ )
+
+ (module TestPoint:TestPoint_Pad_D1.5mm (layer F.Cu) (tedit 5A0F774F) (tstamp 5CC1C6AD)
+ (at 153.75 89)
+ (descr "SMD pad as test Point, diameter 1.5mm")
+ (tags "test point SMD pad")
+ (path /5CD38882)
+ (attr virtual)
+ (fp_text reference TP14 (at 0 -1.648) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value SCK (at -0.1 1.75) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 -1.65) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05))
+ (fp_circle (center 0 0) (end 0 0.95) (layer F.SilkS) (width 0.12))
+ (pad 1 smd circle (at 0 0) (size 1.5 1.5) (layers F.Cu F.Mask)
+ (net 30 /SCK))
+ )
+
+ (module TestPoint:TestPoint_Pad_D1.5mm (layer F.Cu) (tedit 5A0F774F) (tstamp 5CC1F8FB)
+ (at 154.7 86.55)
+ (descr "SMD pad as test Point, diameter 1.5mm")
+ (tags "test point SMD pad")
+ (path /5CD3B4C9)
+ (attr virtual)
+ (fp_text reference TP13 (at 0 -1.648) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value LATCH (at -3.4 0.05) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 -1.65) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05))
+ (fp_circle (center 0 0) (end 0 0.95) (layer F.SilkS) (width 0.12))
+ (pad 1 smd circle (at 0 0) (size 1.5 1.5) (layers F.Cu F.Mask)
+ (net 4 /VIN_MON))
+ )
+
+ (module TestPoint:TestPoint_Pad_D1.5mm (layer F.Cu) (tedit 5A0F774F) (tstamp 5CC14B96)
+ (at 112.7 87.05)
+ (descr "SMD pad as test Point, diameter 1.5mm")
+ (tags "test point SMD pad")
+ (path /5D19E9F0)
+ (attr virtual)
+ (fp_text reference TP12 (at 0 -1.648) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value ⏚ (at 0 2.05 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 -1.65) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05))
+ (fp_circle (center 0 0) (end 0 0.95) (layer F.SilkS) (width 0.12))
+ (pad 1 smd circle (at 0 0) (size 1.5 1.5) (layers F.Cu F.Mask)
+ (net 1 GND))
+ )
+
+ (module TestPoint:TestPoint_Pad_D1.5mm (layer F.Cu) (tedit 5A0F774F) (tstamp 5CC148CF)
+ (at 110.2 88.7)
+ (descr "SMD pad as test Point, diameter 1.5mm")
+ (tags "test point SMD pad")
+ (path /5D19DB61)
+ (attr virtual)
+ (fp_text reference TP11 (at 0 -1.648) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value SDA (at 0.1 -2.5 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 -1.65) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05))
+ (fp_circle (center 0 0) (end 0 0.95) (layer F.SilkS) (width 0.12))
+ (pad 1 smd circle (at 0 0) (size 1.5 1.5) (layers F.Cu F.Mask)
+ (net 25 /SDA))
+ )
+
+ (module TestPoint:TestPoint_Pad_D1.5mm (layer F.Cu) (tedit 5A0F774F) (tstamp 5CC148C7)
+ (at 108.2 87.7)
+ (descr "SMD pad as test Point, diameter 1.5mm")
+ (tags "test point SMD pad")
+ (path /5D19D2DC)
+ (attr virtual)
+ (fp_text reference TP10 (at 0 -1.648) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value SCL (at 0 -2.4 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 -1.65) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05))
+ (fp_circle (center 0 0) (end 0 0.95) (layer F.SilkS) (width 0.12))
+ (pad 1 smd circle (at 0 0) (size 1.5 1.5) (layers F.Cu F.Mask)
+ (net 26 /SCL))
+ )
+
+ (module TestPoint:TestPoint_Pad_D1.5mm (layer F.Cu) (tedit 5A0F774F) (tstamp 5CC15060)
+ (at 98.75 63.45)
+ (descr "SMD pad as test Point, diameter 1.5mm")
+ (tags "test point SMD pad")
+ (path /5D1ED8BA)
+ (attr virtual)
+ (fp_text reference TP9 (at 0 -1.648) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value ⏚ (at 0 -2) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 -1.65) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05))
+ (fp_circle (center 0 0) (end 0 0.95) (layer F.SilkS) (width 0.12))
+ (pad 1 smd circle (at 0 0) (size 1.5 1.5) (layers F.Cu F.Mask)
+ (net 1 GND))
+ )
+
+ (module TestPoint:TestPoint_Pad_D1.5mm (layer F.Cu) (tedit 5A0F774F) (tstamp 5CC148B7)
+ (at 134.35 85.4)
+ (descr "SMD pad as test Point, diameter 1.5mm")
+ (tags "test point SMD pad")
+ (path /5D199BAC)
+ (attr virtual)
+ (fp_text reference TP8 (at 0 -1.648) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value CTRL_A (at 0.15 -2.15) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 -1.65) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05))
+ (fp_circle (center 0 0) (end 0 0.95) (layer F.SilkS) (width 0.12))
+ (pad 1 smd circle (at 0 0) (size 1.5 1.5) (layers F.Cu F.Mask)
+ (net 17 /CTRL_A))
+ )
+
+ (module TestPoint:TestPoint_Pad_D1.5mm (layer F.Cu) (tedit 5A0F774F) (tstamp 5CC148A7)
+ (at 98.8 60.3)
+ (descr "SMD pad as test Point, diameter 1.5mm")
+ (tags "test point SMD pad")
+ (path /5D1812FD)
+ (attr virtual)
+ (fp_text reference TP6 (at 0 -1.648) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value +3V3 (at -3.3 -0.1) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 -1.65) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05))
+ (fp_circle (center 0 0) (end 0 0.95) (layer F.SilkS) (width 0.12))
+ (pad 1 smd circle (at 0 0) (size 1.5 1.5) (layers F.Cu F.Mask)
+ (net 3 +3V3))
+ )
+
+ (module TestPoint:TestPoint_Pad_D1.5mm (layer F.Cu) (tedit 5A0F774F) (tstamp 5CC14897)
+ (at 85.4 88.4)
+ (descr "SMD pad as test Point, diameter 1.5mm")
+ (tags "test point SMD pad")
+ (path /5D181B83)
+ (attr virtual)
+ (fp_text reference TP4 (at 0 -1.648) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value Vin (at 0.1 -2) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 -1.65) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05))
+ (fp_circle (center 0 0) (end 0 0.95) (layer F.SilkS) (width 0.12))
+ (pad 1 smd circle (at 0 0) (size 1.5 1.5) (layers F.Cu F.Mask)
+ (net 10 /VIN))
+ )
+
+ (module TestPoint:TestPoint_Pad_D1.5mm (layer F.Cu) (tedit 5A0F774F) (tstamp 5CC1488F)
+ (at 85.4 91.45)
+ (descr "SMD pad as test Point, diameter 1.5mm")
+ (tags "test point SMD pad")
+ (path /5D1C82A7)
+ (attr virtual)
+ (fp_text reference TP3 (at 0 -1.648) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value ⏚ (at 0 1.75) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 -1.65) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05))
+ (fp_circle (center 0 0) (end 0 0.95) (layer F.SilkS) (width 0.12))
+ (pad 1 smd circle (at 0 0) (size 1.5 1.5) (layers F.Cu F.Mask)
+ (net 1 GND))
+ )
+
+ (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5CC0F1F4)
+ (at 99.7 87.3 270)
+ (descr "Capacitor SMD 0603, hand soldering")
+ (tags "capacitor 0603")
+ (path /5CC5518C)
+ (attr smd)
+ (fp_text reference C9 (at -2.9 -0.1 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 100n (at 0 1.5 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 -1.25 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
+ (pad 2 smd rect (at 0.95 0 270) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
+ (net 1 GND))
+ (pad 1 smd rect (at -0.95 0 270) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
+ (net 3 +3V3))
+ (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Package_TO_SOT_SMD:SOT-23-5 (layer F.Cu) (tedit 5A02FF57) (tstamp 5CC0B50C)
+ (at 102.5 91)
+ (descr "5-pin SOT23 package")
+ (tags SOT-23-5)
+ (path /5D38FF36)
+ (attr smd)
+ (fp_text reference U9 (at -0.2 2.3) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value NCS325 (at 0 2.9) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0 90) (layer F.Fab)
+ (effects (font (size 0.5 0.5) (thickness 0.075)))
+ )
+ (fp_line (start -0.9 1.61) (end 0.9 1.61) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.9 -1.61) (end -1.55 -1.61) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.9 -1.8) (end 1.9 -1.8) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.9 -1.8) (end 1.9 1.8) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.9 1.8) (end -1.9 1.8) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.9 1.8) (end -1.9 -1.8) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -0.9 -0.9) (end -0.25 -1.55) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.9 -1.55) (end -0.25 -1.55) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.9 -0.9) (end -0.9 1.55) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.9 1.55) (end -0.9 1.55) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.9 -1.55) (end 0.9 1.55) (layer F.Fab) (width 0.1))
+ (pad 5 smd rect (at 1.1 -0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)
+ (net 3 +3V3))
+ (pad 4 smd rect (at 1.1 0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)
+ (net 56 "Net-(R33-Pad2)"))
+ (pad 3 smd rect (at -1.1 0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)
+ (net 57 "Net-(R36-Pad1)"))
+ (pad 2 smd rect (at -1.1 0) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)
+ (net 1 GND))
+ (pad 1 smd rect (at -1.1 -0.95) (size 1.06 0.65) (layers F.Cu F.Paste F.Mask)
+ (net 54 /VIsense))
+ (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5CCAAE61)
+ (at 138.55 75.95 90)
+ (descr "Capacitor SMD 0603, hand soldering")
+ (tags "capacitor 0603")
+ (path /5C5C9980)
+ (attr smd)
+ (fp_text reference C14 (at -0.3 1.7 270) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 100n (at 0 1.5 270) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_text user %R (at 0 -1.25 270) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad 1 smd rect (at -0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
+ (net 3 +3V3))
+ (pad 2 smd rect (at 0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
+ (net 1 GND))
+ (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5CC0B9B5)
+ (at 131 73.25 90)
+ (descr "Capacitor SMD 0603, hand soldering")
+ (tags "capacitor 0603")
+ (path /5CC58C3C)
+ (attr smd)
+ (fp_text reference C8 (at -2.5 -0.25 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 100n (at 0 1.5 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 -1.25 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
+ (pad 2 smd rect (at 0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
+ (net 1 GND))
+ (pad 1 smd rect (at -0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
+ (net 3 +3V3))
+ (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Package_SO:SOIC-8_3.9x4.9mm_P1.27mm (layer F.Cu) (tedit 5A02F2D3) (tstamp 5CC09AD2)
+ (at 134.75 75.75 90)
+ (descr "8-Lead Plastic Small Outline (SN) - Narrow, 3.90 mm Body [SOIC] (see Microchip Packaging Specification 00000049BS.pdf)")
+ (tags "SOIC 1.27")
+ (path /5C607F28)
+ (attr smd)
+ (fp_text reference U13 (at -4.55 -1.45 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value MAX485E (at 0 3.5 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.95 -2.45) (end 1.95 -2.45) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.95 -2.45) (end 1.95 2.45) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.95 2.45) (end -1.95 2.45) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.95 2.45) (end -1.95 -1.45) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.95 -1.45) (end -0.95 -2.45) (layer F.Fab) (width 0.1))
+ (fp_line (start -3.73 -2.7) (end -3.73 2.7) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 3.73 -2.7) (end 3.73 2.7) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -3.73 -2.7) (end 3.73 -2.7) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -3.73 2.7) (end 3.73 2.7) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.075 -2.575) (end -2.075 -2.525) (layer F.SilkS) (width 0.15))
+ (fp_line (start 2.075 -2.575) (end 2.075 -2.43) (layer F.SilkS) (width 0.15))
+ (fp_line (start 2.075 2.575) (end 2.075 2.43) (layer F.SilkS) (width 0.15))
+ (fp_line (start -2.075 2.575) (end -2.075 2.43) (layer F.SilkS) (width 0.15))
+ (fp_line (start -2.075 -2.575) (end 2.075 -2.575) (layer F.SilkS) (width 0.15))
+ (fp_line (start -2.075 2.575) (end 2.075 2.575) (layer F.SilkS) (width 0.15))
+ (fp_line (start -2.075 -2.525) (end -3.475 -2.525) (layer F.SilkS) (width 0.15))
+ (pad 8 smd rect (at 2.7 -1.905 90) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask)
+ (net 3 +3V3))
+ (pad 7 smd rect (at 2.7 -0.635 90) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask)
+ (net 23 /RS485_B))
+ (pad 6 smd rect (at 2.7 0.635 90) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask)
+ (net 22 /RX485_A))
+ (pad 5 smd rect (at 2.7 1.905 90) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask)
+ (net 1 GND))
+ (pad 4 smd rect (at -2.7 1.905 90) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask)
+ (net 20 /TX))
+ (pad 3 smd rect (at -2.7 0.635 90) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask)
+ (net 29 /DE))
+ (pad 2 smd rect (at -2.7 -0.635 90) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask)
+ (net 29 /DE))
+ (pad 1 smd rect (at -2.7 -1.905 90) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask)
+ (net 21 /RX))
+ (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5CC0658A)
+ (at 159.25 65.25 90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5D13A776)
+ (attr smd)
+ (fp_text reference R39 (at 0 -1.43 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 10k (at 0 1.43 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0 90) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad 2 smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 55 /GND_RS485))
+ (pad 1 smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 1 GND))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5CC0C5F0)
+ (at 99.5 91 270)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5D45B7FF)
+ (attr smd)
+ (fp_text reference R36 (at 2.2 0 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 10k (at 0 1.43 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0 90) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad 2 smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 11 /GND_MEAS))
+ (pad 1 smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 57 "Net-(R36-Pad1)"))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5CC06546)
+ (at 106.2 91.95)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5D8E3C01)
+ (attr smd)
+ (fp_text reference R35 (at 0 1.4) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 3k6 (at 0 1.43) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 1 GND))
+ (pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 56 "Net-(R33-Pad2)"))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5CC0726F)
+ (at 103.9 88.3)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5D4C9192)
+ (attr smd)
+ (fp_text reference R33 (at 0 -1.43) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 150k (at 0 1.43) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 56 "Net-(R33-Pad2)"))
+ (pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 54 /VIsense))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5CC06433)
+ (at 101.3 87.3 90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5D81B8C1)
+ (attr smd)
+ (fp_text reference R25 (at 3.3 0.2 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 10k (at 0 1.43 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0 90) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad 2 smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 30 /SCK))
+ (pad 1 smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 54 /VIsense))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5CC06422)
+ (at 122.45 86.075 270)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5D0524F4)
+ (attr smd)
+ (fp_text reference R24 (at -2.275 0.05 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 1k (at 0 1.43 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0 90) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad 2 smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 1 GND))
+ (pad 1 smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 17 /CTRL_A))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5CC056CA)
+ (at 122.2 120 90)
+ (descr "Capacitor SMD 0603, hand soldering")
+ (tags "capacitor 0603")
+ (path /5CFC5CF2)
+ (attr smd)
+ (fp_text reference C6 (at 0 -1.25 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 100n (at 0 1.5 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 -1.25 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
+ (pad 2 smd rect (at 0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
+ (net 11 /GND_MEAS))
+ (pad 1 smd rect (at -0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
+ (net 3 +3V3))
+ (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Connectors_Molex:Molex_KK-6410-04_04x2.54mm_Straight (layer F.Cu) (tedit 58EE6EE8) (tstamp 5C898F8D)
+ (at 163.4 77.2 180)
+ (descr "Connector Headers with Friction Lock, 22-27-2041, http://www.molex.com/pdm_docs/sd/022272021_sd.pdf")
+ (tags "connector molex kk_6410 22-27-2041")
+ (path /5CDBF041)
+ (fp_text reference J6 (at -0.45 -4 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "1602 I2C LCD" (at 3.81 4.5) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -1.47 -3.12) (end -1.47 3.08) (layer F.Fab) (width 0.12))
+ (fp_line (start -1.47 3.08) (end 9.09 3.08) (layer F.Fab) (width 0.12))
+ (fp_line (start 9.09 3.08) (end 9.09 -3.12) (layer F.Fab) (width 0.12))
+ (fp_line (start 9.09 -3.12) (end -1.47 -3.12) (layer F.Fab) (width 0.12))
+ (fp_line (start -1.37 -3.02) (end -1.37 2.98) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.37 2.98) (end 8.99 2.98) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.99 2.98) (end 8.99 -3.02) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.99 -3.02) (end -1.37 -3.02) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0 2.98) (end 0 1.98) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0 1.98) (end 7.62 1.98) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.62 1.98) (end 7.62 2.98) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0 1.98) (end 0.25 1.55) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.25 1.55) (end 7.37 1.55) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.37 1.55) (end 7.62 1.98) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.25 2.98) (end 0.25 1.98) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.37 2.98) (end 7.37 1.98) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.8 -3.02) (end -0.8 -2.4) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.8 -2.4) (end 0.8 -2.4) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.8 -2.4) (end 0.8 -3.02) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.74 -3.02) (end 1.74 -2.4) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.74 -2.4) (end 3.34 -2.4) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.34 -2.4) (end 3.34 -3.02) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.28 -3.02) (end 4.28 -2.4) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.28 -2.4) (end 5.88 -2.4) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.88 -2.4) (end 5.88 -3.02) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.82 -3.02) (end 6.82 -2.4) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.82 -2.4) (end 8.42 -2.4) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.42 -2.4) (end 8.42 -3.02) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.9 3.5) (end -1.9 -3.55) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.9 -3.55) (end 9.5 -3.55) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 9.5 -3.55) (end 9.5 3.5) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 9.5 3.5) (end -1.9 3.5) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 3.81 0) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad 4 thru_hole oval (at 7.62 0 180) (size 2 2.6) (drill 1.2) (layers *.Cu *.Mask)
+ (net 1 GND))
+ (pad 3 thru_hole oval (at 5.08 0 180) (size 2 2.6) (drill 1.2) (layers *.Cu *.Mask)
+ (net 3 +3V3))
+ (pad 2 thru_hole oval (at 2.54 0 180) (size 2 2.6) (drill 1.2) (layers *.Cu *.Mask)
+ (net 25 /SDA))
+ (pad 1 thru_hole rect (at 0 0 180) (size 2 2.6) (drill 1.2) (layers *.Cu *.Mask)
+ (net 26 /SCL))
+ (model ${KISYS3DMOD}/Connectors_Molex.3dshapes/Molex_KK-6410-04_04x2.54mm_Straight.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Package_SO:MSOP-10_3x3mm_P0.5mm (layer F.Cu) (tedit 5A02F25C) (tstamp 5C89947D)
+ (at 95 89.5 270)
+ (descr "10-Lead Plastic Micro Small Outline Package (MS) [MSOP] (see Microchip Packaging Specification 00000049BS.pdf)")
+ (tags "SSOP 0.5")
+ (path /5C3D6130)
+ (attr smd)
+ (fp_text reference U7 (at -3.4 2.6) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value INA226 (at 0 2.6 270) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0 270) (layer F.Fab)
+ (effects (font (size 0.6 0.6) (thickness 0.15)))
+ )
+ (fp_line (start -1.675 -1.45) (end -2.9 -1.45) (layer F.SilkS) (width 0.15))
+ (fp_line (start -1.675 1.675) (end 1.675 1.675) (layer F.SilkS) (width 0.15))
+ (fp_line (start -1.675 -1.675) (end 1.675 -1.675) (layer F.SilkS) (width 0.15))
+ (fp_line (start -1.675 1.675) (end -1.675 1.375) (layer F.SilkS) (width 0.15))
+ (fp_line (start 1.675 1.675) (end 1.675 1.375) (layer F.SilkS) (width 0.15))
+ (fp_line (start 1.675 -1.675) (end 1.675 -1.375) (layer F.SilkS) (width 0.15))
+ (fp_line (start -1.675 -1.675) (end -1.675 -1.45) (layer F.SilkS) (width 0.15))
+ (fp_line (start -3.15 1.85) (end 3.15 1.85) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -3.15 -1.85) (end 3.15 -1.85) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 3.15 -1.85) (end 3.15 1.85) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -3.15 -1.85) (end -3.15 1.85) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.5 -0.5) (end -0.5 -1.5) (layer F.Fab) (width 0.15))
+ (fp_line (start -1.5 1.5) (end -1.5 -0.5) (layer F.Fab) (width 0.15))
+ (fp_line (start 1.5 1.5) (end -1.5 1.5) (layer F.Fab) (width 0.15))
+ (fp_line (start 1.5 -1.5) (end 1.5 1.5) (layer F.Fab) (width 0.15))
+ (fp_line (start -0.5 -1.5) (end 1.5 -1.5) (layer F.Fab) (width 0.15))
+ (pad 1 smd rect (at -2.2 -1 270) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask)
+ (net 1 GND))
+ (pad 2 smd rect (at -2.2 -0.5 270) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask)
+ (net 1 GND))
+ (pad 3 smd rect (at -2.2 0 270) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask)
+ (net 6 "Net-(U7-Pad3)"))
+ (pad 4 smd rect (at -2.2 0.5 270) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask)
+ (net 25 /SDA))
+ (pad 5 smd rect (at -2.2 1 270) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask)
+ (net 26 /SCL))
+ (pad 6 smd rect (at 2.2 1 270) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask)
+ (net 3 +3V3))
+ (pad 7 smd rect (at 2.2 0.5 270) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask)
+ (net 1 GND))
+ (pad 8 smd rect (at 2.2 0 270) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask)
+ (net 4 /VIN_MON))
+ (pad 9 smd rect (at 2.2 -0.5 270) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask)
+ (net 1 GND))
+ (pad 10 smd rect (at 2.2 -1 270) (size 1.4 0.3) (layers F.Cu F.Paste F.Mask)
+ (net 11 /GND_MEAS))
+ (model ${KISYS3DMOD}/Package_SO.3dshapes/MSOP-10_3x3mm_P0.5mm.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5A02F25C) (tstamp 5C539424)
+ (at 122.4 78.4)
+ (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)")
+ (tags "SSOP 0.65")
+ (path /5D52BF88)
+ (attr smd)
+ (fp_text reference U6 (at 0 -4.3) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value STM32F030F4Px (at 0 4.3) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.8 0.8) (thickness 0.15)))
+ )
+ (fp_line (start -3.75 -3.45) (end 2.225 -3.45) (layer F.SilkS) (width 0.15))
+ (fp_line (start -2.225 3.45) (end 2.225 3.45) (layer F.SilkS) (width 0.15))
+ (fp_line (start -3.95 3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -3.95 -3.55) (end 3.95 -3.55) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 3.95 -3.55) (end 3.95 3.55) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -3.95 -3.55) (end -3.95 3.55) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.2 -2.25) (end -1.2 -3.25) (layer F.Fab) (width 0.15))
+ (fp_line (start -2.2 3.25) (end -2.2 -2.25) (layer F.Fab) (width 0.15))
+ (fp_line (start 2.2 3.25) (end -2.2 3.25) (layer F.Fab) (width 0.15))
+ (fp_line (start 2.2 -3.25) (end 2.2 3.25) (layer F.Fab) (width 0.15))
+ (fp_line (start -1.2 -3.25) (end 2.2 -3.25) (layer F.Fab) (width 0.15))
+ (pad 1 smd rect (at -2.95 -2.925) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 1 GND))
+ (pad 2 smd rect (at -2.95 -2.275) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 52 /XT2))
+ (pad 3 smd rect (at -2.95 -1.625) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 51 /XT1))
+ (pad 4 smd rect (at -2.95 -0.975) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 53 /RST))
+ (pad 5 smd rect (at -2.95 -0.325) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 3 +3V3))
+ (pad 6 smd rect (at -2.95 0.325) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 2 /VBOOT_MON))
+ (pad 7 smd rect (at -2.95 0.975) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 29 /DE))
+ (pad 8 smd rect (at -2.95 1.625) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 20 /TX))
+ (pad 9 smd rect (at -2.95 2.275) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 21 /RX))
+ (pad 10 smd rect (at -2.95 2.925) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 4 /VIN_MON))
+ (pad 11 smd rect (at 2.95 2.925) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 30 /SCK))
+ (pad 12 smd rect (at 2.95 2.275) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 17 /CTRL_A))
+ (pad 13 smd rect (at 2.95 1.625) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 31 /MOSI))
+ (pad 14 smd rect (at 2.95 0.975) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 16 /CTRL_B))
+ (pad 15 smd rect (at 2.95 0.325) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 1 GND))
+ (pad 16 smd rect (at 2.95 -0.325) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 3 +3V3))
+ (pad 17 smd rect (at 2.95 -0.975) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 26 /SCL))
+ (pad 18 smd rect (at 2.95 -1.625) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 25 /SDA))
+ (pad 19 smd rect (at 2.95 -2.275) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 19 /SWDIO))
+ (pad 20 smd rect (at 2.95 -2.925) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 18 /SWCLK))
+ (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module "footprints:Toshiba SOP Advance" (layer F.Cu) (tedit 5C40026E) (tstamp 5CB5FE99)
+ (at 130 100 90)
+ (path /5C3DA5D0)
+ (fp_text reference Q1 (at 3.2 3.8 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value NCEP60T15G (at 0 -10.16 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -2.54 -3.69) (end 2.54 -3.69) (layer F.CrtYd) (width 0.1))
+ (fp_line (start 2.54 -3.69) (end 2.54 3.295) (layer F.CrtYd) (width 0.1))
+ (fp_line (start 2.54 3.295) (end -2.54 3.295) (layer F.CrtYd) (width 0.1))
+ (fp_line (start -2.54 -3.69) (end -2.54 3.295) (layer F.CrtYd) (width 0.1))
+ (pad 1 smd rect (at 0 -0.55 90) (size 4.7 3.75) (layers F.Cu F.Paste F.Mask)
+ (net 10 /VIN))
+ (pad 3 smd rect (at -1.905 3.5 90) (size 0.85 2.95) (layers F.Cu F.Paste F.Mask)
+ (net 8 /OUT_A))
+ (pad 3 smd rect (at -0.635 3.5 90) (size 0.85 2.95) (layers F.Cu F.Paste F.Mask)
+ (net 8 /OUT_A))
+ (pad 3 smd rect (at 0.635 3.5 90) (size 0.85 2.95) (layers F.Cu F.Paste F.Mask)
+ (net 8 /OUT_A))
+ (pad 2 smd rect (at 1.905 3.5 90) (size 0.85 2.95) (layers F.Cu F.Paste F.Mask)
+ (net 12 /DRV1))
+ (pad 1 smd rect (at 1.905 -3.475 90) (size 0.85 3) (layers F.Cu F.Paste F.Mask)
+ (net 10 /VIN))
+ (pad 1 smd rect (at 0.635 -3.475 90) (size 0.85 3) (layers F.Cu F.Paste F.Mask)
+ (net 10 /VIN))
+ (pad 1 smd rect (at -0.635 -3.475 90) (size 0.85 3) (layers F.Cu F.Paste F.Mask)
+ (net 10 /VIN))
+ (pad 1 smd rect (at -1.905 -3.475 90) (size 0.85 3) (layers F.Cu F.Paste F.Mask)
+ (net 10 /VIN))
+ )
+
+ (module "footprints:Toshiba SOP Advance" (layer F.Cu) (tedit 5C40026E) (tstamp 5CB5F06E)
+ (at 130 140 90)
+ (path /5C3DAAC4)
+ (fp_text reference Q2 (at -3.7 4.1 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value NCEP60T15G (at 0 -10.16 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -2.54 -3.69) (end 2.54 -3.69) (layer F.CrtYd) (width 0.1))
+ (fp_line (start 2.54 -3.69) (end 2.54 3.295) (layer F.CrtYd) (width 0.1))
+ (fp_line (start 2.54 3.295) (end -2.54 3.295) (layer F.CrtYd) (width 0.1))
+ (fp_line (start -2.54 -3.69) (end -2.54 3.295) (layer F.CrtYd) (width 0.1))
+ (pad 1 smd rect (at 0 -0.55 90) (size 4.7 3.75) (layers F.Cu F.Paste F.Mask)
+ (net 10 /VIN))
+ (pad 3 smd rect (at -1.905 3.5 90) (size 0.85 2.95) (layers F.Cu F.Paste F.Mask)
+ (net 9 /OUT_B))
+ (pad 3 smd rect (at -0.635 3.5 90) (size 0.85 2.95) (layers F.Cu F.Paste F.Mask)
+ (net 9 /OUT_B))
+ (pad 3 smd rect (at 0.635 3.5 90) (size 0.85 2.95) (layers F.Cu F.Paste F.Mask)
+ (net 9 /OUT_B))
+ (pad 2 smd rect (at 1.905 3.5 90) (size 0.85 2.95) (layers F.Cu F.Paste F.Mask)
+ (net 13 /DRV2))
+ (pad 1 smd rect (at 1.905 -3.475 90) (size 0.85 3) (layers F.Cu F.Paste F.Mask)
+ (net 10 /VIN))
+ (pad 1 smd rect (at 0.635 -3.475 90) (size 0.85 3) (layers F.Cu F.Paste F.Mask)
+ (net 10 /VIN))
+ (pad 1 smd rect (at -0.635 -3.475 90) (size 0.85 3) (layers F.Cu F.Paste F.Mask)
+ (net 10 /VIN))
+ (pad 1 smd rect (at -1.905 -3.475 90) (size 0.85 3) (layers F.Cu F.Paste F.Mask)
+ (net 10 /VIN))
+ )
+
+ (module "footprints:Toshiba SOP Advance" (layer F.Cu) (tedit 5C40026E) (tstamp 5CB61BED)
+ (at 130 113.3333 270)
+ (path /5C3D9DBF)
+ (fp_text reference Q3 (at -3.6333 4.3) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value NCEP60T15G (at 0 -10.16 270) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -2.54 -3.69) (end 2.54 -3.69) (layer F.CrtYd) (width 0.1))
+ (fp_line (start 2.54 -3.69) (end 2.54 3.295) (layer F.CrtYd) (width 0.1))
+ (fp_line (start 2.54 3.295) (end -2.54 3.295) (layer F.CrtYd) (width 0.1))
+ (fp_line (start -2.54 -3.69) (end -2.54 3.295) (layer F.CrtYd) (width 0.1))
+ (pad 1 smd rect (at 0 -0.55 270) (size 4.7 3.75) (layers F.Cu F.Paste F.Mask)
+ (net 8 /OUT_A))
+ (pad 3 smd rect (at -1.905 3.5 270) (size 0.85 2.95) (layers F.Cu F.Paste F.Mask)
+ (net 11 /GND_MEAS))
+ (pad 3 smd rect (at -0.635 3.5 270) (size 0.85 2.95) (layers F.Cu F.Paste F.Mask)
+ (net 11 /GND_MEAS))
+ (pad 3 smd rect (at 0.635 3.5 270) (size 0.85 2.95) (layers F.Cu F.Paste F.Mask)
+ (net 11 /GND_MEAS))
+ (pad 2 smd rect (at 1.905 3.5 270) (size 0.85 2.95) (layers F.Cu F.Paste F.Mask)
+ (net 14 /DRV3))
+ (pad 1 smd rect (at 1.905 -3.475 270) (size 0.85 3) (layers F.Cu F.Paste F.Mask)
+ (net 8 /OUT_A))
+ (pad 1 smd rect (at 0.635 -3.475 270) (size 0.85 3) (layers F.Cu F.Paste F.Mask)
+ (net 8 /OUT_A))
+ (pad 1 smd rect (at -0.635 -3.475 270) (size 0.85 3) (layers F.Cu F.Paste F.Mask)
+ (net 8 /OUT_A))
+ (pad 1 smd rect (at -1.905 -3.475 270) (size 0.85 3) (layers F.Cu F.Paste F.Mask)
+ (net 8 /OUT_A))
+ )
+
+ (module "footprints:Toshiba SOP Advance" (layer F.Cu) (tedit 5C40026E) (tstamp 5CB62789)
+ (at 130 126.6667 270)
+ (path /5C3DB5E6)
+ (fp_text reference Q4 (at 3.7333 3.8) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value NCEP60T15G (at 0 -10.16 270) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -2.54 -3.69) (end 2.54 -3.69) (layer F.CrtYd) (width 0.1))
+ (fp_line (start 2.54 -3.69) (end 2.54 3.295) (layer F.CrtYd) (width 0.1))
+ (fp_line (start 2.54 3.295) (end -2.54 3.295) (layer F.CrtYd) (width 0.1))
+ (fp_line (start -2.54 -3.69) (end -2.54 3.295) (layer F.CrtYd) (width 0.1))
+ (pad 1 smd rect (at 0 -0.55 270) (size 4.7 3.75) (layers F.Cu F.Paste F.Mask)
+ (net 9 /OUT_B))
+ (pad 3 smd rect (at -1.905 3.5 270) (size 0.85 2.95) (layers F.Cu F.Paste F.Mask)
+ (net 11 /GND_MEAS))
+ (pad 3 smd rect (at -0.635 3.5 270) (size 0.85 2.95) (layers F.Cu F.Paste F.Mask)
+ (net 11 /GND_MEAS))
+ (pad 3 smd rect (at 0.635 3.5 270) (size 0.85 2.95) (layers F.Cu F.Paste F.Mask)
+ (net 11 /GND_MEAS))
+ (pad 2 smd rect (at 1.905 3.5 270) (size 0.85 2.95) (layers F.Cu F.Paste F.Mask)
+ (net 15 /DRV4))
+ (pad 1 smd rect (at 1.905 -3.475 270) (size 0.85 3) (layers F.Cu F.Paste F.Mask)
+ (net 9 /OUT_B))
+ (pad 1 smd rect (at 0.635 -3.475 270) (size 0.85 3) (layers F.Cu F.Paste F.Mask)
+ (net 9 /OUT_B))
+ (pad 1 smd rect (at -0.635 -3.475 270) (size 0.85 3) (layers F.Cu F.Paste F.Mask)
+ (net 9 /OUT_B))
+ (pad 1 smd rect (at -1.905 -3.475 270) (size 0.85 3) (layers F.Cu F.Paste F.Mask)
+ (net 9 /OUT_B))
+ )
+
+ (module Capacitors_SMD:C_0603_HandSoldering (layer B.Cu) (tedit 5C510A6F) (tstamp 5CC1F97F)
+ (at 117.6 76.8 90)
+ (descr "Capacitor SMD 0603, hand soldering")
+ (tags "capacitor 0603")
+ (path /5C5BBF20)
+ (attr smd)
+ (fp_text reference C11 (at 0 2 180) (layer B.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ )
+ (fp_text value 100n (at 0 -1.5 90) (layer B.Fab)
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ )
+ (fp_text user %R (at 0 1.25 90) (layer B.Fab)
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ )
+ (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
+ (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
+ (fp_line (start -0.35 0.6) (end 0.35 0.6) (layer B.SilkS) (width 0.12))
+ (fp_line (start 0.35 -0.6) (end -0.35 -0.6) (layer B.SilkS) (width 0.12))
+ (fp_line (start -1.8 0.65) (end 1.8 0.65) (layer B.CrtYd) (width 0.05))
+ (fp_line (start -1.8 0.65) (end -1.8 -0.65) (layer B.CrtYd) (width 0.05))
+ (fp_line (start 1.8 -0.65) (end 1.8 0.65) (layer B.CrtYd) (width 0.05))
+ (fp_line (start 1.8 -0.65) (end -1.8 -0.65) (layer B.CrtYd) (width 0.05))
+ (pad 2 smd rect (at 0.95 0 90) (size 1.2 0.75) (layers B.Cu B.Paste B.Mask)
+ (net 1 GND))
+ (pad 1 smd rect (at -0.95 0 90) (size 1.2 0.75) (layers B.Cu B.Paste B.Mask)
+ (net 3 +3V3))
+ (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5C898B36)
+ (at 128.6 78.3 270)
+ (descr "Capacitor SMD 0603, hand soldering")
+ (tags "capacitor 0603")
+ (path /5C5BD76D)
+ (attr smd)
+ (fp_text reference C12 (at 3.2 0.1 270) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 100n (at 0 1.5 270) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_text user %R (at 0 -1.25 270) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad 1 smd rect (at -0.95 0 270) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
+ (net 3 +3V3))
+ (pad 2 smd rect (at 0.95 0 270) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
+ (net 1 GND))
+ (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5CC1F70B)
+ (at 156 91.8 90)
+ (descr "Capacitor SMD 0603, hand soldering")
+ (tags "capacitor 0603")
+ (path /5C5C8D7A)
+ (attr smd)
+ (fp_text reference C13 (at -0.6 -2.2 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 100n (at 0 1.5 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at -2.4 1.25 180) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
+ (pad 2 smd rect (at 0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
+ (net 1 GND))
+ (pad 1 smd rect (at -0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
+ (net 3 +3V3))
+ (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5C898CA3)
+ (at 113.5 80.45)
+ (descr "Capacitor SMD 0603, hand soldering")
+ (tags "capacitor 0603")
+ (path /5C645E08)
+ (attr smd)
+ (fp_text reference C20 (at -3.25 0.05) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 12p (at 0 1.5) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 -1.25) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
+ (pad 2 smd rect (at 0.95 0) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
+ (net 51 /XT1))
+ (pad 1 smd rect (at -0.95 0) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
+ (net 1 GND))
+ (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 5C51120E) (tstamp 5CB5F754)
+ (at 109.8 91.5 180)
+ (descr "Capacitor SMD 0603, hand soldering")
+ (tags "capacitor 0603")
+ (path /5C4AAEDB)
+ (attr smd)
+ (fp_text reference C21 (at 0 -1.4) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 100n (at 0.4 -0.5 270) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 -1.25 180) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
+ (pad 2 smd rect (at 0.95 0 180) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
+ (net 1 GND))
+ (pad 1 smd rect (at -0.95 0 180) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
+ (net 4 /VIN_MON))
+ (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5C898CC5)
+ (at 117 74.5 90)
+ (descr "Capacitor SMD 0603, hand soldering")
+ (tags "capacitor 0603")
+ (path /5C6343C3)
+ (attr smd)
+ (fp_text reference C22 (at 0.75 2.25) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 12p (at 0 1.5 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_text user %R (at 0 -1.25 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad 1 smd rect (at -0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
+ (net 52 /XT2))
+ (pad 2 smd rect (at 0.95 0 90) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
+ (net 1 GND))
+ (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 58AA848B) (tstamp 5C898CE7)
+ (at 139.55 84.85 180)
+ (descr "Capacitor SMD 0603, hand soldering")
+ (tags "capacitor 0603")
+ (path /5C60D685)
+ (attr smd)
+ (fp_text reference C24 (at -0.05 1.45 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 1u (at 0 1.5 180) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 1.8 0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.8 0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.8 -0.65) (end -1.8 0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.8 -0.65) (end 1.8 -0.65) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_text user %R (at 0 -1.25 180) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad 1 smd rect (at -0.95 0 180) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
+ (net 61 +12V))
+ (pad 2 smd rect (at 0.95 0 180) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
+ (net 1 GND))
+ (model Capacitors_SMD.3dshapes/C_0603.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module LED_SMD:LED_PLCC_2835_Handsoldering (layer F.Cu) (tedit 575B2B12) (tstamp 5CC1A70A)
+ (at 134.8 152)
+ (descr http://www.everlight.com/file/ProductFile/67-21S-KK2C-H4040QAR32835Z15-2T.pdf)
+ (tags LED)
+ (path /5D120495)
+ (attr smd)
+ (fp_text reference D4 (at -3.6 1.8) (layer F.SilkS) hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value cyan (at -0.2 -2.6) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 1.55 1.4) (end -1.95 1.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.95 1.4) (end -1.95 -1.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.95 -1.4) (end 1.55 -1.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.55 -1.4) (end 1.55 1.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.4 0) (end 1.4 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.4 0.8) (end 1 1.2) (layer F.Fab) (width 0.1))
+ (fp_line (start 1 1.2) (end -1.4 1.2) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.4 1.2) (end -1.8 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.8 0.8) (end -1.8 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.8 -0.8) (end -1.4 -1.2) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.4 -1.2) (end 1 -1.2) (layer F.Fab) (width 0.1))
+ (fp_line (start 1 -1.2) (end 1.4 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.4 -0.8) (end 1.4 0) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.5 1.6) (end -2.5 1.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.45 -1.6) (end -2.55 -1.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.3 1.75) (end -2.8 1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.8 1.75) (end -2.8 -1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.8 -1.75) (end 2.3 -1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.3 -1.75) (end 2.3 1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 0.93 -0.4) (end 0.93 -0.9) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.15 -0.65) (end 0.7 -0.65) (layer F.Fab) (width 0.1))
+ (pad 1 smd rect (at -1.33 0 180) (size 2.5 2.1) (layers F.Cu F.Paste F.Mask)
+ (net 47 "Net-(D4-Pad1)"))
+ (pad 2 smd rect (at 1.33 0 180) (size 1.5 2.1) (layers F.Cu F.Paste F.Mask)
+ (net 3 +3V3))
+ (model ${KISYS3DMOD}/LED_SMD.3dshapes\LED_PLCC_2835_Handsoldering.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module LED_SMD:LED_PLCC_2835_Handsoldering (layer F.Cu) (tedit 575B2B12) (tstamp 5C53F2AB)
+ (at 85 152)
+ (descr http://www.everlight.com/file/ProductFile/67-21S-KK2C-H4040QAR32835Z15-2T.pdf)
+ (tags LED)
+ (path /5D120F6F)
+ (attr smd)
+ (fp_text reference D5 (at 0.3 -2.2) (layer F.SilkS) hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value cyan (at -0.2 -2.6) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 1.15 -0.65) (end 0.7 -0.65) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.93 -0.4) (end 0.93 -0.9) (layer F.Fab) (width 0.1))
+ (fp_line (start 2.3 -1.75) (end 2.3 1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.8 -1.75) (end 2.3 -1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.8 1.75) (end -2.8 -1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.3 1.75) (end -2.8 1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.45 -1.6) (end -2.55 -1.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.5 1.6) (end -2.5 1.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.4 -0.8) (end 1.4 0) (layer F.Fab) (width 0.1))
+ (fp_line (start 1 -1.2) (end 1.4 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.4 -1.2) (end 1 -1.2) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.8 -0.8) (end -1.4 -1.2) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.8 0.8) (end -1.8 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.4 1.2) (end -1.8 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1 1.2) (end -1.4 1.2) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.4 0.8) (end 1 1.2) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.4 0) (end 1.4 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.55 -1.4) (end 1.55 1.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.95 -1.4) (end 1.55 -1.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.95 1.4) (end -1.95 -1.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.55 1.4) (end -1.95 1.4) (layer F.Fab) (width 0.1))
+ (pad 2 smd rect (at 1.33 0 180) (size 1.5 2.1) (layers F.Cu F.Paste F.Mask)
+ (net 3 +3V3))
+ (pad 1 smd rect (at -1.33 0 180) (size 2.5 2.1) (layers F.Cu F.Paste F.Mask)
+ (net 48 "Net-(D5-Pad1)"))
+ (model ${KISYS3DMOD}/LED_SMD.3dshapes\LED_PLCC_2835_Handsoldering.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module LED_SMD:LED_PLCC_2835_Handsoldering (layer F.Cu) (tedit 575B2B12) (tstamp 5C898DDB)
+ (at 85 147)
+ (descr http://www.everlight.com/file/ProductFile/67-21S-KK2C-H4040QAR32835Z15-2T.pdf)
+ (tags LED)
+ (path /5D12221B)
+ (attr smd)
+ (fp_text reference D6 (at 1.5 -2.4) (layer F.SilkS) hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value pink (at -0.2 -2.6) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 1.15 -0.65) (end 0.7 -0.65) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.93 -0.4) (end 0.93 -0.9) (layer F.Fab) (width 0.1))
+ (fp_line (start 2.3 -1.75) (end 2.3 1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.8 -1.75) (end 2.3 -1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.8 1.75) (end -2.8 -1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.3 1.75) (end -2.8 1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.45 -1.6) (end -2.55 -1.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.5 1.6) (end -2.5 1.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.4 -0.8) (end 1.4 0) (layer F.Fab) (width 0.1))
+ (fp_line (start 1 -1.2) (end 1.4 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.4 -1.2) (end 1 -1.2) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.8 -0.8) (end -1.4 -1.2) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.8 0.8) (end -1.8 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.4 1.2) (end -1.8 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1 1.2) (end -1.4 1.2) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.4 0.8) (end 1 1.2) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.4 0) (end 1.4 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.55 -1.4) (end 1.55 1.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.95 -1.4) (end 1.55 -1.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.95 1.4) (end -1.95 -1.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.55 1.4) (end -1.95 1.4) (layer F.Fab) (width 0.1))
+ (pad 2 smd rect (at 1.33 0 180) (size 1.5 2.1) (layers F.Cu F.Paste F.Mask)
+ (net 3 +3V3))
+ (pad 1 smd rect (at -1.33 0 180) (size 2.5 2.1) (layers F.Cu F.Paste F.Mask)
+ (net 49 "Net-(D6-Pad1)"))
+ (model ${KISYS3DMOD}/LED_SMD.3dshapes\LED_PLCC_2835_Handsoldering.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module LED_SMD:LED_PLCC_2835_Handsoldering (layer F.Cu) (tedit 575B2B12) (tstamp 5CC1A68C)
+ (at 134.8 147)
+ (descr http://www.everlight.com/file/ProductFile/67-21S-KK2C-H4040QAR32835Z15-2T.pdf)
+ (tags LED)
+ (path /5D12562A)
+ (attr smd)
+ (fp_text reference D7 (at -3.6 -0.2) (layer F.SilkS) hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value pink (at -0.2 -2.6) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 1.55 1.4) (end -1.95 1.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.95 1.4) (end -1.95 -1.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.95 -1.4) (end 1.55 -1.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.55 -1.4) (end 1.55 1.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.4 0) (end 1.4 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.4 0.8) (end 1 1.2) (layer F.Fab) (width 0.1))
+ (fp_line (start 1 1.2) (end -1.4 1.2) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.4 1.2) (end -1.8 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.8 0.8) (end -1.8 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.8 -0.8) (end -1.4 -1.2) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.4 -1.2) (end 1 -1.2) (layer F.Fab) (width 0.1))
+ (fp_line (start 1 -1.2) (end 1.4 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.4 -0.8) (end 1.4 0) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.5 1.6) (end -2.5 1.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.45 -1.6) (end -2.55 -1.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.3 1.75) (end -2.8 1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.8 1.75) (end -2.8 -1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.8 -1.75) (end 2.3 -1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.3 -1.75) (end 2.3 1.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 0.93 -0.4) (end 0.93 -0.9) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.15 -0.65) (end 0.7 -0.65) (layer F.Fab) (width 0.1))
+ (pad 1 smd rect (at -1.33 0 180) (size 2.5 2.1) (layers F.Cu F.Paste F.Mask)
+ (net 50 "Net-(D7-Pad1)"))
+ (pad 2 smd rect (at 1.33 0 180) (size 1.5 2.1) (layers F.Cu F.Paste F.Mask)
+ (net 3 +3V3))
+ (model ${KISYS3DMOD}/LED_SMD.3dshapes\LED_PLCC_2835_Handsoldering.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5E9393A3)
+ (at 116.8 93.15 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5C4AAEC7)
+ (attr smd)
+ (fp_text reference R8 (at -1 1.35) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 47k (at 0 1.43 180) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0 180) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 4 /VIN_MON))
+ (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 10 /VIN))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5CC9D743)
+ (at 114.15 91.5 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5C4AAECD)
+ (attr smd)
+ (fp_text reference R9 (at 0.95 -1.5) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 10k (at 0 1.43 180) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0 180) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 1 GND))
+ (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 4 /VIN_MON))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5C510A08) (tstamp 5C50338C)
+ (at 113.5 82.5 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5C6C27C5)
+ (attr smd)
+ (fp_text reference R10 (at 0 -1.25) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 10k (at 0 1.43) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 3 +3V3))
+ (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 53 /RST))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5C510918) (tstamp 5CC1A652)
+ (at 129.8 150.2)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5D18F534)
+ (attr smd)
+ (fp_text reference R13 (at 0 -1.43) (layer F.SilkS) hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 150 (at 0 1.43) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 42 /SLED1))
+ (pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 50 "Net-(D7-Pad1)"))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5C53F367)
+ (at 81.05 152 90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5D18F810)
+ (attr smd)
+ (fp_text reference R14 (at 0 -1.15 90) (layer F.SilkS) hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 150 (at 0 1.43 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0 90) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad 2 smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 48 "Net-(D5-Pad1)"))
+ (pad 1 smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 43 /SLED2))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5CB63AD1)
+ (at 81.1 147 90)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5D190038)
+ (attr smd)
+ (fp_text reference R15 (at 0.05 -1.1 90) (layer F.SilkS) hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 150 (at 0 1.43 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0 90) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad 2 smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 49 "Net-(D6-Pad1)"))
+ (pad 1 smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 44 /SLED3))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5CC1A6D0)
+ (at 129.8 152.2)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5D1904ED)
+ (attr smd)
+ (fp_text reference R16 (at -1.2 1.6 180) (layer F.SilkS) hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 150 (at 0 1.43 180) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0 180) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 47 "Net-(D4-Pad1)"))
+ (pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 45 /SLED4))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5C89923F)
+ (at 136.65 80.2)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5CC2B8EF)
+ (attr smd)
+ (fp_text reference R23 (at -0.1 1.35 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 10k (at 0 1.43 180) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0 180) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 29 /DE))
+ (pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 1 GND))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5C899272)
+ (at 126.3 72.7 270)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5CE0D5AA)
+ (attr smd)
+ (fp_text reference R26 (at 0.1 1.45 270) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 1k5 (at 0 1.43 270) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0 270) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (pad 1 smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 3 +3V3))
+ (pad 2 smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 25 /SDA))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5C42B1FC)
+ (at 128 72.7 270)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5CE10E6A)
+ (attr smd)
+ (fp_text reference R27 (at 0 -1.43 270) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 1k5 (at 0 1.43 270) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0 270) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad 2 smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 26 /SCL))
+ (pad 1 smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 3 +3V3))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5CA2BCCA)
+ (at 95.5 121 180)
+ (descr "Resistor SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5C3D5DD5)
+ (attr smd)
+ (fp_text reference R28 (at 0 -2.62 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "25mR 1W 2512" (at 0 2.62 180) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -3.15 1.6) (end -3.15 -1.6) (layer F.Fab) (width 0.1))
+ (fp_line (start -3.15 -1.6) (end 3.15 -1.6) (layer F.Fab) (width 0.1))
+ (fp_line (start 3.15 -1.6) (end 3.15 1.6) (layer F.Fab) (width 0.1))
+ (fp_line (start 3.15 1.6) (end -3.15 1.6) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.052064 -1.71) (end 2.052064 -1.71) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.052064 1.71) (end 2.052064 1.71) (layer F.SilkS) (width 0.12))
+ (fp_line (start -4 1.92) (end -4 -1.92) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -4 -1.92) (end 4 -1.92) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 4 -1.92) (end 4 1.92) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 4 1.92) (end -4 1.92) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0 180) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad 2 smd roundrect (at 2.9875 0 180) (size 1.525 3.35) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.163934)
+ (net 1 GND))
+ (pad 1 smd roundrect (at -2.9875 0 180) (size 1.525 3.35) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.163934)
+ (net 11 /GND_MEAS))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_2512_6332Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5CA2BC9A)
+ (at 95.5 98 180)
+ (descr "Resistor SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5C3D5E81)
+ (attr smd)
+ (fp_text reference R29 (at 0 -2.62 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "25mR 1W 2512" (at 0 2.62 180) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0 180) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 4 1.92) (end -4 1.92) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 4 -1.92) (end 4 1.92) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -4 -1.92) (end 4 -1.92) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -4 1.92) (end -4 -1.92) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.052064 1.71) (end 2.052064 1.71) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.052064 -1.71) (end 2.052064 -1.71) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.15 1.6) (end -3.15 1.6) (layer F.Fab) (width 0.1))
+ (fp_line (start 3.15 -1.6) (end 3.15 1.6) (layer F.Fab) (width 0.1))
+ (fp_line (start -3.15 -1.6) (end 3.15 -1.6) (layer F.Fab) (width 0.1))
+ (fp_line (start -3.15 1.6) (end -3.15 -1.6) (layer F.Fab) (width 0.1))
+ (pad 1 smd roundrect (at -2.9875 0 180) (size 1.525 3.35) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.163934)
+ (net 11 /GND_MEAS))
+ (pad 2 smd roundrect (at 2.9875 0 180) (size 1.525 3.35) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.163934)
+ (net 1 GND))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_2512_6332Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5CA2BCFA)
+ (at 95.5 109.5 180)
+ (descr "Resistor SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5C3D5FC2)
+ (attr smd)
+ (fp_text reference R30 (at 0 -2.62 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "25mR 1W 2512" (at 0 2.62 180) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0 180) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 4 1.92) (end -4 1.92) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 4 -1.92) (end 4 1.92) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -4 -1.92) (end 4 -1.92) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -4 1.92) (end -4 -1.92) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.052064 1.71) (end 2.052064 1.71) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.052064 -1.71) (end 2.052064 -1.71) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.15 1.6) (end -3.15 1.6) (layer F.Fab) (width 0.1))
+ (fp_line (start 3.15 -1.6) (end 3.15 1.6) (layer F.Fab) (width 0.1))
+ (fp_line (start -3.15 -1.6) (end 3.15 -1.6) (layer F.Fab) (width 0.1))
+ (fp_line (start -3.15 1.6) (end -3.15 -1.6) (layer F.Fab) (width 0.1))
+ (pad 1 smd roundrect (at -2.9875 0 180) (size 1.525 3.35) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.163934)
+ (net 11 /GND_MEAS))
+ (pad 2 smd roundrect (at 2.9875 0 180) (size 1.525 3.35) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.163934)
+ (net 1 GND))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_2512_6332Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5CA2BD2A)
+ (at 95.5 103.5 180)
+ (descr "Resistor SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5C3D600E)
+ (attr smd)
+ (fp_text reference R31 (at 0 -2.62 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "25mR 1W 2512" (at 0 2.62 180) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -3.15 1.6) (end -3.15 -1.6) (layer F.Fab) (width 0.1))
+ (fp_line (start -3.15 -1.6) (end 3.15 -1.6) (layer F.Fab) (width 0.1))
+ (fp_line (start 3.15 -1.6) (end 3.15 1.6) (layer F.Fab) (width 0.1))
+ (fp_line (start 3.15 1.6) (end -3.15 1.6) (layer F.Fab) (width 0.1))
+ (fp_line (start -2.052064 -1.71) (end 2.052064 -1.71) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.052064 1.71) (end 2.052064 1.71) (layer F.SilkS) (width 0.12))
+ (fp_line (start -4 1.92) (end -4 -1.92) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -4 -1.92) (end 4 -1.92) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 4 -1.92) (end 4 1.92) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 4 1.92) (end -4 1.92) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0 180) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad 2 smd roundrect (at 2.9875 0 180) (size 1.525 3.35) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.163934)
+ (net 1 GND))
+ (pad 1 smd roundrect (at -2.9875 0 180) (size 1.525 3.35) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.163934)
+ (net 11 /GND_MEAS))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_2512_6332Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5CA2BD5A)
+ (at 95.5 115.5 180)
+ (descr "Resistor SMD 2512 (6332 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5C3D603D)
+ (attr smd)
+ (fp_text reference R32 (at 0 -2.62 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value "25mR 1W 2512" (at 0 2.62 180) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0 180) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 4 1.92) (end -4 1.92) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 4 -1.92) (end 4 1.92) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -4 -1.92) (end 4 -1.92) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -4 1.92) (end -4 -1.92) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.052064 1.71) (end 2.052064 1.71) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.052064 -1.71) (end 2.052064 -1.71) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.15 1.6) (end -3.15 1.6) (layer F.Fab) (width 0.1))
+ (fp_line (start 3.15 -1.6) (end 3.15 1.6) (layer F.Fab) (width 0.1))
+ (fp_line (start -3.15 -1.6) (end 3.15 -1.6) (layer F.Fab) (width 0.1))
+ (fp_line (start -3.15 1.6) (end -3.15 -1.6) (layer F.Fab) (width 0.1))
+ (pad 1 smd roundrect (at -2.9875 0 180) (size 1.525 3.35) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.163934)
+ (net 11 /GND_MEAS))
+ (pad 2 smd roundrect (at 2.9875 0 180) (size 1.525 3.35) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.163934)
+ (net 1 GND))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_2512_6332Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Crystal:Crystal_SMD_3225-4Pin_3.2x2.5mm_HandSoldering (layer F.Cu) (tedit 5A0FD1B2) (tstamp 5C8994DE)
+ (at 113.4 75.95 270)
+ (descr "SMD Crystal SERIES SMD3225/4 http://www.txccrystal.com/images/pdf/7m-accuracy.pdf, hand-soldering, 3.2x2.5mm^2 package")
+ (tags "SMD SMT crystal hand-soldering")
+ (path /5C62F3E9)
+ (attr smd)
+ (fp_text reference Y1 (at -3.6 -0.1) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 8MHz (at 0 3.05 270) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 2.8 -2.3) (end -2.8 -2.3) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.8 2.3) (end 2.8 -2.3) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.8 2.3) (end 2.8 2.3) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.8 -2.3) (end -2.8 2.3) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.7 2.25) (end 2.7 2.25) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.7 -2.25) (end -2.7 2.25) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.6 0.25) (end -0.6 1.25) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -1.25) (end -1.6 -1.25) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 1.25) (end 1.6 -1.25) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 1.25) (end 1.6 1.25) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -1.25) (end -1.6 1.25) (layer F.Fab) (width 0.1))
+ (fp_text user %R (at 0 0 270) (layer F.Fab)
+ (effects (font (size 0.7 0.7) (thickness 0.105)))
+ )
+ (pad 1 smd rect (at -1.45 1.15 270) (size 2.1 1.8) (layers F.Cu F.Paste F.Mask)
+ (net 52 /XT2))
+ (pad 2 smd rect (at 1.45 1.15 270) (size 2.1 1.8) (layers F.Cu F.Paste F.Mask)
+ (net 1 GND))
+ (pad 3 smd rect (at 1.45 -1.15 270) (size 2.1 1.8) (layers F.Cu F.Paste F.Mask)
+ (net 51 /XT1))
+ (pad 4 smd rect (at -1.45 -1.15 270) (size 2.1 1.8) (layers F.Cu F.Paste F.Mask)
+ (net 1 GND))
+ (model ${KISYS3DMOD}/Crystal.3dshapes/Crystal_SMD_3225-4Pin_3.2x2.5mm_HandSoldering.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module MountingHole:MountingHole_3.2mm_M3 (layer F.Cu) (tedit 5C404F1E) (tstamp 5C9FBF14)
+ (at 75 60)
+ (descr "Mounting Hole 3.2mm, no annular, M3")
+ (tags "mounting hole 3.2mm no annular m3")
+ (path /5C68E1B2)
+ (attr virtual)
+ (fp_text reference H1 (at 0 -4.2) (layer F.SilkS) hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value MountingHole (at 0 4.2) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0.3 0) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 0 0) (end 3.2 0) (layer Cmts.User) (width 0.15))
+ (fp_circle (center 0 0) (end 3.45 0) (layer F.CrtYd) (width 0.05))
+ (pad 1 np_thru_hole circle (at 0 0) (size 8 8) (drill 3.2) (layers *.Cu *.Mask))
+ )
+
+ (module MountingHole:MountingHole_3.2mm_M3 (layer F.Cu) (tedit 5C404F1A) (tstamp 5C9FBF1C)
+ (at 165 60)
+ (descr "Mounting Hole 3.2mm, no annular, M3")
+ (tags "mounting hole 3.2mm no annular m3")
+ (path /5C68F0B2)
+ (attr virtual)
+ (fp_text reference H2 (at 0 -4.2) (layer F.SilkS) hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value MountingHole (at 0 4.2) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 0 0) (end 3.45 0) (layer F.CrtYd) (width 0.05))
+ (fp_circle (center 0 0) (end 3.2 0) (layer Cmts.User) (width 0.15))
+ (fp_text user %R (at 0.3 0) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad 1 np_thru_hole circle (at 0 0) (size 8 8) (drill 3.2) (layers *.Cu *.Mask))
+ )
+
+ (module MountingHole:MountingHole_3.2mm_M3 (layer F.Cu) (tedit 5C404F23) (tstamp 5C9FBF24)
+ (at 75 150)
+ (descr "Mounting Hole 3.2mm, no annular, M3")
+ (tags "mounting hole 3.2mm no annular m3")
+ (path /5C6913F3)
+ (attr virtual)
+ (fp_text reference H3 (at 0 -4.2) (layer F.SilkS) hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value MountingHole (at 0 4.2) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0.3 0) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 0 0) (end 3.2 0) (layer Cmts.User) (width 0.15))
+ (fp_circle (center 0 0) (end 3.45 0) (layer F.CrtYd) (width 0.05))
+ (pad 1 np_thru_hole circle (at 0 0) (size 8 8) (drill 3.2) (layers *.Cu *.Mask))
+ )
+
+ (module MountingHole:MountingHole_3.2mm_M3 (layer F.Cu) (tedit 5C404F28) (tstamp 5C9FBF2C)
+ (at 165 150)
+ (descr "Mounting Hole 3.2mm, no annular, M3")
+ (tags "mounting hole 3.2mm no annular m3")
+ (path /5C6916D1)
+ (attr virtual)
+ (fp_text reference H4 (at 0 -4.2) (layer F.SilkS) hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value MountingHole (at 0 4.2) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 0 0) (end 3.45 0) (layer F.CrtYd) (width 0.05))
+ (fp_circle (center 0 0) (end 3.2 0) (layer Cmts.User) (width 0.15))
+ (fp_text user %R (at 0.3 0) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad 1 np_thru_hole circle (at 0 0) (size 8 8) (drill 3.2) (layers *.Cu *.Mask))
+ )
+
+ (module Pin_Headers:Pin_Header_Straight_1x04_Pitch2.54mm (layer F.Cu) (tedit 59650532) (tstamp 5C42ED45)
+ (at 116.55 66.59 180)
+ (descr "Through hole straight pin header, 1x04, 2.54mm pitch, single row")
+ (tags "Through hole pin header THT 1x04 2.54mm single row")
+ (path /5CA7AF20)
+ (fp_text reference J9 (at -0.05 9.89) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value SWD (at 0 9.95 180) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 3.81 -90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.8 9.4) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.8 9.4) (end 1.8 9.4) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.8 -1.8) (end -1.8 9.4) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.33 1.27) (end 1.33 8.95) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.33 1.27) (end -1.33 8.95) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.33 8.95) (end 1.33 8.95) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.27 8.89) (end -1.27 -0.635) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.27 8.89) (end -1.27 8.89) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.27 -1.27) (end 1.27 8.89) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1))
+ (pad 1 thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+ (net 3 +3V3))
+ (pad 2 thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+ (net 18 /SWCLK))
+ (pad 3 thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+ (net 1 GND))
+ (pad 4 thru_hole oval (at 0 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+ (net 19 /SWDIO))
+ (model ${KISYS3DMOD}/Pin_Headers.3dshapes/Pin_Header_Straight_1x04_Pitch2.54mm.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Connectors_Molex:Molex_KK-6410-02_02x2.54mm_Straight (layer F.Cu) (tedit 58EE6EE4) (tstamp 5C536982)
+ (at 87 61.75)
+ (descr "Connector Headers with Friction Lock, 22-27-2021, http://www.molex.com/pdm_docs/sd/022272021_sd.pdf")
+ (tags "connector molex kk_6410 22-27-2021")
+ (path /5C533914)
+ (fp_text reference J10 (at -0.5 4 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value FAN (at 1.27 4.5) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 1.27 0) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 4.45 3.5) (end -1.9 3.5) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 4.45 -3.55) (end 4.45 3.5) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.9 -3.55) (end 4.45 -3.55) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.9 3.5) (end -1.9 -3.55) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 3.34 -2.4) (end 3.34 -3.02) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.74 -2.4) (end 3.34 -2.4) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.74 -3.02) (end 1.74 -2.4) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.8 -2.4) (end 0.8 -3.02) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.8 -2.4) (end 0.8 -2.4) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.8 -3.02) (end -0.8 -2.4) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.29 2.98) (end 2.29 1.98) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.25 2.98) (end 0.25 1.98) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.29 1.55) (end 2.54 1.98) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.25 1.55) (end 2.29 1.55) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0 1.98) (end 0.25 1.55) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.54 1.98) (end 2.54 2.98) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0 1.98) (end 2.54 1.98) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0 2.98) (end 0 1.98) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.91 -3.02) (end -1.37 -3.02) (layer F.SilkS) (width 0.12))
+ (fp_line (start 3.91 2.98) (end 3.91 -3.02) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.37 2.98) (end 3.91 2.98) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.37 -3.02) (end -1.37 2.98) (layer F.SilkS) (width 0.12))
+ (fp_line (start 4.01 -3.12) (end -1.47 -3.12) (layer F.Fab) (width 0.12))
+ (fp_line (start 4.01 3.08) (end 4.01 -3.12) (layer F.Fab) (width 0.12))
+ (fp_line (start -1.47 3.08) (end 4.01 3.08) (layer F.Fab) (width 0.12))
+ (fp_line (start -1.47 -3.12) (end -1.47 3.08) (layer F.Fab) (width 0.12))
+ (pad 1 thru_hole rect (at 0 0) (size 2 2.6) (drill 1.2) (layers *.Cu *.Mask)
+ (net 61 +12V))
+ (pad 2 thru_hole oval (at 2.54 0) (size 2 2.6) (drill 1.2) (layers *.Cu *.Mask)
+ (net 1 GND))
+ (model ${KISYS3DMOD}/Connectors_Molex.3dshapes/Molex_KK-6410-02_02x2.54mm_Straight.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Package_SO:MSOP-8_3x3mm_P0.65mm (layer F.Cu) (tedit 5A02F25C) (tstamp 5C536983)
+ (at 126.6 119.99995 180)
+ (descr "8-Lead Plastic Micro Small Outline Package (MS) [MSOP] (see Microchip Packaging Specification 00000049BS.pdf)")
+ (tags "SSOP 0.65")
+ (path /5D7751AD)
+ (attr smd)
+ (fp_text reference U8 (at 1.1 -2.6 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value MCP9804_MSOP (at 0 2.6 180) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0 180) (layer F.Fab)
+ (effects (font (size 0.6 0.6) (thickness 0.15)))
+ )
+ (fp_line (start -1.675 -1.5) (end -2.925 -1.5) (layer F.SilkS) (width 0.15))
+ (fp_line (start -1.675 1.675) (end 1.675 1.675) (layer F.SilkS) (width 0.15))
+ (fp_line (start -1.675 -1.675) (end 1.675 -1.675) (layer F.SilkS) (width 0.15))
+ (fp_line (start -1.675 1.675) (end -1.675 1.425) (layer F.SilkS) (width 0.15))
+ (fp_line (start 1.675 1.675) (end 1.675 1.425) (layer F.SilkS) (width 0.15))
+ (fp_line (start 1.675 -1.675) (end 1.675 -1.425) (layer F.SilkS) (width 0.15))
+ (fp_line (start -1.675 -1.675) (end -1.675 -1.5) (layer F.SilkS) (width 0.15))
+ (fp_line (start -3.2 1.85) (end 3.2 1.85) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -3.2 -1.85) (end 3.2 -1.85) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 3.2 -1.85) (end 3.2 1.85) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -3.2 -1.85) (end -3.2 1.85) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.5 -0.5) (end -0.5 -1.5) (layer F.Fab) (width 0.15))
+ (fp_line (start -1.5 1.5) (end -1.5 -0.5) (layer F.Fab) (width 0.15))
+ (fp_line (start 1.5 1.5) (end -1.5 1.5) (layer F.Fab) (width 0.15))
+ (fp_line (start 1.5 -1.5) (end 1.5 1.5) (layer F.Fab) (width 0.15))
+ (fp_line (start -0.5 -1.5) (end 1.5 -1.5) (layer F.Fab) (width 0.15))
+ (pad 1 smd rect (at -2.2 -0.975 180) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 25 /SDA))
+ (pad 2 smd rect (at -2.2 -0.325 180) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 26 /SCL))
+ (pad 3 smd rect (at -2.2 0.325 180) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 7 "Net-(U8-Pad3)"))
+ (pad 4 smd rect (at -2.2 0.975 180) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 11 /GND_MEAS))
+ (pad 5 smd rect (at 2.2 0.975 180) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 11 /GND_MEAS))
+ (pad 6 smd rect (at 2.2 0.325 180) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 11 /GND_MEAS))
+ (pad 7 smd rect (at 2.2 -0.325 180) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 11 /GND_MEAS))
+ (pad 8 smd rect (at 2.2 -0.975 180) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask)
+ (net 3 +3V3))
+ (model ${KISYS3DMOD}/Package_SO.3dshapes/MSOP-8_3x3mm_P0.65mm.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5C538223)
+ (at 166.5 69.15 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5DBC4555)
+ (attr smd)
+ (fp_text reference R6 (at 0 -1.43 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 150 (at 0 1.43 180) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0 180) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 39 /CLED3))
+ (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 27 "Net-(R6-Pad1)"))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5C538234)
+ (at 166.5 67.65 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5DBC4A74)
+ (attr smd)
+ (fp_text reference R12 (at 0 1.35 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 150 (at 0 1.43 180) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0 180) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 28 "Net-(R12-Pad1)"))
+ (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 38 /CLED4))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Connector_RJ:RJ45_Amphenol_RJHSE538X locked (layer F.Cu) (tedit 5AD3662E) (tstamp 5C5399B3)
+ (at 144.8 62.7)
+ (descr "Shielded, 2 LED, https://www.amphenolcanada.com/ProductSearch/drawings/AC/RJHSE538X.pdf")
+ (tags "RJ45 8p8c ethernet cat5")
+ (path /5DC186F5)
+ (fp_text reference J4 (at 12.8 -6.3) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value RJ45_LED_Shielded (at 3.56 9.5) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -4.695 -7) (end -4.695 7.75) (layer F.Fab) (width 0.1))
+ (fp_line (start -4.695 7.75) (end 11.815 7.75) (layer F.Fab) (width 0.1))
+ (fp_line (start -3.695 -8) (end 11.815 -8) (layer F.Fab) (width 0.1))
+ (fp_line (start 11.815 -8) (end 11.815 7.75) (layer F.Fab) (width 0.1))
+ (fp_line (start -4.76 -8.065) (end 11.88 -8.065) (layer F.SilkS) (width 0.12))
+ (fp_line (start -4.76 -8.065) (end -4.76 -0.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start 11.88 -8.065) (end 11.88 -0.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start -4.76 7.815) (end 11.88 7.815) (layer F.SilkS) (width 0.12))
+ (fp_line (start -4.76 7.815) (end -4.76 2.14) (layer F.SilkS) (width 0.12))
+ (fp_line (start 11.88 7.815) (end 11.88 2.14) (layer F.SilkS) (width 0.12))
+ (fp_line (start -4.695 -7) (end -3.695 -8) (layer F.Fab) (width 0.1))
+ (fp_line (start -6.07 -8.5) (end 13.19 -8.5) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -6.07 -8.5) (end -6.07 8.25) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -6.07 8.25) (end 13.19 8.25) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 13.19 -8.5) (end 13.19 8.25) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 3.56 -6) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -5 -0.5) (end -5.5 0) (layer F.SilkS) (width 0.12))
+ (fp_line (start -5.5 0) (end -5.5 -1) (layer F.SilkS) (width 0.12))
+ (fp_line (start -5.5 -1) (end -5 -0.5) (layer F.SilkS) (width 0.12))
+ (pad 12 thru_hole circle (at 10.42 6.6) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
+ (net 32 /CLED1))
+ (pad 11 thru_hole circle (at 8.13 6.6) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
+ (net 1 GND))
+ (pad 10 thru_hole circle (at -1.01 6.6) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
+ (net 33 /CLED2))
+ (pad 9 thru_hole circle (at -3.3 6.6) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
+ (net 1 GND))
+ (pad SH thru_hole circle (at -4.57 0.89) (size 2 2) (drill 1.57) (layers *.Cu *.Mask)
+ (net 55 /GND_RS485))
+ (pad SH thru_hole circle (at 11.69 0.89) (size 2 2) (drill 1.57) (layers *.Cu *.Mask)
+ (net 55 /GND_RS485))
+ (pad "" np_thru_hole circle (at 9.91 -2.54) (size 3.25 3.25) (drill 3.25) (layers *.Cu *.Mask))
+ (pad "" np_thru_hole circle (at -2.79 -2.54) (size 3.25 3.25) (drill 3.25) (layers *.Cu *.Mask))
+ (pad 8 thru_hole circle (at 7.14 1.78) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
+ (net 34 "Net-(J4-Pad8)"))
+ (pad 6 thru_hole circle (at 5.1 1.78) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
+ (net 55 /GND_RS485))
+ (pad 4 thru_hole circle (at 3.06 1.78) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
+ (net 23 /RS485_B))
+ (pad 2 thru_hole circle (at 1.02 1.78) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
+ (net 24 /BUS_VCC))
+ (pad 7 thru_hole circle (at 6.12 0) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
+ (net 35 "Net-(J4-Pad7)"))
+ (pad 5 thru_hole circle (at 4.08 0) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
+ (net 22 /RX485_A))
+ (pad 3 thru_hole circle (at 2.04 0) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
+ (net 55 /GND_RS485))
+ (pad 1 thru_hole rect (at 0 0) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
+ (net 24 /BUS_VCC))
+ (model ${KISYS3DMOD}/Connector_RJ.3dshapes/RJ45_Amphenol_RJHSE538X.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Connector_RJ:RJ45_Amphenol_RJHSE538X (layer F.Cu) (tedit 5AD3662E) (tstamp 5CBFD362)
+ (at 124.95 62.7)
+ (descr "Shielded, 2 LED, https://www.amphenolcanada.com/ProductSearch/drawings/AC/RJHSE538X.pdf")
+ (tags "RJ45 8p8c ethernet cat5")
+ (path /5C63EC4A)
+ (fp_text reference J5 (at -4.55 8.8) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value RJ45_LED_Shielded (at 3.56 9.5) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -5.5 -1) (end -5 -0.5) (layer F.SilkS) (width 0.12))
+ (fp_line (start -5.5 0) (end -5.5 -1) (layer F.SilkS) (width 0.12))
+ (fp_line (start -5 -0.5) (end -5.5 0) (layer F.SilkS) (width 0.12))
+ (fp_line (start 13.19 -8.5) (end 13.19 8.25) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -6.07 8.25) (end 13.19 8.25) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -6.07 -8.5) (end -6.07 8.25) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -6.07 -8.5) (end 13.19 -8.5) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -4.695 -7) (end -3.695 -8) (layer F.Fab) (width 0.1))
+ (fp_line (start 11.88 7.815) (end 11.88 2.14) (layer F.SilkS) (width 0.12))
+ (fp_line (start -4.76 7.815) (end -4.76 2.14) (layer F.SilkS) (width 0.12))
+ (fp_line (start -4.76 7.815) (end 11.88 7.815) (layer F.SilkS) (width 0.12))
+ (fp_line (start 11.88 -8.065) (end 11.88 -0.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start -4.76 -8.065) (end -4.76 -0.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start -4.76 -8.065) (end 11.88 -8.065) (layer F.SilkS) (width 0.12))
+ (fp_line (start 11.815 -8) (end 11.815 7.75) (layer F.Fab) (width 0.1))
+ (fp_line (start -3.695 -8) (end 11.815 -8) (layer F.Fab) (width 0.1))
+ (fp_line (start -4.695 7.75) (end 11.815 7.75) (layer F.Fab) (width 0.1))
+ (fp_line (start -4.695 -7) (end -4.695 7.75) (layer F.Fab) (width 0.1))
+ (pad 1 thru_hole rect (at 0 0) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
+ (net 24 /BUS_VCC))
+ (pad 3 thru_hole circle (at 2.04 0) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
+ (net 55 /GND_RS485))
+ (pad 5 thru_hole circle (at 4.08 0) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
+ (net 22 /RX485_A))
+ (pad 7 thru_hole circle (at 6.12 0) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
+ (net 36 "Net-(J5-Pad7)"))
+ (pad 2 thru_hole circle (at 1.02 1.78) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
+ (net 24 /BUS_VCC))
+ (pad 4 thru_hole circle (at 3.06 1.78) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
+ (net 23 /RS485_B))
+ (pad 6 thru_hole circle (at 5.1 1.78) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
+ (net 55 /GND_RS485))
+ (pad 8 thru_hole circle (at 7.14 1.78) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
+ (net 37 "Net-(J5-Pad8)"))
+ (pad "" np_thru_hole circle (at -2.79 -2.54) (size 3.25 3.25) (drill 3.25) (layers *.Cu *.Mask))
+ (pad "" np_thru_hole circle (at 9.91 -2.54) (size 3.25 3.25) (drill 3.25) (layers *.Cu *.Mask))
+ (pad SH thru_hole circle (at 11.69 0.89) (size 2 2) (drill 1.57) (layers *.Cu *.Mask)
+ (net 55 /GND_RS485))
+ (pad SH thru_hole circle (at -4.57 0.89) (size 2 2) (drill 1.57) (layers *.Cu *.Mask)
+ (net 55 /GND_RS485))
+ (pad 9 thru_hole circle (at -3.3 6.6) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
+ (net 1 GND))
+ (pad 10 thru_hole circle (at -1.01 6.6) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
+ (net 38 /CLED4))
+ (pad 11 thru_hole circle (at 8.13 6.6) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
+ (net 1 GND))
+ (pad 12 thru_hole circle (at 10.42 6.6) (size 1.5 1.5) (drill 0.89) (layers *.Cu *.Mask)
+ (net 39 /CLED3))
+ (model ${KISYS3DMOD}/Connector_RJ.3dshapes/RJ45_Amphenol_RJHSE538X.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Package_SO:SOIC-16_3.9x9.9mm_P1.27mm (layer F.Cu) (tedit 5A02F2D3) (tstamp 5C53C174)
+ (at 161.4 88.35 180)
+ (descr "16-Lead Plastic Small Outline (SL) - Narrow, 3.90 mm Body [SOIC] (see Microchip Packaging Specification 00000049BS.pdf)")
+ (tags "SOIC 1.27")
+ (path /5D86E00F)
+ (attr smd)
+ (fp_text reference U2 (at -5 3.35 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 74HC595 (at 0 6 180) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -2.075 -5.05) (end -3.45 -5.05) (layer F.SilkS) (width 0.15))
+ (fp_line (start -2.075 5.075) (end 2.075 5.075) (layer F.SilkS) (width 0.15))
+ (fp_line (start -2.075 -5.075) (end 2.075 -5.075) (layer F.SilkS) (width 0.15))
+ (fp_line (start -2.075 5.075) (end -2.075 4.97) (layer F.SilkS) (width 0.15))
+ (fp_line (start 2.075 5.075) (end 2.075 4.97) (layer F.SilkS) (width 0.15))
+ (fp_line (start 2.075 -5.075) (end 2.075 -4.97) (layer F.SilkS) (width 0.15))
+ (fp_line (start -2.075 -5.075) (end -2.075 -5.05) (layer F.SilkS) (width 0.15))
+ (fp_line (start -3.7 5.25) (end 3.7 5.25) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -3.7 -5.25) (end 3.7 -5.25) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 3.7 -5.25) (end 3.7 5.25) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -3.7 -5.25) (end -3.7 5.25) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.95 -3.95) (end -0.95 -4.95) (layer F.Fab) (width 0.15))
+ (fp_line (start -1.95 4.95) (end -1.95 -3.95) (layer F.Fab) (width 0.15))
+ (fp_line (start 1.95 4.95) (end -1.95 4.95) (layer F.Fab) (width 0.15))
+ (fp_line (start 1.95 -4.95) (end 1.95 4.95) (layer F.Fab) (width 0.15))
+ (fp_line (start -0.95 -4.95) (end 1.95 -4.95) (layer F.Fab) (width 0.15))
+ (fp_text user %R (at 0 0 180) (layer F.Fab)
+ (effects (font (size 0.9 0.9) (thickness 0.135)))
+ )
+ (pad 1 smd rect (at -2.7 -4.445 180) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)
+ (net 44 /SLED3))
+ (pad 2 smd rect (at -2.7 -3.175 180) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)
+ (net 43 /SLED2))
+ (pad 3 smd rect (at -2.7 -1.905 180) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)
+ (net 42 /SLED1))
+ (pad 4 smd rect (at -2.7 -0.635 180) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)
+ (net 28 "Net-(R12-Pad1)"))
+ (pad 5 smd rect (at -2.7 0.635 180) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)
+ (net 27 "Net-(R6-Pad1)"))
+ (pad 6 smd rect (at -2.7 1.905 180) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)
+ (net 46 "Net-(R17-Pad1)"))
+ (pad 7 smd rect (at -2.7 3.175 180) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)
+ (net 41 "Net-(R11-Pad1)"))
+ (pad 8 smd rect (at -2.7 4.445 180) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)
+ (net 1 GND))
+ (pad 9 smd rect (at 2.7 4.445 180) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)
+ (net 40 "Net-(U2-Pad9)"))
+ (pad 10 smd rect (at 2.7 3.175 180) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)
+ (net 3 +3V3))
+ (pad 11 smd rect (at 2.7 1.905 180) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)
+ (net 30 /SCK))
+ (pad 12 smd rect (at 2.7 0.635 180) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)
+ (net 4 /VIN_MON))
+ (pad 13 smd rect (at 2.7 -0.635 180) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)
+ (net 1 GND))
+ (pad 14 smd rect (at 2.7 -1.905 180) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)
+ (net 31 /MOSI))
+ (pad 15 smd rect (at 2.7 -3.175 180) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)
+ (net 45 /SLED4))
+ (pad 16 smd rect (at 2.7 -4.445 180) (size 1.5 0.6) (layers F.Cu F.Paste F.Mask)
+ (net 3 +3V3))
+ (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIC-16_3.9x9.9mm_P1.27mm.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5C53CFB3)
+ (at 162.15 69.15 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5E16F5AD)
+ (attr smd)
+ (fp_text reference R11 (at 0 -1.43 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 150 (at 0 1.43 180) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0 180) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 41 "Net-(R11-Pad1)"))
+ (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 32 /CLED1))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5C53CFC4)
+ (at 162.15 67.65 180)
+ (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /5E16DB9D)
+ (attr smd)
+ (fp_text reference R17 (at 0 1.45 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 150 (at 0 1.43 180) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0 180) (layer F.Fab)
+ (effects (font (size 0.4 0.4) (thickness 0.06)))
+ )
+ (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 33 /CLED2))
+ (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)
+ (net 46 "Net-(R17-Pad1)"))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module MountingHole:MountingHole_3.2mm_M3 (layer F.Cu) (tedit 5CC048C6) (tstamp 5C529B10)
+ (at 110 97)
+ (descr "Mounting Hole 3.2mm, no annular, M3")
+ (tags "mounting hole 3.2mm no annular m3")
+ (path /5C580F0A)
+ (attr virtual)
+ (fp_text reference H5 (at 0 -4.2) (layer F.SilkS) hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value MountingHole (at 0 4.2) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0.3 0) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 0 0) (end 3.2 0) (layer Cmts.User) (width 0.15))
+ (fp_circle (center 0 0) (end 3.45 0) (layer F.CrtYd) (width 0.05))
+ (pad "" np_thru_hole circle (at 0 0) (size 3.2 3.2) (drill 3.2) (layers F.Cu F.Mask))
+ )
+
+ (module MountingHole:MountingHole_3.2mm_M3 (layer F.Cu) (tedit 5CC048D9) (tstamp 5C52895C)
+ (at 110 150)
+ (descr "Mounting Hole 3.2mm, no annular, M3")
+ (tags "mounting hole 3.2mm no annular m3")
+ (path /5C580F10)
+ (attr virtual)
+ (fp_text reference H6 (at 0 -4.2) (layer F.SilkS) hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value MountingHole (at 0 4.2) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 0 0) (end 3.45 0) (layer F.CrtYd) (width 0.05))
+ (fp_circle (center 0 0) (end 3.2 0) (layer Cmts.User) (width 0.15))
+ (fp_text user %R (at 0.3 0) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad "" np_thru_hole circle (at 0 0) (size 3.2 3.2) (drill 3.2) (layers F.Cu F.Mask))
+ )
+
+ (module MountingHole:MountingHole_3.2mm_M3 (layer F.Cu) (tedit 5CC048DD) (tstamp 5CC1B3EB)
+ (at 153 150)
+ (descr "Mounting Hole 3.2mm, no annular, M3")
+ (tags "mounting hole 3.2mm no annular m3")
+ (path /5C580F16)
+ (attr virtual)
+ (fp_text reference H7 (at 0 -4.2) (layer F.SilkS) hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value MountingHole (at 0 4.2) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 0 0) (end 3.45 0) (layer F.CrtYd) (width 0.05))
+ (fp_circle (center 0 0) (end 3.2 0) (layer Cmts.User) (width 0.15))
+ (fp_text user %R (at 0.3 0) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad "" np_thru_hole circle (at 0 0) (size 3.2 3.2) (drill 3.2) (layers F.Cu F.Mask))
+ )
+
+ (module MountingHole:MountingHole_3.2mm_M3 (layer F.Cu) (tedit 5CC048CD) (tstamp 5C52896C)
+ (at 153 97)
+ (descr "Mounting Hole 3.2mm, no annular, M3")
+ (tags "mounting hole 3.2mm no annular m3")
+ (path /5C580F1C)
+ (attr virtual)
+ (fp_text reference H8 (at 0 -4.2) (layer F.SilkS) hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value MountingHole (at 0 4.2) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0.3 0) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 0 0) (end 3.2 0) (layer Cmts.User) (width 0.15))
+ (fp_circle (center 0 0) (end 3.45 0) (layer F.CrtYd) (width 0.05))
+ (pad "" np_thru_hole circle (at 0 0) (size 3.2 3.2) (drill 3.2) (layers F.Cu F.Mask))
+ )
+
+ (gr_text A (at 165 100.5) (layer B.SilkS) (tstamp 5EAE35AD)
+ (effects (font (size 1.5 1.5) (thickness 0.3)) (justify mirror))
+ )
+ (gr_text B (at 165.25 139.25) (layer B.SilkS) (tstamp 5EAE35AA)
+ (effects (font (size 1.5 1.5) (thickness 0.3)) (justify mirror))
+ )
+ (gr_text VCC (at 75.25 139) (layer B.SilkS) (tstamp 5EAE35A7)
+ (effects (font (size 1.5 1.5) (thickness 0.3)) (justify mirror))
+ )
+ (gr_text GND (at 75 101) (layer B.SilkS)
+ (effects (font (size 1.5 1.5) (thickness 0.3)) (justify mirror))
+ )
+ (gr_line (start 153 67.25) (end 151.5 65.75) (layer B.SilkS) (width 0.3))
+ (gr_line (start 133 67.25) (end 131.5 65.75) (layer B.SilkS) (width 0.3))
+ (gr_line (start 156.75 67.25) (end 133 67.25) (layer B.SilkS) (width 0.3))
+ (gr_text VCC|B|⏚|NC (at 163.3 69.2) (layer B.SilkS) (tstamp 5EAE3494)
+ (effects (font (size 1 1) (thickness 0.2)))
+ )
+ (gr_text VCC|⏚|A|NC (at 161.95 67.25) (layer B.SilkS) (tstamp 5EAE348A)
+ (effects (font (size 1 1) (thickness 0.2)))
+ )
+ (gr_line (start 142.6 73.35) (end 142.6 70.95) (layer F.SilkS) (width 0.3))
+ (gr_line (start 142 71.85) (end 142.6 72.45) (layer F.SilkS) (width 0.3))
+ (gr_line (start 138.75 71.9) (end 142 71.9) (layer F.SilkS) (width 0.3))
+ (gr_line (start 137.25 70.4) (end 138.75 71.9) (layer F.SilkS) (width 0.3))
+ (gr_text RS485 (at 142.75 77.15 90) (layer F.SilkS)
+ (effects (font (size 1.5 1.5) (thickness 0.3)))
+ )
+ (gr_poly (pts (xy 125.5 106) (xy 128.1 103.4) (xy 131.3 103.4) (xy 131.3 96.3) (xy 130.6 95.6) (xy 116.5 95.6) (xy 116.5 106)) (layer B.Mask) (width 0.15))
+ (gr_poly (pts (xy 167.5 90) (xy 122.5 90) (xy 122.5 77.5) (xy 167.5 77.5)) (layer B.SilkS) (width 0.1))
+ (gr_circle (center 127.5 121.5) (end 128.05 121.5) (layer F.SilkS) (width 0.3) (tstamp 5E93955E))
+ (gr_text MAC (at 83.5 133) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (gr_poly (pts (xy 98 143) (xy 82 143) (xy 82 134) (xy 98 134)) (layer F.SilkS) (width 0.1))
+ (gr_line (start 131.1 90.6) (end 131.8 89.8) (layer F.SilkS) (width 0.3) (tstamp 5E91A736))
+ (gr_line (start 130.4 89.8) (end 131.1 90.6) (layer F.SilkS) (width 0.3) (tstamp 5E91A735))
+ (gr_line (start 131.7 89.8) (end 130.4 89.8) (layer F.SilkS) (width 0.3) (tstamp 5E91A734))
+ (gr_line (start 131.8 90.8) (end 130.4 90.8) (layer F.SilkS) (width 0.3) (tstamp 5E91A733))
+ (gr_line (start 145.25 89.9) (end 143.95 89.9) (layer F.SilkS) (width 0.3) (tstamp 5E91A71E))
+ (gr_line (start 145.35 90.9) (end 143.95 90.9) (layer F.SilkS) (width 0.3) (tstamp 5E91A71D))
+ (gr_line (start 143.95 89.9) (end 144.65 90.7) (layer F.SilkS) (width 0.3) (tstamp 5E91A71C))
+ (gr_line (start 144.65 90.7) (end 145.35 89.9) (layer F.SilkS) (width 0.3) (tstamp 5E91A71B))
+ (gr_line (start 90.5 71.75) (end 90.5 73.15) (layer F.SilkS) (width 0.3) (tstamp 5E91A6EE))
+ (gr_line (start 89.5 73.15) (end 90.3 72.45) (layer F.SilkS) (width 0.3) (tstamp 5E91A6ED))
+ (gr_line (start 89.5 71.85) (end 89.5 73.15) (layer F.SilkS) (width 0.3) (tstamp 5E91A6EC))
+ (gr_line (start 90.3 72.45) (end 89.5 71.75) (layer F.SilkS) (width 0.3) (tstamp 5E91A6EB))
+ (gr_circle (center 128.65 89.3) (end 129.2 89.3) (layer F.SilkS) (width 0.3) (tstamp 5E91A6E9))
+ (gr_text Fan (at 85.1 56.6) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (gr_text + (at 84.9 65.7) (layer F.SilkS)
+ (effects (font (size 2 2) (thickness 0.45)))
+ )
+ (gr_circle (center 132.2 77) (end 132.6 77) (layer F.SilkS) (width 0.15))
+ (gr_text DATE (at 167.5 91.5) (layer B.SilkS) (tstamp 5E93954F)
+ (effects (font (size 1 1) (thickness 0.15)) (justify left mirror))
+ )
+ (gr_text SN (at 167.5 76.5) (layer B.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)) (justify left mirror))
+ )
+ (dimension 43 (width 0.3) (layer Dwgs.User)
+ (gr_text "43.000 mm" (at 131.5 167.1) (layer Dwgs.User)
+ (effects (font (size 1.5 1.5) (thickness 0.3)))
+ )
+ (feature1 (pts (xy 153 97) (xy 153 165.586421)))
+ (feature2 (pts (xy 110 97) (xy 110 165.586421)))
+ (crossbar (pts (xy 110 165) (xy 153 165)))
+ (arrow1a (pts (xy 153 165) (xy 151.873496 165.586421)))
+ (arrow1b (pts (xy 153 165) (xy 151.873496 164.413579)))
+ (arrow2a (pts (xy 110 165) (xy 111.126504 165.586421)))
+ (arrow2b (pts (xy 110 165) (xy 111.126504 164.413579)))
+ )
+ (dimension 21.5 (width 0.3) (layer Dwgs.User)
+ (gr_text "21.500 mm" (at 120.75 162.1) (layer Dwgs.User)
+ (effects (font (size 1.5 1.5) (thickness 0.3)))
+ )
+ (feature1 (pts (xy 131.5 150) (xy 131.5 160.586421)))
+ (feature2 (pts (xy 110 150) (xy 110 160.586421)))
+ (crossbar (pts (xy 110 160) (xy 131.5 160)))
+ (arrow1a (pts (xy 131.5 160) (xy 130.373496 160.586421)))
+ (arrow1b (pts (xy 131.5 160) (xy 130.373496 159.413579)))
+ (arrow2a (pts (xy 110 160) (xy 111.126504 160.586421)))
+ (arrow2b (pts (xy 110 160) (xy 111.126504 159.413579)))
+ )
+ (dimension 53 (width 0.3) (layer Dwgs.User)
+ (gr_text "53.000 mm" (at 177.1 123.5 270) (layer Dwgs.User)
+ (effects (font (size 1.5 1.5) (thickness 0.3)))
+ )
+ (feature1 (pts (xy 110 150) (xy 175.586421 150)))
+ (feature2 (pts (xy 110 97) (xy 175.586421 97)))
+ (crossbar (pts (xy 175 97) (xy 175 150)))
+ (arrow1a (pts (xy 175 150) (xy 174.413579 148.873496)))
+ (arrow1b (pts (xy 175 150) (xy 175.586421 148.873496)))
+ (arrow2a (pts (xy 175 97) (xy 174.413579 98.126504)))
+ (arrow2b (pts (xy 175 97) (xy 175.586421 98.126504)))
+ )
+ (gr_text M3 (at 114.8 95.8) (layer F.SilkS) (tstamp 5C52D0B4)
+ (effects (font (size 1.5 1.5) (thickness 0.3)))
+ )
+ (gr_text "rev 5" (at 116.238095 149.21) (layer F.SilkS) (tstamp 5C52A8A3)
+ (effects (font (size 1 1) (thickness 0.2)))
+ )
+ (gr_text <hw@jaseg.de> (at 120.5 153) (layer F.SilkS) (tstamp 5C52A888)
+ (effects (font (size 1 1) (thickness 0.2)))
+ )
+ (gr_circle (center 162.4 93.5) (end 162.95 93.5) (layer F.SilkS) (width 0.3) (tstamp 5C525720))
+ (gr_circle (center 121 75) (end 121.55 75) (layer F.SilkS) (width 0.3) (tstamp 5C524B59))
+ (gr_text IO|⏚|CK|+ (at 114.05 63.05 270) (layer F.SilkS)
+ (effects (font (size 1.5 1.5) (thickness 0.3)))
+ )
+ (gr_text OUT (at 164.75 96.6) (layer F.SilkS) (tstamp 5C42C71F)
+ (effects (font (size 3 3) (thickness 0.6)))
+ )
+ (gr_circle (center 96.7 88.6) (end 97.25 88.6) (layer F.SilkS) (width 0.3) (tstamp 5C433C25))
+ (gr_text Load (at 90.95 152.05) (layer F.SilkS)
+ (effects (font (size 1.5 1.5) (thickness 0.3)))
+ )
+ (gr_text Error (at 91 147.1) (layer F.SilkS)
+ (effects (font (size 1.5 1.5) (thickness 0.3)))
+ )
+ (gr_text Network (at 142.2 152.2) (layer F.SilkS)
+ (effects (font (size 1.5 1.5) (thickness 0.3)))
+ )
+ (gr_text Operation (at 143.2 147.1) (layer F.SilkS) (tstamp 5CC1B9D8)
+ (effects (font (size 1.5 1.5) (thickness 0.3)))
+ )
+ (gr_text M3 (at 79.6 56.5) (layer F.SilkS)
+ (effects (font (size 1.5 1.5) (thickness 0.3)))
+ )
+ (gr_text "©2020 jaseg" (at 119.380952 151.105) (layer F.SilkS) (tstamp 5C52A8AF)
+ (effects (font (size 1 1) (thickness 0.2)))
+ )
+ (gr_text "8seg driver" (at 120.5 147.5) (layer F.SilkS) (tstamp 5C42CF19)
+ (effects (font (size 1.5 1.5) (thickness 0.3)))
+ )
+ (gr_circle (center 91.6 75) (end 92.15 75) (layer F.SilkS) (width 0.3) (tstamp 5C42CBA8))
+ (gr_circle (center 142.2 89.15) (end 142.75 89.15) (layer F.SilkS) (width 0.3) (tstamp 5C42CB3D))
+ (gr_text ⏚|3.3V|SDA|SCL (at 159.9 72.3) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.2)))
+ )
+ (gr_text "1602\nLCD" (at 152.4 77.2) (layer F.SilkS)
+ (effects (font (size 1.5 1.5) (thickness 0.3)) (justify right))
+ )
+ (gr_text SWD (at 116.55 70.85 270) (layer F.SilkS)
+ (effects (font (size 1.5 1.5) (thickness 0.3)))
+ )
+ (gr_text B (at 165.2 139.6) (layer F.SilkS) (tstamp 5C42C72C)
+ (effects (font (size 2 2) (thickness 0.45)))
+ )
+ (gr_text OUT (at 164.75 142.95) (layer F.SilkS) (tstamp 5C42C726)
+ (effects (font (size 3 3) (thickness 0.6)))
+ )
+ (gr_text A (at 165.2 99.95) (layer F.SilkS)
+ (effects (font (size 2 2) (thickness 0.45)))
+ )
+ (gr_text GND (at 75.7 99.55) (layer F.SilkS)
+ (effects (font (size 3 3) (thickness 0.6)))
+ )
+ (gr_text VCC (at 76.05 141.45) (layer F.SilkS)
+ (effects (font (size 3 3) (thickness 0.6)))
+ )
+ (gr_poly (pts (xy 114.5 135) (xy 114.5 146.5) (xy 131.5 146.5) (xy 131.5 135) (xy 130.5 135)) (layer B.Mask) (width 0.15))
+ (gr_poly (pts (xy 143 121.9) (xy 143 132) (xy 129 132) (xy 129 121.9)) (layer B.Mask) (width 0.15))
+ (gr_poly (pts (xy 129.3 110.7) (xy 130.9 109.1) (xy 143 109.1) (xy 143 119) (xy 129.3 119)) (layer B.Mask) (width 0.15))
+ (dimension 5 (width 0.3) (layer Dwgs.User) (tstamp 5C51A1E7)
+ (gr_text "5.000 mm" (at 77.5 47.9) (layer Dwgs.User) (tstamp 5C51A1E7)
+ (effects (font (size 1.5 1.5) (thickness 0.3)))
+ )
+ (feature1 (pts (xy 70 60) (xy 70 49.413579)))
+ (feature2 (pts (xy 75 60) (xy 75 49.413579)))
+ (crossbar (pts (xy 75 50) (xy 70 50)))
+ (arrow1a (pts (xy 70 50) (xy 71.126504 49.413579)))
+ (arrow1b (pts (xy 70 50) (xy 71.126504 50.586421)))
+ (arrow2a (pts (xy 75 50) (xy 73.873496 49.413579)))
+ (arrow2b (pts (xy 75 50) (xy 73.873496 50.586421)))
+ )
+ (dimension 5 (width 0.3) (layer Dwgs.User)
+ (gr_text "5.000 mm" (at 62.9 62 90) (layer Dwgs.User)
+ (effects (font (size 1.5 1.5) (thickness 0.3)))
+ )
+ (feature1 (pts (xy 75 55) (xy 64.413579 55)))
+ (feature2 (pts (xy 75 60) (xy 64.413579 60)))
+ (crossbar (pts (xy 65 60) (xy 65 55)))
+ (arrow1a (pts (xy 65 55) (xy 65.586421 56.126504)))
+ (arrow1b (pts (xy 65 55) (xy 64.413579 56.126504)))
+ (arrow2a (pts (xy 65 60) (xy 65.586421 58.873496)))
+ (arrow2b (pts (xy 65 60) (xy 64.413579 58.873496)))
+ )
+ (gr_line (start 170 55) (end 70 55) (layer Edge.Cuts) (width 0.15))
+ (gr_line (start 170 155) (end 170 55) (layer Edge.Cuts) (width 0.15))
+ (gr_line (start 70 155) (end 170 155) (layer Edge.Cuts) (width 0.15))
+ (gr_line (start 70 55) (end 70 155) (layer Edge.Cuts) (width 0.15))
+ (dimension 100 (width 0.3) (layer Dwgs.User)
+ (gr_text "100.000 mm" (at 120 42.9) (layer Dwgs.User)
+ (effects (font (size 1.5 1.5) (thickness 0.3)))
+ )
+ (feature1 (pts (xy 170 55) (xy 170 44.413579)))
+ (feature2 (pts (xy 70 55) (xy 70 44.413579)))
+ (crossbar (pts (xy 70 45) (xy 170 45)))
+ (arrow1a (pts (xy 170 45) (xy 168.873496 45.586421)))
+ (arrow1b (pts (xy 170 45) (xy 168.873496 44.413579)))
+ (arrow2a (pts (xy 70 45) (xy 71.126504 45.586421)))
+ (arrow2b (pts (xy 70 45) (xy 71.126504 44.413579)))
+ )
+ (dimension 100 (width 0.3) (layer Dwgs.User) (tstamp 5C8CB9BE)
+ (gr_text "100.000 mm" (at 52.9 105 90) (layer Dwgs.User) (tstamp 5C8CB9BE)
+ (effects (font (size 1.5 1.5) (thickness 0.3)))
+ )
+ (feature1 (pts (xy 70 55) (xy 54.413579 55)))
+ (feature2 (pts (xy 70 155) (xy 54.413579 155)))
+ (crossbar (pts (xy 55 155) (xy 55 55)))
+ (arrow1a (pts (xy 55 55) (xy 55.586421 56.126504)))
+ (arrow1b (pts (xy 55 55) (xy 54.413579 56.126504)))
+ (arrow2a (pts (xy 55 155) (xy 55.586421 153.873496)))
+ (arrow2b (pts (xy 55 155) (xy 54.413579 153.873496)))
+ )
+ (dimension 35 (width 0.3) (layer Dwgs.User)
+ (gr_text "35.000 mm" (at 62.9 130 90) (layer Dwgs.User)
+ (effects (font (size 1.5 1.5) (thickness 0.3)))
+ )
+ (feature1 (pts (xy 70 120) (xy 64.413579 120)))
+ (feature2 (pts (xy 70 155) (xy 64.413579 155)))
+ (crossbar (pts (xy 65 155) (xy 65 120)))
+ (arrow1a (pts (xy 65 120) (xy 65.586421 121.126504)))
+ (arrow1b (pts (xy 65 120) (xy 64.413579 121.126504)))
+ (arrow2a (pts (xy 65 155) (xy 65.586421 153.873496)))
+ (arrow2b (pts (xy 65 155) (xy 64.413579 153.873496)))
+ )
+ (dimension 36 (width 0.3) (layer Dwgs.User)
+ (gr_text "36.000 mm" (at 57.9 120 90) (layer Dwgs.User)
+ (effects (font (size 1.5 1.5) (thickness 0.3)))
+ )
+ (feature1 (pts (xy 69 102) (xy 59.413579 102)))
+ (feature2 (pts (xy 69 138) (xy 59.413579 138)))
+ (crossbar (pts (xy 60 138) (xy 60 102)))
+ (arrow1a (pts (xy 60 102) (xy 60.586421 103.126504)))
+ (arrow1b (pts (xy 60 102) (xy 59.413579 103.126504)))
+ (arrow2a (pts (xy 60 138) (xy 60.586421 136.873496)))
+ (arrow2b (pts (xy 60 138) (xy 59.413579 136.873496)))
+ )
+
+ (via (at 133.5 89.9) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
+ (via (at 129 89.9) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
+ (via (at 142.5 89.9) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
+ (via (at 156 89.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
+ (segment (start 94.5 91.7) (end 94.5 90.75) (width 0.25) (layer F.Cu) (net 1))
+ (segment (start 94.5 90.75) (end 93.3 89.55) (width 0.25) (layer F.Cu) (net 1))
+ (segment (start 93.3 89.55) (end 92.625 89.55) (width 0.25) (layer F.Cu) (net 1))
+ (segment (start 92.625 89.55) (end 92 89.55) (width 0.25) (layer F.Cu) (net 1))
+ (via (at 92 88.1) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5CC11826))
+ (segment (start 95.5 87.3) (end 95.5 86.4) (width 0.25) (layer F.Cu) (net 1))
+ (segment (start 95.5 86.4) (end 96 85.9) (width 0.25) (layer F.Cu) (net 1))
+ (segment (start 95.5 87.3) (end 95.5 88.25) (width 0.25) (layer F.Cu) (net 1))
+ (segment (start 95.5 88.25) (end 95.4 88.35) (width 0.25) (layer F.Cu) (net 1))
+ (via (at 134.2 149.7) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5CC1117A))
+ (via (at 148.3 153.7) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5CC11166))
+ (via (at 146.3 152.9) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5CC11151))
+ (via (at 102.6 91) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5CC10BFD))
+ (via (at 98.5 87.7) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5CC10610))
+ (via (at 98.5 88.6) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5CC1060E))
+ (segment (start 101.4 91) (end 101.01141 91) (width 0.25) (layer F.Cu) (net 1))
+ (segment (start 101.01141 91) (end 100.325 90.31359) (width 0.25) (layer F.Cu) (net 1))
+ (segment (start 100.325 90.31359) (end 100.325 89.1) (width 0.25) (layer F.Cu) (net 1))
+ (segment (start 100.325 89.1) (end 99.7 88.475) (width 0.25) (layer F.Cu) (net 1))
+ (segment (start 99.7 88.475) (end 99.7 88.25) (width 0.25) (layer F.Cu) (net 1))
+ (via (at 96.9 86.6) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5C54227C))
+ (via (at 96 85.9) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5CC0D366))
+ (via (at 161.2 91.4) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5CC1A12F))
+ (via (at 159.8 79.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5CC1A12D))
+ (via (at 129.486456 79.149988) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
+ (via (at 160.8 65.025) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5CC0D428))
+ (segment (start 75 116) (end 71.7 119.3) (width 1.2) (layer B.Cu) (net 1))
+ (segment (start 75 115.08) (end 75 116) (width 1.2) (layer B.Cu) (net 1))
+ (segment (start 71.7 119.3) (end 71.7 143.8) (width 1.2) (layer B.Cu) (net 1))
+ (via (at 122.71266 79.11266) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
+ (via (at 140.3 149.9) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5C52901C))
+ (via (at 165.5 82.6) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
+ (via (at 157.1 89.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5C524F93))
+ (via (at 123.6 78.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
+ (via (at 122.1 77) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5C524C9C))
+ (via (at 121.3 77) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5C524C9A))
+ (via (at 122.1 76.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5C524C98))
+ (via (at 121.3 76.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5C524C96))
+ (via (at 111.6 79.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5C524C44))
+ (via (at 110.4 77.6) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5C524C42))
+ (via (at 164.4 82.6) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
+ (via (at 165.5 83.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
+ (via (at 118.75 73.1) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
+ (via (at 118.75 74.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
+ (via (at 119.75 74.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
+ (via (at 91 89.6) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
+ (via (at 91.5 145.5) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1))
+ (via (at 89 120.5) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1))
+ (via (at 89 118) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1))
+ (via (at 89 115.5) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1))
+ (via (at 89 113) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1))
+ (via (at 89 110.5) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1))
+ (via (at 89 108) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1))
+ (via (at 89 105.5) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1))
+ (via (at 89 100.5) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1))
+ (via (at 89 103) (size 2) (drill 1) (layers F.Cu B.Cu) (net 1))
+ (segment (start 96 88.25) (end 95.85 88.4) (width 0.25) (layer F.Cu) (net 1))
+ (segment (start 96 87.3) (end 96 88.25) (width 0.25) (layer F.Cu) (net 1))
+ (via (at 119.45 87.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9179D9))
+ (via (at 137.25 84.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E917A0A))
+ (via (at 136.1 86.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E91A52D))
+ (via (at 114.5 87.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E91D4B6))
+ (via (at 111.4 85.7) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E91D4B8))
+ (segment (start 94.5 91.7) (end 94.5 93) (width 0.25) (layer F.Cu) (net 1))
+ (via (at 115.05 90.35) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E924DA4))
+ (segment (start 115.05 91.14999) (end 115.025 91.17499) (width 0.25) (layer F.Cu) (net 1))
+ (segment (start 115.05 90.35) (end 115.05 91.14999) (width 0.25) (layer F.Cu) (net 1))
+ (via (at 115.7 84.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5EAE209B))
+ (via (at 116.75 84.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E92934E))
+ (via (at 116.3 89.05) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E929350))
+ (via (at 145.5 78.175) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E929352))
+ (via (at 147.35 76.35) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E929354))
+ (via (at 152 81.05) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E929356))
+ (via (at 150 82.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E929358))
+ (via (at 139.875 78.125) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E92935A))
+ (via (at 139.7 75.65) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E92935C))
+ (via (at 140.15 80.65) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E929364))
+ (via (at 119.65 88.1) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E929368))
+ (via (at 150 84.6) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E92936A))
+ (via (at 147.9 93.6) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E929371))
+ (via (at 148 87) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E929377))
+ (via (at 107.05 90.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293A9))
+ (via (at 105.6 93.4) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293C8))
+ (via (at 95.45 89) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293CA))
+ (via (at 89.5 82.85) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293CC))
+ (via (at 90.6 81.7) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293CE))
+ (via (at 91.95 79.4) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293D0))
+ (via (at 90.8 80.4) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293D2))
+ (via (at 96.45 81.4) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293D4))
+ (via (at 101.05 81.35) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293D6))
+ (via (at 102.5 69.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293D8))
+ (via (at 103.85 69.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293DA))
+ (via (at 101.3 66.85) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293DC))
+ (via (at 101.3 68.1) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293DE))
+ (via (at 101.95 65.9) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293E0))
+ (via (at 101.7 61.65) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293E2))
+ (via (at 100 64.85) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293E4))
+ (via (at 107.9 58.95) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293E6))
+ (via (at 107.95 59.95) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293E8))
+ (via (at 111.05 58.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293EA))
+ (via (at 112.1 58.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293EC))
+ (via (at 88 70.85) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293EE))
+ (via (at 86.95 70.85) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293F0))
+ (via (at 85.9 70.85) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293F2))
+ (via (at 85.9 71.95) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293F4))
+ (via (at 85.9 73.05) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293F6))
+ (via (at 85.9 74.1) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293F8))
+ (via (at 86.95 74.1) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293FA))
+ (via (at 88 74.1) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293FC))
+ (via (at 91.95 80.4) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E9293FE))
+ (via (at 89.65 80.4) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E929402))
+ (via (at 85.4 91.45) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E929404))
+ (via (at 114.55 72.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E929408))
+ (via (at 117 72.35) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E92940A))
+ (via (at 113.2 59.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E92940C))
+ (via (at 97.75 82.7) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E92940E))
+ (via (at 123.95 73.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E929433))
+ (via (at 138.9 80.65) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E929362))
+ (segment (start 123.275 87.775) (end 122.45 86.95) (width 0.8) (layer F.Cu) (net 1))
+ (segment (start 124.195 87.775) (end 123.275 87.775) (width 0.8) (layer F.Cu) (net 1))
+ (via (at 147.9 89.9) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1))
+ (via (at 142.9 87.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5EADEC62))
+ (segment (start 142.9 89.5) (end 142.9 87.2) (width 0.5) (layer F.Cu) (net 1))
+ (segment (start 142.5 89.9) (end 142.9 89.5) (width 0.5) (layer F.Cu) (net 1))
+ (segment (start 136.1 87.3) (end 133.5 89.9) (width 0.5) (layer F.Cu) (net 1))
+ (segment (start 136.4 87.3) (end 136.1 87.3) (width 0.5) (layer F.Cu) (net 1))
+ (segment (start 129 89.9) (end 133.5 89.9) (width 0.25) (layer F.Cu) (net 1))
+ (via (at 110.2 82.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5EAE1BF4))
+ (via (at 109 81.1) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5EAE1BF6))
+ (via (at 107.4 82.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5EAE1BF8))
+ (via (at 108.6 83.85) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5EAE1BFA))
+ (via (at 112.25 84.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5EAE1BFC))
+ (via (at 114.5 84.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5EAE1BFE))
+ (via (at 116.6 82.4) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5EAE1C00))
+ (via (at 111.4 82.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5EAE1C02))
+ (via (at 104.3 81.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5EAE1C04))
+ (via (at 106 82.6) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5EAE1C06))
+ (via (at 113.5 81.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5EAE2088))
+ (via (at 118.3 83.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5EAE2093))
+ (via (at 125 85) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5EAE53B1))
+ (via (at 108.6 70.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5EAE3108))
+ (via (at 108.6 72) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5EAE310A))
+ (via (at 108.6 73.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5EAE310C))
+ (segment (start 95.5 95.1) (end 95.5 91.7) (width 0.25) (layer F.Cu) (net 1))
+ (segment (start 95.5 109.25) (end 95.5 95.1) (width 0.25) (layer F.Cu) (net 1))
+ (segment (start 92.5125 109.5) (end 95.25 109.5) (width 0.25) (layer F.Cu) (net 1))
+ (segment (start 95.25 109.5) (end 95.5 109.25) (width 0.25) (layer F.Cu) (net 1))
+ (segment (start 100.75 96.25) (end 102 95) (width 2.5) (layer B.Cu) (net 1))
+ (segment (start 102 95) (end 102 91.75) (width 2.5) (layer B.Cu) (net 1))
+ (segment (start 92.75 96.25) (end 100.75 96.25) (width 2.5) (layer B.Cu) (net 1))
+ (segment (start 89 100.5) (end 89 100) (width 2.5) (layer B.Cu) (net 1))
+ (segment (start 89 100) (end 92.75 96.25) (width 2.5) (layer B.Cu) (net 1))
+ (segment (start 161.175011 86.400011) (end 161.175011 89.514992) (width 0.5) (layer F.Cu) (net 3))
+ (segment (start 161.175011 89.514992) (end 159.900001 90.790002) (width 0.5) (layer F.Cu) (net 3))
+ (segment (start 159.95 85.175) (end 161.175011 86.400011) (width 0.5) (layer F.Cu) (net 3))
+ (segment (start 94 91.7) (end 92.25 91.7) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 92.25 91.7) (end 92 91.45) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 147.462653 152.937346) (end 146.3 154.1) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 136.6 154.1) (end 136.13 153.63) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 136.13 153.63) (end 136.13 152) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 147.462653 148.362653) (end 147.462653 152.937346) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 146.3 154.1) (end 136.6 154.1) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 145.555865 149.844135) (end 147.4 148) (width 0.25) (layer B.Cu) (net 3))
+ (via (at 147.462653 148.362653) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3))
+ (segment (start 147.4 148) (end 147.4 148.3) (width 0.25) (layer B.Cu) (net 3))
+ (segment (start 147.4 148.3) (end 147.462653 148.362653) (width 0.25) (layer B.Cu) (net 3))
+ (segment (start 136.13 147) (end 136.13 152) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 101.12499 87.32499) (end 100.44999 87.32499) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 103.6 90.05) (end 103.6 89.475) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 103.6 89.475) (end 103.87501 89.19999) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 103.87501 89.19999) (end 103.87501 87.8295) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 103.87501 87.8295) (end 103.3705 87.32499) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 100.44999 87.32499) (end 99.7 86.575) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 103.3705 87.32499) (end 101.12499 87.32499) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 99.7 86.575) (end 99.7 86.35) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 124.4 120.97495) (end 122.22495 120.97495) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 122.22495 120.97495) (end 122.2 120.95) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 145.555865 151.178866) (end 145.555865 149.844135) (width 0.25) (layer B.Cu) (net 3))
+ (segment (start 142.784698 153.950033) (end 145.555865 151.178866) (width 0.25) (layer B.Cu) (net 3))
+ (segment (start 88.087339 150.112659) (end 91.924713 153.950033) (width 0.25) (layer B.Cu) (net 3))
+ (segment (start 91.924713 153.950033) (end 142.784698 153.950033) (width 0.25) (layer B.Cu) (net 3))
+ (segment (start 167.7 120.373002) (end 167.7 143.3) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 167.7 143.3) (end 167.225032 143.774968) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 158.050011 143.774968) (end 157.599999 144.22498) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 157.599999 144.22498) (end 157.2 144.624979) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 167.225032 143.774968) (end 158.050011 143.774968) (width 0.25) (layer F.Cu) (net 3))
+ (via (at 157.2 144.624979) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3))
+ (segment (start 156 93) (end 157.6 94.6) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 157.6 94.6) (end 158 94.6) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 156 92.75) (end 156 93) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 158 94.6) (end 157.2 94.6) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 164 94.6) (end 158 94.6) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 164.525001 94.074999) (end 164 94.6) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 167.7 95.1) (end 167.7 120.373002) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 167.7 95.1) (end 167.7 94.926998) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 167.7 94.926998) (end 166.848001 94.074999) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 166.848001 94.074999) (end 164.525001 94.074999) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 158.7 85.175) (end 159.95 85.175) (width 0.5) (layer F.Cu) (net 3))
+ (segment (start 132.64 74.2) (end 131 74.2) (width 0.5) (layer F.Cu) (net 3))
+ (segment (start 132.845 73.05) (end 132.845 73.995) (width 0.5) (layer F.Cu) (net 3))
+ (segment (start 132.845 73.995) (end 132.64 74.2) (width 0.5) (layer F.Cu) (net 3))
+ (segment (start 129.2 74.52501) (end 129.52501 74.2) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 129.52501 74.2) (end 131 74.2) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 86.33 147) (end 86.33 150.112659) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 86.33 150.112659) (end 88.087339 150.112659) (width 0.25) (layer F.Cu) (net 3))
+ (via (at 88.087339 150.112659) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3))
+ (segment (start 159.900001 90.790002) (end 159.690003 90.899999) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 159.690003 90.899999) (end 157.689999 90.899999) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 157.614999 90.910001) (end 157.525 91) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 156 92.75) (end 156 92.525) (width 0.5) (layer F.Cu) (net 3))
+ (segment (start 156 92.525) (end 157.525 91) (width 0.5) (layer F.Cu) (net 3))
+ (segment (start 158.7 92.795) (end 156.045 92.795) (width 0.5) (layer F.Cu) (net 3))
+ (segment (start 156.045 92.795) (end 156 92.75) (width 0.5) (layer F.Cu) (net 3))
+ (segment (start 128.725 71.825) (end 129.2 72.3) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 129.2 72.3) (end 129.2 74.52501) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 128 71.825) (end 128.725 71.825) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 129.2 74.52501) (end 128.72501 75) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 128.72501 75) (end 128.72501 77.22499) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 128.72501 77.22499) (end 128.6 77.35) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 116.55 67.849684) (end 120.525316 71.825) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 120.525316 71.825) (end 128 71.825) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 116.55 66.59) (end 116.55 67.849684) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 129.6 77.3) (end 128.65 77.3) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 128.65 77.3) (end 128.6 77.35) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 129.6 77.3) (end 126.774999 80.125001) (width 0.8) (layer B.Cu) (net 3))
+ (segment (start 126.774999 80.125001) (end 118.890686 80.125001) (width 0.8) (layer B.Cu) (net 3))
+ (segment (start 118.890686 80.125001) (end 117.765685 79) (width 0.8) (layer B.Cu) (net 3))
+ (segment (start 117.765685 79) (end 117.2 79) (width 0.8) (layer B.Cu) (net 3))
+ (segment (start 117.6 77.75) (end 117.6 78.6) (width 0.5) (layer B.Cu) (net 3))
+ (segment (start 117.6 78.6) (end 117.2 79) (width 0.5) (layer B.Cu) (net 3))
+ (segment (start 157.689999 90.910001) (end 157.614999 90.910001) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 157.689999 90.899999) (end 157.689999 90.910001) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 127.125 78.075) (end 127.85 77.35) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 125.35 78.075) (end 127.125 78.075) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 127.85 77.35) (end 128.6 77.35) (width 0.25) (layer F.Cu) (net 3))
+ (via (at 129.6 77.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3))
+ (segment (start 118.125 78.075) (end 117.2 79) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 119.45 78.075) (end 118.125 78.075) (width 0.25) (layer F.Cu) (net 3))
+ (via (at 117.2 79) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3))
+ (segment (start 116.55 66.59) (end 116.55 67.69) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 116.55 67.69) (end 120.585 71.725) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 120.585 71.725) (end 127.425 71.725) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 127.425 71.725) (end 128 71.725) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 86.33 147) (end 86.33 152) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 159.95 81.208002) (end 159.95 85.175) (width 0.5) (layer F.Cu) (net 3))
+ (segment (start 158.32 79.578002) (end 159.95 81.208002) (width 0.5) (layer F.Cu) (net 3))
+ (segment (start 158.32 77.2) (end 158.32 79.578002) (width 0.5) (layer F.Cu) (net 3))
+ (segment (start 160.050001 85.074999) (end 160.02016 85.10484) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 160.050001 82.300001) (end 160.050001 85.074999) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 129.6 77.3) (end 129.999999 76.900001) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 146.150001 76.900001) (end 151.45 82.2) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 129.999999 76.900001) (end 146.150001 76.900001) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 151.45 82.2) (end 159.95 82.2) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 159.95 82.2) (end 160.050001 82.300001) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 92 91.45) (end 92.55 91.45) (width 0.8) (layer F.Cu) (net 3))
+ (via (at 93 91) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3))
+ (segment (start 92.55 91.45) (end 93 91) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 93.399999 91.399999) (end 98.900001 91.399999) (width 0.8) (layer B.Cu) (net 3))
+ (segment (start 93 91) (end 93.399999 91.399999) (width 0.8) (layer B.Cu) (net 3))
+ (segment (start 99.500001 90.799999) (end 99.500001 87.100001) (width 0.8) (layer B.Cu) (net 3))
+ (segment (start 98.900001 91.399999) (end 99.500001 90.799999) (width 0.8) (layer B.Cu) (net 3))
+ (via (at 98.75 86.35) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3))
+ (segment (start 99.500001 87.100001) (end 98.75 86.35) (width 0.8) (layer B.Cu) (net 3))
+ (segment (start 98.75 86.35) (end 99.7 86.35) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 109.4 61.8875) (end 109.4 66.2) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 99.86066 60.3) (end 98.8 60.3) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 102.8 60.3) (end 99.86066 60.3) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 104 61.5) (end 102.8 60.3) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 104 63.3) (end 104 61.5) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 111.2875 61.8875) (end 111.95 61.225) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 109.4 61.8875) (end 111.2875 61.8875) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 109.0125 61.5) (end 109.4 61.8875) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 104 61.5) (end 109.0125 61.5) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 109.79 66.59) (end 109.4 66.2) (width 0.8) (layer B.Cu) (net 3))
+ (segment (start 116.55 66.59) (end 109.79 66.59) (width 0.8) (layer B.Cu) (net 3))
+ (segment (start 99.565685 83.1) (end 99 83.1) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 98.75 83.35) (end 99 83.1) (width 0.8) (layer B.Cu) (net 3))
+ (segment (start 109.4 66.2) (end 107.8 66.2) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 107.25 79.9) (end 104.05 83.1) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 98.75 86.35) (end 98.75 83.35) (width 0.8) (layer B.Cu) (net 3))
+ (segment (start 107.25 66.75) (end 107.25 79.9) (width 0.8) (layer F.Cu) (net 3))
+ (via (at 99 83.1) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3))
+ (segment (start 104.05 83.1) (end 99.565685 83.1) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 107.8 66.2) (end 107.25 66.75) (width 0.8) (layer F.Cu) (net 3))
+ (segment (start 112.625 82.975) (end 113.15 83.5) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 112.625 82.5) (end 112.625 82.975) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 113.15 83.5) (end 115 83.5) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 115 83.5) (end 115.95 82.55) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 116.634315 79) (end 117.2 79) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 115.95 79.684315) (end 116.634315 79) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 115.95 82.55) (end 115.95 79.684315) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 124.4 121.44995) (end 124.475001 121.524951) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 124.475001 121.524951) (end 131.175049 121.524951) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 124.4 120.97495) (end 124.4 121.44995) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 167.527009 120.200011) (end 167.7 120.373002) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 131.175049 121.524951) (end 132.499989 120.200011) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 132.499989 120.200011) (end 167.527009 120.200011) (width 0.25) (layer F.Cu) (net 3))
+ (segment (start 154.2 147.1) (end 156.675021 144.624979) (width 0.25) (layer B.Cu) (net 3))
+ (segment (start 147.4 148) (end 148.3 147.1) (width 0.25) (layer B.Cu) (net 3))
+ (segment (start 156.675021 144.624979) (end 157.2 144.624979) (width 0.25) (layer B.Cu) (net 3))
+ (segment (start 148.3 147.1) (end 154.2 147.1) (width 0.25) (layer B.Cu) (net 3))
+ (segment (start 157.515 87.715) (end 155.525 85.725) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 154.75 86.55) (end 155.525 85.775) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 155.525 85.775) (end 155.525 85.725) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 154.7 86.55) (end 154.75 86.55) (width 0.25) (layer F.Cu) (net 4))
+ (via (at 111.927814 88.699945) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 4))
+ (via (at 112 90.599967) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 4))
+ (segment (start 112.374967 90.599967) (end 112 90.599967) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 113.275 91.5) (end 112.374967 90.599967) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 110.975 91.5) (end 111.875033 90.599967) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 110.75 91.5) (end 110.975 91.5) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 112 88.772131) (end 111.927814 88.699945) (width 0.25) (layer B.Cu) (net 4))
+ (segment (start 112 90.599967) (end 112 88.772131) (width 0.25) (layer B.Cu) (net 4))
+ (segment (start 111.875033 90.599967) (end 112 90.599967) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 158.7 87.715) (end 157.515 87.715) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 115.925 93.15) (end 114.925 93.15) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 114.925 93.15) (end 113.275 91.5) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 119.45 82.75) (end 119.3 82.9) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 119.45 81.325) (end 119.45 82.75) (width 0.25) (layer F.Cu) (net 4))
+ (via (at 119.3 82.9) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 4))
+ (segment (start 93.524999 88.260001) (end 95 89.735002) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 93.524999 86.052181) (end 93.524999 88.260001) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 95.3022 84.27498) (end 93.524999 86.052181) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 95 89.735002) (end 95 91.7) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 111.927814 88.699945) (end 111.927814 88.13426) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 111.927814 88.13426) (end 110.143554 86.35) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 110.143554 86.35) (end 106.38641 86.35) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 106.38641 86.35) (end 104.311389 84.274979) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 104.311389 84.274979) (end 95.3022 84.27498) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 124.777583 82.20679) (end 125.117031 82.546238) (width 0.25) (layer B.Cu) (net 4))
+ (segment (start 119.3 82.9) (end 119.99321 82.20679) (width 0.25) (layer B.Cu) (net 4))
+ (segment (start 119.99321 82.20679) (end 124.777583 82.20679) (width 0.25) (layer B.Cu) (net 4))
+ (via (at 125.117031 82.546238) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 4))
+ (segment (start 112.743554 88.95) (end 112.493499 88.699945) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 113.9 88.95) (end 112.743554 88.95) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 119.3 82.9) (end 119.3 83.55) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 112.493499 88.699945) (end 111.927814 88.699945) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 119.3 83.55) (end 113.9 88.95) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 141.138567 82.674978) (end 130.466316 82.674978) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 155.525 85.725) (end 150.051998 85.725) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 128.495854 82.146239) (end 125.51703 82.146239) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 148.226998 83.9) (end 142.363589 83.9) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 129.024651 82.675037) (end 128.495854 82.146239) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 150.051998 85.725) (end 148.226998 83.9) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 142.363589 83.9) (end 141.138567 82.674978) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 130.466316 82.674978) (end 130.466256 82.675038) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 125.51703 82.146239) (end 125.117031 82.546238) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 130.466256 82.675038) (end 129.024651 82.675037) (width 0.25) (layer F.Cu) (net 4))
+ (segment (start 131.1 87.05) (end 131.1 88.3) (width 0.8) (layer F.Cu) (net 5))
+ (segment (start 131.925 85.95) (end 131.925 86.225) (width 0.8) (layer F.Cu) (net 5))
+ (segment (start 131.925 86.225) (end 131.1 87.05) (width 0.8) (layer F.Cu) (net 5))
+ (via (at 142 112) (size 2) (drill 1) (layers F.Cu B.Cu) (net 8))
+ (via (at 142 109) (size 2) (drill 1) (layers F.Cu B.Cu) (net 8))
+ (via (at 142 118) (size 2) (drill 1) (layers F.Cu B.Cu) (net 8))
+ (via (at 142 115) (size 2) (drill 1) (layers F.Cu B.Cu) (net 8))
+ (via (at 139 112) (size 2) (drill 1) (layers F.Cu B.Cu) (net 8))
+ (via (at 139 115) (size 2) (drill 1) (layers F.Cu B.Cu) (net 8))
+ (via (at 139 118) (size 2) (drill 1) (layers F.Cu B.Cu) (net 8))
+ (via (at 133 118) (size 2) (drill 1) (layers F.Cu B.Cu) (net 8))
+ (via (at 136 118) (size 2) (drill 1) (layers F.Cu B.Cu) (net 8))
+ (via (at 136 115) (size 2) (drill 1) (layers F.Cu B.Cu) (net 8))
+ (via (at 136 112) (size 2) (drill 1) (layers F.Cu B.Cu) (net 8))
+ (via (at 139 109) (size 2) (drill 1) (layers F.Cu B.Cu) (net 8))
+ (via (at 136 109) (size 2) (drill 1) (layers F.Cu B.Cu) (net 8) (tstamp 5CB608E3))
+ (via (at 136 109) (size 2) (drill 1) (layers F.Cu B.Cu) (net 8))
+ (via (at 131.5 111.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 8) (tstamp 5CB61BCC))
+ (via (at 130.5 111.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 8) (tstamp 5CB61C2F))
+ (via (at 129.5 111.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 8) (tstamp 5CB61C1A))
+ (via (at 129.5 112.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 8) (tstamp 5CB61C14))
+ (via (at 129.5 113.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 8) (tstamp 5CB61C32))
+ (via (at 129.5 114.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 8) (tstamp 5CB61C17))
+ (via (at 129.5 115.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 8) (tstamp 5CB61C26))
+ (via (at 130.5 115.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 8) (tstamp 5CB61BDB))
+ (via (at 131.5 115.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 8) (tstamp 5CB61C0E))
+ (via (at 131.5 112.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 8) (tstamp 5CB61C29))
+ (via (at 130.5 112.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 8) (tstamp 5CB61C35))
+ (via (at 130.5 113.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 8) (tstamp 5CB61BD8))
+ (via (at 131.5 114.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 8) (tstamp 5CB61C23))
+ (via (at 130.5 114.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 8) (tstamp 5CB61BD5))
+ (via (at 131.5 113.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 8) (tstamp 5CB61BCF))
+ (segment (start 122.250001 95.750001) (end 121.7 95.2) (width 0.5) (layer F.Cu) (net 8))
+ (segment (start 125.550001 95.100001) (end 124.900001 95.750001) (width 0.5) (layer F.Cu) (net 8))
+ (segment (start 125.550001 93.785001) (end 125.550001 95.100001) (width 0.5) (layer F.Cu) (net 8))
+ (segment (start 121.7 95.2) (end 121.7 94.4875) (width 0.5) (layer F.Cu) (net 8))
+ (segment (start 125.465 93.7) (end 125.550001 93.785001) (width 0.5) (layer F.Cu) (net 8))
+ (segment (start 125.465 92.725) (end 125.465 93.7) (width 0.5) (layer F.Cu) (net 8))
+ (via (at 123.3 95.7) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 8))
+ (segment (start 123.350001 95.750001) (end 123.3 95.7) (width 0.5) (layer F.Cu) (net 8))
+ (segment (start 123.550001 95.750001) (end 123.350001 95.750001) (width 0.5) (layer F.Cu) (net 8))
+ (segment (start 123.550001 95.750001) (end 122.250001 95.750001) (width 0.5) (layer F.Cu) (net 8))
+ (segment (start 124.900001 95.750001) (end 123.550001 95.750001) (width 0.5) (layer F.Cu) (net 8))
+ (segment (start 141.450003 97.849997) (end 141.1 98.2) (width 0.5) (layer B.Cu) (net 8))
+ (segment (start 141.450003 93.950003) (end 141.450003 97.849997) (width 0.5) (layer B.Cu) (net 8))
+ (segment (start 123.3 95.7) (end 122.900001 95.300001) (width 0.5) (layer B.Cu) (net 8))
+ (segment (start 122.900001 94.049997) (end 124.149998 92.8) (width 0.5) (layer B.Cu) (net 8))
+ (segment (start 122.900001 95.300001) (end 122.900001 94.049997) (width 0.5) (layer B.Cu) (net 8))
+ (segment (start 124.149998 92.8) (end 140.3 92.8) (width 0.5) (layer B.Cu) (net 8))
+ (segment (start 140.3 92.8) (end 141.450003 93.950003) (width 0.5) (layer B.Cu) (net 8))
+ (via (at 130 124.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9))
+ (via (at 131 124.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9) (tstamp 5CB60AC9))
+ (via (at 139 125) (size 2) (drill 1) (layers F.Cu B.Cu) (net 9))
+ (via (at 139 128) (size 2) (drill 1) (layers F.Cu B.Cu) (net 9))
+ (via (at 136 128) (size 2) (drill 1) (layers F.Cu B.Cu) (net 9))
+ (via (at 136 125) (size 2) (drill 1) (layers F.Cu B.Cu) (net 9))
+ (via (at 136 122) (size 2) (drill 1) (layers F.Cu B.Cu) (net 9))
+ (via (at 139 122) (size 2) (drill 1) (layers F.Cu B.Cu) (net 9))
+ (via (at 142 122) (size 2) (drill 1) (layers F.Cu B.Cu) (net 9))
+ (via (at 142 125) (size 2) (drill 1) (layers F.Cu B.Cu) (net 9))
+ (via (at 142 128) (size 2) (drill 1) (layers F.Cu B.Cu) (net 9))
+ (via (at 142 131) (size 2) (drill 1) (layers F.Cu B.Cu) (net 9))
+ (via (at 139 131) (size 2) (drill 1) (layers F.Cu B.Cu) (net 9))
+ (via (at 136 131) (size 2) (drill 1) (layers F.Cu B.Cu) (net 9))
+ (via (at 133 131) (size 2) (drill 1) (layers F.Cu B.Cu) (net 9))
+ (via (at 130 131) (size 2) (drill 1) (layers F.Cu B.Cu) (net 9))
+ (via (at 132 128.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9))
+ (via (at 131 128.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9))
+ (via (at 130 128.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9))
+ (via (at 129 128.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9))
+ (via (at 129 127.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9))
+ (via (at 129 126.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9))
+ (via (at 129 125.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9))
+ (via (at 132 127.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9))
+ (via (at 132 124.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9))
+ (via (at 132 125.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9))
+ (via (at 132 126.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9))
+ (via (at 131 125.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9))
+ (via (at 130 125.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9))
+ (via (at 130 126.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9))
+ (via (at 130 127.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9))
+ (via (at 131 127.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9))
+ (via (at 131 126.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9))
+ (segment (start 134.9 94.5) (end 134.9 94.6) (width 0.15) (layer F.Cu) (net 9))
+ (segment (start 131.511776 108) (end 131.505888 108.005888) (width 0.5) (layer B.Cu) (net 9))
+ (via (at 136.9 97) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9))
+ (segment (start 137.299999 96.600001) (end 136.9 97) (width 0.5) (layer F.Cu) (net 9))
+ (segment (start 134.9 94.5) (end 134.9 95.2125) (width 0.8) (layer F.Cu) (net 9))
+ (segment (start 134.9 95.2125) (end 136.6875 97) (width 0.8) (layer F.Cu) (net 9))
+ (segment (start 136.6875 97) (end 136.9 97) (width 0.8) (layer F.Cu) (net 9))
+ (segment (start 138.915 92.725) (end 138.915 94.985) (width 0.5) (layer F.Cu) (net 9))
+ (segment (start 138.915 94.985) (end 137.299999 96.600001) (width 0.5) (layer F.Cu) (net 9))
+ (segment (start 135.7 105.816951) (end 135.7 98.2) (width 0.5) (layer B.Cu) (net 9))
+ (segment (start 131.511776 108) (end 133.516951 108) (width 0.5) (layer B.Cu) (net 9))
+ (segment (start 135.7 98.2) (end 136.9 97) (width 0.5) (layer B.Cu) (net 9))
+ (segment (start 133.516951 108) (end 135.7 105.816951) (width 0.5) (layer B.Cu) (net 9))
+ (segment (start 129.600001 124.100001) (end 130 124.5) (width 0.5) (layer B.Cu) (net 9))
+ (segment (start 129.8 108) (end 128.249999 109.550001) (width 0.5) (layer B.Cu) (net 9))
+ (segment (start 131.511776 108) (end 129.8 108) (width 0.5) (layer B.Cu) (net 9))
+ (segment (start 128.249999 109.550001) (end 128.249999 119.849999) (width 0.5) (layer B.Cu) (net 9))
+ (segment (start 128.249999 119.849999) (end 129.600001 121.200001) (width 0.5) (layer B.Cu) (net 9))
+ (segment (start 129.600001 121.200001) (end 129.600001 124.100001) (width 0.5) (layer B.Cu) (net 9))
+ (segment (start 118 96.5) (end 118 93.475) (width 0.25) (layer F.Cu) (net 10))
+ (segment (start 118 93.475) (end 117.675 93.15) (width 0.25) (layer F.Cu) (net 10))
+ (via (at 118 96.5) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10) (tstamp 5C41CD86))
+ (via (at 131 139) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 130 139) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10) (tstamp 5CB60B88))
+ (via (at 100 134) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 102 136) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10) (tstamp 5CB609BC))
+ (via (at 105 135) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 106 132) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 109 131) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 114 132) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 112 130) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10) (tstamp 5CB60945))
+ (via (at 101 131) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 103 133) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10) (tstamp 5CB60943))
+ (via (at 100 126) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 108 134) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10) (tstamp 5CB60926))
+ (via (at 107 129) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 111 133) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10) (tstamp 5CB60924))
+ (via (at 94 128) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10) (tstamp 5CB60977))
+ (via (at 98 132) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10) (tstamp 5CB60922))
+ (via (at 96 130) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10) (tstamp 5CB6097D))
+ (via (at 104 130) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10) (tstamp 5CB60920))
+ (via (at 97 127) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10) (tstamp 5CB609A9))
+ (via (at 102 128) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10) (tstamp 5CB60912))
+ (via (at 99 129) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 115 145) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 115 142) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 115 139) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 115 136) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 127 145) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 124 145) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 118 145) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 121 145) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 121 142) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 118 142) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 118 139) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 121 139) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 118 136) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 121 136) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 124 136) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 127 136) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 124 102) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 124 99) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 121 99) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 121 102) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 124 105) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 121 105) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 118 105) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 118 102) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 118 99) (size 2) (drill 1) (layers F.Cu B.Cu) (net 10))
+ (via (at 131 98) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 130 98) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 129 98) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 128 98) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 128 99) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 128 100) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 128 101) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 128 102) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 129 102) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 130 102) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 131 102) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 131 101) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 131 100) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 131 99) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 130 99) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 129 99) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 129 100) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 129 101) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 130 101) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 130 100) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 128 138) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 128 139) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 128 140) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 128 141) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 128 142) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 129 142) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 130 142) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 131 142) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 131 141) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 131 140) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 131 138) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 130 138) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 129 138) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 129 139) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 129 140) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 129 141) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 130 141) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (via (at 130 140) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10))
+ (segment (start 84.95 87.95) (end 85.4 88.4) (width 1.2) (layer F.Cu) (net 10))
+ (segment (start 80.9 87.95) (end 84.95 87.95) (width 1.2) (layer F.Cu) (net 10))
+ (segment (start 85 88) (end 85.4 88.4) (width 1.2) (layer F.Cu) (net 10))
+ (segment (start 85 84.65) (end 85 88) (width 1.2) (layer F.Cu) (net 10))
+ (segment (start 80.9 90.15) (end 77.05 94) (width 1.2) (layer F.Cu) (net 10))
+ (segment (start 80.9 87.95) (end 80.9 90.15) (width 1.2) (layer F.Cu) (net 10))
+ (segment (start 77.05 94) (end 73.7 94) (width 1.2) (layer F.Cu) (net 10))
+ (segment (start 73.7 94) (end 71.7 96) (width 1.2) (layer F.Cu) (net 10))
+ (segment (start 71.7 121.78) (end 75 125.08) (width 1.2) (layer F.Cu) (net 10))
+ (segment (start 71.7 96) (end 71.7 121.78) (width 1.2) (layer F.Cu) (net 10))
+ (segment (start 87.775 81.225) (end 87.775 81.7) (width 0.5) (layer F.Cu) (net 10))
+ (segment (start 87.775 79.51577) (end 87.775 81.225) (width 0.5) (layer F.Cu) (net 10))
+ (segment (start 89.15577 78.135) (end 87.775 79.51577) (width 0.5) (layer F.Cu) (net 10))
+ (segment (start 90.275 78.135) (end 89.15577 78.135) (width 0.5) (layer F.Cu) (net 10))
+ (segment (start 86.5 84.65) (end 85 84.65) (width 0.8) (layer F.Cu) (net 10))
+ (segment (start 87.775 81.7) (end 87.775 83.375) (width 0.8) (layer F.Cu) (net 10))
+ (segment (start 87.775 83.375) (end 86.5 84.65) (width 0.8) (layer F.Cu) (net 10))
+ (segment (start 127.96141 119.02495) (end 126.98636 120) (width 0.25) (layer F.Cu) (net 11))
+ (segment (start 126.98636 120) (end 127 120) (width 0.25) (layer F.Cu) (net 11))
+ (segment (start 128.8 119.02495) (end 127.96141 119.02495) (width 0.25) (layer F.Cu) (net 11))
+ (segment (start 124.4 120.32495) (end 124.4 119.02495) (width 0.25) (layer F.Cu) (net 11))
+ (segment (start 96 93.2) (end 96 91.7) (width 0.25) (layer F.Cu) (net 11))
+ (segment (start 98.175 91.875) (end 99.5 91.875) (width 0.25) (layer F.Cu) (net 11))
+ (segment (start 96 93.2) (end 96.85 93.2) (width 0.25) (layer F.Cu) (net 11))
+ (segment (start 96.85 93.2) (end 98.175 91.875) (width 0.25) (layer F.Cu) (net 11))
+ (segment (start 126.98636 120) (end 126.98636 120.98636) (width 0.25) (layer F.Cu) (net 11))
+ (segment (start 96.25 109.5) (end 98.4875 109.5) (width 0.25) (layer F.Cu) (net 11))
+ (segment (start 96 93.2) (end 96 109.25) (width 0.25) (layer F.Cu) (net 11))
+ (segment (start 96 109.25) (end 96.25 109.5) (width 0.25) (layer F.Cu) (net 11))
+ (segment (start 126.735 94.235) (end 128.5 96) (width 0.5) (layer F.Cu) (net 12))
+ (segment (start 126.735 92.725) (end 126.735 94.235) (width 0.5) (layer F.Cu) (net 12))
+ (segment (start 132.950002 96) (end 133.5 96.549998) (width 0.5) (layer F.Cu) (net 12))
+ (segment (start 128.5 96) (end 132.950002 96) (width 0.5) (layer F.Cu) (net 12))
+ (segment (start 133.5 96.549998) (end 133.5 98.089999) (width 0.5) (layer F.Cu) (net 12))
+ (via (at 127.15 131.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 13))
+ (segment (start 140.185 92.725) (end 140.185 95.084998) (width 0.5) (layer F.Cu) (net 13))
+ (via (at 140.200002 95.1) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 13))
+ (segment (start 140.185 95.084998) (end 140.200002 95.1) (width 0.5) (layer F.Cu) (net 13))
+ (segment (start 139.550004 95.749998) (end 136.3 95.749998) (width 0.5) (layer B.Cu) (net 13))
+ (segment (start 133.061311 106.89999) (end 129.34436 106.89999) (width 0.5) (layer B.Cu) (net 13))
+ (segment (start 129.34436 106.89999) (end 127 109.24435) (width 0.5) (layer B.Cu) (net 13))
+ (segment (start 127 109.24435) (end 127 128.324998) (width 0.5) (layer B.Cu) (net 13))
+ (segment (start 128.125001 130.824999) (end 127.549999 131.400001) (width 0.5) (layer B.Cu) (net 13))
+ (segment (start 128.125001 129.449999) (end 128.125001 130.824999) (width 0.5) (layer B.Cu) (net 13))
+ (segment (start 136.3 95.749998) (end 134.450023 97.599975) (width 0.5) (layer B.Cu) (net 13))
+ (segment (start 127.549999 131.400001) (end 127.15 131.8) (width 0.5) (layer B.Cu) (net 13))
+ (segment (start 127 128.324998) (end 128.125001 129.449999) (width 0.5) (layer B.Cu) (net 13))
+ (segment (start 134.450023 105.511278) (end 133.061311 106.89999) (width 0.5) (layer B.Cu) (net 13))
+ (segment (start 134.450023 97.599975) (end 134.450023 105.511278) (width 0.5) (layer B.Cu) (net 13))
+ (segment (start 140.200002 95.1) (end 139.550004 95.749998) (width 0.5) (layer B.Cu) (net 13))
+ (segment (start 133.5 135.3) (end 133.5 138.089999) (width 0.5) (layer F.Cu) (net 13))
+ (segment (start 132.1 133.9) (end 133.5 135.3) (width 0.5) (layer F.Cu) (net 13))
+ (segment (start 128.5 133.9) (end 132.1 133.9) (width 0.5) (layer F.Cu) (net 13))
+ (segment (start 127.15 131.8) (end 127.15 132.55) (width 0.5) (layer F.Cu) (net 13))
+ (segment (start 127.15 132.55) (end 128.5 133.9) (width 0.5) (layer F.Cu) (net 13))
+ (via (at 124.649979 116.725) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 14))
+ (segment (start 124.195 92.725) (end 124.195 94.395) (width 0.5) (layer F.Cu) (net 14))
+ (via (at 124.3 94.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 14))
+ (segment (start 124.195 94.395) (end 124.3 94.5) (width 0.5) (layer F.Cu) (net 14))
+ (segment (start 124.865685 94.5) (end 124.3 94.5) (width 0.5) (layer B.Cu) (net 14))
+ (segment (start 131.3 94.5) (end 124.865685 94.5) (width 0.5) (layer B.Cu) (net 14))
+ (segment (start 124.649979 108.483071) (end 128.53305 104.6) (width 0.5) (layer B.Cu) (net 14))
+ (segment (start 124.649979 116.725) (end 124.649979 108.483071) (width 0.5) (layer B.Cu) (net 14))
+ (segment (start 128.53305 104.6) (end 131.9 104.6) (width 0.5) (layer B.Cu) (net 14))
+ (segment (start 132.250001 95.450001) (end 131.3 94.5) (width 0.5) (layer B.Cu) (net 14))
+ (segment (start 131.9 104.6) (end 132.250001 104.249999) (width 0.5) (layer B.Cu) (net 14))
+ (segment (start 132.250001 104.249999) (end 132.250001 95.450001) (width 0.5) (layer B.Cu) (net 14))
+ (segment (start 124.674979 116.75) (end 124.649979 116.725) (width 0.5) (layer F.Cu) (net 14))
+ (segment (start 125.75 116.75) (end 124.674979 116.75) (width 0.5) (layer F.Cu) (net 14))
+ (segment (start 126.5 115.243301) (end 126.5 116) (width 0.5) (layer F.Cu) (net 14))
+ (segment (start 126.5 116) (end 125.75 116.75) (width 0.5) (layer F.Cu) (net 14))
+ (via (at 126.875 130.05) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 15))
+ (segment (start 126.875 128.9467) (end 126.5 128.5717) (width 0.25) (layer F.Cu) (net 15))
+ (segment (start 126.875 130.05) (end 126.875 128.9467) (width 0.25) (layer F.Cu) (net 15))
+ (segment (start 126.875 128.951701) (end 126.5 128.576701) (width 0.5) (layer F.Cu) (net 15))
+ (segment (start 126.875 130.05) (end 126.875 128.951701) (width 0.5) (layer F.Cu) (net 15))
+ (segment (start 137.645 92.725) (end 136.780253 93.589747) (width 0.5) (layer F.Cu) (net 15))
+ (segment (start 136.780253 93.589747) (end 136.780253 94.499989) (width 0.5) (layer F.Cu) (net 15))
+ (via (at 136.780253 94.499989) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 15))
+ (segment (start 132.70564 105.700011) (end 133.350012 105.055639) (width 0.5) (layer B.Cu) (net 15))
+ (segment (start 126.875 130.05) (end 125.899989 129.074989) (width 0.5) (layer B.Cu) (net 15))
+ (segment (start 136.214568 94.499989) (end 136.780253 94.499989) (width 0.5) (layer B.Cu) (net 15))
+ (segment (start 128.988689 105.700011) (end 132.70564 105.700011) (width 0.5) (layer B.Cu) (net 15))
+ (segment (start 125.899989 108.788711) (end 128.988689 105.700011) (width 0.5) (layer B.Cu) (net 15))
+ (segment (start 133.350012 97.144336) (end 135.994359 94.499989) (width 0.5) (layer B.Cu) (net 15))
+ (segment (start 125.899989 129.074989) (end 125.899989 108.788711) (width 0.5) (layer B.Cu) (net 15))
+ (segment (start 135.994359 94.499989) (end 136.214568 94.499989) (width 0.5) (layer B.Cu) (net 15))
+ (segment (start 133.350012 105.055639) (end 133.350012 97.144336) (width 0.5) (layer B.Cu) (net 15))
+ (segment (start 121.99999 81.45001) (end 124.075 79.375) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 121.99999 83.95001) (end 121.99999 81.45001) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 124.075 79.375) (end 125.35 79.375) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 120.75 85.175) (end 120.775 85.175) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 120.775 85.175) (end 121.99999 83.95001) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 120.75 85.7) (end 120.75 85.175) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 125.465 87.015) (end 124.92499 86.47499) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 124.92499 86.47499) (end 123.2955 86.47499) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 125.465 87.775) (end 125.465 87.015) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 121.10001 86.05001) (end 120.75 85.7) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 123.2955 86.47499) (end 122.87052 86.05001) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 122.87052 86.05001) (end 121.10001 86.05001) (width 0.25) (layer F.Cu) (net 16))
+ (via (at 121.525 84) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 16))
+ (segment (start 121.99999 83.95001) (end 121.57499 83.95001) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 121.57499 83.95001) (end 121.525 84) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 121.525 84) (end 122.775 82.75) (width 0.25) (layer B.Cu) (net 16))
+ (segment (start 122.775 82.75) (end 124.125 82.75) (width 0.25) (layer B.Cu) (net 16))
+ (via (at 124.191433 82.937664) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 16))
+ (segment (start 124.125 82.75) (end 124.125 82.871231) (width 0.25) (layer B.Cu) (net 16))
+ (segment (start 124.125 82.871231) (end 124.191433 82.937664) (width 0.25) (layer B.Cu) (net 16))
+ (segment (start 120.275 85.175) (end 120.75 85.175) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 119.65 85.175) (end 120.275 85.175) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 117.95 88.05) (end 117.95 86.875) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 117.95 86.875) (end 119.65 85.175) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 130.652715 83.124989) (end 130.652655 83.125048) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 139.26 85.875) (end 137.75 85.875) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 124.591432 83.337663) (end 124.191433 82.937664) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 140.185 86.8) (end 139.26 85.875) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 140.185 87.775) (end 140.185 86.8) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 137.75 85.875) (end 134.999989 83.124989) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 134.999989 83.124989) (end 130.652715 83.124989) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 128.838249 83.125046) (end 128.638193 82.924989) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 125.350926 83.337663) (end 124.591432 83.337663) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 128.638193 82.924989) (end 125.7636 82.924989) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 130.652655 83.125048) (end 128.838249 83.125046) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 125.7636 82.924989) (end 125.350926 83.337663) (width 0.25) (layer F.Cu) (net 16))
+ (segment (start 122.45 81.65) (end 122.45 84.675) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 125.35 80.675) (end 123.425 80.675) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 123.425 80.675) (end 122.45 81.65) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 126.6 86.4) (end 125.486411 86.4) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 126.735 86.535) (end 126.6 86.4) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 125.11139 86.024979) (end 123.749979 86.024979) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 125.486411 86.4) (end 125.11139 86.024979) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 122.925 85.2) (end 122.45 85.2) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 123.749979 86.024979) (end 122.925 85.2) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 126.735 87.775) (end 126.735 86.535) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 136.6 85.4) (end 134.35 85.4) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 137.52501 86.32501) (end 136.6 85.4) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 138.65001 86.32501) (end 137.52501 86.32501) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 138.915 87.775) (end 138.915 86.59) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 138.915 86.59) (end 138.65001 86.32501) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 122.45 84.675) (end 122.45 85.2) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 128.451793 83.375) (end 125.95 83.375) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 128.651847 83.575056) (end 128.451793 83.375) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 122.487327 83.787673) (end 122.45 83.825) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 134.35 83.95) (end 133.975 83.575) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 122.45 83.825) (end 122.45 84.675) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 130.839054 83.575058) (end 128.651847 83.575056) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 134.35 85.4) (end 134.35 83.95) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 125.95 83.375) (end 125.537327 83.787673) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 133.975 83.575) (end 130.839112 83.575) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 125.537327 83.787673) (end 122.487327 83.787673) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 130.839112 83.575) (end 130.839054 83.575058) (width 0.25) (layer F.Cu) (net 17))
+ (segment (start 116.55 64.05) (end 115 65.6) (width 0.25) (layer F.Cu) (net 18))
+ (segment (start 115 65.6) (end 115 67.325002) (width 0.25) (layer F.Cu) (net 18))
+ (segment (start 115 67.325002) (end 123.149998 75.475) (width 0.25) (layer F.Cu) (net 18))
+ (segment (start 123.149998 75.475) (end 124.375 75.475) (width 0.25) (layer F.Cu) (net 18))
+ (segment (start 124.375 75.475) (end 125.35 75.475) (width 0.25) (layer F.Cu) (net 18))
+ (segment (start 123.125 76.125) (end 114.54999 67.54999) (width 0.25) (layer F.Cu) (net 19))
+ (segment (start 125.35 76.125) (end 123.125 76.125) (width 0.25) (layer F.Cu) (net 19))
+ (segment (start 114.54999 67.54999) (end 114.54999 60.97001) (width 0.25) (layer F.Cu) (net 19))
+ (segment (start 114.54999 60.97001) (end 115.700001 59.819999) (width 0.25) (layer F.Cu) (net 19))
+ (segment (start 115.700001 59.819999) (end 116.55 58.97) (width 0.25) (layer F.Cu) (net 19))
+ (segment (start 118.248005 80.025) (end 117.273005 81) (width 0.25) (layer F.Cu) (net 20))
+ (segment (start 119.45 80.025) (end 118.248005 80.025) (width 0.25) (layer F.Cu) (net 20))
+ (segment (start 117.273005 81) (end 116.9 81) (width 0.25) (layer F.Cu) (net 20))
+ (via (at 116.9 81) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 20))
+ (via (at 131 80.65) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 20))
+ (segment (start 136.276999 81.049999) (end 131.399999 81.049999) (width 0.25) (layer F.Cu) (net 20))
+ (segment (start 136.655 80.671998) (end 136.276999 81.049999) (width 0.25) (layer F.Cu) (net 20))
+ (segment (start 136.655 78.45) (end 136.655 80.671998) (width 0.25) (layer F.Cu) (net 20))
+ (segment (start 131.399999 81.049999) (end 131 80.65) (width 0.25) (layer F.Cu) (net 20))
+ (segment (start 128.461389 81.300022) (end 129.436412 80.324999) (width 0.25) (layer B.Cu) (net 20))
+ (segment (start 130.109314 80.324999) (end 130.434315 80.65) (width 0.25) (layer B.Cu) (net 20))
+ (segment (start 129.436412 80.324999) (end 130.109314 80.324999) (width 0.25) (layer B.Cu) (net 20))
+ (segment (start 117.765707 81.300022) (end 128.461389 81.300022) (width 0.25) (layer B.Cu) (net 20))
+ (segment (start 116.9 81) (end 117.465685 81) (width 0.25) (layer B.Cu) (net 20))
+ (segment (start 117.465685 81) (end 117.765707 81.300022) (width 0.25) (layer B.Cu) (net 20))
+ (segment (start 130.434315 80.65) (end 131 80.65) (width 0.25) (layer B.Cu) (net 20))
+ (segment (start 117.7 82.4) (end 117.7 81.45) (width 0.25) (layer F.Cu) (net 21))
+ (segment (start 117.7 81.45) (end 118.475 80.675) (width 0.25) (layer F.Cu) (net 21))
+ (segment (start 118.475 80.675) (end 119.45 80.675) (width 0.25) (layer F.Cu) (net 21))
+ (via (at 117.7 82.4) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 21))
+ (segment (start 129.045431 81.750033) (end 129.745454 81.05001) (width 0.25) (layer B.Cu) (net 21))
+ (segment (start 118.349967 81.750033) (end 129.045431 81.750033) (width 0.25) (layer B.Cu) (net 21))
+ (segment (start 117.7 82.4) (end 118.349967 81.750033) (width 0.25) (layer B.Cu) (net 21))
+ (via (at 129.745454 81.050014) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 21))
+ (segment (start 129.745454 81.05001) (end 129.745454 81.050014) (width 0.25) (layer B.Cu) (net 21))
+ (segment (start 130.284845 79.302793) (end 130.284845 80.510623) (width 0.25) (layer F.Cu) (net 21))
+ (segment (start 130.145453 80.650015) (end 129.745454 81.050014) (width 0.25) (layer F.Cu) (net 21))
+ (segment (start 130.284845 80.510623) (end 130.145453 80.650015) (width 0.25) (layer F.Cu) (net 21))
+ (segment (start 131.137638 78.45) (end 130.284845 79.302793) (width 0.25) (layer F.Cu) (net 21))
+ (segment (start 132.845 78.45) (end 131.137638 78.45) (width 0.25) (layer F.Cu) (net 21))
+ (segment (start 129.03 62.7) (end 129.03 62.87) (width 0.5) (layer F.Cu) (net 22))
+ (segment (start 148.88 62.7) (end 148.88 59.78) (width 0.5) (layer F.Cu) (net 22))
+ (segment (start 148.88 59.78) (end 145.9 56.8) (width 0.5) (layer F.Cu) (net 22))
+ (segment (start 145.9 56.8) (end 130.9 56.8) (width 0.5) (layer F.Cu) (net 22))
+ (segment (start 130.9 56.8) (end 129.03 58.67) (width 0.5) (layer F.Cu) (net 22))
+ (via (at 138.5 69.1) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 22))
+ (via (at 135.406139 65.086186) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 22))
+ (segment (start 138.5 69.1) (end 138.5 68.180047) (width 0.5) (layer B.Cu) (net 22))
+ (segment (start 138.5 68.180047) (end 135.406139 65.086186) (width 0.5) (layer B.Cu) (net 22))
+ (segment (start 129.03 58.67) (end 129.03 60.53) (width 0.5) (layer F.Cu) (net 22))
+ (segment (start 129.03 60.53) (end 129.03 62.7) (width 0.5) (layer F.Cu) (net 22))
+ (segment (start 138.5 69.665685) (end 138.5 69.1) (width 0.5) (layer F.Cu) (net 22))
+ (segment (start 135.8 71.6) (end 136.565685 71.6) (width 0.5) (layer F.Cu) (net 22))
+ (segment (start 135.385 72.015) (end 135.8 71.6) (width 0.5) (layer F.Cu) (net 22))
+ (segment (start 136.565685 71.6) (end 138.5 69.665685) (width 0.5) (layer F.Cu) (net 22))
+ (segment (start 135.385 73.05) (end 135.385 72.015) (width 0.5) (layer F.Cu) (net 22))
+ (segment (start 131.33 60.53) (end 129.03 60.53) (width 0.5) (layer F.Cu) (net 22))
+ (segment (start 133.8 63) (end 131.33 60.53) (width 0.5) (layer F.Cu) (net 22))
+ (segment (start 135.406139 65.086186) (end 133.8 63.480047) (width 0.5) (layer F.Cu) (net 22))
+ (segment (start 133.8 63.480047) (end 133.8 63) (width 0.5) (layer F.Cu) (net 22))
+ (via (at 137.5 69) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 23))
+ (segment (start 146.34 66) (end 134.5 66) (width 0.25) (layer F.Cu) (net 23))
+ (segment (start 134.5 66) (end 135 66) (width 0.25) (layer F.Cu) (net 23))
+ (via (at 135 66) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 23))
+ (segment (start 134.434315 66) (end 135 66) (width 0.5) (layer F.Cu) (net 23))
+ (segment (start 128.46934 66) (end 134.434315 66) (width 0.5) (layer F.Cu) (net 23))
+ (segment (start 128.01 65.54066) (end 128.46934 66) (width 0.5) (layer F.Cu) (net 23))
+ (segment (start 128.01 64.48) (end 128.01 65.54066) (width 0.5) (layer F.Cu) (net 23))
+ (segment (start 135 66) (end 146.34 66) (width 0.5) (layer F.Cu) (net 23))
+ (segment (start 147.86 65.24) (end 147.86 64.48) (width 0.5) (layer F.Cu) (net 23))
+ (segment (start 146.34 66) (end 147.1 66) (width 0.5) (layer F.Cu) (net 23))
+ (segment (start 147.1 66) (end 147.86 65.24) (width 0.5) (layer F.Cu) (net 23))
+ (segment (start 134.115 72.295035) (end 134.115 73.05) (width 0.5) (layer F.Cu) (net 23))
+ (segment (start 136.275733 70.89999) (end 135.510046 70.89999) (width 0.5) (layer F.Cu) (net 23))
+ (segment (start 137.5 69.675723) (end 136.275733 70.89999) (width 0.5) (layer F.Cu) (net 23))
+ (segment (start 135.510046 70.89999) (end 134.115 72.295035) (width 0.5) (layer F.Cu) (net 23))
+ (segment (start 137.5 69) (end 137.5 69.675723) (width 0.5) (layer F.Cu) (net 23))
+ (segment (start 137.5 68.5) (end 135 66) (width 0.5) (layer B.Cu) (net 23))
+ (segment (start 137.5 69) (end 137.5 68.5) (width 0.5) (layer B.Cu) (net 23))
+ (segment (start 144.8 62.7) (end 144.8 63.46) (width 0.5) (layer B.Cu) (net 24))
+ (segment (start 144.8 63.46) (end 145.82 64.48) (width 0.5) (layer B.Cu) (net 24))
+ (segment (start 124.95 62.7) (end 124.95 63.46) (width 0.5) (layer B.Cu) (net 24))
+ (segment (start 124.95 63.46) (end 125.97 64.48) (width 0.5) (layer B.Cu) (net 24))
+ (segment (start 144.8 61.15) (end 144.8 62.7) (width 0.8) (layer B.Cu) (net 24))
+ (segment (start 124.95 61.15) (end 128.8 57.3) (width 0.8) (layer B.Cu) (net 24))
+ (segment (start 124.95 62.7) (end 124.95 61.15) (width 0.8) (layer B.Cu) (net 24))
+ (segment (start 128.8 57.3) (end 143.5 57.3) (width 0.8) (layer B.Cu) (net 24))
+ (segment (start 143.5 57.3) (end 144.8 58.6) (width 0.8) (layer B.Cu) (net 24))
+ (segment (start 144.8 58.6) (end 144.8 61.15) (width 0.8) (layer B.Cu) (net 24))
+ (segment (start 110.075045 89.424955) (end 114.111456 89.424955) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 109.775045 89.424955) (end 110.075045 89.424955) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 110.2 88.7) (end 110.2 89.3) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 110.2 89.3) (end 110.075045 89.424955) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 94.5 86.35) (end 95.675001 85.174999) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 94.5 87.3) (end 94.5 86.35) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 95.675001 85.174999) (end 103.774999 85.174999) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 103.774999 85.174999) (end 104.1 85.5) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 104.1 85.5) (end 108.9 90.3) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 120.500001 79.663588) (end 123.388589 76.775) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 114.111456 89.424955) (end 120.500001 83.03641) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 124.375 76.775) (end 125.35 76.775) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 123.388589 76.775) (end 124.375 76.775) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 108.9 90.3) (end 109.775045 89.424955) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 120.500001 83.03641) (end 120.500001 79.663588) (width 0.25) (layer F.Cu) (net 25))
+ (via (at 108.9 90.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 25))
+ (segment (start 162 92.6) (end 162 79.89) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 162.6 93.2) (end 162 92.6) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 162 79.89) (end 160.86 78.75) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 160.86 78.75) (end 160.86 77.2) (width 0.25) (layer F.Cu) (net 25))
+ (via (at 162.6 93.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 25))
+ (segment (start 126.335001 76.764999) (end 126.325 76.775) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 126.935001 76.764999) (end 126.335001 76.764999) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 127.5 74.8) (end 127.5 76.2) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 126.3 73.575) (end 126.3 73.6) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 127.5 76.2) (end 126.935001 76.764999) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 126.3 73.6) (end 127.5 74.8) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 126.325 76.775) (end 125.35 76.775) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 128.8 120.97495) (end 130.62505 120.97495) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 131 120.5) (end 131 120.6) (width 0.25) (layer F.Cu) (net 25))
+ (via (at 130.975 120.625) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 25))
+ (segment (start 130.62505 120.97495) (end 130.975 120.625) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 131 120.6) (end 130.975 120.625) (width 0.25) (layer F.Cu) (net 25))
+ (segment (start 131.374999 120.225001) (end 130.975 120.625) (width 0.25) (layer B.Cu) (net 25))
+ (segment (start 163.65 93.2) (end 167.7 97.25) (width 0.25) (layer B.Cu) (net 25))
+ (segment (start 167.7 97.25) (end 167.7 119.7) (width 0.25) (layer B.Cu) (net 25))
+ (segment (start 162.6 93.2) (end 163.65 93.2) (width 0.25) (layer B.Cu) (net 25))
+ (segment (start 167.7 119.7) (end 167.174999 120.225001) (width 0.25) (layer B.Cu) (net 25))
+ (segment (start 167.174999 120.225001) (end 131.374999 120.225001) (width 0.25) (layer B.Cu) (net 25))
+ (segment (start 162.200001 92.800001) (end 162.6 93.2) (width 0.25) (layer B.Cu) (net 25))
+ (segment (start 162.050011 92.650011) (end 162.200001 92.800001) (width 0.25) (layer B.Cu) (net 25))
+ (segment (start 153.732126 92.650011) (end 162.050011 92.650011) (width 0.25) (layer B.Cu) (net 25))
+ (segment (start 150.182115 89.1) (end 153.732126 92.650011) (width 0.25) (layer B.Cu) (net 25))
+ (segment (start 110.063601 91.175) (end 112.088623 93.200022) (width 0.25) (layer B.Cu) (net 25))
+ (segment (start 121.326976 93.200022) (end 125.426998 89.1) (width 0.25) (layer B.Cu) (net 25))
+ (segment (start 108.9 90.3) (end 108.9 90.865685) (width 0.25) (layer B.Cu) (net 25))
+ (segment (start 108.9 90.865685) (end 109.209315 91.175) (width 0.25) (layer B.Cu) (net 25))
+ (segment (start 109.209315 91.175) (end 110.063601 91.175) (width 0.25) (layer B.Cu) (net 25))
+ (segment (start 112.088623 93.200022) (end 121.326976 93.200022) (width 0.25) (layer B.Cu) (net 25))
+ (segment (start 125.426998 89.1) (end 150.182115 89.1) (width 0.25) (layer B.Cu) (net 25))
+ (segment (start 107.7 88.3) (end 108.487347 89.087347) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 106.1 86.7) (end 107.7 88.3) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 108.2 87.7) (end 108.2 87.8) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 108.2 87.8) (end 107.7 88.3) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 108.712653 89.087347) (end 109.9 90.274694) (width 0.25) (layer B.Cu) (net 26))
+ (segment (start 109.9 90.274694) (end 109.9 90.374989) (width 0.25) (layer B.Cu) (net 26))
+ (segment (start 108.487347 89.087347) (end 108.712653 89.087347) (width 0.25) (layer F.Cu) (net 26))
+ (via (at 108.712653 89.087347) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 26))
+ (segment (start 104.124989 84.724989) (end 106.1 86.7) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 95.488601 84.724989) (end 104.124989 84.724989) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 94 87.3) (end 94 86.21359) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 94 86.21359) (end 95.488601 84.724989) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 110.299999 89.97499) (end 109.9 90.374989) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 120.950012 83.22281) (end 114.297856 89.874966) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 114.297856 89.874966) (end 110.400023 89.874966) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 120.950012 79.849988) (end 120.950012 83.22281) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 125.35 77.425) (end 123.375 77.425) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 123.375 77.425) (end 120.950012 79.849988) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 110.400023 89.874966) (end 110.299999 89.97499) (width 0.25) (layer F.Cu) (net 26))
+ (via (at 109.9 90.374989) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 26))
+ (segment (start 163.4 79.25002) (end 162.72501 79.92501) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 163.4 77.2) (end 163.4 79.25002) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 162.72501 79.92501) (end 162.72501 91.759319) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 162.72501 91.759319) (end 162.72501 92.2) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 162.2 92.2) (end 162.72501 92.2) (width 0.25) (layer B.Cu) (net 26))
+ (via (at 162.72501 92.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 26))
+ (segment (start 163.6 92.2) (end 162.2 92.2) (width 0.25) (layer B.Cu) (net 26))
+ (segment (start 163.9 92.2) (end 163.6 92.2) (width 0.25) (layer B.Cu) (net 26))
+ (segment (start 166.5 94.8) (end 163.9 92.2) (width 0.25) (layer B.Cu) (net 26))
+ (via (at 166.5 94.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 26))
+ (segment (start 125.35 77.425) (end 126.911411 77.425) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 126.911411 77.425) (end 128 76.336411) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 128 74.2) (end 128 73.575) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 128 76.336411) (end 128 74.2) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 167.249989 95.549989) (end 167.249989 119.050011) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 129.727046 120.32495) (end 128.8 120.32495) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 166.5 94.8) (end 167.249989 95.549989) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 167.249989 119.050011) (end 166.55 119.75) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 166.55 119.75) (end 130.301996 119.75) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 130.301996 119.75) (end 129.727046 120.32495) (width 0.25) (layer F.Cu) (net 26))
+ (segment (start 109.9 90.374989) (end 112.275022 92.750011) (width 0.25) (layer B.Cu) (net 26))
+ (segment (start 125.240598 88.649989) (end 150.368515 88.649989) (width 0.25) (layer B.Cu) (net 26))
+ (segment (start 153.918526 92.2) (end 162.2 92.2) (width 0.25) (layer B.Cu) (net 26))
+ (segment (start 150.368515 88.649989) (end 153.918526 92.2) (width 0.25) (layer B.Cu) (net 26))
+ (segment (start 121.140576 92.750011) (end 125.240598 88.649989) (width 0.25) (layer B.Cu) (net 26))
+ (segment (start 112.275022 92.750011) (end 121.140576 92.750011) (width 0.25) (layer B.Cu) (net 26))
+ (segment (start 165.385 87.715) (end 167.249989 85.850011) (width 0.25) (layer F.Cu) (net 27))
+ (segment (start 164.1 87.715) (end 165.385 87.715) (width 0.25) (layer F.Cu) (net 27))
+ (segment (start 167.249989 85.850011) (end 167.249989 69.275011) (width 0.25) (layer F.Cu) (net 27))
+ (segment (start 167.249989 69.275011) (end 167.375 69.15) (width 0.25) (layer F.Cu) (net 27))
+ (segment (start 167.7 86.55) (end 165.265 88.985) (width 0.25) (layer F.Cu) (net 28))
+ (segment (start 167.7 70.7) (end 167.7 86.55) (width 0.25) (layer F.Cu) (net 28))
+ (segment (start 168.55 69.85) (end 167.7 70.7) (width 0.25) (layer F.Cu) (net 28))
+ (segment (start 168.55 68.05) (end 168.55 69.85) (width 0.25) (layer F.Cu) (net 28))
+ (segment (start 167.375 67.65) (end 168.15 67.65) (width 0.25) (layer F.Cu) (net 28))
+ (segment (start 168.15 67.65) (end 168.55 68.05) (width 0.25) (layer F.Cu) (net 28))
+ (segment (start 165.265 88.985) (end 164.1 88.985) (width 0.25) (layer F.Cu) (net 28))
+ (segment (start 134.2 79.7) (end 135.2 79.7) (width 0.25) (layer F.Cu) (net 29))
+ (segment (start 133.7 79.7) (end 134.2 79.7) (width 0.25) (layer F.Cu) (net 29))
+ (segment (start 134.115 78.45) (end 134.115 79.615) (width 0.25) (layer F.Cu) (net 29))
+ (segment (start 134.115 79.615) (end 134.2 79.7) (width 0.25) (layer F.Cu) (net 29))
+ (segment (start 135.2 79.7) (end 135.385 79.515) (width 0.25) (layer F.Cu) (net 29))
+ (segment (start 135.385 79.515) (end 135.385 78.45) (width 0.25) (layer F.Cu) (net 29))
+ (segment (start 133.7 79.7) (end 133.89 79.7) (width 0.25) (layer F.Cu) (net 29))
+ (segment (start 134.115 79.475) (end 134.115 78.45) (width 0.25) (layer F.Cu) (net 29))
+ (via (at 117.187342 80.012661) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 29))
+ (segment (start 117.487339 80.012661) (end 117.187342 80.012661) (width 0.25) (layer F.Cu) (net 29))
+ (segment (start 118.125 79.375) (end 117.487339 80.012661) (width 0.25) (layer F.Cu) (net 29))
+ (segment (start 119.45 79.375) (end 118.125 79.375) (width 0.25) (layer F.Cu) (net 29))
+ (segment (start 135.385 79.81) (end 135.775 80.2) (width 0.25) (layer F.Cu) (net 29))
+ (segment (start 135.385 78.45) (end 135.385 79.81) (width 0.25) (layer F.Cu) (net 29))
+ (segment (start 131.575538 79.650037) (end 131.009853 79.650037) (width 0.25) (layer F.Cu) (net 29))
+ (via (at 131.009853 79.650037) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 29))
+ (segment (start 133.650037 79.650037) (end 131.575538 79.650037) (width 0.25) (layer F.Cu) (net 29))
+ (segment (start 133.7 79.7) (end 133.650037 79.650037) (width 0.25) (layer F.Cu) (net 29))
+ (segment (start 117.187342 80.012661) (end 118.024692 80.850011) (width 0.25) (layer B.Cu) (net 29))
+ (segment (start 129.200758 79.874989) (end 130.219216 79.874989) (width 0.25) (layer B.Cu) (net 29))
+ (segment (start 118.024692 80.850011) (end 128.225736 80.850011) (width 0.25) (layer B.Cu) (net 29))
+ (segment (start 128.225736 80.850011) (end 129.200758 79.874989) (width 0.25) (layer B.Cu) (net 29))
+ (segment (start 130.444168 79.650037) (end 131.009853 79.650037) (width 0.25) (layer B.Cu) (net 29))
+ (segment (start 130.219216 79.874989) (end 130.444168 79.650037) (width 0.25) (layer B.Cu) (net 29))
+ (segment (start 153.75 89) (end 154.81066 89) (width 0.25) (layer F.Cu) (net 30))
+ (segment (start 154.81066 89) (end 154.9 89.08934) (width 0.25) (layer F.Cu) (net 30))
+ (segment (start 154.9 89.08934) (end 154.9 89.2) (width 0.25) (layer F.Cu) (net 30))
+ (segment (start 159.840001 88.359999) (end 160 88.2) (width 0.25) (layer F.Cu) (net 30))
+ (segment (start 155.740001 88.359999) (end 159.840001 88.359999) (width 0.25) (layer F.Cu) (net 30))
+ (segment (start 160 88.2) (end 160 86.8) (width 0.25) (layer F.Cu) (net 30))
+ (segment (start 154.9 89.2) (end 155.740001 88.359999) (width 0.25) (layer F.Cu) (net 30))
+ (segment (start 159.645 86.445) (end 158.7 86.445) (width 0.25) (layer F.Cu) (net 30))
+ (segment (start 160 86.8) (end 159.645 86.445) (width 0.25) (layer F.Cu) (net 30))
+ (segment (start 104.22051 86.425) (end 106.837347 89.041837) (width 0.25) (layer F.Cu) (net 30))
+ (segment (start 101.3 86.425) (end 104.22051 86.425) (width 0.25) (layer F.Cu) (net 30))
+ (segment (start 106.837347 89.041837) (end 106.837347 89.262653) (width 0.25) (layer F.Cu) (net 30))
+ (via (at 106.837347 89.262653) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 30))
+ (segment (start 130.279856 82.225027) (end 129.211052 82.225027) (width 0.25) (layer F.Cu) (net 30))
+ (segment (start 153.8864 83.449989) (end 142.549989 83.449989) (width 0.25) (layer F.Cu) (net 30))
+ (segment (start 156.88141 86.445) (end 153.8864 83.449989) (width 0.25) (layer F.Cu) (net 30))
+ (segment (start 142.549989 83.449989) (end 141.324967 82.224967) (width 0.25) (layer F.Cu) (net 30))
+ (segment (start 141.324967 82.224967) (end 130.279916 82.224967) (width 0.25) (layer F.Cu) (net 30))
+ (segment (start 130.279916 82.224967) (end 130.279856 82.225027) (width 0.25) (layer F.Cu) (net 30))
+ (segment (start 158.7 86.445) (end 156.88141 86.445) (width 0.25) (layer F.Cu) (net 30))
+ (segment (start 126.325 81.325) (end 125.35 81.325) (width 0.25) (layer F.Cu) (net 30))
+ (segment (start 128.311025 81.325) (end 126.325 81.325) (width 0.25) (layer F.Cu) (net 30))
+ (segment (start 129.211052 82.225027) (end 128.311025 81.325) (width 0.25) (layer F.Cu) (net 30))
+ (segment (start 154.9 89.2) (end 154.9 90.773776) (width 0.25) (layer F.Cu) (net 30))
+ (segment (start 154.9 90.773776) (end 154.60881 91.064966) (width 0.25) (layer F.Cu) (net 30))
+ (segment (start 154.60881 91.064966) (end 154.208811 91.464965) (width 0.25) (layer F.Cu) (net 30))
+ (via (at 154.208811 91.464965) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 30))
+ (segment (start 120.954176 92.3) (end 125.054198 88.199978) (width 0.25) (layer B.Cu) (net 30))
+ (segment (start 110.625001 89.926693) (end 110.625001 90.425001) (width 0.25) (layer B.Cu) (net 30))
+ (segment (start 110.625001 90.425001) (end 112.5 92.3) (width 0.25) (layer B.Cu) (net 30))
+ (segment (start 150.943824 88.199978) (end 153.808812 91.064966) (width 0.25) (layer B.Cu) (net 30))
+ (segment (start 125.054198 88.199978) (end 150.943824 88.199978) (width 0.25) (layer B.Cu) (net 30))
+ (segment (start 153.808812 91.064966) (end 154.208811 91.464965) (width 0.25) (layer B.Cu) (net 30))
+ (segment (start 107.737654 88.362346) (end 109.060654 88.362346) (width 0.25) (layer B.Cu) (net 30))
+ (segment (start 112.5 92.3) (end 120.954176 92.3) (width 0.25) (layer B.Cu) (net 30))
+ (segment (start 109.060654 88.362346) (end 110.625001 89.926693) (width 0.25) (layer B.Cu) (net 30))
+ (segment (start 106.837347 89.262653) (end 107.737654 88.362346) (width 0.25) (layer B.Cu) (net 30))
+ (segment (start 156.6 84.6) (end 156.6 84.85) (width 0.25) (layer F.Cu) (net 31))
+ (segment (start 156.87282 85.8) (end 156.26141 85.18859) (width 0.25) (layer F.Cu) (net 31))
+ (segment (start 156.6 84.85) (end 156.26141 85.18859) (width 0.25) (layer F.Cu) (net 31))
+ (segment (start 160.6 88.805) (end 160.6 86.709998) (width 0.25) (layer F.Cu) (net 31))
+ (segment (start 160.6 86.709998) (end 159.690002 85.8) (width 0.25) (layer F.Cu) (net 31))
+ (segment (start 159.15 90.255) (end 160.6 88.805) (width 0.25) (layer F.Cu) (net 31))
+ (segment (start 158.7 90.255) (end 159.15 90.255) (width 0.25) (layer F.Cu) (net 31))
+ (segment (start 159.690002 85.8) (end 156.87282 85.8) (width 0.25) (layer F.Cu) (net 31))
+ (segment (start 129.397452 81.775016) (end 130.093456 81.775016) (width 0.25) (layer F.Cu) (net 31))
+ (segment (start 127.647438 80.025) (end 129.397452 81.775016) (width 0.25) (layer F.Cu) (net 31))
+ (segment (start 141.624956 81.774956) (end 142.849978 82.999978) (width 0.25) (layer F.Cu) (net 31))
+ (segment (start 130.093516 81.774956) (end 141.624956 81.774956) (width 0.25) (layer F.Cu) (net 31))
+ (segment (start 142.849978 82.999978) (end 154.072798 82.999978) (width 0.25) (layer F.Cu) (net 31))
+ (segment (start 154.072798 82.999978) (end 156.26141 85.18859) (width 0.25) (layer F.Cu) (net 31))
+ (segment (start 130.093456 81.775016) (end 130.093516 81.774956) (width 0.25) (layer F.Cu) (net 31))
+ (segment (start 125.35 80.025) (end 127.647438 80.025) (width 0.25) (layer F.Cu) (net 31))
+ (segment (start 155.92 68.6) (end 155.22 69.3) (width 0.25) (layer F.Cu) (net 32))
+ (segment (start 161.275 69.15) (end 160.725 68.6) (width 0.25) (layer F.Cu) (net 32))
+ (segment (start 160.725 68.6) (end 155.92 68.6) (width 0.25) (layer F.Cu) (net 32))
+ (segment (start 160.190879 68.050021) (end 145.039979 68.050021) (width 0.25) (layer F.Cu) (net 33))
+ (segment (start 145.039979 68.050021) (end 143.79 69.3) (width 0.25) (layer F.Cu) (net 33))
+ (segment (start 161.275 67.65) (end 160.5909 67.65) (width 0.25) (layer F.Cu) (net 33))
+ (segment (start 160.5909 67.65) (end 160.190879 68.050021) (width 0.25) (layer F.Cu) (net 33))
+ (segment (start 160.76809 66.199989) (end 164.749989 66.199989) (width 0.25) (layer F.Cu) (net 38))
+ (segment (start 144.66718 67.15) (end 159.818079 67.15) (width 0.25) (layer F.Cu) (net 38))
+ (segment (start 159.818079 67.15) (end 160.76809 66.199989) (width 0.25) (layer F.Cu) (net 38))
+ (segment (start 125.64 67.6) (end 144.21718 67.6) (width 0.25) (layer F.Cu) (net 38))
+ (segment (start 123.94 69.3) (end 125.64 67.6) (width 0.25) (layer F.Cu) (net 38))
+ (segment (start 144.21718 67.6) (end 144.66718 67.15) (width 0.25) (layer F.Cu) (net 38))
+ (segment (start 164.749989 66.199989) (end 165.625 67.075) (width 0.25) (layer F.Cu) (net 38))
+ (segment (start 165.625 67.075) (end 165.625 67.65) (width 0.25) (layer F.Cu) (net 38))
+ (segment (start 160.95449 66.65) (end 160.004479 67.600011) (width 0.25) (layer F.Cu) (net 39))
+ (segment (start 164.4 67.925) (end 164.4 66.95) (width 0.25) (layer F.Cu) (net 39))
+ (segment (start 164.1 66.65) (end 160.95449 66.65) (width 0.25) (layer F.Cu) (net 39))
+ (segment (start 144.853579 67.600011) (end 144.40359 68.05) (width 0.25) (layer F.Cu) (net 39))
+ (segment (start 164.4 66.95) (end 164.1 66.65) (width 0.25) (layer F.Cu) (net 39))
+ (segment (start 165.625 69.15) (end 164.4 67.925) (width 0.25) (layer F.Cu) (net 39))
+ (segment (start 160.004479 67.600011) (end 144.853579 67.600011) (width 0.25) (layer F.Cu) (net 39))
+ (segment (start 144.40359 68.05) (end 136.62 68.05) (width 0.25) (layer F.Cu) (net 39))
+ (segment (start 136.62 68.05) (end 135.37 69.3) (width 0.25) (layer F.Cu) (net 39))
+ (segment (start 165.325 85.175) (end 166.349967 84.150033) (width 0.25) (layer F.Cu) (net 41))
+ (segment (start 166.349967 84.150033) (end 166.349967 72.474967) (width 0.25) (layer F.Cu) (net 41))
+ (segment (start 164.1 85.175) (end 165.325 85.175) (width 0.25) (layer F.Cu) (net 41))
+ (segment (start 166.349967 72.474967) (end 163.524072 69.649072) (width 0.25) (layer F.Cu) (net 41))
+ (segment (start 163.524072 69.649072) (end 163.025 69.15) (width 0.25) (layer F.Cu) (net 41))
+ (segment (start 168.75 91.05) (end 168.75 142.45) (width 0.25) (layer B.Cu) (net 42))
+ (segment (start 157.100023 143.899977) (end 156.550023 143.899977) (width 0.25) (layer B.Cu) (net 42))
+ (segment (start 157.100023 143.899977) (end 167.486435 143.899975) (width 0.25) (layer B.Cu) (net 42))
+ (segment (start 167.486435 143.899975) (end 168.75 142.63641) (width 0.25) (layer B.Cu) (net 42))
+ (segment (start 168.75 142.63641) (end 168.75 142.45) (width 0.25) (layer B.Cu) (net 42))
+ (segment (start 156.550023 143.899977) (end 153.85 146.6) (width 0.25) (layer B.Cu) (net 42))
+ (segment (start 141.5864 153.050011) (end 127.650011 153.050011) (width 0.25) (layer B.Cu) (net 42))
+ (segment (start 127.650011 153.050011) (end 126.8 152.2) (width 0.25) (layer B.Cu) (net 42))
+ (segment (start 153.85 146.6) (end 148.036412 146.6) (width 0.25) (layer B.Cu) (net 42))
+ (segment (start 148.036412 146.6) (end 141.5864 153.050011) (width 0.25) (layer B.Cu) (net 42))
+ (segment (start 127.726998 150.2) (end 126.8 151.126998) (width 0.25) (layer F.Cu) (net 42))
+ (segment (start 128.925 150.2) (end 127.726998 150.2) (width 0.25) (layer F.Cu) (net 42))
+ (segment (start 126.8 151.126998) (end 126.8 152.2) (width 0.25) (layer F.Cu) (net 42))
+ (via (at 126.8 152.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 42))
+ (segment (start 167.955 90.255) (end 168.75 91.05) (width 0.25) (layer F.Cu) (net 42))
+ (segment (start 164.1 90.255) (end 167.955 90.255) (width 0.25) (layer F.Cu) (net 42))
+ (via (at 168.75 91.05) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 42))
+ (segment (start 146.280875 151.250927) (end 146.280875 150.685242) (width 0.25) (layer B.Cu) (net 43))
+ (segment (start 87.9 152.3) (end 90.000044 154.400044) (width 0.25) (layer B.Cu) (net 43))
+ (segment (start 143.131758 154.400044) (end 146.280875 151.250927) (width 0.25) (layer B.Cu) (net 43))
+ (segment (start 90.000044 154.400044) (end 143.131758 154.400044) (width 0.25) (layer B.Cu) (net 43))
+ (segment (start 167.125 91.525) (end 168.7 93.099999) (width 0.25) (layer F.Cu) (net 43))
+ (segment (start 168.7 143.686411) (end 167.711421 144.67499) (width 0.25) (layer F.Cu) (net 43))
+ (segment (start 168.7 93.099999) (end 168.7 143.686411) (width 0.25) (layer F.Cu) (net 43))
+ (segment (start 159.865374 144.67499) (end 158.740364 145.8) (width 0.25) (layer F.Cu) (net 43))
+ (segment (start 164.1 91.525) (end 167.125 91.525) (width 0.25) (layer F.Cu) (net 43))
+ (segment (start 167.711421 144.67499) (end 159.865374 144.67499) (width 0.25) (layer F.Cu) (net 43))
+ (segment (start 158.740364 145.8) (end 149 145.8) (width 0.25) (layer F.Cu) (net 43))
+ (segment (start 149 145.8) (end 146.280875 148.519125) (width 0.25) (layer F.Cu) (net 43))
+ (segment (start 146.280875 148.519125) (end 146.280875 150.685242) (width 0.25) (layer F.Cu) (net 43))
+ (via (at 146.280875 150.685242) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 43))
+ (segment (start 87.9 152.815002) (end 87.340001 153.375001) (width 0.25) (layer F.Cu) (net 43))
+ (segment (start 87.9 152.3) (end 87.9 152.815002) (width 0.25) (layer F.Cu) (net 43))
+ (segment (start 81.550001 153.375001) (end 81.549072 153.374072) (width 0.25) (layer F.Cu) (net 43))
+ (segment (start 87.340001 153.375001) (end 81.550001 153.375001) (width 0.25) (layer F.Cu) (net 43))
+ (segment (start 81.549072 153.374072) (end 81.05 152.875) (width 0.25) (layer F.Cu) (net 43))
+ (via (at 87.9 152.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 43))
+ (segment (start 144.830864 150.830865) (end 142.161707 153.500022) (width 0.25) (layer B.Cu) (net 44))
+ (segment (start 142.161707 153.500022) (end 92.500022 153.500022) (width 0.25) (layer B.Cu) (net 44))
+ (segment (start 88.075 149.075) (end 82.3 149.075) (width 0.25) (layer B.Cu) (net 44))
+ (segment (start 92.500022 153.500022) (end 88.075 149.075) (width 0.25) (layer B.Cu) (net 44))
+ (segment (start 167.75859 92.795) (end 168.249989 93.286399) (width 0.25) (layer F.Cu) (net 44))
+ (segment (start 144.830864 149.332725) (end 144.830864 150.26518) (width 0.25) (layer F.Cu) (net 44))
+ (segment (start 164.1 92.795) (end 167.75859 92.795) (width 0.25) (layer F.Cu) (net 44))
+ (segment (start 168.249989 93.286399) (end 168.249989 143.500011) (width 0.25) (layer F.Cu) (net 44))
+ (segment (start 158.553964 145.349989) (end 148.8136 145.349989) (width 0.25) (layer F.Cu) (net 44))
+ (segment (start 144.830864 150.26518) (end 144.830864 150.830865) (width 0.25) (layer F.Cu) (net 44))
+ (segment (start 159.678974 144.224979) (end 158.553964 145.349989) (width 0.25) (layer F.Cu) (net 44))
+ (segment (start 148.8136 145.349989) (end 144.830864 149.332725) (width 0.25) (layer F.Cu) (net 44))
+ (segment (start 167.525021 144.224979) (end 159.678974 144.224979) (width 0.25) (layer F.Cu) (net 44))
+ (segment (start 168.249989 143.500011) (end 167.525021 144.224979) (width 0.25) (layer F.Cu) (net 44))
+ (via (at 144.830864 150.830865) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 44))
+ (via (at 82.3 149.075) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 44))
+ (segment (start 81.1 147.875) (end 82.3 149.075) (width 0.25) (layer F.Cu) (net 44))
+ (segment (start 127.6 151.4) (end 128.8 152.6) (width 0.25) (layer B.Cu) (net 45))
+ (segment (start 128.8 152.6) (end 141.4 152.6) (width 0.25) (layer B.Cu) (net 45))
+ (segment (start 156.363624 143.449966) (end 167.300034 143.449966) (width 0.25) (layer B.Cu) (net 45))
+ (segment (start 141.4 152.6) (end 147.850012 146.14999) (width 0.25) (layer B.Cu) (net 45))
+ (segment (start 167.799999 93.999999) (end 167.4 93.6) (width 0.25) (layer B.Cu) (net 45))
+ (segment (start 147.850012 146.14999) (end 153.6636 146.14999) (width 0.25) (layer B.Cu) (net 45))
+ (segment (start 153.6636 146.14999) (end 156.363624 143.449966) (width 0.25) (layer B.Cu) (net 45))
+ (segment (start 167.300034 143.449966) (end 168.249989 142.500011) (width 0.25) (layer B.Cu) (net 45))
+ (segment (start 168.249989 142.500011) (end 168.249989 94.449989) (width 0.25) (layer B.Cu) (net 45))
+ (segment (start 168.249989 94.449989) (end 167.799999 93.999999) (width 0.25) (layer B.Cu) (net 45))
+ (segment (start 127.6 151.4) (end 128.125 151.4) (width 0.25) (layer F.Cu) (net 45))
+ (segment (start 128.125 151.4) (end 128.925 152.2) (width 0.25) (layer F.Cu) (net 45))
+ (via (at 127.6 151.4) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 45))
+ (segment (start 164.36359 93.6) (end 167.4 93.6) (width 0.25) (layer F.Cu) (net 45))
+ (segment (start 160.499992 94.14999) (end 163.8136 94.14999) (width 0.25) (layer F.Cu) (net 45))
+ (segment (start 163.8136 94.14999) (end 164.36359 93.6) (width 0.25) (layer F.Cu) (net 45))
+ (via (at 167.4 93.6) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 45))
+ (segment (start 159.775001 92.150001) (end 159.775001 93.424999) (width 0.25) (layer F.Cu) (net 45))
+ (segment (start 159.15 91.525) (end 159.775001 92.150001) (width 0.25) (layer F.Cu) (net 45))
+ (segment (start 158.7 91.525) (end 159.15 91.525) (width 0.25) (layer F.Cu) (net 45))
+ (segment (start 159.775001 93.424999) (end 160.499992 94.14999) (width 0.25) (layer F.Cu) (net 45))
+ (segment (start 166.799978 85.000022) (end 165.355 86.445) (width 0.25) (layer F.Cu) (net 46))
+ (segment (start 164.4 68.85) (end 164.4 69.88859) (width 0.25) (layer F.Cu) (net 46))
+ (segment (start 165.355 86.445) (end 164.1 86.445) (width 0.25) (layer F.Cu) (net 46))
+ (segment (start 164.4 69.88859) (end 166.799978 72.288568) (width 0.25) (layer F.Cu) (net 46))
+ (segment (start 166.799978 72.288568) (end 166.799978 85.000022) (width 0.25) (layer F.Cu) (net 46))
+ (segment (start 163.025 67.65) (end 163.2 67.65) (width 0.25) (layer F.Cu) (net 46))
+ (segment (start 163.2 67.65) (end 164.4 68.85) (width 0.25) (layer F.Cu) (net 46))
+ (segment (start 133.47 152) (end 130.875 152) (width 0.25) (layer F.Cu) (net 47))
+ (segment (start 130.875 152) (end 130.675 152.2) (width 0.25) (layer F.Cu) (net 47))
+ (segment (start 81.05 151.125) (end 82.795 151.125) (width 0.25) (layer F.Cu) (net 48))
+ (segment (start 82.795 151.125) (end 83.67 152) (width 0.25) (layer F.Cu) (net 48))
+ (segment (start 81.975 147) (end 81.1 146.125) (width 0.25) (layer F.Cu) (net 49))
+ (segment (start 83.67 147) (end 81.975 147) (width 0.25) (layer F.Cu) (net 49))
+ (segment (start 130.675 150.2) (end 133.47 147.405) (width 0.25) (layer F.Cu) (net 50))
+ (segment (start 133.47 147.405) (end 133.47 147) (width 0.25) (layer F.Cu) (net 50))
+ (segment (start 114.45 80.45) (end 114.45 77.5) (width 0.25) (layer F.Cu) (net 51))
+ (segment (start 114.45 77.5) (end 114.55 77.4) (width 0.25) (layer F.Cu) (net 51))
+ (segment (start 119.45 76.775) (end 115.175 76.775) (width 0.25) (layer F.Cu) (net 51))
+ (segment (start 115.175 76.775) (end 114.55 77.4) (width 0.25) (layer F.Cu) (net 51))
+ (segment (start 115.775001 76.125) (end 115.550001 75.9) (width 0.25) (layer F.Cu) (net 52))
+ (segment (start 115.550001 75.9) (end 113.5 75.9) (width 0.25) (layer F.Cu) (net 52))
+ (segment (start 113.5 75.9) (end 112.25 74.65) (width 0.25) (layer F.Cu) (net 52))
+ (segment (start 112.25 74.65) (end 112.25 74.5) (width 0.25) (layer F.Cu) (net 52))
+ (segment (start 117.125 76.125) (end 117.125 75.575) (width 0.25) (layer F.Cu) (net 52))
+ (segment (start 117.125 76.125) (end 115.775001 76.125) (width 0.25) (layer F.Cu) (net 52))
+ (segment (start 117.125 75.575) (end 117 75.45) (width 0.25) (layer F.Cu) (net 52))
+ (segment (start 119.45 76.125) (end 117.125 76.125) (width 0.25) (layer F.Cu) (net 52))
+ (segment (start 115.1 82.5) (end 114.375 82.5) (width 0.25) (layer F.Cu) (net 53))
+ (segment (start 115.45 82.15) (end 115.1 82.5) (width 0.25) (layer F.Cu) (net 53))
+ (segment (start 119.45 77.425) (end 117.060002 77.425) (width 0.25) (layer F.Cu) (net 53))
+ (segment (start 115.45 79.035002) (end 115.45 82.15) (width 0.25) (layer F.Cu) (net 53))
+ (segment (start 117.060002 77.425) (end 115.45 79.035002) (width 0.25) (layer F.Cu) (net 53))
+ (segment (start 101.4 90.05) (end 101.4 88.275) (width 0.25) (layer F.Cu) (net 54))
+ (segment (start 101.4 88.275) (end 101.3 88.175) (width 0.25) (layer F.Cu) (net 54))
+ (segment (start 103.025 88.3) (end 101.425 88.3) (width 0.25) (layer F.Cu) (net 54))
+ (segment (start 101.425 88.3) (end 101.3 88.175) (width 0.25) (layer F.Cu) (net 54))
+ (segment (start 159.25 64.375) (end 157.275 64.375) (width 0.8) (layer F.Cu) (net 55))
+ (segment (start 157.275 64.375) (end 156.49 63.59) (width 0.8) (layer F.Cu) (net 55))
+ (segment (start 103.6 91.95) (end 103.6 91.375) (width 0.25) (layer F.Cu) (net 56))
+ (segment (start 103.6 91.375) (end 104.775 90.2) (width 0.25) (layer F.Cu) (net 56))
+ (segment (start 104.775 90.2) (end 104.775 88.875) (width 0.25) (layer F.Cu) (net 56))
+ (segment (start 104.775 88.875) (end 104.775 88.3) (width 0.25) (layer F.Cu) (net 56))
+ (segment (start 103.6 91.95) (end 105.325 91.95) (width 0.25) (layer F.Cu) (net 56))
+ (segment (start 100.4 91.025) (end 100.4 91.73) (width 0.25) (layer F.Cu) (net 57))
+ (segment (start 99.5 90.125) (end 100.4 91.025) (width 0.25) (layer F.Cu) (net 57))
+ (segment (start 100.4 91.73) (end 100.62 91.95) (width 0.25) (layer F.Cu) (net 57))
+ (segment (start 100.62 91.95) (end 101.4 91.95) (width 0.25) (layer F.Cu) (net 57))
+ (segment (start 92 74.9) (end 92 72.5) (width 0.8) (layer F.Cu) (net 58))
+ (segment (start 90.275 75.595) (end 91.305 75.595) (width 0.8) (layer F.Cu) (net 58))
+ (segment (start 91.305 75.595) (end 92 74.9) (width 0.8) (layer F.Cu) (net 58))
+ (segment (start 96 72.5) (end 96.25 72.25) (width 0.8) (layer F.Cu) (net 58))
+ (segment (start 92 72.5) (end 96 72.5) (width 0.8) (layer F.Cu) (net 58))
+ (segment (start 96.25 69.625) (end 97.375 68.5) (width 0.8) (layer F.Cu) (net 58))
+ (segment (start 96.25 72.25) (end 96.25 69.625) (width 0.8) (layer F.Cu) (net 58))
+ (segment (start 97.875 76) (end 97.875 74.675) (width 0.8) (layer F.Cu) (net 58))
+ (segment (start 96.25 73.05) (end 96.25 72.25) (width 0.8) (layer F.Cu) (net 58))
+ (segment (start 97.875 74.675) (end 96.25 73.05) (width 0.8) (layer F.Cu) (net 58))
+ (segment (start 99.625 76) (end 99.625 77.75) (width 0.8) (layer F.Cu) (net 59))
+ (segment (start 101.25 79.05) (end 100.325 79.05) (width 0.8) (layer F.Cu) (net 60))
+ (segment (start 100.325 79.05) (end 99.75 79.625) (width 0.8) (layer F.Cu) (net 60))
+ (segment (start 101.75 78.625) (end 101.675 78.625) (width 0.8) (layer F.Cu) (net 60))
+ (segment (start 101.675 78.625) (end 101.25 79.05) (width 0.8) (layer F.Cu) (net 60))
+ (segment (start 99.75 79.625) (end 97.75 79.625) (width 0.8) (layer F.Cu) (net 60))
+ (segment (start 95.23 79.4) (end 95.225 79.405) (width 0.8) (layer F.Cu) (net 60))
+ (segment (start 97.75 79.625) (end 97.525 79.4) (width 0.8) (layer F.Cu) (net 60))
+ (segment (start 97.525 79.4) (end 95.23 79.4) (width 0.8) (layer F.Cu) (net 60))
+ (segment (start 100.05 72.25) (end 103.2 72.25) (width 0.8) (layer F.Cu) (net 61))
+ (segment (start 101.75 73.95) (end 100.05 72.25) (width 0.8) (layer F.Cu) (net 61))
+ (segment (start 101.75 76.875) (end 101.75 73.95) (width 0.8) (layer F.Cu) (net 61))
+ (segment (start 87 64.25) (end 87 61.75) (width 1.2) (layer F.Cu) (net 61))
+ (segment (start 87 67.4) (end 87 64.25) (width 1.2) (layer F.Cu) (net 61))
+ (segment (start 81.65 72.75) (end 87 67.4) (width 1.2) (layer F.Cu) (net 61))
+ (segment (start 79.25 72.75) (end 81.65 72.75) (width 1.2) (layer F.Cu) (net 61))
+ (segment (start 100.05 69.425) (end 99.125 68.5) (width 1.2) (layer F.Cu) (net 61))
+ (segment (start 100.05 72.25) (end 100.05 69.425) (width 1.2) (layer F.Cu) (net 61))
+ (segment (start 98.3 66.6) (end 87 66.6) (width 1.2) (layer F.Cu) (net 61))
+ (segment (start 99.125 68.5) (end 99.125 67.425) (width 1.2) (layer F.Cu) (net 61))
+ (segment (start 99.125 67.425) (end 98.3 66.6) (width 1.2) (layer F.Cu) (net 61))
+ (segment (start 106.3 64.475) (end 104.875 65.9) (width 1.2) (layer F.Cu) (net 61))
+ (segment (start 106.3 63.3) (end 106.3 64.475) (width 1.2) (layer F.Cu) (net 61))
+ (segment (start 104.875 67.5375) (end 105.4875 68.15) (width 1.2) (layer F.Cu) (net 61))
+ (segment (start 104.875 65.9) (end 104.875 67.5375) (width 1.2) (layer F.Cu) (net 61))
+ (segment (start 105.4875 69.9625) (end 103.2 72.25) (width 1.2) (layer F.Cu) (net 61))
+ (segment (start 105.4875 68.15) (end 105.4875 69.9625) (width 1.2) (layer F.Cu) (net 61))
+ (segment (start 128.385 85.6) (end 128.005 85.98) (width 0.8) (layer F.Cu) (net 61))
+ (segment (start 127.88 85.98) (end 128.005 85.98) (width 0.8) (layer F.Cu) (net 61))
+ (segment (start 130.175 85.6) (end 128.385 85.6) (width 0.8) (layer F.Cu) (net 61))
+ (segment (start 128.005 86.105) (end 128.005 87.775) (width 0.5) (layer F.Cu) (net 61))
+ (segment (start 127.88 85.98) (end 128.005 86.105) (width 0.5) (layer F.Cu) (net 61))
+ (segment (start 140.5 84.85) (end 141.455 85.805) (width 0.8) (layer F.Cu) (net 61))
+ (segment (start 141.455 85.805) (end 141.6 85.95) (width 0.8) (layer F.Cu) (net 61))
+ (segment (start 141.9 85.65) (end 141.6 85.95) (width 0.8) (layer F.Cu) (net 61))
+ (segment (start 143.775 85.65) (end 141.9 85.65) (width 0.8) (layer F.Cu) (net 61))
+ (segment (start 141.455 87.775) (end 141.455 85.805) (width 0.5) (layer F.Cu) (net 61))
+ (via (at 127.88 85.98) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 61))
+ (via (at 141.455 85.805) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 61))
+ (via (at 105.8 79.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 61))
+ (segment (start 105.8 70.275) (end 105.8 79.5) (width 0.8) (layer F.Cu) (net 61))
+ (segment (start 105.4875 69.9625) (end 105.8 70.275) (width 0.8) (layer F.Cu) (net 61))
+ (segment (start 132.5 85) (end 128.86 85) (width 0.8) (layer B.Cu) (net 61))
+ (segment (start 141.455 85.805) (end 138.9 83.25) (width 0.8) (layer B.Cu) (net 61))
+ (segment (start 134.25 83.25) (end 132.5 85) (width 0.8) (layer B.Cu) (net 61))
+ (segment (start 138.9 83.25) (end 134.25 83.25) (width 0.8) (layer B.Cu) (net 61))
+ (segment (start 128.125 85.735) (end 127.88 85.98) (width 0.8) (layer F.Cu) (net 61))
+ (segment (start 128.125 85) (end 128.125 85.735) (width 0.8) (layer F.Cu) (net 61))
+ (segment (start 128.86 85) (end 128.5 85) (width 0.8) (layer B.Cu) (net 61))
+ (segment (start 127.75 85) (end 128.5 85) (width 0.8) (layer B.Cu) (net 61))
+ (segment (start 127.88 85.98) (end 127.88 85.13) (width 0.8) (layer B.Cu) (net 61))
+ (segment (start 127.88 85.13) (end 127.75 85) (width 0.8) (layer B.Cu) (net 61))
+ (segment (start 126.9 85) (end 127.75 85) (width 0.8) (layer B.Cu) (net 61))
+ (segment (start 123.25 84) (end 125.9 84) (width 0.8) (layer B.Cu) (net 61))
+ (segment (start 109.7 83.4) (end 116.919998 83.4) (width 0.8) (layer B.Cu) (net 61))
+ (segment (start 116.919998 83.4) (end 118.769998 85.25) (width 0.8) (layer B.Cu) (net 61))
+ (segment (start 125.9 84) (end 126.9 85) (width 0.8) (layer B.Cu) (net 61))
+ (segment (start 122 85.25) (end 123.25 84) (width 0.8) (layer B.Cu) (net 61))
+ (segment (start 105.8 79.5) (end 109.7 83.4) (width 0.8) (layer B.Cu) (net 61))
+ (segment (start 118.769998 85.25) (end 122 85.25) (width 0.8) (layer B.Cu) (net 61))
+ (segment (start 145.525 87.425) (end 145.525 85.65) (width 0.8) (layer F.Cu) (net 62))
+ (segment (start 144.65 88.3) (end 145.525 87.425) (width 0.8) (layer F.Cu) (net 62))
+ (segment (start 85 81.225) (end 85 81.85) (width 0.5) (layer F.Cu) (net 63))
+ (segment (start 85 79.98222) (end 85 81.225) (width 0.5) (layer F.Cu) (net 63))
+ (segment (start 90.275 76.865) (end 88.11722 76.865) (width 0.5) (layer F.Cu) (net 63))
+ (segment (start 88.11722 76.865) (end 85 79.98222) (width 0.5) (layer F.Cu) (net 63))
+ (segment (start 96.2 75.595) (end 95.225 75.595) (width 0.5) (layer F.Cu) (net 64))
+ (segment (start 96.8 77.55) (end 96.8 76.195) (width 0.5) (layer F.Cu) (net 64))
+ (segment (start 97.875 77.75) (end 97 77.75) (width 0.5) (layer F.Cu) (net 64))
+ (segment (start 96.8 76.195) (end 96.2 75.595) (width 0.5) (layer F.Cu) (net 64))
+ (segment (start 97 77.75) (end 96.8 77.55) (width 0.5) (layer F.Cu) (net 64))
+ (segment (start 144.225 92.725) (end 144.65 92.3) (width 0.5) (layer F.Cu) (net 68))
+ (segment (start 141.455 92.725) (end 144.225 92.725) (width 0.5) (layer F.Cu) (net 68))
+ (segment (start 140.811352 90.74999) (end 141.48501 91.423648) (width 0.8) (layer F.Cu) (net 68))
+ (segment (start 134.96251 90.74999) (end 140.811352 90.74999) (width 0.8) (layer F.Cu) (net 68))
+ (segment (start 134.9 91.525) (end 134.9 90.8125) (width 0.8) (layer F.Cu) (net 68))
+ (segment (start 141.48501 91.423648) (end 141.48501 92.725) (width 0.8) (layer F.Cu) (net 68))
+ (segment (start 134.9 90.8125) (end 134.96251 90.74999) (width 0.8) (layer F.Cu) (net 68))
+ (segment (start 130.675 92.725) (end 131.1 92.3) (width 0.5) (layer F.Cu) (net 69))
+ (segment (start 128.005 92.725) (end 130.675 92.725) (width 0.5) (layer F.Cu) (net 69))
+ (segment (start 128.005 91.60577) (end 128.005 91.75) (width 0.5) (layer F.Cu) (net 69))
+ (segment (start 127.29922 90.89999) (end 128.005 91.60577) (width 0.5) (layer F.Cu) (net 69))
+ (segment (start 128.005 91.75) (end 128.005 92.725) (width 0.5) (layer F.Cu) (net 69))
+ (segment (start 123.18751 90.89999) (end 127.29922 90.89999) (width 0.5) (layer F.Cu) (net 69))
+ (segment (start 122.575 91.5125) (end 123.18751 90.89999) (width 0.5) (layer F.Cu) (net 69))
+ (segment (start 121.7 91.5125) (end 122.575 91.5125) (width 0.5) (layer F.Cu) (net 69))
+ (segment (start 127.361352 90.74999) (end 128.03501 91.423648) (width 0.8) (layer F.Cu) (net 69))
+ (segment (start 123.568648 90.74999) (end 127.361352 90.74999) (width 0.8) (layer F.Cu) (net 69))
+ (segment (start 121.7 91.5125) (end 122.806138 91.5125) (width 0.8) (layer F.Cu) (net 69))
+ (segment (start 128.03501 91.423648) (end 128.03501 92.725) (width 0.8) (layer F.Cu) (net 69))
+ (segment (start 122.806138 91.5125) (end 123.568648 90.74999) (width 0.8) (layer F.Cu) (net 69))
+
+ (zone (net 10) (net_name /VIN) (layer F.Cu) (tstamp 5EADAA25) (hatch edge 0.508)
+ (priority 2)
+ (connect_pads yes (clearance 0.6))
+ (min_thickness 0.254)
+ (fill yes (arc_segments 32) (thermal_gap 0.25) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 132 133) (xy 132 147) (xy 130 149) (xy 99 149) (xy 93 143)
+ (xy 70 143) (xy 70 120) (xy 115 120) (xy 128 133)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 89.485933 124.514067) (xy 89.5961 124.604478) (xy 89.721789 124.67166) (xy 89.858169 124.713031) (xy 90 124.727)
+ (xy 100.698866 124.727) (xy 104.485933 128.514067) (xy 104.5961 128.604478) (xy 104.721789 128.67166) (xy 104.858169 128.713031)
+ (xy 105 128.727) (xy 112.698866 128.727) (xy 117.485933 133.514067) (xy 117.5961 133.604478) (xy 117.721789 133.67166)
+ (xy 117.858169 133.713031) (xy 118 133.727) (xy 126.945314 133.727) (xy 127.77522 134.556907) (xy 127.805814 134.594186)
+ (xy 127.954582 134.716276) (xy 128.124309 134.806997) (xy 128.308475 134.862863) (xy 128.452007 134.877) (xy 128.452009 134.877)
+ (xy 128.499999 134.881727) (xy 128.54799 134.877) (xy 131.695314 134.877) (xy 131.873 135.054686) (xy 131.873 136.956397)
+ (xy 131.745443 136.99509) (xy 131.619147 137.062597) (xy 131.508446 137.153446) (xy 131.417597 137.264147) (xy 131.35009 137.390443)
+ (xy 131.30852 137.527483) (xy 131.294483 137.67) (xy 131.294483 138.52) (xy 131.30852 138.662517) (xy 131.32899 138.73)
+ (xy 131.30852 138.797483) (xy 131.294483 138.94) (xy 131.294483 139.79) (xy 131.30852 139.932517) (xy 131.32899 140)
+ (xy 131.30852 140.067483) (xy 131.294483 140.21) (xy 131.294483 141.06) (xy 131.30852 141.202517) (xy 131.32899 141.27)
+ (xy 131.30852 141.337483) (xy 131.294483 141.48) (xy 131.294483 142.33) (xy 131.30852 142.472517) (xy 131.35009 142.609557)
+ (xy 131.417597 142.735853) (xy 131.508446 142.846554) (xy 131.619147 142.937403) (xy 131.745443 143.00491) (xy 131.873 143.043603)
+ (xy 131.873 145.311139) (xy 131.814147 145.342597) (xy 131.703446 145.433446) (xy 131.612597 145.544147) (xy 131.54509 145.670443)
+ (xy 131.50352 145.807483) (xy 131.489483 145.95) (xy 131.489483 147.330911) (xy 129.947394 148.873) (xy 114.127 148.873)
+ (xy 114.127 147.5) (xy 114.12456 147.475224) (xy 114.117333 147.451399) (xy 114.105597 147.429443) (xy 114.089803 147.410197)
+ (xy 112.589803 145.910197) (xy 112.570557 145.894403) (xy 112.548601 145.882667) (xy 112.524776 145.87544) (xy 112.5 145.873)
+ (xy 107.5 145.873) (xy 107.475224 145.87544) (xy 107.451399 145.882667) (xy 107.429443 145.894403) (xy 107.410197 145.910197)
+ (xy 105.910197 147.410197) (xy 105.894403 147.429443) (xy 105.882667 147.451399) (xy 105.87544 147.475224) (xy 105.873 147.5)
+ (xy 105.873 148.873) (xy 99.052606 148.873) (xy 93.089803 142.910197) (xy 93.070557 142.894403) (xy 93.048601 142.882667)
+ (xy 93.024776 142.87544) (xy 93 142.873) (xy 70.802 142.873) (xy 70.802 120.727) (xy 85.698866 120.727)
+ )
+ )
+ )
+ (zone (net 1) (net_name GND) (layer F.Cu) (tstamp 5EADAA22) (hatch edge 0.508)
+ (priority 4)
+ (connect_pads yes (clearance 0.25))
+ (min_thickness 0.254)
+ (fill yes (arc_segments 32) (thermal_gap 0.25) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 70 120) (xy 82 120) (xy 84 120) (xy 86 120) (xy 90 124)
+ (xy 96 124) (xy 96 95) (xy 90 95) (xy 70 95)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 94.373 123.873) (xy 90.052606 123.873) (xy 86.089803 119.910197) (xy 86.070557 119.894403) (xy 86.048601 119.882667)
+ (xy 86.024776 119.87544) (xy 86 119.873) (xy 73.027 119.873) (xy 73.027 96.54966) (xy 74.249661 95.327)
+ (xy 76.984826 95.327) (xy 77.05 95.333419) (xy 77.115174 95.327) (xy 77.115184 95.327) (xy 77.310137 95.307799)
+ (xy 77.560278 95.231919) (xy 77.756567 95.127) (xy 94.373 95.127)
+ )
+ )
+ )
+ (zone (net 11) (net_name /GND_MEAS) (layer F.Cu) (tstamp 5EADAA1F) (hatch edge 0.508)
+ (priority 3)
+ (connect_pads yes (clearance 0.6))
+ (min_thickness 0.254)
+ (fill yes (arc_segments 32) (thermal_gap 0.25) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 96 95) (xy 96 124) (xy 101 124) (xy 105 128) (xy 113 128)
+ (xy 118 133) (xy 128 133) (xy 128 107) (xy 117 107) (xy 114 104)
+ (xy 114 98) (xy 114 95)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 105.873 99.5) (xy 105.87544 99.524776) (xy 105.882667 99.548601) (xy 105.894403 99.570557) (xy 105.910197 99.589803)
+ (xy 107.410197 101.089803) (xy 107.429443 101.105597) (xy 107.451399 101.117333) (xy 107.475224 101.12456) (xy 107.5 101.127)
+ (xy 112.5 101.127) (xy 112.524776 101.12456) (xy 112.548601 101.117333) (xy 112.570557 101.105597) (xy 112.589803 101.089803)
+ (xy 113.873 99.806606) (xy 113.873 104) (xy 113.87544 104.024776) (xy 113.882667 104.048601) (xy 113.894403 104.070557)
+ (xy 113.910197 104.089803) (xy 116.910197 107.089803) (xy 116.929443 107.105597) (xy 116.951399 107.117333) (xy 116.975224 107.12456)
+ (xy 117 107.127) (xy 127.873 107.127) (xy 127.873 114.082783) (xy 125.025 114.082783) (xy 124.882483 114.09682)
+ (xy 124.745443 114.13839) (xy 124.619147 114.205897) (xy 124.508446 114.296746) (xy 124.417597 114.407447) (xy 124.35009 114.533743)
+ (xy 124.30852 114.670783) (xy 124.294483 114.8133) (xy 124.294483 115.652395) (xy 124.116144 115.726266) (xy 123.931558 115.849602)
+ (xy 123.774581 116.006579) (xy 123.651245 116.191165) (xy 123.566289 116.396266) (xy 123.522979 116.614) (xy 123.522979 116.836)
+ (xy 123.566289 117.053734) (xy 123.651245 117.258835) (xy 123.774581 117.443421) (xy 123.931558 117.600398) (xy 124.116144 117.723734)
+ (xy 124.321245 117.80869) (xy 124.538979 117.852) (xy 124.760979 117.852) (xy 124.978713 117.80869) (xy 125.175929 117.727)
+ (xy 125.702007 117.727) (xy 125.75 117.731727) (xy 125.941525 117.712863) (xy 125.941528 117.712862) (xy 126.125691 117.656997)
+ (xy 126.295418 117.566276) (xy 126.444186 117.444186) (xy 126.474784 117.406902) (xy 127.156907 116.72478) (xy 127.194186 116.694186)
+ (xy 127.316276 116.545418) (xy 127.397308 116.393817) (xy 127.873 116.393817) (xy 127.873 118.751514) (xy 127.795443 118.77504)
+ (xy 127.669147 118.842547) (xy 127.558446 118.933396) (xy 127.467597 119.044097) (xy 127.40009 119.170393) (xy 127.35852 119.307433)
+ (xy 127.344483 119.44995) (xy 127.344483 119.89995) (xy 127.354332 119.99995) (xy 127.344483 120.09995) (xy 127.344483 120.54995)
+ (xy 127.354332 120.64995) (xy 127.352067 120.672951) (xy 125.847933 120.672951) (xy 125.84148 120.607433) (xy 125.79991 120.470393)
+ (xy 125.732403 120.344097) (xy 125.641554 120.233396) (xy 125.530853 120.142547) (xy 125.404557 120.07504) (xy 125.267517 120.03347)
+ (xy 125.125 120.019433) (xy 123.675 120.019433) (xy 123.532483 120.03347) (xy 123.395443 120.07504) (xy 123.30581 120.12295)
+ (xy 123.265838 120.12295) (xy 123.24991 120.070443) (xy 123.182403 119.944147) (xy 123.091554 119.833446) (xy 122.980853 119.742597)
+ (xy 122.854557 119.67509) (xy 122.717517 119.63352) (xy 122.575 119.619483) (xy 121.825 119.619483) (xy 121.682483 119.63352)
+ (xy 121.545443 119.67509) (xy 121.419147 119.742597) (xy 121.308446 119.833446) (xy 121.217597 119.944147) (xy 121.15009 120.070443)
+ (xy 121.10852 120.207483) (xy 121.094483 120.35) (xy 121.094483 121.55) (xy 121.10852 121.692517) (xy 121.15009 121.829557)
+ (xy 121.217597 121.955853) (xy 121.308446 122.066554) (xy 121.419147 122.157403) (xy 121.545443 122.22491) (xy 121.682483 122.26648)
+ (xy 121.825 122.280517) (xy 122.575 122.280517) (xy 122.717517 122.26648) (xy 122.854557 122.22491) (xy 122.980853 122.157403)
+ (xy 123.091554 122.066554) (xy 123.182403 121.955853) (xy 123.24991 121.829557) (xy 123.250701 121.82695) (xy 123.30581 121.82695)
+ (xy 123.395443 121.87486) (xy 123.532483 121.91643) (xy 123.675 121.930467) (xy 123.692167 121.930467) (xy 123.713001 121.955853)
+ (xy 123.794631 122.05532) (xy 123.827141 122.082) (xy 123.842946 122.097805) (xy 123.869631 122.130321) (xy 123.999365 122.23679)
+ (xy 124.147377 122.315905) (xy 124.30798 122.364623) (xy 124.433149 122.376951) (xy 124.433151 122.376951) (xy 124.475 122.381073)
+ (xy 124.516849 122.376951) (xy 127.873 122.376951) (xy 127.873 127.416183) (xy 125.025 127.416183) (xy 124.882483 127.43022)
+ (xy 124.745443 127.47179) (xy 124.619147 127.539297) (xy 124.508446 127.630146) (xy 124.417597 127.740847) (xy 124.35009 127.867143)
+ (xy 124.30852 128.004183) (xy 124.294483 128.1467) (xy 124.294483 128.9967) (xy 124.30852 129.139217) (xy 124.35009 129.276257)
+ (xy 124.417597 129.402553) (xy 124.508446 129.513254) (xy 124.619147 129.604103) (xy 124.745443 129.67161) (xy 124.882483 129.71318)
+ (xy 125.025 129.727217) (xy 125.790126 129.727217) (xy 125.748 129.939) (xy 125.748 130.161) (xy 125.79131 130.378734)
+ (xy 125.876266 130.583835) (xy 125.999602 130.768421) (xy 126.156579 130.925398) (xy 126.320953 131.035228) (xy 126.274602 131.081579)
+ (xy 126.151266 131.266165) (xy 126.06631 131.471266) (xy 126.023 131.689) (xy 126.023 131.911) (xy 126.06631 132.128734)
+ (xy 126.151266 132.333835) (xy 126.173 132.366362) (xy 126.173 132.502007) (xy 126.168273 132.55) (xy 126.187137 132.741525)
+ (xy 126.22702 132.873) (xy 118.052606 132.873) (xy 113.089803 127.910197) (xy 113.070557 127.894403) (xy 113.048601 127.882667)
+ (xy 113.024776 127.87544) (xy 113 127.873) (xy 108.315346 127.873) (xy 108.100898 127.658552) (xy 107.818041 127.469553)
+ (xy 107.503747 127.339368) (xy 107.170095 127.273) (xy 106.829905 127.273) (xy 106.496253 127.339368) (xy 106.181959 127.469553)
+ (xy 105.899102 127.658552) (xy 105.684654 127.873) (xy 105.052606 127.873) (xy 101.089803 123.910197) (xy 101.070557 123.894403)
+ (xy 101.048601 123.882667) (xy 101.024776 123.87544) (xy 101 123.873) (xy 96.727 123.873) (xy 96.727 95.127)
+ (xy 105.873 95.127)
+ )
+ )
+ )
+ (zone (net 10) (net_name /VIN) (layer F.Cu) (tstamp 5EADAA1C) (hatch edge 0.508)
+ (priority 1)
+ (connect_pads yes (clearance 0.6))
+ (min_thickness 0.254)
+ (fill yes (arc_segments 32) (thermal_gap 0.25) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 114 95) (xy 132 95) (xy 132 107) (xy 114 107)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 120.310197 96.989803) (xy 120.329443 97.005597) (xy 120.351399 97.017333) (xy 120.375224 97.02456) (xy 120.4 97.027)
+ (xy 122.447394 97.027) (xy 123.010197 97.589803) (xy 123.029443 97.605597) (xy 123.051399 97.617333) (xy 123.075224 97.62456)
+ (xy 123.1 97.627) (xy 131.298718 97.627) (xy 131.294483 97.67) (xy 131.294483 98.52) (xy 131.30852 98.662517)
+ (xy 131.32899 98.73) (xy 131.30852 98.797483) (xy 131.294483 98.94) (xy 131.294483 99.79) (xy 131.30852 99.932517)
+ (xy 131.32899 100) (xy 131.30852 100.067483) (xy 131.294483 100.21) (xy 131.294483 101.06) (xy 131.30852 101.202517)
+ (xy 131.32899 101.27) (xy 131.30852 101.337483) (xy 131.294483 101.48) (xy 131.294483 102.33) (xy 131.30852 102.472517)
+ (xy 131.35009 102.609557) (xy 131.417597 102.735853) (xy 131.508446 102.846554) (xy 131.619147 102.937403) (xy 131.745443 103.00491)
+ (xy 131.873 103.043603) (xy 131.873 106.873) (xy 128.714492 106.873) (xy 128.713031 106.858169) (xy 128.67166 106.721789)
+ (xy 128.604478 106.5961) (xy 128.514067 106.485933) (xy 128.4039 106.395522) (xy 128.278211 106.32834) (xy 128.141831 106.286969)
+ (xy 128 106.273) (xy 117.301134 106.273) (xy 114.727 103.698866) (xy 114.727 95.127) (xy 118.447394 95.127)
+ )
+ )
+ )
+ (zone (net 8) (net_name /OUT_A) (layer F.Cu) (tstamp 5EADAA19) (hatch edge 0.508)
+ (connect_pads yes (clearance 0.6))
+ (min_thickness 0.254)
+ (fill yes (arc_segments 32) (thermal_gap 0.25) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 128 95) (xy 170 95) (xy 170 120) (xy 128 120)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 165.41631 95.128734) (xy 165.501266 95.333835) (xy 165.624602 95.518421) (xy 165.781579 95.675398) (xy 165.966165 95.798734)
+ (xy 166.171266 95.88369) (xy 166.389 95.927) (xy 166.397989 95.927) (xy 166.39799 118.6971) (xy 166.197091 118.898)
+ (xy 130.343844 118.898) (xy 130.301995 118.893878) (xy 130.260146 118.898) (xy 130.260144 118.898) (xy 130.255517 118.898456)
+ (xy 130.255517 118.79995) (xy 130.24148 118.657433) (xy 130.19991 118.520393) (xy 130.132403 118.394097) (xy 130.041554 118.283396)
+ (xy 129.930853 118.192547) (xy 129.804557 118.12504) (xy 129.667517 118.08347) (xy 129.525 118.069433) (xy 128.727 118.069433)
+ (xy 128.727 107.727) (xy 132 107.727) (xy 132.141831 107.713031) (xy 132.278211 107.67166) (xy 132.4039 107.604478)
+ (xy 132.514067 107.514067) (xy 132.604478 107.4039) (xy 132.67166 107.278211) (xy 132.713031 107.141831) (xy 132.727 107)
+ (xy 132.727 99.250517) (xy 134.975 99.250517) (xy 135.117517 99.23648) (xy 135.254557 99.19491) (xy 135.380853 99.127403)
+ (xy 135.491554 99.036554) (xy 135.582403 98.925853) (xy 135.64991 98.799557) (xy 135.69148 98.662517) (xy 135.705517 98.52)
+ (xy 135.705517 97.67) (xy 135.701282 97.627) (xy 135.720681 97.627) (xy 135.851445 97.757764) (xy 135.886735 97.800765)
+ (xy 135.929736 97.836055) (xy 135.929739 97.836058) (xy 136.016179 97.906997) (xy 136.058343 97.9416) (xy 136.254129 98.04625)
+ (xy 136.466569 98.110693) (xy 136.632135 98.127) (xy 136.632143 98.127) (xy 136.6875 98.132452) (xy 136.742857 98.127)
+ (xy 137.011 98.127) (xy 137.065567 98.116146) (xy 137.120931 98.110693) (xy 137.174167 98.094544) (xy 137.228734 98.08369)
+ (xy 137.280134 98.062399) (xy 137.333371 98.04625) (xy 137.382435 98.020025) (xy 137.433835 97.998734) (xy 137.480094 97.967825)
+ (xy 137.529157 97.9416) (xy 137.572159 97.906309) (xy 137.618421 97.875398) (xy 137.657764 97.836055) (xy 137.700765 97.800765)
+ (xy 137.736055 97.757764) (xy 137.775398 97.718421) (xy 137.806309 97.672159) (xy 137.8416 97.629157) (xy 137.842753 97.627)
+ (xy 147.5 97.627) (xy 147.524776 97.62456) (xy 147.548601 97.617333) (xy 147.570557 97.605597) (xy 147.589803 97.589803)
+ (xy 147.605597 97.570557) (xy 147.617333 97.548601) (xy 147.62456 97.524776) (xy 147.627 97.5) (xy 147.627 95.627)
+ (xy 148.873 95.627) (xy 148.873 99.5) (xy 148.87544 99.524776) (xy 148.882667 99.548601) (xy 148.894403 99.570557)
+ (xy 148.910197 99.589803) (xy 150.410197 101.089803) (xy 150.429443 101.105597) (xy 150.451399 101.117333) (xy 150.475224 101.12456)
+ (xy 150.5 101.127) (xy 155.5 101.127) (xy 155.524776 101.12456) (xy 155.548601 101.117333) (xy 155.570557 101.105597)
+ (xy 155.589803 101.089803) (xy 157.089803 99.589803) (xy 157.105597 99.570557) (xy 157.117333 99.548601) (xy 157.12456 99.524776)
+ (xy 157.127 99.5) (xy 157.127 95.448932) (xy 157.158148 95.452) (xy 157.558151 95.452) (xy 157.6 95.456122)
+ (xy 157.641849 95.452) (xy 163.958151 95.452) (xy 164 95.456122) (xy 164.041849 95.452) (xy 164.041852 95.452)
+ (xy 164.167021 95.439672) (xy 164.327624 95.390954) (xy 164.475636 95.311839) (xy 164.60537 95.20537) (xy 164.632055 95.172854)
+ (xy 164.677909 95.127) (xy 165.415965 95.127)
+ )
+ )
+ )
+ (zone (net 9) (net_name /OUT_B) (layer F.Cu) (tstamp 5EADAA16) (hatch edge 0.508)
+ (priority 1)
+ (connect_pads yes (clearance 0.6))
+ (min_thickness 0.254)
+ (fill yes (arc_segments 32) (thermal_gap 0.25) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 128 120) (xy 170 120) (xy 170 143) (xy 158 143) (xy 154 147)
+ (xy 128 147)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 166.848001 142.873) (xy 158 142.873) (xy 157.975224 142.87544) (xy 157.951399 142.882667) (xy 157.929443 142.894403)
+ (xy 157.910197 142.910197) (xy 157.885328 142.935066) (xy 157.88299 142.935296) (xy 157.722387 142.984014) (xy 157.574375 143.063129)
+ (xy 157.444641 143.169598) (xy 157.417956 143.202114) (xy 157.122091 143.497979) (xy 157.089 143.497979) (xy 156.871266 143.541289)
+ (xy 156.666165 143.626245) (xy 156.481579 143.749581) (xy 156.324602 143.906558) (xy 156.201266 144.091144) (xy 156.11631 144.296245)
+ (xy 156.076181 144.497989) (xy 148.855448 144.497989) (xy 148.813599 144.493867) (xy 148.77175 144.497989) (xy 148.771748 144.497989)
+ (xy 148.646579 144.510317) (xy 148.485976 144.559035) (xy 148.38952 144.610592) (xy 148.337963 144.63815) (xy 148.272059 144.692236)
+ (xy 148.20823 144.744619) (xy 148.181551 144.777128) (xy 146.08568 146.873) (xy 137.610517 146.873) (xy 137.610517 145.95)
+ (xy 137.59648 145.807483) (xy 137.55491 145.670443) (xy 137.487403 145.544147) (xy 137.396554 145.433446) (xy 137.285853 145.342597)
+ (xy 137.159557 145.27509) (xy 137.022517 145.23352) (xy 136.88 145.219483) (xy 135.38 145.219483) (xy 135.237483 145.23352)
+ (xy 135.100443 145.27509) (xy 135.05 145.302052) (xy 134.999557 145.27509) (xy 134.862517 145.23352) (xy 134.72 145.219483)
+ (xy 132.727 145.219483) (xy 132.727 139.250517) (xy 134.975 139.250517) (xy 135.117517 139.23648) (xy 135.254557 139.19491)
+ (xy 135.380853 139.127403) (xy 135.491554 139.036554) (xy 135.582403 138.925853) (xy 135.64991 138.799557) (xy 135.69148 138.662517)
+ (xy 135.705517 138.52) (xy 135.705517 137.67) (xy 135.69148 137.527483) (xy 135.64991 137.390443) (xy 135.582403 137.264147)
+ (xy 135.491554 137.153446) (xy 135.380853 137.062597) (xy 135.254557 136.99509) (xy 135.117517 136.95352) (xy 134.975 136.939483)
+ (xy 134.477 136.939483) (xy 134.477 135.347993) (xy 134.481727 135.3) (xy 134.462863 135.108474) (xy 134.406997 134.924309)
+ (xy 134.316275 134.754581) (xy 134.224779 134.643092) (xy 134.194186 134.605814) (xy 134.156907 134.57522) (xy 132.824784 133.243098)
+ (xy 132.794186 133.205814) (xy 132.727 133.150676) (xy 132.727 133) (xy 132.713031 132.858169) (xy 132.67166 132.721789)
+ (xy 132.604478 132.5961) (xy 132.514067 132.485933) (xy 132.4039 132.395522) (xy 132.278211 132.32834) (xy 132.141831 132.286969)
+ (xy 132 132.273) (xy 128.727 132.273) (xy 128.727 122.376951) (xy 131.1332 122.376951) (xy 131.175049 122.381073)
+ (xy 131.216898 122.376951) (xy 131.216901 122.376951) (xy 131.34207 122.364623) (xy 131.502673 122.315905) (xy 131.650685 122.23679)
+ (xy 131.780419 122.130321) (xy 131.807104 122.097805) (xy 132.852899 121.052011) (xy 166.848 121.052011)
+ )
+ )
+ )
+ (zone (net 0) (net_name "") (layer F.Cu) (tstamp 0) (hatch edge 0.508)
+ (connect_pads yes (clearance 0.508))
+ (min_thickness 0.254)
+ (keepout (tracks allowed) (vias allowed) (copperpour not_allowed))
+ (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 94.5 95) (xy 96.5 95) (xy 96.5 124) (xy 94.5 124)
+ )
+ )
+ )
+ (zone (net 1) (net_name GND) (layer F.Cu) (tstamp 5EADAA13) (hatch edge 0.508)
+ (priority 4)
+ (connect_pads (clearance 0.25))
+ (min_thickness 0.254)
+ (fill yes (arc_segments 32) (thermal_gap 0.25) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 70 94) (xy 170 94) (xy 170 55) (xy 70 55)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 118.623 65.5) (xy 118.630244 65.573549) (xy 118.651697 65.644272) (xy 118.686536 65.70945) (xy 118.733421 65.766579)
+ (xy 118.79055 65.813464) (xy 118.855728 65.848303) (xy 118.926451 65.869756) (xy 119 65.877) (xy 127.478832 65.877)
+ (xy 127.486148 65.890688) (xy 127.5645 65.986161) (xy 127.588422 66.005793) (xy 128.004206 66.421578) (xy 128.023839 66.445501)
+ (xy 128.119312 66.523853) (xy 128.228237 66.582075) (xy 128.328421 66.612465) (xy 128.346427 66.617927) (xy 128.46934 66.630033)
+ (xy 128.500134 66.627) (xy 134.539807 66.627) (xy 134.631952 66.688569) (xy 134.773357 66.747141) (xy 134.923472 66.777)
+ (xy 135.076528 66.777) (xy 135.226643 66.747141) (xy 135.368048 66.688569) (xy 135.460193 66.627) (xy 147.069206 66.627)
+ (xy 147.1 66.630033) (xy 147.130794 66.627) (xy 147.222913 66.617927) (xy 147.341103 66.582075) (xy 147.450028 66.523853)
+ (xy 147.545501 66.445501) (xy 147.565138 66.421573) (xy 148.109712 65.877) (xy 158 65.877) (xy 158.073549 65.869756)
+ (xy 158.144272 65.848303) (xy 158.20945 65.813464) (xy 158.266579 65.766579) (xy 158.313464 65.70945) (xy 158.348303 65.644272)
+ (xy 158.369756 65.573549) (xy 158.377 65.5) (xy 158.377 65.152) (xy 158.642118 65.152) (xy 158.670089 65.174955)
+ (xy 158.759432 65.222709) (xy 158.701095 65.228455) (xy 158.63003 65.250012) (xy 158.564537 65.285019) (xy 158.507131 65.332131)
+ (xy 158.460019 65.389537) (xy 158.425012 65.45503) (xy 158.403455 65.526095) (xy 158.396176 65.6) (xy 158.398 65.90375)
+ (xy 158.49225 65.998) (xy 159.123 65.998) (xy 159.123 65.978) (xy 159.377 65.978) (xy 159.377 65.998)
+ (xy 160.00775 65.998) (xy 160.102 65.90375) (xy 160.103824 65.6) (xy 160.096545 65.526095) (xy 160.074988 65.45503)
+ (xy 160.039981 65.389537) (xy 159.992869 65.332131) (xy 159.935463 65.285019) (xy 159.86997 65.250012) (xy 159.798905 65.228455)
+ (xy 159.740568 65.222709) (xy 159.829911 65.174955) (xy 159.923307 65.098307) (xy 159.999955 65.004911) (xy 160.056909 64.898357)
+ (xy 160.091982 64.782739) (xy 160.103824 64.6625) (xy 160.103824 64.0875) (xy 160.091982 63.967261) (xy 160.056909 63.851643)
+ (xy 159.999955 63.745089) (xy 159.923307 63.651693) (xy 159.829911 63.575045) (xy 159.723357 63.518091) (xy 159.607739 63.483018)
+ (xy 159.4875 63.471176) (xy 159.0125 63.471176) (xy 158.892261 63.483018) (xy 158.776643 63.518091) (xy 158.670089 63.575045)
+ (xy 158.642118 63.598) (xy 158.377 63.598) (xy 158.377 55.452) (xy 159.873 55.452) (xy 159.873 63)
+ (xy 159.87544 63.024776) (xy 159.882667 63.048601) (xy 159.894403 63.070557) (xy 159.910197 63.089803) (xy 161.910197 65.089803)
+ (xy 161.929443 65.105597) (xy 161.951399 65.117333) (xy 161.975224 65.12456) (xy 162 65.127) (xy 169.548001 65.127)
+ (xy 169.548001 93.873) (xy 169.202 93.873) (xy 169.202 93.124644) (xy 169.204427 93.099999) (xy 169.202 93.075356)
+ (xy 169.202 93.075346) (xy 169.194736 93.00159) (xy 169.166031 92.906963) (xy 169.125937 92.831952) (xy 169.119417 92.819753)
+ (xy 169.0724 92.762463) (xy 169.072392 92.762455) (xy 169.056684 92.743315) (xy 169.037543 92.727606) (xy 167.497397 91.187462)
+ (xy 167.481684 91.168316) (xy 167.462536 91.152601) (xy 167.462535 91.1526) (xy 167.435557 91.13046) (xy 167.405245 91.105583)
+ (xy 167.318036 91.058969) (xy 167.223409 91.030264) (xy 167.149653 91.023) (xy 167.149643 91.023) (xy 167.125 91.020573)
+ (xy 167.100357 91.023) (xy 165.169505 91.023) (xy 165.164981 91.014537) (xy 165.117869 90.957131) (xy 165.060463 90.910019)
+ (xy 165.02301 90.89) (xy 165.060463 90.869981) (xy 165.117869 90.822869) (xy 165.164981 90.765463) (xy 165.169505 90.757)
+ (xy 167.747066 90.757) (xy 167.973 90.982935) (xy 167.973 91.126528) (xy 168.002859 91.276643) (xy 168.061431 91.418048)
+ (xy 168.146464 91.545309) (xy 168.254691 91.653536) (xy 168.381952 91.738569) (xy 168.523357 91.797141) (xy 168.673472 91.827)
+ (xy 168.826528 91.827) (xy 168.976643 91.797141) (xy 169.118048 91.738569) (xy 169.245309 91.653536) (xy 169.353536 91.545309)
+ (xy 169.438569 91.418048) (xy 169.497141 91.276643) (xy 169.527 91.126528) (xy 169.527 90.973472) (xy 169.497141 90.823357)
+ (xy 169.438569 90.681952) (xy 169.353536 90.554691) (xy 169.245309 90.446464) (xy 169.118048 90.361431) (xy 168.976643 90.302859)
+ (xy 168.826528 90.273) (xy 168.682935 90.273) (xy 168.327399 89.917465) (xy 168.311684 89.898316) (xy 168.235245 89.835583)
+ (xy 168.148036 89.788969) (xy 168.053409 89.760264) (xy 167.979653 89.753) (xy 167.979643 89.753) (xy 167.955 89.750573)
+ (xy 167.930357 89.753) (xy 165.169505 89.753) (xy 165.164981 89.744537) (xy 165.117869 89.687131) (xy 165.060463 89.640019)
+ (xy 165.02301 89.62) (xy 165.060463 89.599981) (xy 165.117869 89.552869) (xy 165.164981 89.495463) (xy 165.169505 89.487)
+ (xy 165.240357 89.487) (xy 165.265 89.489427) (xy 165.289643 89.487) (xy 165.289653 89.487) (xy 165.363409 89.479736)
+ (xy 165.458036 89.451031) (xy 165.545245 89.404417) (xy 165.621684 89.341684) (xy 165.637402 89.322533) (xy 168.037538 86.922397)
+ (xy 168.056684 86.906684) (xy 168.079623 86.878734) (xy 168.119409 86.830255) (xy 168.119417 86.830245) (xy 168.166031 86.743036)
+ (xy 168.194736 86.648409) (xy 168.202 86.574653) (xy 168.202 86.574644) (xy 168.204427 86.550001) (xy 168.202 86.525358)
+ (xy 168.202 70.907934) (xy 168.887538 70.222397) (xy 168.906684 70.206684) (xy 168.922397 70.187538) (xy 168.9224 70.187535)
+ (xy 168.969417 70.130246) (xy 169.016031 70.043037) (xy 169.044736 69.94841) (xy 169.047846 69.916827) (xy 169.052 69.874653)
+ (xy 169.052 69.874646) (xy 169.054427 69.85) (xy 169.052 69.825355) (xy 169.052 68.074642) (xy 169.054427 68.049999)
+ (xy 169.052 68.025356) (xy 169.052 68.025347) (xy 169.044736 67.951591) (xy 169.016031 67.856964) (xy 168.969417 67.769755)
+ (xy 168.94748 67.743025) (xy 168.922399 67.712464) (xy 168.922397 67.712462) (xy 168.906684 67.693316) (xy 168.887536 67.677601)
+ (xy 168.522401 67.312467) (xy 168.506684 67.293316) (xy 168.430245 67.230583) (xy 168.343036 67.183969) (xy 168.248409 67.155264)
+ (xy 168.21893 67.152361) (xy 168.174955 67.070089) (xy 168.098307 66.976693) (xy 168.004911 66.900045) (xy 167.898357 66.843091)
+ (xy 167.782739 66.808018) (xy 167.6625 66.796176) (xy 167.0875 66.796176) (xy 166.967261 66.808018) (xy 166.851643 66.843091)
+ (xy 166.745089 66.900045) (xy 166.651693 66.976693) (xy 166.575045 67.070089) (xy 166.518091 67.176643) (xy 166.5 67.23628)
+ (xy 166.481909 67.176643) (xy 166.424955 67.070089) (xy 166.348307 66.976693) (xy 166.254911 66.900045) (xy 166.148357 66.843091)
+ (xy 166.055138 66.814813) (xy 166.044417 66.794755) (xy 166.013402 66.756964) (xy 165.997399 66.737464) (xy 165.997397 66.737462)
+ (xy 165.981684 66.718316) (xy 165.962538 66.702603) (xy 165.12239 65.862456) (xy 165.106673 65.843305) (xy 165.030234 65.780572)
+ (xy 164.943025 65.733958) (xy 164.848398 65.705253) (xy 164.774642 65.697989) (xy 164.774632 65.697989) (xy 164.749989 65.695562)
+ (xy 164.725346 65.697989) (xy 160.792732 65.697989) (xy 160.768089 65.695562) (xy 160.743446 65.697989) (xy 160.743437 65.697989)
+ (xy 160.669681 65.705253) (xy 160.575054 65.733958) (xy 160.487845 65.780572) (xy 160.484003 65.783725) (xy 160.434633 65.824243)
+ (xy 160.411406 65.843305) (xy 160.395693 65.862451) (xy 160.006144 66.252) (xy 159.377 66.252) (xy 159.377 66.272)
+ (xy 159.123 66.272) (xy 159.123 66.252) (xy 158.49225 66.252) (xy 158.398 66.34625) (xy 158.396188 66.648)
+ (xy 144.691822 66.648) (xy 144.667179 66.645573) (xy 144.642536 66.648) (xy 144.642527 66.648) (xy 144.568771 66.655264)
+ (xy 144.474144 66.683969) (xy 144.386935 66.730583) (xy 144.386933 66.730584) (xy 144.386934 66.730584) (xy 144.330377 66.777)
+ (xy 144.310496 66.793316) (xy 144.294783 66.812462) (xy 144.009246 67.098) (xy 125.664642 67.098) (xy 125.639999 67.095573)
+ (xy 125.615356 67.098) (xy 125.615347 67.098) (xy 125.541591 67.105264) (xy 125.446964 67.133969) (xy 125.359755 67.180583)
+ (xy 125.359753 67.180584) (xy 125.359754 67.180584) (xy 125.303693 67.226593) (xy 125.283316 67.243316) (xy 125.267603 67.262462)
+ (xy 124.300569 68.229497) (xy 124.268734 68.21631) (xy 124.051 68.173) (xy 123.829 68.173) (xy 123.611266 68.21631)
+ (xy 123.406165 68.301266) (xy 123.221579 68.424602) (xy 123.064602 68.581579) (xy 122.941266 68.766165) (xy 122.85631 68.971266)
+ (xy 122.813 69.189) (xy 122.813 69.411) (xy 122.85631 69.628734) (xy 122.941266 69.833835) (xy 123.064602 70.018421)
+ (xy 123.221579 70.175398) (xy 123.406165 70.298734) (xy 123.611266 70.38369) (xy 123.829 70.427) (xy 124.051 70.427)
+ (xy 124.268734 70.38369) (xy 124.473835 70.298734) (xy 124.658421 70.175398) (xy 124.715409 70.11841) (xy 132.441195 70.11841)
+ (xy 132.521306 70.285043) (xy 132.724213 70.375111) (xy 132.940793 70.423864) (xy 133.162723 70.429428) (xy 133.381474 70.391588)
+ (xy 133.58864 70.311798) (xy 133.638694 70.285043) (xy 133.718805 70.11841) (xy 133.08 69.479605) (xy 132.441195 70.11841)
+ (xy 124.715409 70.11841) (xy 124.815398 70.018421) (xy 124.938734 69.833835) (xy 125.02369 69.628734) (xy 125.067 69.411)
+ (xy 125.067 69.382723) (xy 131.950572 69.382723) (xy 131.988412 69.601474) (xy 132.068202 69.80864) (xy 132.094957 69.858694)
+ (xy 132.26159 69.938805) (xy 132.900395 69.3) (xy 133.259605 69.3) (xy 133.89841 69.938805) (xy 134.065043 69.858694)
+ (xy 134.155111 69.655787) (xy 134.203864 69.439207) (xy 134.209428 69.217277) (xy 134.171588 68.998526) (xy 134.091798 68.79136)
+ (xy 134.065043 68.741306) (xy 133.89841 68.661195) (xy 133.259605 69.3) (xy 132.900395 69.3) (xy 132.26159 68.661195)
+ (xy 132.094957 68.741306) (xy 132.004889 68.944213) (xy 131.956136 69.160793) (xy 131.950572 69.382723) (xy 125.067 69.382723)
+ (xy 125.067 69.189) (xy 125.02369 68.971266) (xy 125.010503 68.939431) (xy 125.468344 68.48159) (xy 132.441195 68.48159)
+ (xy 133.08 69.120395) (xy 133.718805 68.48159) (xy 133.638694 68.314957) (xy 133.435787 68.224889) (xy 133.219207 68.176136)
+ (xy 132.997277 68.170572) (xy 132.778526 68.208412) (xy 132.57136 68.288202) (xy 132.521306 68.314957) (xy 132.441195 68.48159)
+ (xy 125.468344 68.48159) (xy 125.847935 68.102) (xy 135.858065 68.102) (xy 135.730569 68.229497) (xy 135.698734 68.21631)
+ (xy 135.481 68.173) (xy 135.259 68.173) (xy 135.041266 68.21631) (xy 134.836165 68.301266) (xy 134.651579 68.424602)
+ (xy 134.494602 68.581579) (xy 134.371266 68.766165) (xy 134.28631 68.971266) (xy 134.243 69.189) (xy 134.243 69.411)
+ (xy 134.28631 69.628734) (xy 134.371266 69.833835) (xy 134.494602 70.018421) (xy 134.651579 70.175398) (xy 134.836165 70.298734)
+ (xy 135.041266 70.38369) (xy 135.129443 70.401229) (xy 135.064545 70.454489) (xy 135.044915 70.478409) (xy 133.693427 71.829897)
+ (xy 133.669499 71.849534) (xy 133.591147 71.945008) (xy 133.566389 71.991326) (xy 133.547131 72.007131) (xy 133.500019 72.064537)
+ (xy 133.48 72.10199) (xy 133.459981 72.064537) (xy 133.412869 72.007131) (xy 133.355463 71.960019) (xy 133.28997 71.925012)
+ (xy 133.218905 71.903455) (xy 133.145 71.896176) (xy 132.545 71.896176) (xy 132.471095 71.903455) (xy 132.40003 71.925012)
+ (xy 132.334537 71.960019) (xy 132.277131 72.007131) (xy 132.230019 72.064537) (xy 132.195012 72.13003) (xy 132.173455 72.201095)
+ (xy 132.166176 72.275) (xy 132.166176 73.573) (xy 131.751165 73.573) (xy 131.746545 73.526095) (xy 131.724988 73.45503)
+ (xy 131.689981 73.389537) (xy 131.642869 73.332131) (xy 131.585463 73.285019) (xy 131.51997 73.250012) (xy 131.51993 73.25)
+ (xy 131.51997 73.249988) (xy 131.585463 73.214981) (xy 131.642869 73.167869) (xy 131.689981 73.110463) (xy 131.724988 73.04497)
+ (xy 131.746545 72.973905) (xy 131.753824 72.9) (xy 131.752 72.52125) (xy 131.65775 72.427) (xy 131.127 72.427)
+ (xy 131.127 72.447) (xy 130.873 72.447) (xy 130.873 72.427) (xy 130.34225 72.427) (xy 130.248 72.52125)
+ (xy 130.246176 72.9) (xy 130.253455 72.973905) (xy 130.275012 73.04497) (xy 130.310019 73.110463) (xy 130.357131 73.167869)
+ (xy 130.414537 73.214981) (xy 130.48003 73.249988) (xy 130.48007 73.25) (xy 130.48003 73.250012) (xy 130.414537 73.285019)
+ (xy 130.357131 73.332131) (xy 130.310019 73.389537) (xy 130.292133 73.423) (xy 129.977 73.423) (xy 129.977 72.338155)
+ (xy 129.980758 72.299999) (xy 129.977 72.261843) (xy 129.977 72.261834) (xy 129.965757 72.147681) (xy 129.921327 72.001216)
+ (xy 129.893966 71.950027) (xy 129.849177 71.866233) (xy 129.808143 71.816234) (xy 129.75208 71.74792) (xy 129.722432 71.723589)
+ (xy 129.698843 71.7) (xy 130.246176 71.7) (xy 130.248 72.07875) (xy 130.34225 72.173) (xy 130.873 72.173)
+ (xy 130.873 71.41725) (xy 131.127 71.41725) (xy 131.127 72.173) (xy 131.65775 72.173) (xy 131.752 72.07875)
+ (xy 131.753824 71.7) (xy 131.746545 71.626095) (xy 131.724988 71.55503) (xy 131.689981 71.489537) (xy 131.642869 71.432131)
+ (xy 131.585463 71.385019) (xy 131.51997 71.350012) (xy 131.448905 71.328455) (xy 131.375 71.321176) (xy 131.22125 71.323)
+ (xy 131.127 71.41725) (xy 130.873 71.41725) (xy 130.77875 71.323) (xy 130.625 71.321176) (xy 130.551095 71.328455)
+ (xy 130.48003 71.350012) (xy 130.414537 71.385019) (xy 130.357131 71.432131) (xy 130.310019 71.489537) (xy 130.275012 71.55503)
+ (xy 130.253455 71.626095) (xy 130.246176 71.7) (xy 129.698843 71.7) (xy 129.301413 71.30257) (xy 129.27708 71.27292)
+ (xy 129.158766 71.175823) (xy 129.023784 71.103673) (xy 128.877319 71.059243) (xy 128.763166 71.048) (xy 128.763163 71.048)
+ (xy 128.725 71.044241) (xy 128.686837 71.048) (xy 128.607882 71.048) (xy 128.579911 71.025045) (xy 128.473357 70.968091)
+ (xy 128.357739 70.933018) (xy 128.2375 70.921176) (xy 127.7625 70.921176) (xy 127.642261 70.933018) (xy 127.526643 70.968091)
+ (xy 127.420089 71.025045) (xy 127.392118 71.048) (xy 126.907882 71.048) (xy 126.879911 71.025045) (xy 126.773357 70.968091)
+ (xy 126.657739 70.933018) (xy 126.5375 70.921176) (xy 126.0625 70.921176) (xy 125.942261 70.933018) (xy 125.826643 70.968091)
+ (xy 125.720089 71.025045) (xy 125.692118 71.048) (xy 120.84716 71.048) (xy 119.91757 70.11841) (xy 121.011195 70.11841)
+ (xy 121.091306 70.285043) (xy 121.294213 70.375111) (xy 121.510793 70.423864) (xy 121.732723 70.429428) (xy 121.951474 70.391588)
+ (xy 122.15864 70.311798) (xy 122.208694 70.285043) (xy 122.288805 70.11841) (xy 121.65 69.479605) (xy 121.011195 70.11841)
+ (xy 119.91757 70.11841) (xy 119.181883 69.382723) (xy 120.520572 69.382723) (xy 120.558412 69.601474) (xy 120.638202 69.80864)
+ (xy 120.664957 69.858694) (xy 120.83159 69.938805) (xy 121.470395 69.3) (xy 121.829605 69.3) (xy 122.46841 69.938805)
+ (xy 122.635043 69.858694) (xy 122.725111 69.655787) (xy 122.773864 69.439207) (xy 122.779428 69.217277) (xy 122.741588 68.998526)
+ (xy 122.661798 68.79136) (xy 122.635043 68.741306) (xy 122.46841 68.661195) (xy 121.829605 69.3) (xy 121.470395 69.3)
+ (xy 120.83159 68.661195) (xy 120.664957 68.741306) (xy 120.574889 68.944213) (xy 120.526136 69.160793) (xy 120.520572 69.382723)
+ (xy 119.181883 69.382723) (xy 118.28075 68.48159) (xy 121.011195 68.48159) (xy 121.65 69.120395) (xy 122.288805 68.48159)
+ (xy 122.208694 68.314957) (xy 122.005787 68.224889) (xy 121.789207 68.176136) (xy 121.567277 68.170572) (xy 121.348526 68.208412)
+ (xy 121.14136 68.288202) (xy 121.091306 68.314957) (xy 121.011195 68.48159) (xy 118.28075 68.48159) (xy 117.573759 67.7746)
+ (xy 117.610463 67.754981) (xy 117.667869 67.707869) (xy 117.714981 67.650463) (xy 117.749988 67.58497) (xy 117.771545 67.513905)
+ (xy 117.778824 67.44) (xy 117.778824 65.74) (xy 117.771545 65.666095) (xy 117.749988 65.59503) (xy 117.714981 65.529537)
+ (xy 117.667869 65.472131) (xy 117.610463 65.425019) (xy 117.54497 65.390012) (xy 117.473905 65.368455) (xy 117.4 65.361176)
+ (xy 115.948758 65.361176) (xy 116.112894 65.19704) (xy 116.192097 65.229847) (xy 116.429151 65.277) (xy 116.670849 65.277)
+ (xy 116.907903 65.229847) (xy 117.131202 65.137353) (xy 117.332167 65.003073) (xy 117.503073 64.832167) (xy 117.637353 64.631202)
+ (xy 117.729847 64.407903) (xy 117.777 64.170849) (xy 117.777 63.929151) (xy 117.729847 63.692097) (xy 117.637353 63.468798)
+ (xy 117.503073 63.267833) (xy 117.332167 63.096927) (xy 117.131202 62.962647) (xy 116.907903 62.870153) (xy 116.670849 62.823)
+ (xy 116.429151 62.823) (xy 116.192097 62.870153) (xy 115.968798 62.962647) (xy 115.767833 63.096927) (xy 115.596927 63.267833)
+ (xy 115.462647 63.468798) (xy 115.370153 63.692097) (xy 115.323 63.929151) (xy 115.323 64.170849) (xy 115.370153 64.407903)
+ (xy 115.40296 64.487106) (xy 115.05199 64.838076) (xy 115.05199 61.826351) (xy 115.364482 61.826351) (xy 115.388518 61.905605)
+ (xy 115.488014 62.124597) (xy 115.628322 62.319971) (xy 115.804049 62.484218) (xy 116.008443 62.611026) (xy 116.233648 62.695523)
+ (xy 116.423 62.636166) (xy 116.423 61.637) (xy 116.677 61.637) (xy 116.677 62.636166) (xy 116.866352 62.695523)
+ (xy 117.091557 62.611026) (xy 117.295951 62.484218) (xy 117.471678 62.319971) (xy 117.611986 62.124597) (xy 117.711482 61.905605)
+ (xy 117.735518 61.826351) (xy 117.675608 61.637) (xy 116.677 61.637) (xy 116.423 61.637) (xy 115.424392 61.637)
+ (xy 115.364482 61.826351) (xy 115.05199 61.826351) (xy 115.05199 61.193649) (xy 115.364482 61.193649) (xy 115.424392 61.383)
+ (xy 116.423 61.383) (xy 116.423 60.383834) (xy 116.677 60.383834) (xy 116.677 61.383) (xy 117.675608 61.383)
+ (xy 117.735518 61.193649) (xy 117.711482 61.114395) (xy 117.611986 60.895403) (xy 117.471678 60.700029) (xy 117.295951 60.535782)
+ (xy 117.091557 60.408974) (xy 116.866352 60.324477) (xy 116.677 60.383834) (xy 116.423 60.383834) (xy 116.233648 60.324477)
+ (xy 116.008443 60.408974) (xy 115.804049 60.535782) (xy 115.628322 60.700029) (xy 115.488014 60.895403) (xy 115.388518 61.114395)
+ (xy 115.364482 61.193649) (xy 115.05199 61.193649) (xy 115.05199 61.177944) (xy 116.0724 60.157535) (xy 116.072404 60.15753)
+ (xy 116.112894 60.11704) (xy 116.192097 60.149847) (xy 116.429151 60.197) (xy 116.670849 60.197) (xy 116.907903 60.149847)
+ (xy 117.131202 60.057353) (xy 117.332167 59.923073) (xy 117.503073 59.752167) (xy 117.637353 59.551202) (xy 117.729847 59.327903)
+ (xy 117.777 59.090849) (xy 117.777 58.849151) (xy 117.729847 58.612097) (xy 117.637353 58.388798) (xy 117.503073 58.187833)
+ (xy 117.332167 58.016927) (xy 117.131202 57.882647) (xy 116.907903 57.790153) (xy 116.670849 57.743) (xy 116.429151 57.743)
+ (xy 116.192097 57.790153) (xy 115.968798 57.882647) (xy 115.767833 58.016927) (xy 115.596927 58.187833) (xy 115.462647 58.388798)
+ (xy 115.370153 58.612097) (xy 115.323 58.849151) (xy 115.323 59.090849) (xy 115.370153 59.327903) (xy 115.40296 59.407106)
+ (xy 115.36247 59.447596) (xy 115.362465 59.4476) (xy 114.212453 60.597613) (xy 114.193307 60.613326) (xy 114.177594 60.632472)
+ (xy 114.177591 60.632475) (xy 114.130574 60.689765) (xy 114.08396 60.776974) (xy 114.055255 60.871601) (xy 114.045563 60.97001)
+ (xy 114.047991 60.994663) (xy 114.04799 67.525347) (xy 114.045563 67.54999) (xy 114.04799 67.574633) (xy 114.04799 67.574642)
+ (xy 114.055254 67.648398) (xy 114.083959 67.743025) (xy 114.130573 67.830235) (xy 114.193306 67.906674) (xy 114.212458 67.922392)
+ (xy 122.752603 76.462538) (xy 122.768316 76.481684) (xy 122.787462 76.497397) (xy 122.787464 76.497399) (xy 122.818659 76.523)
+ (xy 122.844755 76.544417) (xy 122.886776 76.566878) (xy 120.553824 78.899831) (xy 120.553824 78.5) (xy 120.546545 78.426095)
+ (xy 120.538629 78.4) (xy 120.546545 78.373905) (xy 120.553824 78.3) (xy 120.553824 77.85) (xy 120.546545 77.776095)
+ (xy 120.538629 77.75) (xy 120.546545 77.723905) (xy 120.553824 77.65) (xy 120.553824 77.2) (xy 120.546545 77.126095)
+ (xy 120.538629 77.1) (xy 120.546545 77.073905) (xy 120.553824 77) (xy 120.553824 76.55) (xy 120.546545 76.476095)
+ (xy 120.538629 76.45) (xy 120.546545 76.423905) (xy 120.553824 76.35) (xy 120.553824 75.9) (xy 120.546545 75.826095)
+ (xy 120.538629 75.8) (xy 120.546545 75.773905) (xy 120.553824 75.7) (xy 120.552 75.66725) (xy 120.45775 75.573)
+ (xy 120.362977 75.573) (xy 120.31997 75.550012) (xy 120.248905 75.528455) (xy 120.175 75.521176) (xy 118.725 75.521176)
+ (xy 118.651095 75.528455) (xy 118.58003 75.550012) (xy 118.537023 75.573) (xy 118.44225 75.573) (xy 118.39225 75.623)
+ (xy 117.753824 75.623) (xy 117.753824 75.25) (xy 118.346176 75.25) (xy 118.348 75.28275) (xy 118.44225 75.377)
+ (xy 119.323 75.377) (xy 119.323 74.96725) (xy 119.577 74.96725) (xy 119.577 75.377) (xy 120.45775 75.377)
+ (xy 120.552 75.28275) (xy 120.553824 75.25) (xy 120.546545 75.176095) (xy 120.524988 75.10503) (xy 120.489981 75.039537)
+ (xy 120.442869 74.982131) (xy 120.385463 74.935019) (xy 120.31997 74.900012) (xy 120.248905 74.878455) (xy 120.175 74.871176)
+ (xy 119.67125 74.873) (xy 119.577 74.96725) (xy 119.323 74.96725) (xy 119.22875 74.873) (xy 118.725 74.871176)
+ (xy 118.651095 74.878455) (xy 118.58003 74.900012) (xy 118.514537 74.935019) (xy 118.457131 74.982131) (xy 118.410019 75.039537)
+ (xy 118.375012 75.10503) (xy 118.353455 75.176095) (xy 118.346176 75.25) (xy 117.753824 75.25) (xy 117.753824 74.85)
+ (xy 117.746545 74.776095) (xy 117.724988 74.70503) (xy 117.689981 74.639537) (xy 117.642869 74.582131) (xy 117.585463 74.535019)
+ (xy 117.51997 74.500012) (xy 117.51993 74.5) (xy 117.51997 74.499988) (xy 117.585463 74.464981) (xy 117.642869 74.417869)
+ (xy 117.689981 74.360463) (xy 117.724988 74.29497) (xy 117.746545 74.223905) (xy 117.753824 74.15) (xy 117.752 73.77125)
+ (xy 117.65775 73.677) (xy 117.127 73.677) (xy 117.127 73.697) (xy 116.873 73.697) (xy 116.873 73.677)
+ (xy 116.34225 73.677) (xy 116.248 73.77125) (xy 116.246176 74.15) (xy 116.253455 74.223905) (xy 116.275012 74.29497)
+ (xy 116.310019 74.360463) (xy 116.357131 74.417869) (xy 116.414537 74.464981) (xy 116.48003 74.499988) (xy 116.48007 74.5)
+ (xy 116.48003 74.500012) (xy 116.414537 74.535019) (xy 116.357131 74.582131) (xy 116.310019 74.639537) (xy 116.275012 74.70503)
+ (xy 116.253455 74.776095) (xy 116.246176 74.85) (xy 116.246176 75.623) (xy 115.982935 75.623) (xy 115.922403 75.562468)
+ (xy 115.906685 75.543316) (xy 115.830246 75.480583) (xy 115.828669 75.47974) (xy 115.827 74.72125) (xy 115.73275 74.627)
+ (xy 114.677 74.627) (xy 114.677 74.647) (xy 114.423 74.647) (xy 114.423 74.627) (xy 114.403 74.627)
+ (xy 114.403 74.373) (xy 114.423 74.373) (xy 114.423 73.16725) (xy 114.677 73.16725) (xy 114.677 74.373)
+ (xy 115.73275 74.373) (xy 115.827 74.27875) (xy 115.828824 73.45) (xy 115.821545 73.376095) (xy 115.799988 73.30503)
+ (xy 115.764981 73.239537) (xy 115.717869 73.182131) (xy 115.660463 73.135019) (xy 115.59497 73.100012) (xy 115.523905 73.078455)
+ (xy 115.45 73.071176) (xy 114.77125 73.073) (xy 114.677 73.16725) (xy 114.423 73.16725) (xy 114.32875 73.073)
+ (xy 113.65 73.071176) (xy 113.576095 73.078455) (xy 113.50503 73.100012) (xy 113.439537 73.135019) (xy 113.4 73.167466)
+ (xy 113.360463 73.135019) (xy 113.29497 73.100012) (xy 113.223905 73.078455) (xy 113.15 73.071176) (xy 111.35 73.071176)
+ (xy 111.276095 73.078455) (xy 111.20503 73.100012) (xy 111.139537 73.135019) (xy 111.082131 73.182131) (xy 111.035019 73.239537)
+ (xy 111.000012 73.30503) (xy 110.978455 73.376095) (xy 110.971176 73.45) (xy 110.971176 75.55) (xy 110.978455 75.623905)
+ (xy 111.000012 75.69497) (xy 111.035019 75.760463) (xy 111.082131 75.817869) (xy 111.139537 75.864981) (xy 111.20503 75.899988)
+ (xy 111.276095 75.921545) (xy 111.35 75.928824) (xy 112.818889 75.928824) (xy 112.862015 75.97195) (xy 112.47125 75.973)
+ (xy 112.377 76.06725) (xy 112.377 77.273) (xy 112.397 77.273) (xy 112.397 77.527) (xy 112.377 77.527)
+ (xy 112.377 78.73275) (xy 112.47125 78.827) (xy 113.15 78.828824) (xy 113.223905 78.821545) (xy 113.29497 78.799988)
+ (xy 113.360463 78.764981) (xy 113.4 78.732534) (xy 113.439537 78.764981) (xy 113.50503 78.799988) (xy 113.576095 78.821545)
+ (xy 113.65 78.828824) (xy 113.948001 78.828824) (xy 113.948 79.696176) (xy 113.85 79.696176) (xy 113.776095 79.703455)
+ (xy 113.70503 79.725012) (xy 113.639537 79.760019) (xy 113.582131 79.807131) (xy 113.535019 79.864537) (xy 113.500012 79.93003)
+ (xy 113.5 79.93007) (xy 113.499988 79.93003) (xy 113.464981 79.864537) (xy 113.417869 79.807131) (xy 113.360463 79.760019)
+ (xy 113.29497 79.725012) (xy 113.223905 79.703455) (xy 113.15 79.696176) (xy 112.77125 79.698) (xy 112.677 79.79225)
+ (xy 112.677 80.323) (xy 112.697 80.323) (xy 112.697 80.577) (xy 112.677 80.577) (xy 112.677 81.10775)
+ (xy 112.77125 81.202) (xy 113.15 81.203824) (xy 113.223905 81.196545) (xy 113.29497 81.174988) (xy 113.360463 81.139981)
+ (xy 113.417869 81.092869) (xy 113.464981 81.035463) (xy 113.499988 80.96997) (xy 113.5 80.96993) (xy 113.500012 80.96997)
+ (xy 113.535019 81.035463) (xy 113.582131 81.092869) (xy 113.639537 81.139981) (xy 113.70503 81.174988) (xy 113.776095 81.196545)
+ (xy 113.85 81.203824) (xy 114.948001 81.203824) (xy 114.948001 81.719626) (xy 114.898357 81.693091) (xy 114.782739 81.658018)
+ (xy 114.6625 81.646176) (xy 114.0875 81.646176) (xy 113.967261 81.658018) (xy 113.851643 81.693091) (xy 113.745089 81.750045)
+ (xy 113.651693 81.826693) (xy 113.575045 81.920089) (xy 113.518091 82.026643) (xy 113.5 82.08628) (xy 113.481909 82.026643)
+ (xy 113.424955 81.920089) (xy 113.348307 81.826693) (xy 113.254911 81.750045) (xy 113.148357 81.693091) (xy 113.032739 81.658018)
+ (xy 112.9125 81.646176) (xy 112.3375 81.646176) (xy 112.217261 81.658018) (xy 112.101643 81.693091) (xy 111.995089 81.750045)
+ (xy 111.901693 81.826693) (xy 111.825045 81.920089) (xy 111.768091 82.026643) (xy 111.733018 82.142261) (xy 111.721176 82.2625)
+ (xy 111.721176 82.7375) (xy 111.733018 82.857739) (xy 111.768091 82.973357) (xy 111.825045 83.079911) (xy 111.901693 83.173307)
+ (xy 111.995089 83.249955) (xy 112.101643 83.306909) (xy 112.217261 83.341982) (xy 112.289126 83.34906) (xy 112.777603 83.837538)
+ (xy 112.793316 83.856684) (xy 112.812462 83.872397) (xy 112.812464 83.872399) (xy 112.83664 83.89224) (xy 112.869755 83.919417)
+ (xy 112.956964 83.966031) (xy 113.051591 83.994736) (xy 113.125347 84.002) (xy 113.125356 84.002) (xy 113.149999 84.004427)
+ (xy 113.174642 84.002) (xy 114.975357 84.002) (xy 115 84.004427) (xy 115.024643 84.002) (xy 115.024653 84.002)
+ (xy 115.098409 83.994736) (xy 115.193036 83.966031) (xy 115.280245 83.919417) (xy 115.356684 83.856684) (xy 115.372401 83.837533)
+ (xy 116.287538 82.922397) (xy 116.306684 82.906684) (xy 116.334822 82.872399) (xy 116.354617 82.848278) (xy 116.369417 82.830245)
+ (xy 116.416031 82.743036) (xy 116.444736 82.648409) (xy 116.452 82.574653) (xy 116.452 82.574643) (xy 116.454427 82.55)
+ (xy 116.452 82.525357) (xy 116.452 81.635147) (xy 116.531952 81.688569) (xy 116.673357 81.747141) (xy 116.823472 81.777)
+ (xy 116.976528 81.777) (xy 117.126643 81.747141) (xy 117.198001 81.717584) (xy 117.198001 81.803154) (xy 117.096464 81.904691)
+ (xy 117.011431 82.031952) (xy 116.952859 82.173357) (xy 116.923 82.323472) (xy 116.923 82.476528) (xy 116.952859 82.626643)
+ (xy 117.011431 82.768048) (xy 117.096464 82.895309) (xy 117.204691 83.003536) (xy 117.331952 83.088569) (xy 117.473357 83.147141)
+ (xy 117.623472 83.177) (xy 117.776528 83.177) (xy 117.926643 83.147141) (xy 118.068048 83.088569) (xy 118.195309 83.003536)
+ (xy 118.303536 82.895309) (xy 118.388569 82.768048) (xy 118.447141 82.626643) (xy 118.477 82.476528) (xy 118.477 82.323472)
+ (xy 118.447141 82.173357) (xy 118.388569 82.031952) (xy 118.303536 81.904691) (xy 118.202 81.803155) (xy 118.202 81.657934)
+ (xy 118.346176 81.513758) (xy 118.346176 81.55) (xy 118.353455 81.623905) (xy 118.375012 81.69497) (xy 118.410019 81.760463)
+ (xy 118.457131 81.817869) (xy 118.514537 81.864981) (xy 118.58003 81.899988) (xy 118.651095 81.921545) (xy 118.725 81.928824)
+ (xy 118.948 81.928824) (xy 118.948001 82.204783) (xy 118.931952 82.211431) (xy 118.804691 82.296464) (xy 118.696464 82.404691)
+ (xy 118.611431 82.531952) (xy 118.552859 82.673357) (xy 118.523 82.823472) (xy 118.523 82.976528) (xy 118.552859 83.126643)
+ (xy 118.611431 83.268048) (xy 118.696464 83.395309) (xy 118.72061 83.419455) (xy 113.692066 88.448) (xy 112.951488 88.448)
+ (xy 112.865901 88.362413) (xy 112.850183 88.343261) (xy 112.773744 88.280528) (xy 112.686535 88.233914) (xy 112.591908 88.205209)
+ (xy 112.52537 88.198656) (xy 112.483086 88.156372) (xy 112.560793 88.173864) (xy 112.782723 88.179428) (xy 113.001474 88.141588)
+ (xy 113.20864 88.061798) (xy 113.258694 88.035043) (xy 113.338805 87.86841) (xy 112.7 87.229605) (xy 112.685858 87.243748)
+ (xy 112.506253 87.064143) (xy 112.520395 87.05) (xy 112.879605 87.05) (xy 113.51841 87.688805) (xy 113.685043 87.608694)
+ (xy 113.775111 87.405787) (xy 113.823864 87.189207) (xy 113.829428 86.967277) (xy 113.791588 86.748526) (xy 113.711798 86.54136)
+ (xy 113.685043 86.491306) (xy 113.51841 86.411195) (xy 112.879605 87.05) (xy 112.520395 87.05) (xy 111.88159 86.411195)
+ (xy 111.714957 86.491306) (xy 111.624889 86.694213) (xy 111.576136 86.910793) (xy 111.572177 87.068688) (xy 110.735079 86.23159)
+ (xy 112.061195 86.23159) (xy 112.7 86.870395) (xy 113.338805 86.23159) (xy 113.258694 86.064957) (xy 113.055787 85.974889)
+ (xy 112.839207 85.926136) (xy 112.617277 85.920572) (xy 112.398526 85.958412) (xy 112.19136 86.038202) (xy 112.141306 86.064957)
+ (xy 112.061195 86.23159) (xy 110.735079 86.23159) (xy 110.515953 86.012465) (xy 110.500238 85.993316) (xy 110.423799 85.930583)
+ (xy 110.33659 85.883969) (xy 110.241963 85.855264) (xy 110.168207 85.848) (xy 110.168197 85.848) (xy 110.143554 85.845573)
+ (xy 110.118911 85.848) (xy 106.594346 85.848) (xy 104.683785 83.937441) (xy 104.668072 83.918295) (xy 104.647719 83.901591)
+ (xy 104.591634 83.855563) (xy 104.591635 83.855563) (xy 104.591633 83.855562) (xy 104.504424 83.808948) (xy 104.419908 83.78331)
+ (xy 104.483766 83.749177) (xy 104.60208 83.65208) (xy 104.626413 83.62243) (xy 107.423843 80.825) (xy 111.571176 80.825)
+ (xy 111.578455 80.898905) (xy 111.600012 80.96997) (xy 111.635019 81.035463) (xy 111.682131 81.092869) (xy 111.739537 81.139981)
+ (xy 111.80503 81.174988) (xy 111.876095 81.196545) (xy 111.95 81.203824) (xy 112.32875 81.202) (xy 112.423 81.10775)
+ (xy 112.423 80.577) (xy 111.66725 80.577) (xy 111.573 80.67125) (xy 111.571176 80.825) (xy 107.423843 80.825)
+ (xy 107.772436 80.476408) (xy 107.80208 80.45208) (xy 107.826409 80.422435) (xy 107.899177 80.333767) (xy 107.971327 80.198784)
+ (xy 107.977935 80.177) (xy 108.008876 80.075) (xy 111.571176 80.075) (xy 111.573 80.22875) (xy 111.66725 80.323)
+ (xy 112.423 80.323) (xy 112.423 79.79225) (xy 112.32875 79.698) (xy 111.95 79.696176) (xy 111.876095 79.703455)
+ (xy 111.80503 79.725012) (xy 111.739537 79.760019) (xy 111.682131 79.807131) (xy 111.635019 79.864537) (xy 111.600012 79.93003)
+ (xy 111.578455 80.001095) (xy 111.571176 80.075) (xy 108.008876 80.075) (xy 108.015757 80.052319) (xy 108.027 79.938166)
+ (xy 108.027 79.938157) (xy 108.030758 79.900001) (xy 108.027 79.861845) (xy 108.027 78.45) (xy 110.971176 78.45)
+ (xy 110.978455 78.523905) (xy 111.000012 78.59497) (xy 111.035019 78.660463) (xy 111.082131 78.717869) (xy 111.139537 78.764981)
+ (xy 111.20503 78.799988) (xy 111.276095 78.821545) (xy 111.35 78.828824) (xy 112.02875 78.827) (xy 112.123 78.73275)
+ (xy 112.123 77.527) (xy 111.06725 77.527) (xy 110.973 77.62125) (xy 110.971176 78.45) (xy 108.027 78.45)
+ (xy 108.027 76.35) (xy 110.971176 76.35) (xy 110.973 77.17875) (xy 111.06725 77.273) (xy 112.123 77.273)
+ (xy 112.123 76.06725) (xy 112.02875 75.973) (xy 111.35 75.971176) (xy 111.276095 75.978455) (xy 111.20503 76.000012)
+ (xy 111.139537 76.035019) (xy 111.082131 76.082131) (xy 111.035019 76.139537) (xy 111.000012 76.20503) (xy 110.978455 76.276095)
+ (xy 110.971176 76.35) (xy 108.027 76.35) (xy 108.027 72.95) (xy 116.246176 72.95) (xy 116.248 73.32875)
+ (xy 116.34225 73.423) (xy 116.873 73.423) (xy 116.873 72.66725) (xy 117.127 72.66725) (xy 117.127 73.423)
+ (xy 117.65775 73.423) (xy 117.752 73.32875) (xy 117.753824 72.95) (xy 117.746545 72.876095) (xy 117.724988 72.80503)
+ (xy 117.689981 72.739537) (xy 117.642869 72.682131) (xy 117.585463 72.635019) (xy 117.51997 72.600012) (xy 117.448905 72.578455)
+ (xy 117.375 72.571176) (xy 117.22125 72.573) (xy 117.127 72.66725) (xy 116.873 72.66725) (xy 116.77875 72.573)
+ (xy 116.625 72.571176) (xy 116.551095 72.578455) (xy 116.48003 72.600012) (xy 116.414537 72.635019) (xy 116.357131 72.682131)
+ (xy 116.310019 72.739537) (xy 116.275012 72.80503) (xy 116.253455 72.876095) (xy 116.246176 72.95) (xy 108.027 72.95)
+ (xy 108.027 69.054192) (xy 108.725413 69.054192) (xy 108.811595 69.225936) (xy 109.023051 69.321015) (xy 109.248992 69.373014)
+ (xy 109.480738 69.379936) (xy 109.70938 69.341512) (xy 109.926133 69.259221) (xy 109.988405 69.225936) (xy 110.074587 69.054192)
+ (xy 109.4 68.379605) (xy 108.725413 69.054192) (xy 108.027 69.054192) (xy 108.027 67.071843) (xy 108.121844 66.977)
+ (xy 108.221176 66.977) (xy 108.221176 67) (xy 108.228455 67.073905) (xy 108.250012 67.14497) (xy 108.285019 67.210463)
+ (xy 108.332131 67.267869) (xy 108.389537 67.314981) (xy 108.45503 67.349988) (xy 108.526095 67.371545) (xy 108.553992 67.374293)
+ (xy 108.474339 67.453946) (xy 108.545807 67.525414) (xy 108.374064 67.611595) (xy 108.278985 67.823051) (xy 108.226986 68.048992)
+ (xy 108.220064 68.280738) (xy 108.258488 68.50938) (xy 108.340779 68.726133) (xy 108.374064 68.788405) (xy 108.545808 68.874587)
+ (xy 109.220395 68.2) (xy 109.206253 68.185858) (xy 109.385858 68.006253) (xy 109.4 68.020395) (xy 109.414143 68.006253)
+ (xy 109.593748 68.185858) (xy 109.579605 68.2) (xy 110.254192 68.874587) (xy 110.425936 68.788405) (xy 110.521015 68.576949)
+ (xy 110.573014 68.351008) (xy 110.579936 68.119262) (xy 110.541512 67.89062) (xy 110.459221 67.673867) (xy 110.425936 67.611595)
+ (xy 110.254193 67.525414) (xy 110.325661 67.453946) (xy 110.246008 67.374293) (xy 110.273905 67.371545) (xy 110.34497 67.349988)
+ (xy 110.410463 67.314981) (xy 110.467869 67.267869) (xy 110.514981 67.210463) (xy 110.549988 67.14497) (xy 110.571545 67.073905)
+ (xy 110.578824 67) (xy 110.578824 65.4) (xy 110.571545 65.326095) (xy 110.549988 65.25503) (xy 110.514981 65.189537)
+ (xy 110.467869 65.132131) (xy 110.410463 65.085019) (xy 110.34497 65.050012) (xy 110.273905 65.028455) (xy 110.2 65.021176)
+ (xy 110.177 65.021176) (xy 110.177 62.957846) (xy 110.265641 62.930958) (xy 110.374356 62.872848) (xy 110.469646 62.794646)
+ (xy 110.547848 62.699356) (xy 110.566479 62.6645) (xy 111.249337 62.6645) (xy 111.2875 62.668259) (xy 111.325663 62.6645)
+ (xy 111.325666 62.6645) (xy 111.439819 62.653257) (xy 111.586284 62.608827) (xy 111.721266 62.536677) (xy 111.83958 62.43958)
+ (xy 111.863912 62.409931) (xy 112.145019 62.128824) (xy 112.1875 62.128824) (xy 112.307739 62.116982) (xy 112.423357 62.081909)
+ (xy 112.529911 62.024955) (xy 112.623307 61.948307) (xy 112.699955 61.854911) (xy 112.756909 61.748357) (xy 112.791982 61.632739)
+ (xy 112.803824 61.5125) (xy 112.803824 60.9375) (xy 112.791982 60.817261) (xy 112.756909 60.701643) (xy 112.699955 60.595089)
+ (xy 112.623307 60.501693) (xy 112.529911 60.425045) (xy 112.440568 60.377291) (xy 112.498905 60.371545) (xy 112.56997 60.349988)
+ (xy 112.635463 60.314981) (xy 112.692869 60.267869) (xy 112.739981 60.210463) (xy 112.774988 60.14497) (xy 112.796545 60.073905)
+ (xy 112.803824 60) (xy 112.802 59.69625) (xy 112.70775 59.602) (xy 112.077 59.602) (xy 112.077 59.622)
+ (xy 111.823 59.622) (xy 111.823 59.602) (xy 111.19225 59.602) (xy 111.098 59.69625) (xy 111.096176 60)
+ (xy 111.103455 60.073905) (xy 111.125012 60.14497) (xy 111.160019 60.210463) (xy 111.207131 60.267869) (xy 111.264537 60.314981)
+ (xy 111.33003 60.349988) (xy 111.401095 60.371545) (xy 111.459432 60.377291) (xy 111.370089 60.425045) (xy 111.276693 60.501693)
+ (xy 111.200045 60.595089) (xy 111.143091 60.701643) (xy 111.108018 60.817261) (xy 111.096176 60.9375) (xy 111.096176 60.97998)
+ (xy 110.965657 61.1105) (xy 110.566479 61.1105) (xy 110.547848 61.075644) (xy 110.469646 60.980354) (xy 110.374356 60.902152)
+ (xy 110.265641 60.844042) (xy 110.147677 60.808259) (xy 110.025 60.796176) (xy 109.34403 60.796176) (xy 109.311284 60.778673)
+ (xy 109.164819 60.734243) (xy 109.050666 60.723) (xy 109.050663 60.723) (xy 109.0125 60.719241) (xy 108.974337 60.723)
+ (xy 104.321844 60.723) (xy 103.376412 59.777569) (xy 103.35208 59.74792) (xy 103.233766 59.650823) (xy 103.185455 59.625)
+ (xy 108.146176 59.625) (xy 108.153455 59.698905) (xy 108.175012 59.76997) (xy 108.210019 59.835463) (xy 108.257131 59.892869)
+ (xy 108.314537 59.939981) (xy 108.38003 59.974988) (xy 108.451095 59.996545) (xy 108.525 60.003824) (xy 109.17875 60.002)
+ (xy 109.273 59.90775) (xy 109.273 59.0395) (xy 109.527 59.0395) (xy 109.527 59.90775) (xy 109.62125 60.002)
+ (xy 110.275 60.003824) (xy 110.348905 59.996545) (xy 110.41997 59.974988) (xy 110.485463 59.939981) (xy 110.542869 59.892869)
+ (xy 110.589981 59.835463) (xy 110.624988 59.76997) (xy 110.646545 59.698905) (xy 110.653824 59.625) (xy 110.652 59.13375)
+ (xy 110.55775 59.0395) (xy 109.527 59.0395) (xy 109.273 59.0395) (xy 108.24225 59.0395) (xy 108.148 59.13375)
+ (xy 108.146176 59.625) (xy 103.185455 59.625) (xy 103.098784 59.578673) (xy 102.952319 59.534243) (xy 102.838166 59.523)
+ (xy 102.838163 59.523) (xy 102.8 59.519241) (xy 102.761837 59.523) (xy 99.616819 59.523) (xy 99.518421 59.424602)
+ (xy 99.333835 59.301266) (xy 99.128734 59.21631) (xy 98.911 59.173) (xy 98.689 59.173) (xy 98.471266 59.21631)
+ (xy 98.266165 59.301266) (xy 98.081579 59.424602) (xy 97.924602 59.581579) (xy 97.801266 59.766165) (xy 97.71631 59.971266)
+ (xy 97.673 60.189) (xy 97.673 60.411) (xy 97.71631 60.628734) (xy 97.801266 60.833835) (xy 97.924602 61.018421)
+ (xy 98.081579 61.175398) (xy 98.266165 61.298734) (xy 98.471266 61.38369) (xy 98.689 61.427) (xy 98.911 61.427)
+ (xy 99.128734 61.38369) (xy 99.333835 61.298734) (xy 99.518421 61.175398) (xy 99.616819 61.077) (xy 102.478157 61.077)
+ (xy 103.223001 61.821845) (xy 103.223001 61.923835) (xy 103.176095 61.928455) (xy 103.10503 61.950012) (xy 103.039537 61.985019)
+ (xy 102.982131 62.032131) (xy 102.935019 62.089537) (xy 102.900012 62.15503) (xy 102.878455 62.226095) (xy 102.871176 62.3)
+ (xy 102.871176 64.3) (xy 102.878455 64.373905) (xy 102.900012 64.44497) (xy 102.935019 64.510463) (xy 102.982131 64.567869)
+ (xy 103.039537 64.614981) (xy 103.10503 64.649988) (xy 103.176095 64.671545) (xy 103.25 64.678824) (xy 104.71449 64.678824)
+ (xy 104.234939 65.158375) (xy 104.151693 65.226693) (xy 104.075045 65.320089) (xy 104.027291 65.409432) (xy 104.021545 65.351095)
+ (xy 103.999988 65.28003) (xy 103.964981 65.214537) (xy 103.917869 65.157131) (xy 103.860463 65.110019) (xy 103.79497 65.075012)
+ (xy 103.723905 65.053455) (xy 103.65 65.046176) (xy 103.34625 65.048) (xy 103.252 65.14225) (xy 103.252 65.773)
+ (xy 103.272 65.773) (xy 103.272 66.027) (xy 103.252 66.027) (xy 103.252 66.65775) (xy 103.34625 66.752)
+ (xy 103.65 66.753824) (xy 103.723905 66.746545) (xy 103.79497 66.724988) (xy 103.860463 66.689981) (xy 103.898 66.659175)
+ (xy 103.898001 67.489497) (xy 103.893273 67.5375) (xy 103.912137 67.729025) (xy 103.968003 67.91319) (xy 104.058725 68.082918)
+ (xy 104.135227 68.176136) (xy 104.180815 68.231686) (xy 104.218093 68.262279) (xy 104.396176 68.440362) (xy 104.396176 68.775)
+ (xy 104.408259 68.897677) (xy 104.444042 69.015641) (xy 104.502152 69.124356) (xy 104.510501 69.134529) (xy 104.510501 69.557813)
+ (xy 102.909636 71.158678) (xy 102.871266 71.16631) (xy 102.666165 71.251266) (xy 102.481579 71.374602) (xy 102.383181 71.473)
+ (xy 101.528824 71.473) (xy 101.528824 70.3) (xy 101.521545 70.226095) (xy 101.499988 70.15503) (xy 101.464981 70.089537)
+ (xy 101.417869 70.032131) (xy 101.360463 69.985019) (xy 101.29497 69.950012) (xy 101.223905 69.928455) (xy 101.15 69.921176)
+ (xy 101.027 69.921176) (xy 101.027 69.472993) (xy 101.031727 69.425) (xy 101.012863 69.233474) (xy 100.973152 69.102566)
+ (xy 100.956997 69.049309) (xy 100.944004 69.025) (xy 101.421176 69.025) (xy 101.428455 69.098905) (xy 101.450012 69.16997)
+ (xy 101.485019 69.235463) (xy 101.532131 69.292869) (xy 101.589537 69.339981) (xy 101.65503 69.374988) (xy 101.726095 69.396545)
+ (xy 101.8 69.403824) (xy 102.29125 69.402) (xy 102.3855 69.30775) (xy 102.3855 68.277) (xy 102.6395 68.277)
+ (xy 102.6395 69.30775) (xy 102.73375 69.402) (xy 103.225 69.403824) (xy 103.298905 69.396545) (xy 103.36997 69.374988)
+ (xy 103.435463 69.339981) (xy 103.492869 69.292869) (xy 103.539981 69.235463) (xy 103.574988 69.16997) (xy 103.596545 69.098905)
+ (xy 103.603824 69.025) (xy 103.602 68.37125) (xy 103.50775 68.277) (xy 102.6395 68.277) (xy 102.3855 68.277)
+ (xy 101.51725 68.277) (xy 101.423 68.37125) (xy 101.421176 69.025) (xy 100.944004 69.025) (xy 100.866276 68.879582)
+ (xy 100.744186 68.730814) (xy 100.706902 68.700216) (xy 100.102 68.095314) (xy 100.102 67.47299) (xy 100.106727 67.424999)
+ (xy 100.100025 67.356954) (xy 100.091953 67.275) (xy 101.421176 67.275) (xy 101.423 67.92875) (xy 101.51725 68.023)
+ (xy 102.3855 68.023) (xy 102.3855 66.99225) (xy 102.6395 66.99225) (xy 102.6395 68.023) (xy 103.50775 68.023)
+ (xy 103.602 67.92875) (xy 103.603824 67.275) (xy 103.596545 67.201095) (xy 103.574988 67.13003) (xy 103.539981 67.064537)
+ (xy 103.492869 67.007131) (xy 103.435463 66.960019) (xy 103.36997 66.925012) (xy 103.298905 66.903455) (xy 103.225 66.896176)
+ (xy 102.73375 66.898) (xy 102.6395 66.99225) (xy 102.3855 66.99225) (xy 102.29125 66.898) (xy 101.8 66.896176)
+ (xy 101.726095 66.903455) (xy 101.65503 66.925012) (xy 101.589537 66.960019) (xy 101.532131 67.007131) (xy 101.485019 67.064537)
+ (xy 101.450012 67.13003) (xy 101.428455 67.201095) (xy 101.421176 67.275) (xy 100.091953 67.275) (xy 100.087863 67.233475)
+ (xy 100.031997 67.049309) (xy 99.941276 66.879582) (xy 99.819186 66.730814) (xy 99.781907 66.70022) (xy 99.456687 66.375)
+ (xy 102.221176 66.375) (xy 102.228455 66.448905) (xy 102.250012 66.51997) (xy 102.285019 66.585463) (xy 102.332131 66.642869)
+ (xy 102.389537 66.689981) (xy 102.45503 66.724988) (xy 102.526095 66.746545) (xy 102.6 66.753824) (xy 102.90375 66.752)
+ (xy 102.998 66.65775) (xy 102.998 66.027) (xy 102.31725 66.027) (xy 102.223 66.12125) (xy 102.221176 66.375)
+ (xy 99.456687 66.375) (xy 99.024784 65.943098) (xy 98.994186 65.905814) (xy 98.845418 65.783724) (xy 98.675691 65.693003)
+ (xy 98.491525 65.637137) (xy 98.347993 65.623) (xy 98.3 65.618273) (xy 98.252007 65.623) (xy 87.977 65.623)
+ (xy 87.977 65.425) (xy 102.221176 65.425) (xy 102.223 65.67875) (xy 102.31725 65.773) (xy 102.998 65.773)
+ (xy 102.998 65.14225) (xy 102.90375 65.048) (xy 102.6 65.046176) (xy 102.526095 65.053455) (xy 102.45503 65.075012)
+ (xy 102.389537 65.110019) (xy 102.332131 65.157131) (xy 102.285019 65.214537) (xy 102.250012 65.28003) (xy 102.228455 65.351095)
+ (xy 102.221176 65.425) (xy 87.977 65.425) (xy 87.977 64.26841) (xy 98.111195 64.26841) (xy 98.191306 64.435043)
+ (xy 98.394213 64.525111) (xy 98.610793 64.573864) (xy 98.832723 64.579428) (xy 99.051474 64.541588) (xy 99.25864 64.461798)
+ (xy 99.308694 64.435043) (xy 99.373617 64.3) (xy 100.571176 64.3) (xy 100.578455 64.373905) (xy 100.600012 64.44497)
+ (xy 100.635019 64.510463) (xy 100.682131 64.567869) (xy 100.739537 64.614981) (xy 100.80503 64.649988) (xy 100.876095 64.671545)
+ (xy 100.95 64.678824) (xy 101.47875 64.677) (xy 101.573 64.58275) (xy 101.573 63.427) (xy 101.827 63.427)
+ (xy 101.827 64.58275) (xy 101.92125 64.677) (xy 102.45 64.678824) (xy 102.523905 64.671545) (xy 102.59497 64.649988)
+ (xy 102.660463 64.614981) (xy 102.717869 64.567869) (xy 102.764981 64.510463) (xy 102.799988 64.44497) (xy 102.821545 64.373905)
+ (xy 102.828824 64.3) (xy 102.827 63.52125) (xy 102.73275 63.427) (xy 101.827 63.427) (xy 101.573 63.427)
+ (xy 100.66725 63.427) (xy 100.573 63.52125) (xy 100.571176 64.3) (xy 99.373617 64.3) (xy 99.388805 64.26841)
+ (xy 98.75 63.629605) (xy 98.111195 64.26841) (xy 87.977 64.26841) (xy 87.977 63.532723) (xy 97.620572 63.532723)
+ (xy 97.658412 63.751474) (xy 97.738202 63.95864) (xy 97.764957 64.008694) (xy 97.93159 64.088805) (xy 98.570395 63.45)
+ (xy 98.929605 63.45) (xy 99.56841 64.088805) (xy 99.735043 64.008694) (xy 99.825111 63.805787) (xy 99.873864 63.589207)
+ (xy 99.879428 63.367277) (xy 99.841588 63.148526) (xy 99.761798 62.94136) (xy 99.735043 62.891306) (xy 99.56841 62.811195)
+ (xy 98.929605 63.45) (xy 98.570395 63.45) (xy 97.93159 62.811195) (xy 97.764957 62.891306) (xy 97.674889 63.094213)
+ (xy 97.626136 63.310793) (xy 97.620572 63.532723) (xy 87.977 63.532723) (xy 87.977 63.428824) (xy 88 63.428824)
+ (xy 88.073905 63.421545) (xy 88.14497 63.399988) (xy 88.210463 63.364981) (xy 88.267869 63.317869) (xy 88.314981 63.260463)
+ (xy 88.349988 63.19497) (xy 88.371545 63.123905) (xy 88.378824 63.05) (xy 88.378824 62.78895) (xy 88.465624 62.920617)
+ (xy 88.656117 63.113489) (xy 88.880577 63.265491) (xy 89.130378 63.370783) (xy 89.200071 63.384383) (xy 89.413 63.326448)
+ (xy 89.413 61.877) (xy 89.667 61.877) (xy 89.667 63.326448) (xy 89.879929 63.384383) (xy 89.949622 63.370783)
+ (xy 90.199423 63.265491) (xy 90.423883 63.113489) (xy 90.614376 62.920617) (xy 90.763581 62.694288) (xy 90.789096 62.63159)
+ (xy 98.111195 62.63159) (xy 98.75 63.270395) (xy 99.388805 62.63159) (xy 99.308694 62.464957) (xy 99.105787 62.374889)
+ (xy 98.889207 62.326136) (xy 98.667277 62.320572) (xy 98.448526 62.358412) (xy 98.24136 62.438202) (xy 98.191306 62.464957)
+ (xy 98.111195 62.63159) (xy 90.789096 62.63159) (xy 90.865765 62.443199) (xy 90.893326 62.3) (xy 100.571176 62.3)
+ (xy 100.573 63.07875) (xy 100.66725 63.173) (xy 101.573 63.173) (xy 101.573 62.01725) (xy 101.827 62.01725)
+ (xy 101.827 63.173) (xy 102.73275 63.173) (xy 102.827 63.07875) (xy 102.828824 62.3) (xy 102.821545 62.226095)
+ (xy 102.799988 62.15503) (xy 102.764981 62.089537) (xy 102.717869 62.032131) (xy 102.660463 61.985019) (xy 102.59497 61.950012)
+ (xy 102.523905 61.928455) (xy 102.45 61.921176) (xy 101.92125 61.923) (xy 101.827 62.01725) (xy 101.573 62.01725)
+ (xy 101.47875 61.923) (xy 100.95 61.921176) (xy 100.876095 61.928455) (xy 100.80503 61.950012) (xy 100.739537 61.985019)
+ (xy 100.682131 62.032131) (xy 100.635019 62.089537) (xy 100.600012 62.15503) (xy 100.578455 62.226095) (xy 100.571176 62.3)
+ (xy 90.893326 62.3) (xy 90.917 62.177) (xy 90.917 61.877) (xy 89.667 61.877) (xy 89.413 61.877)
+ (xy 89.393 61.877) (xy 89.393 61.623) (xy 89.413 61.623) (xy 89.413 60.173552) (xy 89.667 60.173552)
+ (xy 89.667 61.623) (xy 90.917 61.623) (xy 90.917 61.323) (xy 90.865765 61.056801) (xy 90.763581 60.805712)
+ (xy 90.614376 60.579383) (xy 90.423883 60.386511) (xy 90.199423 60.234509) (xy 89.949622 60.129217) (xy 89.879929 60.115617)
+ (xy 89.667 60.173552) (xy 89.413 60.173552) (xy 89.200071 60.115617) (xy 89.130378 60.129217) (xy 88.880577 60.234509)
+ (xy 88.656117 60.386511) (xy 88.465624 60.579383) (xy 88.378824 60.71105) (xy 88.378824 60.45) (xy 88.371545 60.376095)
+ (xy 88.349988 60.30503) (xy 88.314981 60.239537) (xy 88.267869 60.182131) (xy 88.210463 60.135019) (xy 88.14497 60.100012)
+ (xy 88.073905 60.078455) (xy 88 60.071176) (xy 86 60.071176) (xy 85.926095 60.078455) (xy 85.85503 60.100012)
+ (xy 85.789537 60.135019) (xy 85.732131 60.182131) (xy 85.685019 60.239537) (xy 85.650012 60.30503) (xy 85.628455 60.376095)
+ (xy 85.621176 60.45) (xy 85.621176 63.05) (xy 85.628455 63.123905) (xy 85.650012 63.19497) (xy 85.685019 63.260463)
+ (xy 85.732131 63.317869) (xy 85.789537 63.364981) (xy 85.85503 63.399988) (xy 85.926095 63.421545) (xy 86 63.428824)
+ (xy 86.023 63.428824) (xy 86.023 64.297992) (xy 86.023001 64.298002) (xy 86.023 66.552004) (xy 86.018273 66.6)
+ (xy 86.023 66.647995) (xy 86.023 66.995314) (xy 81.245314 71.773) (xy 80.828824 71.773) (xy 80.828824 71.55)
+ (xy 80.821545 71.476095) (xy 80.799988 71.40503) (xy 80.764981 71.339537) (xy 80.717869 71.282131) (xy 80.660463 71.235019)
+ (xy 80.59497 71.200012) (xy 80.523905 71.178455) (xy 80.45 71.171176) (xy 78.05 71.171176) (xy 77.976095 71.178455)
+ (xy 77.90503 71.200012) (xy 77.839537 71.235019) (xy 77.782131 71.282131) (xy 77.735019 71.339537) (xy 77.700012 71.40503)
+ (xy 77.678455 71.476095) (xy 77.671176 71.55) (xy 77.671176 73.95) (xy 77.678455 74.023905) (xy 77.700012 74.09497)
+ (xy 77.735019 74.160463) (xy 77.782131 74.217869) (xy 77.839537 74.264981) (xy 77.90503 74.299988) (xy 77.976095 74.321545)
+ (xy 78.05 74.328824) (xy 80.45 74.328824) (xy 80.523905 74.321545) (xy 80.59497 74.299988) (xy 80.660463 74.264981)
+ (xy 80.717869 74.217869) (xy 80.764981 74.160463) (xy 80.799988 74.09497) (xy 80.821545 74.023905) (xy 80.828824 73.95)
+ (xy 80.828824 73.727) (xy 81.602007 73.727) (xy 81.65 73.731727) (xy 81.841525 73.712863) (xy 82.025691 73.656997)
+ (xy 82.195418 73.566276) (xy 82.344186 73.444186) (xy 82.374784 73.406902) (xy 82.381686 73.4) (xy 86.371176 73.4)
+ (xy 86.378455 73.473905) (xy 86.400012 73.54497) (xy 86.435019 73.610463) (xy 86.482131 73.667869) (xy 86.539537 73.714981)
+ (xy 86.60503 73.749988) (xy 86.676095 73.771545) (xy 86.75 73.778824) (xy 87.77875 73.777) (xy 87.873 73.68275)
+ (xy 87.873 72.627) (xy 88.127 72.627) (xy 88.127 73.68275) (xy 88.22125 73.777) (xy 89.25 73.778824)
+ (xy 89.323905 73.771545) (xy 89.39497 73.749988) (xy 89.460463 73.714981) (xy 89.517869 73.667869) (xy 89.564981 73.610463)
+ (xy 89.599988 73.54497) (xy 89.621545 73.473905) (xy 89.628824 73.4) (xy 89.627 72.72125) (xy 89.53275 72.627)
+ (xy 88.127 72.627) (xy 87.873 72.627) (xy 86.46725 72.627) (xy 86.373 72.72125) (xy 86.371176 73.4)
+ (xy 82.381686 73.4) (xy 84.181686 71.6) (xy 86.371176 71.6) (xy 86.373 72.27875) (xy 86.46725 72.373)
+ (xy 87.873 72.373) (xy 87.873 71.31725) (xy 88.127 71.31725) (xy 88.127 72.373) (xy 89.53275 72.373)
+ (xy 89.627 72.27875) (xy 89.628824 71.6) (xy 89.621545 71.526095) (xy 89.599988 71.45503) (xy 89.564981 71.389537)
+ (xy 89.517869 71.332131) (xy 89.460463 71.285019) (xy 89.39497 71.250012) (xy 89.323905 71.228455) (xy 89.25 71.221176)
+ (xy 88.22125 71.223) (xy 88.127 71.31725) (xy 87.873 71.31725) (xy 87.77875 71.223) (xy 86.75 71.221176)
+ (xy 86.676095 71.228455) (xy 86.60503 71.250012) (xy 86.539537 71.285019) (xy 86.482131 71.332131) (xy 86.435019 71.389537)
+ (xy 86.400012 71.45503) (xy 86.378455 71.526095) (xy 86.371176 71.6) (xy 84.181686 71.6) (xy 87.656902 68.124784)
+ (xy 87.694186 68.094186) (xy 87.816276 67.945418) (xy 87.906997 67.775691) (xy 87.944518 67.652) (xy 87.962863 67.591526)
+ (xy 87.964294 67.577) (xy 97.895314 67.577) (xy 98.148001 67.829687) (xy 98.148001 67.887245) (xy 98.098307 67.826693)
+ (xy 98.004911 67.750045) (xy 97.898357 67.693091) (xy 97.782739 67.658018) (xy 97.6625 67.646176) (xy 97.0875 67.646176)
+ (xy 96.967261 67.658018) (xy 96.851643 67.693091) (xy 96.745089 67.750045) (xy 96.651693 67.826693) (xy 96.575045 67.920089)
+ (xy 96.518091 68.026643) (xy 96.483018 68.142261) (xy 96.471176 68.2625) (xy 96.471176 68.30498) (xy 95.727565 69.048592)
+ (xy 95.697921 69.07292) (xy 95.673593 69.102564) (xy 95.673591 69.102566) (xy 95.600823 69.191234) (xy 95.528673 69.326217)
+ (xy 95.502955 69.411) (xy 95.48565 69.468048) (xy 95.484244 69.472682) (xy 95.469241 69.625) (xy 95.473001 69.663173)
+ (xy 95.473001 69.921176) (xy 95.15 69.921176) (xy 95.076095 69.928455) (xy 95.00503 69.950012) (xy 94.939537 69.985019)
+ (xy 94.882131 70.032131) (xy 94.835019 70.089537) (xy 94.800012 70.15503) (xy 94.778455 70.226095) (xy 94.771176 70.3)
+ (xy 94.771176 71.723) (xy 93.628824 71.723) (xy 93.628824 71.6) (xy 93.621545 71.526095) (xy 93.599988 71.45503)
+ (xy 93.564981 71.389537) (xy 93.517869 71.332131) (xy 93.460463 71.285019) (xy 93.39497 71.250012) (xy 93.323905 71.228455)
+ (xy 93.25 71.221176) (xy 90.75 71.221176) (xy 90.676095 71.228455) (xy 90.60503 71.250012) (xy 90.539537 71.285019)
+ (xy 90.482131 71.332131) (xy 90.435019 71.389537) (xy 90.400012 71.45503) (xy 90.378455 71.526095) (xy 90.371176 71.6)
+ (xy 90.371176 73.4) (xy 90.378455 73.473905) (xy 90.400012 73.54497) (xy 90.435019 73.610463) (xy 90.482131 73.667869)
+ (xy 90.539537 73.714981) (xy 90.60503 73.749988) (xy 90.676095 73.771545) (xy 90.75 73.778824) (xy 91.223 73.778824)
+ (xy 91.223 74.578156) (xy 90.983157 74.818) (xy 90.236834 74.818) (xy 90.122681 74.829243) (xy 89.976216 74.873673)
+ (xy 89.896699 74.916176) (xy 89.45 74.916176) (xy 89.346832 74.926337) (xy 89.247628 74.95643) (xy 89.156201 75.005299)
+ (xy 89.076065 75.071065) (xy 89.010299 75.151201) (xy 88.96143 75.242628) (xy 88.931337 75.341832) (xy 88.921176 75.445)
+ (xy 88.921176 75.745) (xy 88.931337 75.848168) (xy 88.96143 75.947372) (xy 89.010299 76.038799) (xy 89.076065 76.118935)
+ (xy 89.156201 76.184701) (xy 89.240949 76.23) (xy 89.225982 76.238) (xy 88.148014 76.238) (xy 88.11722 76.234967)
+ (xy 88.02371 76.244177) (xy 87.994307 76.247073) (xy 87.876117 76.282925) (xy 87.767192 76.341147) (xy 87.671719 76.419499)
+ (xy 87.652086 76.443422) (xy 84.578427 79.517082) (xy 84.554499 79.536719) (xy 84.476147 79.632193) (xy 84.417925 79.741118)
+ (xy 84.390733 79.830759) (xy 84.382073 79.859308) (xy 84.369967 79.98222) (xy 84.373 80.013014) (xy 84.373001 80.846176)
+ (xy 83.925 80.846176) (xy 83.802323 80.858259) (xy 83.684359 80.894042) (xy 83.575644 80.952152) (xy 83.480354 81.030354)
+ (xy 83.402152 81.125644) (xy 83.344042 81.234359) (xy 83.308259 81.352323) (xy 83.296176 81.475) (xy 83.296176 82.225)
+ (xy 83.308259 82.347677) (xy 83.344042 82.465641) (xy 83.402152 82.574356) (xy 83.480354 82.669646) (xy 83.575644 82.747848)
+ (xy 83.684359 82.805958) (xy 83.802323 82.841741) (xy 83.925 82.853824) (xy 86.075 82.853824) (xy 86.197677 82.841741)
+ (xy 86.315641 82.805958) (xy 86.424356 82.747848) (xy 86.519646 82.669646) (xy 86.597848 82.574356) (xy 86.648 82.480529)
+ (xy 86.648 82.908181) (xy 86.244959 83.311222) (xy 86.075 83.294483) (xy 83.925 83.294483) (xy 83.733711 83.313323)
+ (xy 83.549772 83.36912) (xy 83.380254 83.45973) (xy 83.23167 83.58167) (xy 83.10973 83.730254) (xy 83.01912 83.899772)
+ (xy 82.963323 84.083711) (xy 82.944483 84.275) (xy 82.944483 85.025) (xy 82.963323 85.216289) (xy 83.01912 85.400228)
+ (xy 83.10973 85.569746) (xy 83.23167 85.71833) (xy 83.380254 85.84027) (xy 83.549772 85.93088) (xy 83.673 85.968261)
+ (xy 83.673001 86.623) (xy 82.549551 86.623) (xy 82.507403 86.544147) (xy 82.416554 86.433446) (xy 82.305853 86.342597)
+ (xy 82.179557 86.27509) (xy 82.042517 86.23352) (xy 81.9 86.219483) (xy 79.9 86.219483) (xy 79.757483 86.23352)
+ (xy 79.620443 86.27509) (xy 79.494147 86.342597) (xy 79.383446 86.433446) (xy 79.292597 86.544147) (xy 79.22509 86.670443)
+ (xy 79.18352 86.807483) (xy 79.169483 86.95) (xy 79.169483 88.95) (xy 79.18352 89.092517) (xy 79.22509 89.229557)
+ (xy 79.292597 89.355853) (xy 79.383446 89.466554) (xy 79.494147 89.557403) (xy 79.573001 89.599551) (xy 79.573001 89.600338)
+ (xy 76.50034 92.673) (xy 73.765173 92.673) (xy 73.699999 92.666581) (xy 73.634825 92.673) (xy 73.634816 92.673)
+ (xy 73.439863 92.692201) (xy 73.249327 92.75) (xy 73.189722 92.768081) (xy 72.959191 92.891302) (xy 72.818257 93.006964)
+ (xy 72.75713 93.05713) (xy 72.715579 93.10776) (xy 71.950339 93.873) (xy 70.452 93.873) (xy 70.452 88.947122)
+ (xy 75.082483 88.947122) (xy 75.192881 89.13933) (xy 75.438495 89.25443) (xy 75.701845 89.3194) (xy 75.972809 89.331745)
+ (xy 76.240975 89.290991) (xy 76.496038 89.198703) (xy 76.607119 89.13933) (xy 76.717517 88.947122) (xy 75.9 88.129605)
+ (xy 75.082483 88.947122) (xy 70.452 88.947122) (xy 70.452 88.022809) (xy 74.518255 88.022809) (xy 74.559009 88.290975)
+ (xy 74.651297 88.546038) (xy 74.71067 88.657119) (xy 74.902878 88.767517) (xy 75.720395 87.95) (xy 76.079605 87.95)
+ (xy 76.897122 88.767517) (xy 77.08933 88.657119) (xy 77.20443 88.411505) (xy 77.2694 88.148155) (xy 77.281745 87.877191)
+ (xy 77.240991 87.609025) (xy 77.148703 87.353962) (xy 77.08933 87.242881) (xy 76.897122 87.132483) (xy 76.079605 87.95)
+ (xy 75.720395 87.95) (xy 74.902878 87.132483) (xy 74.71067 87.242881) (xy 74.59557 87.488495) (xy 74.5306 87.751845)
+ (xy 74.518255 88.022809) (xy 70.452 88.022809) (xy 70.452 86.952878) (xy 75.082483 86.952878) (xy 75.9 87.770395)
+ (xy 76.717517 86.952878) (xy 76.607119 86.76067) (xy 76.361505 86.64557) (xy 76.098155 86.5806) (xy 75.827191 86.568255)
+ (xy 75.559025 86.609009) (xy 75.303962 86.701297) (xy 75.192881 86.76067) (xy 75.082483 86.952878) (xy 70.452 86.952878)
+ (xy 70.452 78.889827) (xy 78.289778 78.889827) (xy 78.424319 79.102518) (xy 78.704048 79.237612) (xy 79.004756 79.315538)
+ (xy 79.31489 79.333301) (xy 79.62253 79.290219) (xy 79.915853 79.187948) (xy 80.075681 79.102518) (xy 80.210222 78.889827)
+ (xy 79.25 77.929605) (xy 78.289778 78.889827) (xy 70.452 78.889827) (xy 70.452 77.81489) (xy 77.666699 77.81489)
+ (xy 77.709781 78.12253) (xy 77.812052 78.415853) (xy 77.897482 78.575681) (xy 78.110173 78.710222) (xy 79.070395 77.75)
+ (xy 79.429605 77.75) (xy 80.389827 78.710222) (xy 80.602518 78.575681) (xy 80.737612 78.295952) (xy 80.815538 77.995244)
+ (xy 80.833301 77.68511) (xy 80.790219 77.37747) (xy 80.687948 77.084147) (xy 80.602518 76.924319) (xy 80.389827 76.789778)
+ (xy 79.429605 77.75) (xy 79.070395 77.75) (xy 78.110173 76.789778) (xy 77.897482 76.924319) (xy 77.762388 77.204048)
+ (xy 77.684462 77.504756) (xy 77.666699 77.81489) (xy 70.452 77.81489) (xy 70.452 76.610173) (xy 78.289778 76.610173)
+ (xy 79.25 77.570395) (xy 80.210222 76.610173) (xy 80.075681 76.397482) (xy 79.795952 76.262388) (xy 79.495244 76.184462)
+ (xy 79.18511 76.166699) (xy 78.87747 76.209781) (xy 78.584147 76.312052) (xy 78.424319 76.397482) (xy 78.289778 76.610173)
+ (xy 70.452 76.610173) (xy 70.452 65.127) (xy 80 65.127) (xy 80.024776 65.12456) (xy 80.048601 65.117333)
+ (xy 80.070557 65.105597) (xy 80.089803 65.089803) (xy 80.105597 65.070557) (xy 80.117333 65.048601) (xy 80.12456 65.024776)
+ (xy 80.127 65) (xy 80.127 58.95) (xy 111.096176 58.95) (xy 111.098 59.25375) (xy 111.19225 59.348)
+ (xy 111.823 59.348) (xy 111.823 58.66725) (xy 112.077 58.66725) (xy 112.077 59.348) (xy 112.70775 59.348)
+ (xy 112.802 59.25375) (xy 112.803824 58.95) (xy 112.796545 58.876095) (xy 112.774988 58.80503) (xy 112.739981 58.739537)
+ (xy 112.692869 58.682131) (xy 112.635463 58.635019) (xy 112.56997 58.600012) (xy 112.498905 58.578455) (xy 112.425 58.571176)
+ (xy 112.17125 58.573) (xy 112.077 58.66725) (xy 111.823 58.66725) (xy 111.72875 58.573) (xy 111.475 58.571176)
+ (xy 111.401095 58.578455) (xy 111.33003 58.600012) (xy 111.264537 58.635019) (xy 111.207131 58.682131) (xy 111.160019 58.739537)
+ (xy 111.125012 58.80503) (xy 111.103455 58.876095) (xy 111.096176 58.95) (xy 80.127 58.95) (xy 80.127 56)
+ (xy 101.721176 56) (xy 101.721176 58) (xy 101.728455 58.073905) (xy 101.750012 58.14497) (xy 101.785019 58.210463)
+ (xy 101.832131 58.267869) (xy 101.889537 58.314981) (xy 101.95503 58.349988) (xy 102.026095 58.371545) (xy 102.1 58.378824)
+ (xy 105.9 58.378824) (xy 105.973905 58.371545) (xy 106.04497 58.349988) (xy 106.110463 58.314981) (xy 106.167869 58.267869)
+ (xy 106.214981 58.210463) (xy 106.220573 58.2) (xy 108.146176 58.2) (xy 108.148 58.69125) (xy 108.24225 58.7855)
+ (xy 109.273 58.7855) (xy 109.273 57.91725) (xy 109.527 57.91725) (xy 109.527 58.7855) (xy 110.55775 58.7855)
+ (xy 110.652 58.69125) (xy 110.653824 58.2) (xy 110.646545 58.126095) (xy 110.624988 58.05503) (xy 110.589981 57.989537)
+ (xy 110.542869 57.932131) (xy 110.485463 57.885019) (xy 110.41997 57.850012) (xy 110.348905 57.828455) (xy 110.275 57.821176)
+ (xy 109.62125 57.823) (xy 109.527 57.91725) (xy 109.273 57.91725) (xy 109.17875 57.823) (xy 108.525 57.821176)
+ (xy 108.451095 57.828455) (xy 108.38003 57.850012) (xy 108.314537 57.885019) (xy 108.257131 57.932131) (xy 108.210019 57.989537)
+ (xy 108.175012 58.05503) (xy 108.153455 58.126095) (xy 108.146176 58.2) (xy 106.220573 58.2) (xy 106.249988 58.14497)
+ (xy 106.271545 58.073905) (xy 106.278824 58) (xy 106.278824 56) (xy 106.271545 55.926095) (xy 106.249988 55.85503)
+ (xy 106.214981 55.789537) (xy 106.167869 55.732131) (xy 106.110463 55.685019) (xy 106.04497 55.650012) (xy 105.973905 55.628455)
+ (xy 105.9 55.621176) (xy 102.1 55.621176) (xy 102.026095 55.628455) (xy 101.95503 55.650012) (xy 101.889537 55.685019)
+ (xy 101.832131 55.732131) (xy 101.785019 55.789537) (xy 101.750012 55.85503) (xy 101.728455 55.926095) (xy 101.721176 56)
+ (xy 80.127 56) (xy 80.127 55.452) (xy 118.623 55.452)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 105.023001 79.423467) (xy 105.023 79.423472) (xy 105.023 79.576528) (xy 105.030486 79.614164) (xy 105.034244 79.652319)
+ (xy 105.045372 79.689004) (xy 105.052859 79.726643) (xy 105.067546 79.762101) (xy 105.078674 79.798784) (xy 105.096744 79.83259)
+ (xy 105.111431 79.868048) (xy 105.132755 79.899962) (xy 105.150824 79.933766) (xy 105.17514 79.963395) (xy 105.196464 79.995309)
+ (xy 105.223602 80.022447) (xy 105.247921 80.05208) (xy 105.277554 80.076399) (xy 105.304691 80.103536) (xy 105.336603 80.124859)
+ (xy 105.366235 80.149177) (xy 105.400041 80.167247) (xy 105.431952 80.188569) (xy 105.467407 80.203255) (xy 105.501217 80.221327)
+ (xy 105.537905 80.232456) (xy 105.573357 80.247141) (xy 105.61099 80.254626) (xy 105.647682 80.265757) (xy 105.685845 80.269516)
+ (xy 105.723472 80.277) (xy 105.761834 80.277) (xy 105.773051 80.278105) (xy 103.728157 82.323) (xy 98.923472 82.323)
+ (xy 98.885846 82.330484) (xy 98.847681 82.334243) (xy 98.810987 82.345374) (xy 98.773357 82.352859) (xy 98.737908 82.367543)
+ (xy 98.701216 82.378673) (xy 98.667402 82.396747) (xy 98.631952 82.411431) (xy 98.600045 82.43275) (xy 98.566234 82.450823)
+ (xy 98.536598 82.475144) (xy 98.504691 82.496464) (xy 98.477558 82.523597) (xy 98.44792 82.54792) (xy 98.423597 82.577558)
+ (xy 98.396464 82.604691) (xy 98.375144 82.636598) (xy 98.350823 82.666234) (xy 98.33275 82.700045) (xy 98.311431 82.731952)
+ (xy 98.296747 82.767402) (xy 98.278673 82.801216) (xy 98.267543 82.837908) (xy 98.252859 82.873357) (xy 98.245374 82.910987)
+ (xy 98.234243 82.947681) (xy 98.230484 82.985846) (xy 98.223 83.023472) (xy 98.223 83.061834) (xy 98.219241 83.1)
+ (xy 98.223 83.138166) (xy 98.223 83.176528) (xy 98.230484 83.214154) (xy 98.234243 83.252319) (xy 98.245374 83.289013)
+ (xy 98.252859 83.326643) (xy 98.267543 83.362092) (xy 98.278673 83.398784) (xy 98.296747 83.432598) (xy 98.311431 83.468048)
+ (xy 98.33275 83.499955) (xy 98.350823 83.533766) (xy 98.375144 83.563402) (xy 98.396464 83.595309) (xy 98.423597 83.622442)
+ (xy 98.44792 83.65208) (xy 98.477558 83.676403) (xy 98.504691 83.703536) (xy 98.536598 83.724856) (xy 98.566234 83.749177)
+ (xy 98.600045 83.76725) (xy 98.608622 83.77298) (xy 95.326853 83.772981) (xy 95.3022 83.770553) (xy 95.20379 83.780245)
+ (xy 95.109163 83.80895) (xy 95.021954 83.855563) (xy 94.964664 83.90258) (xy 94.964651 83.902593) (xy 94.945516 83.918297)
+ (xy 94.929812 83.937432) (xy 93.187466 85.67978) (xy 93.168315 85.695497) (xy 93.105582 85.771936) (xy 93.058968 85.859146)
+ (xy 93.030263 85.953773) (xy 93.022999 86.027529) (xy 93.022999 86.027538) (xy 93.020572 86.052181) (xy 93.022999 86.076824)
+ (xy 93.023 88.235348) (xy 93.020572 88.260001) (xy 93.030264 88.35841) (xy 93.058969 88.453037) (xy 93.105583 88.540246)
+ (xy 93.1526 88.597536) (xy 93.152603 88.597539) (xy 93.168316 88.616685) (xy 93.187462 88.632398) (xy 94.498 89.942937)
+ (xy 94.498001 90.623) (xy 94.38275 90.623) (xy 94.35 90.621176) (xy 94.276095 90.628455) (xy 94.25 90.636371)
+ (xy 94.223905 90.628455) (xy 94.15 90.621176) (xy 93.85 90.621176) (xy 93.776095 90.628455) (xy 93.70503 90.650012)
+ (xy 93.697678 90.653942) (xy 93.688569 90.631952) (xy 93.667248 90.600043) (xy 93.649177 90.566234) (xy 93.624858 90.536601)
+ (xy 93.603536 90.504691) (xy 93.576396 90.477551) (xy 93.552079 90.447921) (xy 93.522449 90.423604) (xy 93.495309 90.396464)
+ (xy 93.463399 90.375142) (xy 93.433766 90.350823) (xy 93.399957 90.332752) (xy 93.368048 90.311431) (xy 93.332591 90.296744)
+ (xy 93.298782 90.278673) (xy 93.262099 90.267546) (xy 93.226643 90.252859) (xy 93.189002 90.245372) (xy 93.152318 90.234244)
+ (xy 93.114165 90.230486) (xy 93.076528 90.223) (xy 93.038155 90.223) (xy 92.999999 90.219242) (xy 92.961843 90.223)
+ (xy 92.923472 90.223) (xy 92.885836 90.230486) (xy 92.847681 90.234244) (xy 92.810994 90.245373) (xy 92.773357 90.252859)
+ (xy 92.737905 90.267544) (xy 92.732842 90.26908) (xy 92.746545 90.223905) (xy 92.753824 90.15) (xy 92.752 89.77125)
+ (xy 92.65775 89.677) (xy 92.127 89.677) (xy 92.127 89.697) (xy 91.873 89.697) (xy 91.873 89.677)
+ (xy 91.34225 89.677) (xy 91.248 89.77125) (xy 91.246176 90.15) (xy 91.253455 90.223905) (xy 91.275012 90.29497)
+ (xy 91.310019 90.360463) (xy 91.357131 90.417869) (xy 91.414537 90.464981) (xy 91.48003 90.499988) (xy 91.48007 90.5)
+ (xy 91.48003 90.500012) (xy 91.414537 90.535019) (xy 91.357131 90.582131) (xy 91.310019 90.639537) (xy 91.275012 90.70503)
+ (xy 91.253455 90.776095) (xy 91.246176 90.85) (xy 91.246176 91.258343) (xy 91.234243 91.297681) (xy 91.219241 91.45)
+ (xy 91.234243 91.602319) (xy 91.246176 91.641657) (xy 91.246176 92.05) (xy 91.253455 92.123905) (xy 91.275012 92.19497)
+ (xy 91.310019 92.260463) (xy 91.357131 92.317869) (xy 91.414537 92.364981) (xy 91.48003 92.399988) (xy 91.551095 92.421545)
+ (xy 91.625 92.428824) (xy 92.375 92.428824) (xy 92.448905 92.421545) (xy 92.51997 92.399988) (xy 92.585463 92.364981)
+ (xy 92.642869 92.317869) (xy 92.689981 92.260463) (xy 92.716114 92.211572) (xy 92.747669 92.202) (xy 93.471176 92.202)
+ (xy 93.471176 92.4) (xy 93.478455 92.473905) (xy 93.500012 92.54497) (xy 93.535019 92.610463) (xy 93.582131 92.667869)
+ (xy 93.639537 92.714981) (xy 93.70503 92.749988) (xy 93.776095 92.771545) (xy 93.85 92.778824) (xy 94.15 92.778824)
+ (xy 94.223905 92.771545) (xy 94.25 92.763629) (xy 94.276095 92.771545) (xy 94.35 92.778824) (xy 94.38275 92.777)
+ (xy 94.477 92.68275) (xy 94.477 92.587977) (xy 94.499988 92.54497) (xy 94.5 92.54493) (xy 94.500012 92.54497)
+ (xy 94.523 92.587977) (xy 94.523 92.68275) (xy 94.6105 92.77025) (xy 94.6105 93.873) (xy 79.05366 93.873)
+ (xy 80.65825 92.26841) (xy 84.761195 92.26841) (xy 84.841306 92.435043) (xy 85.044213 92.525111) (xy 85.260793 92.573864)
+ (xy 85.482723 92.579428) (xy 85.701474 92.541588) (xy 85.90864 92.461798) (xy 85.958694 92.435043) (xy 86.038805 92.26841)
+ (xy 85.4 91.629605) (xy 84.761195 92.26841) (xy 80.65825 92.26841) (xy 81.393937 91.532723) (xy 84.270572 91.532723)
+ (xy 84.308412 91.751474) (xy 84.388202 91.95864) (xy 84.414957 92.008694) (xy 84.58159 92.088805) (xy 85.220395 91.45)
+ (xy 85.579605 91.45) (xy 86.21841 92.088805) (xy 86.385043 92.008694) (xy 86.475111 91.805787) (xy 86.523864 91.589207)
+ (xy 86.529428 91.367277) (xy 86.491588 91.148526) (xy 86.411798 90.94136) (xy 86.385043 90.891306) (xy 86.21841 90.811195)
+ (xy 85.579605 91.45) (xy 85.220395 91.45) (xy 84.58159 90.811195) (xy 84.414957 90.891306) (xy 84.324889 91.094213)
+ (xy 84.276136 91.310793) (xy 84.270572 91.532723) (xy 81.393937 91.532723) (xy 81.79224 91.134421) (xy 81.84287 91.09287)
+ (xy 81.891651 91.03343) (xy 82.008698 90.89081) (xy 82.131919 90.660278) (xy 82.135535 90.648357) (xy 82.140621 90.63159)
+ (xy 84.761195 90.63159) (xy 85.4 91.270395) (xy 86.038805 90.63159) (xy 85.958694 90.464957) (xy 85.755787 90.374889)
+ (xy 85.539207 90.326136) (xy 85.317277 90.320572) (xy 85.098526 90.358412) (xy 84.89136 90.438202) (xy 84.841306 90.464957)
+ (xy 84.761195 90.63159) (xy 82.140621 90.63159) (xy 82.207799 90.410137) (xy 82.227 90.215184) (xy 82.227 90.215175)
+ (xy 82.233419 90.150001) (xy 82.227 90.084827) (xy 82.227 89.599551) (xy 82.305853 89.557403) (xy 82.416554 89.466554)
+ (xy 82.507403 89.355853) (xy 82.549551 89.277) (xy 84.209619 89.277) (xy 84.252739 89.341533) (xy 84.458467 89.547261)
+ (xy 84.700378 89.708901) (xy 84.969175 89.82024) (xy 85.254528 89.877) (xy 85.545472 89.877) (xy 85.830825 89.82024)
+ (xy 86.099622 89.708901) (xy 86.341533 89.547261) (xy 86.547261 89.341533) (xy 86.708901 89.099622) (xy 86.770876 88.95)
+ (xy 91.246176 88.95) (xy 91.248 89.32875) (xy 91.34225 89.423) (xy 91.873 89.423) (xy 91.873 88.66725)
+ (xy 92.127 88.66725) (xy 92.127 89.423) (xy 92.65775 89.423) (xy 92.752 89.32875) (xy 92.753824 88.95)
+ (xy 92.746545 88.876095) (xy 92.724988 88.80503) (xy 92.689981 88.739537) (xy 92.642869 88.682131) (xy 92.585463 88.635019)
+ (xy 92.51997 88.600012) (xy 92.448905 88.578455) (xy 92.375 88.571176) (xy 92.22125 88.573) (xy 92.127 88.66725)
+ (xy 91.873 88.66725) (xy 91.77875 88.573) (xy 91.625 88.571176) (xy 91.551095 88.578455) (xy 91.48003 88.600012)
+ (xy 91.414537 88.635019) (xy 91.357131 88.682131) (xy 91.310019 88.739537) (xy 91.275012 88.80503) (xy 91.253455 88.876095)
+ (xy 91.246176 88.95) (xy 86.770876 88.95) (xy 86.82024 88.830825) (xy 86.877 88.545472) (xy 86.877 88.254528)
+ (xy 86.82024 87.969175) (xy 86.708901 87.700378) (xy 86.547261 87.458467) (xy 86.341533 87.252739) (xy 86.327 87.243028)
+ (xy 86.327 85.968261) (xy 86.450228 85.93088) (xy 86.619746 85.84027) (xy 86.716135 85.761165) (xy 86.720931 85.760693)
+ (xy 86.933371 85.69625) (xy 87.129157 85.5916) (xy 87.300765 85.450765) (xy 87.336059 85.407759) (xy 88.532764 84.211055)
+ (xy 88.575765 84.175765) (xy 88.611055 84.132764) (xy 88.611058 84.132761) (xy 88.7166 84.004157) (xy 88.736979 83.96603)
+ (xy 88.82125 83.808371) (xy 88.885693 83.595931) (xy 88.902 83.430365) (xy 88.902 83.430355) (xy 88.907452 83.375001)
+ (xy 88.902 83.319646) (xy 88.902 82.539236) (xy 88.926095 82.546545) (xy 89 82.553824) (xy 89.30375 82.552)
+ (xy 89.398 82.45775) (xy 89.398 81.827) (xy 89.652 81.827) (xy 89.652 82.45775) (xy 89.74625 82.552)
+ (xy 90.05 82.553824) (xy 90.123905 82.546545) (xy 90.19497 82.524988) (xy 90.260463 82.489981) (xy 90.317869 82.442869)
+ (xy 90.364981 82.385463) (xy 90.399988 82.31997) (xy 90.421545 82.248905) (xy 90.428824 82.175) (xy 90.427 81.92125)
+ (xy 90.40575 81.9) (xy 96.896176 81.9) (xy 96.903455 81.973905) (xy 96.925012 82.04497) (xy 96.960019 82.110463)
+ (xy 97.007131 82.167869) (xy 97.064537 82.214981) (xy 97.13003 82.249988) (xy 97.201095 82.271545) (xy 97.275 82.278824)
+ (xy 97.52875 82.277) (xy 97.623 82.18275) (xy 97.623 81.502) (xy 97.877 81.502) (xy 97.877 82.18275)
+ (xy 97.97125 82.277) (xy 98.225 82.278824) (xy 98.298905 82.271545) (xy 98.36997 82.249988) (xy 98.435463 82.214981)
+ (xy 98.492869 82.167869) (xy 98.539981 82.110463) (xy 98.574988 82.04497) (xy 98.596545 81.973905) (xy 98.603824 81.9)
+ (xy 98.896176 81.9) (xy 98.903455 81.973905) (xy 98.925012 82.04497) (xy 98.960019 82.110463) (xy 99.007131 82.167869)
+ (xy 99.064537 82.214981) (xy 99.13003 82.249988) (xy 99.201095 82.271545) (xy 99.275 82.278824) (xy 99.52875 82.277)
+ (xy 99.623 82.18275) (xy 99.623 81.502) (xy 99.877 81.502) (xy 99.877 82.18275) (xy 99.97125 82.277)
+ (xy 100.225 82.278824) (xy 100.298905 82.271545) (xy 100.36997 82.249988) (xy 100.435463 82.214981) (xy 100.492869 82.167869)
+ (xy 100.539981 82.110463) (xy 100.574988 82.04497) (xy 100.596545 81.973905) (xy 100.603824 81.9) (xy 100.602 81.59625)
+ (xy 100.50775 81.502) (xy 99.877 81.502) (xy 99.623 81.502) (xy 98.99225 81.502) (xy 98.898 81.59625)
+ (xy 98.896176 81.9) (xy 98.603824 81.9) (xy 98.602 81.59625) (xy 98.50775 81.502) (xy 97.877 81.502)
+ (xy 97.623 81.502) (xy 96.99225 81.502) (xy 96.898 81.59625) (xy 96.896176 81.9) (xy 90.40575 81.9)
+ (xy 90.33275 81.827) (xy 89.652 81.827) (xy 89.398 81.827) (xy 89.378 81.827) (xy 89.378 81.573)
+ (xy 89.398 81.573) (xy 89.398 80.94225) (xy 89.652 80.94225) (xy 89.652 81.573) (xy 90.33275 81.573)
+ (xy 90.427 81.47875) (xy 90.428824 81.225) (xy 90.421545 81.151095) (xy 90.399988 81.08003) (xy 90.364981 81.014537)
+ (xy 90.317869 80.957131) (xy 90.260463 80.910019) (xy 90.19497 80.875012) (xy 90.123905 80.853455) (xy 90.05 80.846176)
+ (xy 89.74625 80.848) (xy 89.652 80.94225) (xy 89.398 80.94225) (xy 89.30375 80.848) (xy 89 80.846176)
+ (xy 88.926095 80.853455) (xy 88.85503 80.875012) (xy 88.835268 80.885575) (xy 88.752 80.784112) (xy 88.752 79.920456)
+ (xy 88.925325 79.747131) (xy 88.928455 79.778905) (xy 88.950012 79.84997) (xy 88.985019 79.915463) (xy 89.032131 79.972869)
+ (xy 89.089537 80.019981) (xy 89.15503 80.054988) (xy 89.226095 80.076545) (xy 89.3 80.083824) (xy 90.05375 80.082)
+ (xy 90.148 79.98775) (xy 90.148 79.532) (xy 90.402 79.532) (xy 90.402 79.98775) (xy 90.49625 80.082)
+ (xy 91.25 80.083824) (xy 91.323905 80.076545) (xy 91.39497 80.054988) (xy 91.460463 80.019981) (xy 91.517869 79.972869)
+ (xy 91.564981 79.915463) (xy 91.599988 79.84997) (xy 91.621545 79.778905) (xy 91.628824 79.705) (xy 91.627 79.62625)
+ (xy 91.53275 79.532) (xy 90.402 79.532) (xy 90.148 79.532) (xy 90.128 79.532) (xy 90.128 79.278)
+ (xy 90.148 79.278) (xy 90.148 79.258) (xy 90.402 79.258) (xy 90.402 79.278) (xy 91.53275 79.278)
+ (xy 91.627 79.18375) (xy 91.628824 79.105) (xy 91.621545 79.031095) (xy 91.611702 78.998647) (xy 91.72262 78.90762)
+ (xy 91.832123 78.774189) (xy 91.913492 78.621959) (xy 91.963598 78.45678) (xy 91.980517 78.285) (xy 91.980517 77.985)
+ (xy 91.963598 77.81322) (xy 91.913492 77.648041) (xy 91.832123 77.495811) (xy 91.72262 77.36238) (xy 91.589189 77.252877)
+ (xy 91.573947 77.24473) (xy 91.58857 77.217372) (xy 91.618663 77.118168) (xy 91.628824 77.015) (xy 91.628824 76.715)
+ (xy 91.618663 76.611832) (xy 91.58857 76.512628) (xy 91.539701 76.421201) (xy 91.483562 76.352796) (xy 91.603784 76.316327)
+ (xy 91.738766 76.244177) (xy 91.85708 76.14708) (xy 91.881412 76.117431) (xy 92.522437 75.476407) (xy 92.55208 75.45208)
+ (xy 92.598454 75.395573) (xy 92.649177 75.333767) (xy 92.721327 75.198784) (xy 92.730133 75.169755) (xy 92.765757 75.052319)
+ (xy 92.777 74.938166) (xy 92.777 74.938157) (xy 92.780758 74.900001) (xy 92.777 74.861845) (xy 92.777 73.778824)
+ (xy 93.25 73.778824) (xy 93.323905 73.771545) (xy 93.39497 73.749988) (xy 93.460463 73.714981) (xy 93.517869 73.667869)
+ (xy 93.564981 73.610463) (xy 93.599988 73.54497) (xy 93.621545 73.473905) (xy 93.628824 73.4) (xy 93.628824 73.277)
+ (xy 94.771176 73.277) (xy 94.771176 74.2) (xy 94.778455 74.273905) (xy 94.800012 74.34497) (xy 94.835019 74.410463)
+ (xy 94.882131 74.467869) (xy 94.939537 74.514981) (xy 95.00503 74.549988) (xy 95.076095 74.571545) (xy 95.15 74.578824)
+ (xy 96.67998 74.578824) (xy 97.098001 74.996845) (xy 97.098 75.392118) (xy 97.075045 75.420089) (xy 97.018183 75.526471)
+ (xy 96.665138 75.173427) (xy 96.645501 75.149499) (xy 96.550028 75.071147) (xy 96.441103 75.012925) (xy 96.322913 74.977073)
+ (xy 96.283782 74.973219) (xy 96.252372 74.95643) (xy 96.153168 74.926337) (xy 96.05 74.916176) (xy 94.4 74.916176)
+ (xy 94.296832 74.926337) (xy 94.197628 74.95643) (xy 94.106201 75.005299) (xy 94.026065 75.071065) (xy 93.960299 75.151201)
+ (xy 93.91143 75.242628) (xy 93.881337 75.341832) (xy 93.871176 75.445) (xy 93.871176 75.745) (xy 93.881337 75.848168)
+ (xy 93.91143 75.947372) (xy 93.960299 76.038799) (xy 94.026065 76.118935) (xy 94.106201 76.184701) (xy 94.190949 76.23)
+ (xy 94.106201 76.275299) (xy 94.026065 76.341065) (xy 93.960299 76.421201) (xy 93.91143 76.512628) (xy 93.881337 76.611832)
+ (xy 93.871176 76.715) (xy 93.871176 77.015) (xy 93.881337 77.118168) (xy 93.91143 77.217372) (xy 93.960299 77.308799)
+ (xy 94.026065 77.388935) (xy 94.106201 77.454701) (xy 94.190949 77.5) (xy 94.106201 77.545299) (xy 94.026065 77.611065)
+ (xy 93.960299 77.691201) (xy 93.91143 77.782628) (xy 93.881337 77.881832) (xy 93.871176 77.985) (xy 93.871176 78.285)
+ (xy 93.881337 78.388168) (xy 93.91143 78.487372) (xy 93.960299 78.578799) (xy 94.026065 78.658935) (xy 94.106201 78.724701)
+ (xy 94.190949 78.77) (xy 94.106201 78.815299) (xy 94.026065 78.881065) (xy 93.960299 78.961201) (xy 93.91143 79.052628)
+ (xy 93.881337 79.151832) (xy 93.871176 79.255) (xy 93.871176 79.555) (xy 93.881337 79.658168) (xy 93.91143 79.757372)
+ (xy 93.960299 79.848799) (xy 94.026065 79.928935) (xy 94.106201 79.994701) (xy 94.197628 80.04357) (xy 94.296832 80.073663)
+ (xy 94.4 80.083824) (xy 94.8467 80.083824) (xy 94.926217 80.126327) (xy 95.072682 80.170756) (xy 95.225 80.185759)
+ (xy 95.313926 80.177) (xy 96.958401 80.177) (xy 97.000045 80.254911) (xy 97.076693 80.348307) (xy 97.170089 80.424955)
+ (xy 97.259432 80.472709) (xy 97.201095 80.478455) (xy 97.13003 80.500012) (xy 97.064537 80.535019) (xy 97.007131 80.582131)
+ (xy 96.960019 80.639537) (xy 96.925012 80.70503) (xy 96.903455 80.776095) (xy 96.896176 80.85) (xy 96.898 81.15375)
+ (xy 96.99225 81.248) (xy 97.623 81.248) (xy 97.623 81.228) (xy 97.877 81.228) (xy 97.877 81.248)
+ (xy 98.50775 81.248) (xy 98.602 81.15375) (xy 98.603824 80.85) (xy 98.596545 80.776095) (xy 98.574988 80.70503)
+ (xy 98.539981 80.639537) (xy 98.492869 80.582131) (xy 98.435463 80.535019) (xy 98.36997 80.500012) (xy 98.298905 80.478455)
+ (xy 98.240568 80.472709) (xy 98.329911 80.424955) (xy 98.357882 80.402) (xy 99.142118 80.402) (xy 99.170089 80.424955)
+ (xy 99.259432 80.472709) (xy 99.201095 80.478455) (xy 99.13003 80.500012) (xy 99.064537 80.535019) (xy 99.007131 80.582131)
+ (xy 98.960019 80.639537) (xy 98.925012 80.70503) (xy 98.903455 80.776095) (xy 98.896176 80.85) (xy 98.898 81.15375)
+ (xy 98.99225 81.248) (xy 99.623 81.248) (xy 99.623 81.228) (xy 99.877 81.228) (xy 99.877 81.248)
+ (xy 100.50775 81.248) (xy 100.602 81.15375) (xy 100.603824 80.85) (xy 100.596545 80.776095) (xy 100.574988 80.70503)
+ (xy 100.539981 80.639537) (xy 100.492869 80.582131) (xy 100.435463 80.535019) (xy 100.36997 80.500012) (xy 100.298905 80.478455)
+ (xy 100.240568 80.472709) (xy 100.329911 80.424955) (xy 100.423307 80.348307) (xy 100.499955 80.254911) (xy 100.556909 80.148357)
+ (xy 100.591982 80.032739) (xy 100.603824 79.9125) (xy 100.603824 79.87002) (xy 100.646844 79.827) (xy 101.211837 79.827)
+ (xy 101.25 79.830759) (xy 101.288163 79.827) (xy 101.288166 79.827) (xy 101.402319 79.815757) (xy 101.548784 79.771327)
+ (xy 101.683766 79.699177) (xy 101.80208 79.60208) (xy 101.826413 79.57243) (xy 101.870019 79.528824) (xy 101.9875 79.528824)
+ (xy 102.107739 79.516982) (xy 102.223357 79.481909) (xy 102.329911 79.424955) (xy 102.423307 79.348307) (xy 102.499955 79.254911)
+ (xy 102.556909 79.148357) (xy 102.591982 79.032739) (xy 102.603824 78.9125) (xy 102.603824 78.3375) (xy 102.591982 78.217261)
+ (xy 102.556909 78.101643) (xy 102.499955 77.995089) (xy 102.423307 77.901693) (xy 102.329911 77.825045) (xy 102.223357 77.768091)
+ (xy 102.16372 77.75) (xy 102.223357 77.731909) (xy 102.329911 77.674955) (xy 102.423307 77.598307) (xy 102.499955 77.504911)
+ (xy 102.556909 77.398357) (xy 102.591982 77.282739) (xy 102.603824 77.1625) (xy 102.603824 76.5875) (xy 102.591982 76.467261)
+ (xy 102.556909 76.351643) (xy 102.527 76.295687) (xy 102.527 73.988155) (xy 102.530758 73.949999) (xy 102.527 73.911843)
+ (xy 102.527 73.911834) (xy 102.515757 73.797681) (xy 102.471327 73.651216) (xy 102.456561 73.623591) (xy 102.399177 73.516233)
+ (xy 102.326409 73.427565) (xy 102.326408 73.427564) (xy 102.30208 73.39792) (xy 102.272436 73.373592) (xy 101.925844 73.027)
+ (xy 102.383181 73.027) (xy 102.481579 73.125398) (xy 102.666165 73.248734) (xy 102.871266 73.33369) (xy 103.089 73.377)
+ (xy 103.311 73.377) (xy 103.528734 73.33369) (xy 103.733835 73.248734) (xy 103.918421 73.125398) (xy 104.075398 72.968421)
+ (xy 104.198734 72.783835) (xy 104.28369 72.578734) (xy 104.291322 72.540364) (xy 105.023 71.808686)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 141.991196 84.237543) (xy 142.006905 84.256684) (xy 142.026045 84.272392) (xy 142.026053 84.2724) (xy 142.083343 84.319417)
+ (xy 142.127592 84.343068) (xy 142.170553 84.366031) (xy 142.26518 84.394736) (xy 142.338936 84.402) (xy 142.338946 84.402)
+ (xy 142.363589 84.404427) (xy 142.388232 84.402) (xy 148.019064 84.402) (xy 149.679605 86.062543) (xy 149.695314 86.081684)
+ (xy 149.714454 86.097392) (xy 149.714462 86.0974) (xy 149.771752 86.144417) (xy 149.809801 86.164754) (xy 149.858962 86.191031)
+ (xy 149.953589 86.219736) (xy 150.027345 86.227) (xy 150.027355 86.227) (xy 150.051998 86.229427) (xy 150.076641 86.227)
+ (xy 153.615169 86.227) (xy 153.573 86.439) (xy 153.573 86.661) (xy 153.61631 86.878734) (xy 153.701266 87.083835)
+ (xy 153.824602 87.268421) (xy 153.981579 87.425398) (xy 154.166165 87.548734) (xy 154.371266 87.63369) (xy 154.589 87.677)
+ (xy 154.811 87.677) (xy 155.028734 87.63369) (xy 155.233835 87.548734) (xy 155.418421 87.425398) (xy 155.575398 87.268421)
+ (xy 155.698734 87.083835) (xy 155.78369 86.878734) (xy 155.814402 86.724336) (xy 156.948064 87.857999) (xy 155.764644 87.857999)
+ (xy 155.740001 87.855572) (xy 155.715358 87.857999) (xy 155.715348 87.857999) (xy 155.641592 87.865263) (xy 155.546965 87.893968)
+ (xy 155.459756 87.940582) (xy 155.459754 87.940583) (xy 155.459755 87.940583) (xy 155.402465 87.987599) (xy 155.402457 87.987607)
+ (xy 155.383317 88.003315) (xy 155.367608 88.022456) (xy 154.886977 88.503088) (xy 154.835313 88.498) (xy 154.835303 88.498)
+ (xy 154.81066 88.495573) (xy 154.786017 88.498) (xy 154.761921 88.498) (xy 154.748734 88.466165) (xy 154.625398 88.281579)
+ (xy 154.468421 88.124602) (xy 154.283835 88.001266) (xy 154.078734 87.91631) (xy 153.861 87.873) (xy 153.639 87.873)
+ (xy 153.421266 87.91631) (xy 153.216165 88.001266) (xy 153.031579 88.124602) (xy 152.874602 88.281579) (xy 152.751266 88.466165)
+ (xy 152.66631 88.671266) (xy 152.623 88.889) (xy 152.623 89.111) (xy 152.66631 89.328734) (xy 152.751266 89.533835)
+ (xy 152.874602 89.718421) (xy 153.031579 89.875398) (xy 153.216165 89.998734) (xy 153.421266 90.08369) (xy 153.639 90.127)
+ (xy 153.861 90.127) (xy 154.078734 90.08369) (xy 154.283835 89.998734) (xy 154.398 89.922451) (xy 154.398001 90.565841)
+ (xy 154.275877 90.687965) (xy 154.132283 90.687965) (xy 153.982168 90.717824) (xy 153.840763 90.776396) (xy 153.713502 90.861429)
+ (xy 153.605275 90.969656) (xy 153.520242 91.096917) (xy 153.46167 91.238322) (xy 153.431811 91.388437) (xy 153.431811 91.541493)
+ (xy 153.46167 91.691608) (xy 153.520242 91.833013) (xy 153.605275 91.960274) (xy 153.713502 92.068501) (xy 153.840763 92.153534)
+ (xy 153.982168 92.212106) (xy 154.132283 92.241965) (xy 154.285339 92.241965) (xy 154.435454 92.212106) (xy 154.576859 92.153534)
+ (xy 154.70412 92.068501) (xy 154.812347 91.960274) (xy 154.89738 91.833013) (xy 154.955952 91.691608) (xy 154.985811 91.541493)
+ (xy 154.985811 91.397899) (xy 155.237537 91.146173) (xy 155.247679 91.13785) (xy 155.246176 91.45) (xy 155.253455 91.523905)
+ (xy 155.275012 91.59497) (xy 155.310019 91.660463) (xy 155.357131 91.717869) (xy 155.414537 91.764981) (xy 155.48003 91.799988)
+ (xy 155.48007 91.8) (xy 155.48003 91.800012) (xy 155.414537 91.835019) (xy 155.357131 91.882131) (xy 155.310019 91.939537)
+ (xy 155.275012 92.00503) (xy 155.253455 92.076095) (xy 155.246176 92.15) (xy 155.246176 92.873) (xy 150.5 92.873)
+ (xy 150.475224 92.87544) (xy 150.451399 92.882667) (xy 150.429443 92.894403) (xy 150.410197 92.910197) (xy 149.447394 93.873)
+ (xy 147.627 93.873) (xy 147.627 90) (xy 147.62456 89.975224) (xy 147.617333 89.951399) (xy 147.605597 89.929443)
+ (xy 147.589803 89.910197) (xy 147.570557 89.894403) (xy 147.548601 89.882667) (xy 147.524776 89.87544) (xy 147.5 89.873)
+ (xy 145.745461 89.873) (xy 145.760463 89.864981) (xy 145.817869 89.817869) (xy 145.864981 89.760463) (xy 145.899988 89.69497)
+ (xy 145.921545 89.623905) (xy 145.928824 89.55) (xy 145.928824 88.12002) (xy 146.047437 88.001407) (xy 146.07708 87.97708)
+ (xy 146.109591 87.937465) (xy 146.174177 87.858767) (xy 146.215967 87.780583) (xy 146.246327 87.723784) (xy 146.290757 87.577319)
+ (xy 146.302 87.463166) (xy 146.302 87.463164) (xy 146.305759 87.425) (xy 146.302 87.386837) (xy 146.302 86.257882)
+ (xy 146.324955 86.229911) (xy 146.381909 86.123357) (xy 146.416982 86.007739) (xy 146.428824 85.8875) (xy 146.428824 85.4125)
+ (xy 146.416982 85.292261) (xy 146.381909 85.176643) (xy 146.324955 85.070089) (xy 146.248307 84.976693) (xy 146.154911 84.900045)
+ (xy 146.048357 84.843091) (xy 145.932739 84.808018) (xy 145.8125 84.796176) (xy 145.2375 84.796176) (xy 145.117261 84.808018)
+ (xy 145.001643 84.843091) (xy 144.895089 84.900045) (xy 144.801693 84.976693) (xy 144.725045 85.070089) (xy 144.668091 85.176643)
+ (xy 144.65 85.23628) (xy 144.631909 85.176643) (xy 144.574955 85.070089) (xy 144.498307 84.976693) (xy 144.404911 84.900045)
+ (xy 144.298357 84.843091) (xy 144.182739 84.808018) (xy 144.0625 84.796176) (xy 143.4875 84.796176) (xy 143.367261 84.808018)
+ (xy 143.251643 84.843091) (xy 143.195687 84.873) (xy 141.938155 84.873) (xy 141.899999 84.869242) (xy 141.861843 84.873)
+ (xy 141.861834 84.873) (xy 141.747681 84.884243) (xy 141.659758 84.910914) (xy 141.478824 84.729981) (xy 141.478824 84.475)
+ (xy 141.471545 84.401095) (xy 141.449988 84.33003) (xy 141.414981 84.264537) (xy 141.367869 84.207131) (xy 141.310463 84.160019)
+ (xy 141.24497 84.125012) (xy 141.173905 84.103455) (xy 141.1 84.096176) (xy 140.691653 84.096176) (xy 140.652318 84.084244)
+ (xy 140.5 84.069241) (xy 140.347682 84.084244) (xy 140.308347 84.096176) (xy 139.9 84.096176) (xy 139.826095 84.103455)
+ (xy 139.75503 84.125012) (xy 139.689537 84.160019) (xy 139.632131 84.207131) (xy 139.585019 84.264537) (xy 139.550012 84.33003)
+ (xy 139.55 84.33007) (xy 139.549988 84.33003) (xy 139.514981 84.264537) (xy 139.467869 84.207131) (xy 139.410463 84.160019)
+ (xy 139.34497 84.125012) (xy 139.273905 84.103455) (xy 139.2 84.096176) (xy 138.82125 84.098) (xy 138.727 84.19225)
+ (xy 138.727 84.723) (xy 138.747 84.723) (xy 138.747 84.977) (xy 138.727 84.977) (xy 138.727 84.997)
+ (xy 138.473 84.997) (xy 138.473 84.977) (xy 137.71725 84.977) (xy 137.639593 85.054657) (xy 137.059936 84.475)
+ (xy 137.621176 84.475) (xy 137.623 84.62875) (xy 137.71725 84.723) (xy 138.473 84.723) (xy 138.473 84.19225)
+ (xy 138.37875 84.098) (xy 138 84.096176) (xy 137.926095 84.103455) (xy 137.85503 84.125012) (xy 137.789537 84.160019)
+ (xy 137.732131 84.207131) (xy 137.685019 84.264537) (xy 137.650012 84.33003) (xy 137.628455 84.401095) (xy 137.621176 84.475)
+ (xy 137.059936 84.475) (xy 135.761912 83.176978) (xy 140.930633 83.176978)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 106.099397 89.013822) (xy 106.090206 89.03601) (xy 106.060347 89.186125) (xy 106.060347 89.339181) (xy 106.090206 89.489296)
+ (xy 106.148778 89.630701) (xy 106.233811 89.757962) (xy 106.342038 89.866189) (xy 106.469299 89.951222) (xy 106.610704 90.009794)
+ (xy 106.760819 90.039653) (xy 106.913875 90.039653) (xy 107.06399 90.009794) (xy 107.205395 89.951222) (xy 107.332656 89.866189)
+ (xy 107.440883 89.757962) (xy 107.523853 89.633788) (xy 108.123 90.232935) (xy 108.123 90.376528) (xy 108.152859 90.526643)
+ (xy 108.211431 90.668048) (xy 108.263678 90.746242) (xy 108.25 90.746176) (xy 108.176095 90.753455) (xy 108.10503 90.775012)
+ (xy 108.039537 90.810019) (xy 107.982131 90.857131) (xy 107.935019 90.914537) (xy 107.900012 90.98003) (xy 107.878455 91.051095)
+ (xy 107.871176 91.125) (xy 107.872213 91.212424) (xy 107.867869 91.207131) (xy 107.810463 91.160019) (xy 107.74497 91.125012)
+ (xy 107.673905 91.103455) (xy 107.6 91.096176) (xy 107.29625 91.098) (xy 107.202 91.19225) (xy 107.202 91.823)
+ (xy 107.222 91.823) (xy 107.222 92.077) (xy 107.202 92.077) (xy 107.202 92.70775) (xy 107.29625 92.802)
+ (xy 107.6 92.803824) (xy 107.673905 92.796545) (xy 107.74497 92.774988) (xy 107.810463 92.739981) (xy 107.867869 92.692869)
+ (xy 107.914981 92.635463) (xy 107.949988 92.56997) (xy 107.971545 92.498905) (xy 107.978824 92.425) (xy 107.977 92.17125)
+ (xy 107.882752 92.077002) (xy 107.930496 92.077002) (xy 107.935019 92.085463) (xy 107.982131 92.142869) (xy 108.039537 92.189981)
+ (xy 108.10503 92.224988) (xy 108.176095 92.246545) (xy 108.25 92.253824) (xy 108.62875 92.252) (xy 108.723 92.15775)
+ (xy 108.723 91.627) (xy 108.703 91.627) (xy 108.703 91.373) (xy 108.723 91.373) (xy 108.723 91.353)
+ (xy 108.977 91.353) (xy 108.977 91.373) (xy 108.997 91.373) (xy 108.997 91.627) (xy 108.977 91.627)
+ (xy 108.977 92.15775) (xy 109.07125 92.252) (xy 109.45 92.253824) (xy 109.523905 92.246545) (xy 109.59497 92.224988)
+ (xy 109.660463 92.189981) (xy 109.717869 92.142869) (xy 109.764981 92.085463) (xy 109.773 92.070461) (xy 109.773 92.873)
+ (xy 107.5 92.873) (xy 107.475224 92.87544) (xy 107.451399 92.882667) (xy 107.429443 92.894403) (xy 107.410197 92.910197)
+ (xy 106.447394 93.873) (xy 96.502 93.873) (xy 96.502 93.702) (xy 96.825357 93.702) (xy 96.85 93.704427)
+ (xy 96.874643 93.702) (xy 96.874653 93.702) (xy 96.948409 93.694736) (xy 97.043036 93.666031) (xy 97.130245 93.619417)
+ (xy 97.206684 93.556684) (xy 97.222401 93.537533) (xy 98.382935 92.377) (xy 98.686612 92.377) (xy 98.693091 92.398357)
+ (xy 98.750045 92.504911) (xy 98.826693 92.598307) (xy 98.920089 92.674955) (xy 99.026643 92.731909) (xy 99.142261 92.766982)
+ (xy 99.2625 92.778824) (xy 99.7375 92.778824) (xy 99.857739 92.766982) (xy 99.973357 92.731909) (xy 100.079911 92.674955)
+ (xy 100.173307 92.598307) (xy 100.249955 92.504911) (xy 100.306909 92.398357) (xy 100.320485 92.353603) (xy 100.339755 92.369417)
+ (xy 100.426964 92.416031) (xy 100.521591 92.444736) (xy 100.533898 92.445948) (xy 100.555019 92.485463) (xy 100.602131 92.542869)
+ (xy 100.659537 92.589981) (xy 100.72503 92.624988) (xy 100.796095 92.646545) (xy 100.87 92.653824) (xy 101.93 92.653824)
+ (xy 102.003905 92.646545) (xy 102.07497 92.624988) (xy 102.140463 92.589981) (xy 102.197869 92.542869) (xy 102.244981 92.485463)
+ (xy 102.279988 92.41997) (xy 102.301545 92.348905) (xy 102.308824 92.275) (xy 102.308824 91.625) (xy 102.301545 91.551095)
+ (xy 102.279988 91.48003) (xy 102.277299 91.475) (xy 102.279988 91.46997) (xy 102.301545 91.398905) (xy 102.308824 91.325)
+ (xy 102.307 91.22125) (xy 102.21275 91.127) (xy 101.527 91.127) (xy 101.527 91.147) (xy 101.273 91.147)
+ (xy 101.273 91.127) (xy 101.253 91.127) (xy 101.253 90.873) (xy 101.273 90.873) (xy 101.273 90.853)
+ (xy 101.527 90.853) (xy 101.527 90.873) (xy 102.21275 90.873) (xy 102.307 90.77875) (xy 102.308824 90.675)
+ (xy 102.301545 90.601095) (xy 102.279988 90.53003) (xy 102.277299 90.525) (xy 102.279988 90.51997) (xy 102.301545 90.448905)
+ (xy 102.308824 90.375) (xy 102.308824 89.725) (xy 102.301545 89.651095) (xy 102.279988 89.58003) (xy 102.244981 89.514537)
+ (xy 102.197869 89.457131) (xy 102.140463 89.410019) (xy 102.07497 89.375012) (xy 102.003905 89.353455) (xy 101.93 89.346176)
+ (xy 101.902 89.346176) (xy 101.902 88.956827) (xy 101.973307 88.898307) (xy 102.049955 88.804911) (xy 102.051511 88.802)
+ (xy 102.183401 88.802) (xy 102.225045 88.879911) (xy 102.301693 88.973307) (xy 102.395089 89.049955) (xy 102.501643 89.106909)
+ (xy 102.617261 89.141982) (xy 102.7375 89.153824) (xy 103.214175 89.153824) (xy 103.180584 89.194755) (xy 103.13397 89.281964)
+ (xy 103.114491 89.346176) (xy 103.07 89.346176) (xy 102.996095 89.353455) (xy 102.92503 89.375012) (xy 102.859537 89.410019)
+ (xy 102.802131 89.457131) (xy 102.755019 89.514537) (xy 102.720012 89.58003) (xy 102.698455 89.651095) (xy 102.691176 89.725)
+ (xy 102.691176 90.375) (xy 102.698455 90.448905) (xy 102.720012 90.51997) (xy 102.755019 90.585463) (xy 102.802131 90.642869)
+ (xy 102.859537 90.689981) (xy 102.92503 90.724988) (xy 102.996095 90.746545) (xy 103.07 90.753824) (xy 103.511242 90.753824)
+ (xy 103.262463 91.002603) (xy 103.243317 91.018316) (xy 103.227604 91.037462) (xy 103.227601 91.037465) (xy 103.180584 91.094755)
+ (xy 103.13397 91.181964) (xy 103.114491 91.246176) (xy 103.07 91.246176) (xy 102.996095 91.253455) (xy 102.92503 91.275012)
+ (xy 102.859537 91.310019) (xy 102.802131 91.357131) (xy 102.755019 91.414537) (xy 102.720012 91.48003) (xy 102.698455 91.551095)
+ (xy 102.691176 91.625) (xy 102.691176 92.275) (xy 102.698455 92.348905) (xy 102.720012 92.41997) (xy 102.755019 92.485463)
+ (xy 102.802131 92.542869) (xy 102.859537 92.589981) (xy 102.92503 92.624988) (xy 102.996095 92.646545) (xy 103.07 92.653824)
+ (xy 104.13 92.653824) (xy 104.203905 92.646545) (xy 104.27497 92.624988) (xy 104.340463 92.589981) (xy 104.397869 92.542869)
+ (xy 104.444981 92.485463) (xy 104.462867 92.452) (xy 104.483401 92.452) (xy 104.525045 92.529911) (xy 104.601693 92.623307)
+ (xy 104.695089 92.699955) (xy 104.801643 92.756909) (xy 104.917261 92.791982) (xy 105.0375 92.803824) (xy 105.6125 92.803824)
+ (xy 105.732739 92.791982) (xy 105.848357 92.756909) (xy 105.954911 92.699955) (xy 106.048307 92.623307) (xy 106.124955 92.529911)
+ (xy 106.172709 92.440568) (xy 106.178455 92.498905) (xy 106.200012 92.56997) (xy 106.235019 92.635463) (xy 106.282131 92.692869)
+ (xy 106.339537 92.739981) (xy 106.40503 92.774988) (xy 106.476095 92.796545) (xy 106.55 92.803824) (xy 106.85375 92.802)
+ (xy 106.948 92.70775) (xy 106.948 92.077) (xy 106.928 92.077) (xy 106.928 91.823) (xy 106.948 91.823)
+ (xy 106.948 91.19225) (xy 106.85375 91.098) (xy 106.55 91.096176) (xy 106.476095 91.103455) (xy 106.40503 91.125012)
+ (xy 106.339537 91.160019) (xy 106.282131 91.207131) (xy 106.235019 91.264537) (xy 106.200012 91.33003) (xy 106.178455 91.401095)
+ (xy 106.172709 91.459432) (xy 106.124955 91.370089) (xy 106.048307 91.276693) (xy 105.954911 91.200045) (xy 105.848357 91.143091)
+ (xy 105.732739 91.108018) (xy 105.6125 91.096176) (xy 105.0375 91.096176) (xy 104.917261 91.108018) (xy 104.801643 91.143091)
+ (xy 104.695089 91.200045) (xy 104.601693 91.276693) (xy 104.525045 91.370089) (xy 104.483401 91.448) (xy 104.462867 91.448)
+ (xy 104.444981 91.414537) (xy 104.397869 91.357131) (xy 104.359386 91.325549) (xy 105.112538 90.572397) (xy 105.131684 90.556684)
+ (xy 105.149465 90.535019) (xy 105.183513 90.493531) (xy 105.194417 90.480245) (xy 105.241031 90.393036) (xy 105.269736 90.298409)
+ (xy 105.277 90.224653) (xy 105.277 90.224643) (xy 105.279427 90.2) (xy 105.277 90.175357) (xy 105.277 89.113388)
+ (xy 105.298357 89.106909) (xy 105.404911 89.049955) (xy 105.498307 88.973307) (xy 105.574955 88.879911) (xy 105.631909 88.773357)
+ (xy 105.666982 88.657739) (xy 105.673826 88.58825)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 161.498 92.575357) (xy 161.495573 92.6) (xy 161.498 92.624643) (xy 161.498 92.624652) (xy 161.505264 92.698408)
+ (xy 161.533969 92.793035) (xy 161.580583 92.880245) (xy 161.643316 92.956684) (xy 161.662467 92.972401) (xy 161.823 93.132934)
+ (xy 161.823 93.276528) (xy 161.852859 93.426643) (xy 161.911431 93.568048) (xy 161.964846 93.64799) (xy 160.707927 93.64799)
+ (xy 160.277001 93.217065) (xy 160.277001 92.174644) (xy 160.279428 92.150001) (xy 160.277001 92.125358) (xy 160.277001 92.125348)
+ (xy 160.269737 92.051592) (xy 160.241032 91.956965) (xy 160.194418 91.869756) (xy 160.170843 91.84103) (xy 160.1474 91.812465)
+ (xy 160.147398 91.812463) (xy 160.131685 91.793317) (xy 160.112539 91.777604) (xy 159.828824 91.493889) (xy 159.828824 91.413025)
+ (xy 159.900001 91.420035) (xy 160.022913 91.407929) (xy 160.141104 91.372076) (xy 160.250028 91.313854) (xy 160.321582 91.255132)
+ (xy 161.498 90.078715)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 98.350045 85.68275) (xy 98.316234 85.700823) (xy 98.286598 85.725144) (xy 98.254691 85.746464) (xy 98.227558 85.773597)
+ (xy 98.19792 85.79792) (xy 98.173597 85.827558) (xy 98.146464 85.854691) (xy 98.125144 85.886598) (xy 98.100823 85.916234)
+ (xy 98.08275 85.950045) (xy 98.061431 85.981952) (xy 98.046747 86.017402) (xy 98.028673 86.051216) (xy 98.017543 86.087908)
+ (xy 98.002859 86.123357) (xy 97.995374 86.160987) (xy 97.984243 86.197681) (xy 97.980484 86.235846) (xy 97.973 86.273472)
+ (xy 97.973 86.311834) (xy 97.969241 86.35) (xy 97.973 86.388166) (xy 97.973 86.426528) (xy 97.980484 86.464154)
+ (xy 97.984243 86.502319) (xy 97.995374 86.539013) (xy 98.002859 86.576643) (xy 98.017543 86.612092) (xy 98.028673 86.648784)
+ (xy 98.046747 86.682598) (xy 98.061431 86.718048) (xy 98.08275 86.749955) (xy 98.100823 86.783766) (xy 98.125144 86.813402)
+ (xy 98.146464 86.845309) (xy 98.173597 86.872442) (xy 98.19792 86.90208) (xy 98.227558 86.926403) (xy 98.254691 86.953536)
+ (xy 98.286598 86.974856) (xy 98.316234 86.999177) (xy 98.350045 87.01725) (xy 98.381952 87.038569) (xy 98.417402 87.053253)
+ (xy 98.451216 87.071327) (xy 98.487908 87.082457) (xy 98.523357 87.097141) (xy 98.560987 87.104626) (xy 98.597681 87.115757)
+ (xy 98.635846 87.119516) (xy 98.673472 87.127) (xy 98.992133 87.127) (xy 99.010019 87.160463) (xy 99.057131 87.217869)
+ (xy 99.114537 87.264981) (xy 99.18003 87.299988) (xy 99.18007 87.3) (xy 99.18003 87.300012) (xy 99.114537 87.335019)
+ (xy 99.057131 87.382131) (xy 99.010019 87.439537) (xy 98.975012 87.50503) (xy 98.953455 87.576095) (xy 98.946176 87.65)
+ (xy 98.948 88.02875) (xy 99.04225 88.123) (xy 99.573 88.123) (xy 99.573 88.103) (xy 99.827 88.103)
+ (xy 99.827 88.123) (xy 99.847 88.123) (xy 99.847 88.377) (xy 99.827 88.377) (xy 99.827 88.397)
+ (xy 99.573 88.397) (xy 99.573 88.377) (xy 99.04225 88.377) (xy 98.948 88.47125) (xy 98.946176 88.85)
+ (xy 98.953455 88.923905) (xy 98.975012 88.99497) (xy 99.010019 89.060463) (xy 99.057131 89.117869) (xy 99.114537 89.164981)
+ (xy 99.18003 89.199988) (xy 99.251095 89.221545) (xy 99.254924 89.221922) (xy 99.142261 89.233018) (xy 99.026643 89.268091)
+ (xy 98.920089 89.325045) (xy 98.826693 89.401693) (xy 98.750045 89.495089) (xy 98.693091 89.601643) (xy 98.658018 89.717261)
+ (xy 98.646176 89.8375) (xy 98.646176 90.4125) (xy 98.658018 90.532739) (xy 98.693091 90.648357) (xy 98.750045 90.754911)
+ (xy 98.826693 90.848307) (xy 98.920089 90.924955) (xy 99.026643 90.981909) (xy 99.08628 91) (xy 99.026643 91.018091)
+ (xy 98.920089 91.075045) (xy 98.826693 91.151693) (xy 98.750045 91.245089) (xy 98.693091 91.351643) (xy 98.686612 91.373)
+ (xy 98.199643 91.373) (xy 98.175 91.370573) (xy 98.150357 91.373) (xy 98.150347 91.373) (xy 98.076591 91.380264)
+ (xy 97.981964 91.408969) (xy 97.894755 91.455583) (xy 97.894753 91.455584) (xy 97.894754 91.455584) (xy 97.840634 91.5)
+ (xy 97.818316 91.518316) (xy 97.802603 91.537462) (xy 96.642066 92.698) (xy 96.502 92.698) (xy 96.502 92.538337)
+ (xy 96.521545 92.473905) (xy 96.528824 92.4) (xy 96.528824 91) (xy 96.521545 90.926095) (xy 96.499988 90.85503)
+ (xy 96.464981 90.789537) (xy 96.417869 90.732131) (xy 96.3895 90.708849) (xy 96.3895 90.507056) (xy 96.387284 90.483433)
+ (xy 96.380277 90.459543) (xy 96.368745 90.437479) (xy 96.35313 90.418088) (xy 96.334032 90.402117) (xy 96.312184 90.390178)
+ (xy 96.288428 90.382731) (xy 96.263675 90.380061) (xy 95.502 90.373013) (xy 95.502 89.759644) (xy 95.504427 89.735001)
+ (xy 95.502 89.710358) (xy 95.502 89.710349) (xy 95.494736 89.636593) (xy 95.466031 89.541966) (xy 95.419417 89.454757)
+ (xy 95.403739 89.435654) (xy 95.372399 89.397466) (xy 95.372397 89.397464) (xy 95.356684 89.378318) (xy 95.337538 89.362605)
+ (xy 94.353756 88.378824) (xy 94.65 88.378824) (xy 94.723905 88.371545) (xy 94.75 88.363629) (xy 94.776095 88.371545)
+ (xy 94.85 88.378824) (xy 95.15 88.378824) (xy 95.223905 88.371545) (xy 95.25 88.363629) (xy 95.276095 88.371545)
+ (xy 95.35 88.378824) (xy 95.38275 88.377) (xy 95.477 88.28275) (xy 95.477 88.187977) (xy 95.499988 88.14497)
+ (xy 95.5 88.14493) (xy 95.500012 88.14497) (xy 95.523 88.187977) (xy 95.523 88.28275) (xy 95.61725 88.377)
+ (xy 95.65 88.378824) (xy 95.723905 88.371545) (xy 95.75 88.363629) (xy 95.776095 88.371545) (xy 95.85 88.378824)
+ (xy 95.88275 88.377) (xy 95.977 88.28275) (xy 95.977 88.187977) (xy 95.999988 88.14497) (xy 96.021545 88.073905)
+ (xy 96.023 88.059132) (xy 96.023 88.28275) (xy 96.11725 88.377) (xy 96.15 88.378824) (xy 96.223905 88.371545)
+ (xy 96.29497 88.349988) (xy 96.360463 88.314981) (xy 96.417869 88.267869) (xy 96.464981 88.210463) (xy 96.499988 88.14497)
+ (xy 96.521545 88.073905) (xy 96.528824 88) (xy 96.527 87.52125) (xy 96.43275 87.427) (xy 96.023 87.427)
+ (xy 96.023 87.51725) (xy 95.977 87.47125) (xy 95.977 87.427) (xy 95.853 87.427) (xy 95.853 87.173)
+ (xy 95.977 87.173) (xy 95.977 87.12875) (xy 96.023 87.08275) (xy 96.023 87.173) (xy 96.43275 87.173)
+ (xy 96.527 87.07875) (xy 96.528824 86.6) (xy 96.521545 86.526095) (xy 96.499988 86.45503) (xy 96.464981 86.389537)
+ (xy 96.417869 86.332131) (xy 96.360463 86.285019) (xy 96.29497 86.250012) (xy 96.223905 86.228455) (xy 96.15 86.221176)
+ (xy 96.11725 86.223) (xy 96.023 86.31725) (xy 96.023 86.540868) (xy 96.021545 86.526095) (xy 95.999988 86.45503)
+ (xy 95.977 86.412023) (xy 95.977 86.31725) (xy 95.88275 86.223) (xy 95.85 86.221176) (xy 95.776095 86.228455)
+ (xy 95.75 86.236371) (xy 95.723905 86.228455) (xy 95.65 86.221176) (xy 95.61725 86.223) (xy 95.523 86.31725)
+ (xy 95.523 86.412023) (xy 95.500012 86.45503) (xy 95.5 86.45507) (xy 95.499988 86.45503) (xy 95.477 86.412023)
+ (xy 95.477 86.31725) (xy 95.38275 86.223) (xy 95.35 86.221176) (xy 95.33753 86.222404) (xy 95.882936 85.676999)
+ (xy 98.358653 85.676999)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 119.943091 85.698357) (xy 120.000045 85.804911) (xy 120.076693 85.898307) (xy 120.170089 85.974955) (xy 120.259432 86.022709)
+ (xy 120.201095 86.028455) (xy 120.13003 86.050012) (xy 120.064537 86.085019) (xy 120.007131 86.132131) (xy 119.960019 86.189537)
+ (xy 119.925012 86.25503) (xy 119.903455 86.326095) (xy 119.896176 86.4) (xy 119.898 86.70375) (xy 119.99225 86.798)
+ (xy 120.623 86.798) (xy 120.623 86.778) (xy 120.877 86.778) (xy 120.877 86.798) (xy 121.50775 86.798)
+ (xy 121.597875 86.707875) (xy 121.598 86.72875) (xy 121.69225 86.823) (xy 122.323 86.823) (xy 122.323 86.803)
+ (xy 122.577 86.803) (xy 122.577 86.823) (xy 122.597 86.823) (xy 122.597 87.077) (xy 122.577 87.077)
+ (xy 122.577 87.75775) (xy 122.67125 87.852) (xy 122.925 87.853824) (xy 122.998905 87.846545) (xy 123.06997 87.824988)
+ (xy 123.135463 87.789981) (xy 123.192869 87.742869) (xy 123.239981 87.685463) (xy 123.274988 87.61997) (xy 123.296545 87.548905)
+ (xy 123.303824 87.475) (xy 123.302 87.17125) (xy 123.207752 87.077002) (xy 123.302 87.077002) (xy 123.302 86.978777)
+ (xy 123.320142 86.97699) (xy 123.516604 86.97699) (xy 123.518 87.55375) (xy 123.61225 87.648) (xy 124.068 87.648)
+ (xy 124.068 87.628) (xy 124.322 87.628) (xy 124.322 87.648) (xy 124.342 87.648) (xy 124.342 87.902)
+ (xy 124.322 87.902) (xy 124.322 89.03275) (xy 124.41625 89.127) (xy 124.495 89.128824) (xy 124.568905 89.121545)
+ (xy 124.63997 89.099988) (xy 124.705463 89.064981) (xy 124.762869 89.017869) (xy 124.809981 88.960463) (xy 124.844988 88.89497)
+ (xy 124.856189 88.858046) (xy 124.875299 88.893799) (xy 124.941065 88.973935) (xy 125.021201 89.039701) (xy 125.112628 89.08857)
+ (xy 125.211832 89.118663) (xy 125.315 89.128824) (xy 125.615 89.128824) (xy 125.718168 89.118663) (xy 125.817372 89.08857)
+ (xy 125.908799 89.039701) (xy 125.988935 88.973935) (xy 126.054701 88.893799) (xy 126.1 88.809051) (xy 126.145299 88.893799)
+ (xy 126.211065 88.973935) (xy 126.291201 89.039701) (xy 126.382628 89.08857) (xy 126.481832 89.118663) (xy 126.585 89.128824)
+ (xy 126.885 89.128824) (xy 126.988168 89.118663) (xy 127.087372 89.08857) (xy 127.178799 89.039701) (xy 127.258935 88.973935)
+ (xy 127.324701 88.893799) (xy 127.37 88.809051) (xy 127.415299 88.893799) (xy 127.481065 88.973935) (xy 127.561201 89.039701)
+ (xy 127.652628 89.08857) (xy 127.751832 89.118663) (xy 127.855 89.128824) (xy 128.155 89.128824) (xy 128.258168 89.118663)
+ (xy 128.357372 89.08857) (xy 128.448799 89.039701) (xy 128.528935 88.973935) (xy 128.594701 88.893799) (xy 128.64357 88.802372)
+ (xy 128.673663 88.703168) (xy 128.683824 88.6) (xy 128.683824 86.95) (xy 128.673663 86.846832) (xy 128.64357 86.747628)
+ (xy 128.632 86.725982) (xy 128.632 86.451843) (xy 128.706843 86.377) (xy 129.595687 86.377) (xy 129.651643 86.406909)
+ (xy 129.767261 86.441982) (xy 129.8875 86.453824) (xy 130.4625 86.453824) (xy 130.582739 86.441982) (xy 130.620686 86.430471)
+ (xy 130.577569 86.473588) (xy 130.54792 86.49792) (xy 130.49992 86.556409) (xy 130.450823 86.616234) (xy 130.421456 86.671176)
+ (xy 130.2 86.671176) (xy 130.126095 86.678455) (xy 130.05503 86.700012) (xy 129.989537 86.735019) (xy 129.932131 86.782131)
+ (xy 129.885019 86.839537) (xy 129.850012 86.90503) (xy 129.828455 86.976095) (xy 129.821176 87.05) (xy 129.821176 89.55)
+ (xy 129.828455 89.623905) (xy 129.850012 89.69497) (xy 129.885019 89.760463) (xy 129.932131 89.817869) (xy 129.989537 89.864981)
+ (xy 130.004539 89.873) (xy 128.069237 89.873) (xy 127.990509 89.80839) (xy 127.794723 89.70374) (xy 127.582283 89.639297)
+ (xy 127.416717 89.62299) (xy 127.416706 89.62299) (xy 127.361352 89.617538) (xy 127.305998 89.62299) (xy 123.624002 89.62299)
+ (xy 123.568648 89.617538) (xy 123.513293 89.62299) (xy 123.513283 89.62299) (xy 123.347717 89.639297) (xy 123.16493 89.694745)
+ (xy 123.135277 89.70374) (xy 122.939491 89.80839) (xy 122.860763 89.873) (xy 118.5 89.873) (xy 118.475224 89.87544)
+ (xy 118.451399 89.882667) (xy 118.429443 89.894403) (xy 118.410197 89.910197) (xy 118.394403 89.929443) (xy 118.382667 89.951399)
+ (xy 118.37544 89.975224) (xy 118.373 90) (xy 118.373 91.373) (xy 115.927 91.373) (xy 115.927 91.372998)
+ (xy 115.832752 91.372998) (xy 115.927 91.27875) (xy 115.928824 91.025) (xy 115.921545 90.951095) (xy 115.899988 90.88003)
+ (xy 115.864981 90.814537) (xy 115.817869 90.757131) (xy 115.760463 90.710019) (xy 115.69497 90.675012) (xy 115.623905 90.653455)
+ (xy 115.55 90.646176) (xy 115.24625 90.648) (xy 115.152 90.74225) (xy 115.152 91.373) (xy 114.898 91.373)
+ (xy 114.898 90.74225) (xy 114.80375 90.648) (xy 114.5 90.646176) (xy 114.426095 90.653455) (xy 114.35503 90.675012)
+ (xy 114.289537 90.710019) (xy 114.277 90.720308) (xy 114.277 90.377339) (xy 114.297856 90.379393) (xy 114.322499 90.376966)
+ (xy 114.322509 90.376966) (xy 114.396265 90.369702) (xy 114.490892 90.340997) (xy 114.578101 90.294383) (xy 114.65454 90.23165)
+ (xy 114.670258 90.212498) (xy 116.823 88.059756) (xy 116.823 88.161) (xy 116.86631 88.378734) (xy 116.951266 88.583835)
+ (xy 117.074602 88.768421) (xy 117.231579 88.925398) (xy 117.416165 89.048734) (xy 117.621266 89.13369) (xy 117.839 89.177)
+ (xy 118.061 89.177) (xy 118.278734 89.13369) (xy 118.483835 89.048734) (xy 118.668421 88.925398) (xy 118.825398 88.768421)
+ (xy 118.837706 88.75) (xy 123.516176 88.75) (xy 123.523455 88.823905) (xy 123.545012 88.89497) (xy 123.580019 88.960463)
+ (xy 123.627131 89.017869) (xy 123.684537 89.064981) (xy 123.75003 89.099988) (xy 123.821095 89.121545) (xy 123.895 89.128824)
+ (xy 123.97375 89.127) (xy 124.068 89.03275) (xy 124.068 87.902) (xy 123.61225 87.902) (xy 123.518 87.99625)
+ (xy 123.516176 88.75) (xy 118.837706 88.75) (xy 118.948734 88.583835) (xy 119.03369 88.378734) (xy 119.077 88.161)
+ (xy 119.077 87.939) (xy 119.03369 87.721266) (xy 118.948734 87.516165) (xy 118.904525 87.45) (xy 119.896176 87.45)
+ (xy 119.903455 87.523905) (xy 119.925012 87.59497) (xy 119.960019 87.660463) (xy 120.007131 87.717869) (xy 120.064537 87.764981)
+ (xy 120.13003 87.799988) (xy 120.201095 87.821545) (xy 120.275 87.828824) (xy 120.52875 87.827) (xy 120.623 87.73275)
+ (xy 120.623 87.052) (xy 120.877 87.052) (xy 120.877 87.73275) (xy 120.97125 87.827) (xy 121.225 87.828824)
+ (xy 121.298905 87.821545) (xy 121.36997 87.799988) (xy 121.435463 87.764981) (xy 121.492869 87.717869) (xy 121.539981 87.660463)
+ (xy 121.574988 87.59497) (xy 121.596545 87.523905) (xy 121.598769 87.501326) (xy 121.603455 87.548905) (xy 121.625012 87.61997)
+ (xy 121.660019 87.685463) (xy 121.707131 87.742869) (xy 121.764537 87.789981) (xy 121.83003 87.824988) (xy 121.901095 87.846545)
+ (xy 121.975 87.853824) (xy 122.22875 87.852) (xy 122.323 87.75775) (xy 122.323 87.077) (xy 121.69225 87.077)
+ (xy 121.602125 87.167125) (xy 121.602 87.14625) (xy 121.50775 87.052) (xy 120.877 87.052) (xy 120.623 87.052)
+ (xy 119.99225 87.052) (xy 119.898 87.14625) (xy 119.896176 87.45) (xy 118.904525 87.45) (xy 118.825398 87.331579)
+ (xy 118.668421 87.174602) (xy 118.483835 87.051266) (xy 118.483717 87.051217) (xy 119.857935 85.677) (xy 119.936612 85.677)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 158.847 89.112) (xy 158.827 89.112) (xy 158.827 89.132) (xy 158.573 89.132) (xy 158.573 89.112)
+ (xy 157.66725 89.112) (xy 157.573 89.20625) (xy 157.571176 89.285) (xy 157.578455 89.358905) (xy 157.600012 89.42997)
+ (xy 157.635019 89.495463) (xy 157.682131 89.552869) (xy 157.739537 89.599981) (xy 157.77699 89.62) (xy 157.739537 89.640019)
+ (xy 157.682131 89.687131) (xy 157.635019 89.744537) (xy 157.600012 89.81003) (xy 157.578455 89.881095) (xy 157.571176 89.955)
+ (xy 157.571176 90.374515) (xy 157.525 90.369967) (xy 157.402087 90.382073) (xy 157.283896 90.417926) (xy 157.174972 90.476147)
+ (xy 157.103418 90.53487) (xy 156.659519 90.978769) (xy 156.65775 90.977) (xy 156.127 90.977) (xy 156.127 90.997)
+ (xy 155.873 90.997) (xy 155.873 90.977) (xy 155.853 90.977) (xy 155.853 90.723) (xy 155.873 90.723)
+ (xy 155.873 89.96725) (xy 156.127 89.96725) (xy 156.127 90.723) (xy 156.65775 90.723) (xy 156.752 90.62875)
+ (xy 156.753824 90.25) (xy 156.746545 90.176095) (xy 156.724988 90.10503) (xy 156.689981 90.039537) (xy 156.642869 89.982131)
+ (xy 156.585463 89.935019) (xy 156.51997 89.900012) (xy 156.448905 89.878455) (xy 156.375 89.871176) (xy 156.22125 89.873)
+ (xy 156.127 89.96725) (xy 155.873 89.96725) (xy 155.77875 89.873) (xy 155.625 89.871176) (xy 155.551095 89.878455)
+ (xy 155.48003 89.900012) (xy 155.414537 89.935019) (xy 155.402 89.945308) (xy 155.402 89.407934) (xy 155.947937 88.861999)
+ (xy 158.847 88.861999)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 100.458018 88.582739) (xy 100.493091 88.698357) (xy 100.550045 88.804911) (xy 100.626693 88.898307) (xy 100.720089 88.974955)
+ (xy 100.826643 89.031909) (xy 100.898001 89.053555) (xy 100.898 89.346176) (xy 100.87 89.346176) (xy 100.796095 89.353455)
+ (xy 100.72503 89.375012) (xy 100.659537 89.410019) (xy 100.602131 89.457131) (xy 100.555019 89.514537) (xy 100.520012 89.58003)
+ (xy 100.498455 89.651095) (xy 100.491176 89.725) (xy 100.491176 90.375) (xy 100.494589 90.409654) (xy 100.353824 90.268889)
+ (xy 100.353824 89.8375) (xy 100.341982 89.717261) (xy 100.306909 89.601643) (xy 100.249955 89.495089) (xy 100.173307 89.401693)
+ (xy 100.079911 89.325045) (xy 99.973357 89.268091) (xy 99.857739 89.233018) (xy 99.796635 89.227) (xy 99.827002 89.227)
+ (xy 99.827002 89.132752) (xy 99.92125 89.227) (xy 100.075 89.228824) (xy 100.148905 89.221545) (xy 100.21997 89.199988)
+ (xy 100.285463 89.164981) (xy 100.342869 89.117869) (xy 100.389981 89.060463) (xy 100.424988 88.99497) (xy 100.446545 88.923905)
+ (xy 100.453824 88.85) (xy 100.452255 88.524225)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 128.279449 83.912591) (xy 128.295163 83.931739) (xy 128.314309 83.947452) (xy 128.31431 83.947453) (xy 128.3716 83.994471)
+ (xy 128.458807 84.041085) (xy 128.553434 84.06979) (xy 128.553435 84.06979) (xy 128.553438 84.069791) (xy 128.619417 84.076289)
+ (xy 128.651844 84.079483) (xy 128.676496 84.077055) (xy 130.81441 84.077058) (xy 130.839054 84.079485) (xy 130.863697 84.077058)
+ (xy 130.863706 84.077058) (xy 130.864295 84.077) (xy 133.767066 84.077) (xy 133.848001 84.157935) (xy 133.848001 84.388079)
+ (xy 133.816165 84.401266) (xy 133.631579 84.524602) (xy 133.474602 84.681579) (xy 133.351266 84.866165) (xy 133.26631 85.071266)
+ (xy 133.223 85.289) (xy 133.223 85.511) (xy 133.26631 85.728734) (xy 133.351266 85.933835) (xy 133.474602 86.118421)
+ (xy 133.631579 86.275398) (xy 133.816165 86.398734) (xy 134.021266 86.48369) (xy 134.239 86.527) (xy 134.461 86.527)
+ (xy 134.678734 86.48369) (xy 134.883835 86.398734) (xy 135.068421 86.275398) (xy 135.225398 86.118421) (xy 135.348734 85.933835)
+ (xy 135.361921 85.902) (xy 136.392066 85.902) (xy 137.052368 86.562304) (xy 137.030019 86.589537) (xy 136.995012 86.65503)
+ (xy 136.973455 86.726095) (xy 136.966176 86.8) (xy 136.968 87.55375) (xy 137.06225 87.648) (xy 137.518 87.648)
+ (xy 137.518 87.628) (xy 137.772 87.628) (xy 137.772 87.648) (xy 137.792 87.648) (xy 137.792 87.902)
+ (xy 137.772 87.902) (xy 137.772 89.03275) (xy 137.86625 89.127) (xy 137.945 89.128824) (xy 138.018905 89.121545)
+ (xy 138.08997 89.099988) (xy 138.155463 89.064981) (xy 138.212869 89.017869) (xy 138.259981 88.960463) (xy 138.294988 88.89497)
+ (xy 138.306189 88.858046) (xy 138.325299 88.893799) (xy 138.391065 88.973935) (xy 138.471201 89.039701) (xy 138.562628 89.08857)
+ (xy 138.661832 89.118663) (xy 138.765 89.128824) (xy 139.065 89.128824) (xy 139.168168 89.118663) (xy 139.267372 89.08857)
+ (xy 139.358799 89.039701) (xy 139.438935 88.973935) (xy 139.504701 88.893799) (xy 139.55 88.809051) (xy 139.595299 88.893799)
+ (xy 139.661065 88.973935) (xy 139.741201 89.039701) (xy 139.832628 89.08857) (xy 139.931832 89.118663) (xy 140.035 89.128824)
+ (xy 140.335 89.128824) (xy 140.438168 89.118663) (xy 140.537372 89.08857) (xy 140.628799 89.039701) (xy 140.708935 88.973935)
+ (xy 140.774701 88.893799) (xy 140.82 88.809051) (xy 140.865299 88.893799) (xy 140.931065 88.973935) (xy 141.011201 89.039701)
+ (xy 141.102628 89.08857) (xy 141.201832 89.118663) (xy 141.305 89.128824) (xy 141.605 89.128824) (xy 141.708168 89.118663)
+ (xy 141.807372 89.08857) (xy 141.898799 89.039701) (xy 141.978935 88.973935) (xy 142.044701 88.893799) (xy 142.09357 88.802372)
+ (xy 142.123663 88.703168) (xy 142.133824 88.6) (xy 142.133824 86.95) (xy 142.123663 86.846832) (xy 142.09357 86.747628)
+ (xy 142.082 86.725982) (xy 142.082 86.559593) (xy 142.15208 86.50208) (xy 142.176413 86.47243) (xy 142.221843 86.427)
+ (xy 143.195687 86.427) (xy 143.251643 86.456909) (xy 143.367261 86.491982) (xy 143.4875 86.503824) (xy 144.0625 86.503824)
+ (xy 144.182739 86.491982) (xy 144.298357 86.456909) (xy 144.404911 86.399955) (xy 144.498307 86.323307) (xy 144.574955 86.229911)
+ (xy 144.631909 86.123357) (xy 144.65 86.06372) (xy 144.668091 86.123357) (xy 144.725045 86.229911) (xy 144.748001 86.257883)
+ (xy 144.748 86.671176) (xy 143.75 86.671176) (xy 143.676095 86.678455) (xy 143.60503 86.700012) (xy 143.539537 86.735019)
+ (xy 143.482131 86.782131) (xy 143.435019 86.839537) (xy 143.400012 86.90503) (xy 143.378455 86.976095) (xy 143.371176 87.05)
+ (xy 143.371176 89.55) (xy 143.378455 89.623905) (xy 143.400012 89.69497) (xy 143.435019 89.760463) (xy 143.482131 89.817869)
+ (xy 143.539537 89.864981) (xy 143.554539 89.873) (xy 141.519237 89.873) (xy 141.440509 89.80839) (xy 141.244723 89.70374)
+ (xy 141.032283 89.639297) (xy 140.866717 89.62299) (xy 140.866706 89.62299) (xy 140.811352 89.617538) (xy 140.755998 89.62299)
+ (xy 135.017864 89.62299) (xy 134.962509 89.617538) (xy 134.907155 89.62299) (xy 134.907145 89.62299) (xy 134.741579 89.639297)
+ (xy 134.529139 89.70374) (xy 134.333353 89.80839) (xy 134.254625 89.873) (xy 132.195461 89.873) (xy 132.210463 89.864981)
+ (xy 132.267869 89.817869) (xy 132.314981 89.760463) (xy 132.349988 89.69497) (xy 132.371545 89.623905) (xy 132.378824 89.55)
+ (xy 132.378824 88.75) (xy 136.966176 88.75) (xy 136.973455 88.823905) (xy 136.995012 88.89497) (xy 137.030019 88.960463)
+ (xy 137.077131 89.017869) (xy 137.134537 89.064981) (xy 137.20003 89.099988) (xy 137.271095 89.121545) (xy 137.345 89.128824)
+ (xy 137.42375 89.127) (xy 137.518 89.03275) (xy 137.518 87.902) (xy 137.06225 87.902) (xy 136.968 87.99625)
+ (xy 136.966176 88.75) (xy 132.378824 88.75) (xy 132.378824 87.05) (xy 132.371545 86.976095) (xy 132.349988 86.90503)
+ (xy 132.347837 86.901006) (xy 132.447436 86.801408) (xy 132.47708 86.77708) (xy 132.503407 86.745) (xy 132.574177 86.658767)
+ (xy 132.646327 86.523784) (xy 132.655412 86.493835) (xy 132.690757 86.377318) (xy 132.702 86.263165) (xy 132.702 86.263157)
+ (xy 132.705758 86.225001) (xy 132.70385 86.205628) (xy 132.724955 86.179911) (xy 132.781909 86.073357) (xy 132.816982 85.957739)
+ (xy 132.828824 85.8375) (xy 132.828824 85.3625) (xy 132.816982 85.242261) (xy 132.781909 85.126643) (xy 132.724955 85.020089)
+ (xy 132.648307 84.926693) (xy 132.554911 84.850045) (xy 132.448357 84.793091) (xy 132.332739 84.758018) (xy 132.2125 84.746176)
+ (xy 131.6375 84.746176) (xy 131.517261 84.758018) (xy 131.401643 84.793091) (xy 131.295089 84.850045) (xy 131.201693 84.926693)
+ (xy 131.125045 85.020089) (xy 131.068091 85.126643) (xy 131.05 85.18628) (xy 131.031909 85.126643) (xy 130.974955 85.020089)
+ (xy 130.898307 84.926693) (xy 130.804911 84.850045) (xy 130.698357 84.793091) (xy 130.582739 84.758018) (xy 130.4625 84.746176)
+ (xy 129.8875 84.746176) (xy 129.767261 84.758018) (xy 129.651643 84.793091) (xy 129.595687 84.823) (xy 129.028824 84.823)
+ (xy 129.028824 84.7625) (xy 129.016982 84.642261) (xy 128.981909 84.526643) (xy 128.924955 84.420089) (xy 128.848307 84.326693)
+ (xy 128.754911 84.250045) (xy 128.648357 84.193091) (xy 128.532739 84.158018) (xy 128.4125 84.146176) (xy 127.8375 84.146176)
+ (xy 127.717261 84.158018) (xy 127.601643 84.193091) (xy 127.495089 84.250045) (xy 127.401693 84.326693) (xy 127.325045 84.420089)
+ (xy 127.277291 84.509432) (xy 127.271545 84.451095) (xy 127.249988 84.38003) (xy 127.214981 84.314537) (xy 127.167869 84.257131)
+ (xy 127.110463 84.210019) (xy 127.04497 84.175012) (xy 126.973905 84.153455) (xy 126.9 84.146176) (xy 126.59625 84.148)
+ (xy 126.502 84.24225) (xy 126.502 84.873) (xy 126.522 84.873) (xy 126.522 85.127) (xy 126.502 85.127)
+ (xy 126.502 85.75775) (xy 126.59625 85.852) (xy 126.9 85.853824) (xy 126.973905 85.846545) (xy 127.04497 85.824988)
+ (xy 127.110463 85.789981) (xy 127.128522 85.77516) (xy 127.125374 85.790987) (xy 127.114243 85.827681) (xy 127.110484 85.865846)
+ (xy 127.103 85.903472) (xy 127.103 85.941834) (xy 127.099241 85.98) (xy 127.103 86.018166) (xy 127.103 86.056528)
+ (xy 127.110484 86.094154) (xy 127.114243 86.132319) (xy 127.125374 86.169013) (xy 127.132859 86.206643) (xy 127.147543 86.242092)
+ (xy 127.149605 86.248892) (xy 127.11971 86.212465) (xy 127.1074 86.197465) (xy 127.107397 86.197462) (xy 127.091684 86.178316)
+ (xy 127.072537 86.162602) (xy 126.972401 86.062466) (xy 126.956684 86.043316) (xy 126.880245 85.980583) (xy 126.793036 85.933969)
+ (xy 126.698409 85.905264) (xy 126.624653 85.898) (xy 126.624643 85.898) (xy 126.6 85.895573) (xy 126.575357 85.898)
+ (xy 125.694346 85.898) (xy 125.483791 85.687446) (xy 125.468074 85.668295) (xy 125.391635 85.605562) (xy 125.304426 85.558948)
+ (xy 125.209799 85.530243) (xy 125.136043 85.522979) (xy 125.136033 85.522979) (xy 125.11139 85.520552) (xy 125.086747 85.522979)
+ (xy 123.957914 85.522979) (xy 123.909935 85.475) (xy 125.471176 85.475) (xy 125.478455 85.548905) (xy 125.500012 85.61997)
+ (xy 125.535019 85.685463) (xy 125.582131 85.742869) (xy 125.639537 85.789981) (xy 125.70503 85.824988) (xy 125.776095 85.846545)
+ (xy 125.85 85.853824) (xy 126.15375 85.852) (xy 126.248 85.75775) (xy 126.248 85.127) (xy 125.56725 85.127)
+ (xy 125.473 85.22125) (xy 125.471176 85.475) (xy 123.909935 85.475) (xy 123.29906 84.864126) (xy 123.291982 84.792261)
+ (xy 123.256909 84.676643) (xy 123.199955 84.570089) (xy 123.123307 84.476693) (xy 123.029911 84.400045) (xy 122.952 84.358401)
+ (xy 122.952 84.289673) (xy 125.512684 84.289673) (xy 125.537327 84.2921) (xy 125.554849 84.290374) (xy 125.535019 84.314537)
+ (xy 125.500012 84.38003) (xy 125.478455 84.451095) (xy 125.471176 84.525) (xy 125.473 84.77875) (xy 125.56725 84.873)
+ (xy 126.248 84.873) (xy 126.248 84.24225) (xy 126.15375 84.148) (xy 125.891491 84.146425) (xy 125.894011 84.144357)
+ (xy 125.909728 84.125206) (xy 126.157935 83.877) (xy 128.243858 83.877)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 159.709527 78.26872) (xy 159.881603 78.478396) (xy 160.091279 78.650473) (xy 160.330495 78.778337) (xy 160.359221 78.787051)
+ (xy 160.365264 78.848408) (xy 160.393969 78.943035) (xy 160.440583 79.030245) (xy 160.503316 79.106684) (xy 160.522467 79.122401)
+ (xy 161.498001 80.097936) (xy 161.498001 85.836289) (xy 160.822328 85.160616) (xy 160.827001 85.113165) (xy 160.827001 85.113162)
+ (xy 160.83076 85.074999) (xy 160.827001 85.036836) (xy 160.827001 82.338164) (xy 160.83076 82.300001) (xy 160.825746 82.249095)
+ (xy 160.815758 82.147682) (xy 160.771328 82.001217) (xy 160.699178 81.866235) (xy 160.602081 81.747921) (xy 160.577 81.727338)
+ (xy 160.577 81.238795) (xy 160.580033 81.208001) (xy 160.567927 81.085089) (xy 160.552873 81.035463) (xy 160.532075 80.966899)
+ (xy 160.473853 80.857974) (xy 160.395501 80.762501) (xy 160.371579 80.742869) (xy 158.947 79.318291) (xy 158.947 78.726224)
+ (xy 159.08872 78.650473) (xy 159.298396 78.478397) (xy 159.470473 78.268721) (xy 159.59 78.045102)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 154.949476 85.223) (xy 150.259934 85.223) (xy 148.988921 83.951989) (xy 153.678466 83.951989)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 140.609865 68.589473) (xy 140.681588 68.661196) (xy 140.514957 68.741306) (xy 140.424889 68.944213) (xy 140.376136 69.160793)
+ (xy 140.370572 69.382723) (xy 140.408412 69.601474) (xy 140.488202 69.80864) (xy 140.514957 69.858694) (xy 140.68159 69.938805)
+ (xy 141.320395 69.3) (xy 141.306253 69.285858) (xy 141.485858 69.106253) (xy 141.5 69.120395) (xy 141.514143 69.106253)
+ (xy 141.693748 69.285858) (xy 141.679605 69.3) (xy 142.31841 69.938805) (xy 142.485043 69.858694) (xy 142.575111 69.655787)
+ (xy 142.623864 69.439207) (xy 142.629428 69.217277) (xy 142.591588 68.998526) (xy 142.511798 68.79136) (xy 142.485043 68.741306)
+ (xy 142.318412 68.661196) (xy 142.390135 68.589473) (xy 142.352662 68.552) (xy 142.944181 68.552) (xy 142.914602 68.581579)
+ (xy 142.791266 68.766165) (xy 142.70631 68.971266) (xy 142.663 69.189) (xy 142.663 69.411) (xy 142.70631 69.628734)
+ (xy 142.791266 69.833835) (xy 142.914602 70.018421) (xy 143.071579 70.175398) (xy 143.256165 70.298734) (xy 143.461266 70.38369)
+ (xy 143.679 70.427) (xy 143.901 70.427) (xy 144.118734 70.38369) (xy 144.323835 70.298734) (xy 144.508421 70.175398)
+ (xy 144.565409 70.11841) (xy 152.291195 70.11841) (xy 152.371306 70.285043) (xy 152.574213 70.375111) (xy 152.790793 70.423864)
+ (xy 153.012723 70.429428) (xy 153.231474 70.391588) (xy 153.43864 70.311798) (xy 153.488694 70.285043) (xy 153.568805 70.11841)
+ (xy 152.93 69.479605) (xy 152.291195 70.11841) (xy 144.565409 70.11841) (xy 144.665398 70.018421) (xy 144.788734 69.833835)
+ (xy 144.87369 69.628734) (xy 144.917 69.411) (xy 144.917 69.189) (xy 144.87369 68.971266) (xy 144.860503 68.939431)
+ (xy 145.247914 68.552021) (xy 152.077317 68.552021) (xy 152.039865 68.589473) (xy 152.111588 68.661196) (xy 151.944957 68.741306)
+ (xy 151.854889 68.944213) (xy 151.806136 69.160793) (xy 151.800572 69.382723) (xy 151.838412 69.601474) (xy 151.918202 69.80864)
+ (xy 151.944957 69.858694) (xy 152.11159 69.938805) (xy 152.750395 69.3) (xy 152.736253 69.285858) (xy 152.915858 69.106253)
+ (xy 152.93 69.120395) (xy 152.944143 69.106253) (xy 153.123748 69.285858) (xy 153.109605 69.3) (xy 153.74841 69.938805)
+ (xy 153.915043 69.858694) (xy 154.005111 69.655787) (xy 154.053864 69.439207) (xy 154.059428 69.217277) (xy 154.021588 68.998526)
+ (xy 153.941798 68.79136) (xy 153.915043 68.741306) (xy 153.748412 68.661196) (xy 153.820135 68.589473) (xy 153.782683 68.552021)
+ (xy 154.37416 68.552021) (xy 154.344602 68.581579) (xy 154.221266 68.766165) (xy 154.13631 68.971266) (xy 154.093 69.189)
+ (xy 154.093 69.411) (xy 154.13631 69.628734) (xy 154.221266 69.833835) (xy 154.344602 70.018421) (xy 154.501579 70.175398)
+ (xy 154.686165 70.298734) (xy 154.891266 70.38369) (xy 155.109 70.427) (xy 155.331 70.427) (xy 155.548734 70.38369)
+ (xy 155.753835 70.298734) (xy 155.938421 70.175398) (xy 156.095398 70.018421) (xy 156.218734 69.833835) (xy 156.30369 69.628734)
+ (xy 156.347 69.411) (xy 156.347 69.189) (xy 156.329695 69.102) (xy 160.371176 69.102) (xy 160.371176 69.3875)
+ (xy 160.383018 69.507739) (xy 160.418091 69.623357) (xy 160.475045 69.729911) (xy 160.551693 69.823307) (xy 160.645089 69.899955)
+ (xy 160.751643 69.956909) (xy 160.867261 69.991982) (xy 160.9875 70.003824) (xy 161.5625 70.003824) (xy 161.682739 69.991982)
+ (xy 161.798357 69.956909) (xy 161.904911 69.899955) (xy 161.998307 69.823307) (xy 162.074955 69.729911) (xy 162.131909 69.623357)
+ (xy 162.15 69.56372) (xy 162.168091 69.623357) (xy 162.225045 69.729911) (xy 162.301693 69.823307) (xy 162.395089 69.899955)
+ (xy 162.501643 69.956909) (xy 162.617261 69.991982) (xy 162.7375 70.003824) (xy 163.168889 70.003824) (xy 163.186536 70.021471)
+ (xy 163.186542 70.021476) (xy 165.847968 72.682903) (xy 165.847967 83.942098) (xy 165.147198 84.642868) (xy 165.117869 84.607131)
+ (xy 165.060463 84.560019) (xy 165.02301 84.54) (xy 165.060463 84.519981) (xy 165.117869 84.472869) (xy 165.164981 84.415463)
+ (xy 165.199988 84.34997) (xy 165.221545 84.278905) (xy 165.228824 84.205) (xy 165.227 84.12625) (xy 165.13275 84.032)
+ (xy 164.227 84.032) (xy 164.227 84.052) (xy 163.973 84.052) (xy 163.973 84.032) (xy 163.953 84.032)
+ (xy 163.953 83.778) (xy 163.973 83.778) (xy 163.973 83.32225) (xy 164.227 83.32225) (xy 164.227 83.778)
+ (xy 165.13275 83.778) (xy 165.227 83.68375) (xy 165.228824 83.605) (xy 165.221545 83.531095) (xy 165.199988 83.46003)
+ (xy 165.164981 83.394537) (xy 165.117869 83.337131) (xy 165.060463 83.290019) (xy 164.99497 83.255012) (xy 164.923905 83.233455)
+ (xy 164.85 83.226176) (xy 164.32125 83.228) (xy 164.227 83.32225) (xy 163.973 83.32225) (xy 163.87875 83.228)
+ (xy 163.35 83.226176) (xy 163.276095 83.233455) (xy 163.22701 83.248345) (xy 163.22701 80.132944) (xy 163.737538 79.622417)
+ (xy 163.756684 79.606704) (xy 163.78145 79.576528) (xy 163.816913 79.533316) (xy 163.819417 79.530265) (xy 163.866031 79.443056)
+ (xy 163.894736 79.348429) (xy 163.902 79.274673) (xy 163.902 79.274664) (xy 163.904427 79.250021) (xy 163.902 79.225378)
+ (xy 163.902 78.878824) (xy 164.4 78.878824) (xy 164.473905 78.871545) (xy 164.54497 78.849988) (xy 164.610463 78.814981)
+ (xy 164.667869 78.767869) (xy 164.714981 78.710463) (xy 164.749988 78.64497) (xy 164.771545 78.573905) (xy 164.778824 78.5)
+ (xy 164.778824 75.9) (xy 164.771545 75.826095) (xy 164.749988 75.75503) (xy 164.714981 75.689537) (xy 164.667869 75.632131)
+ (xy 164.610463 75.585019) (xy 164.54497 75.550012) (xy 164.473905 75.528455) (xy 164.4 75.521176) (xy 162.4 75.521176)
+ (xy 162.326095 75.528455) (xy 162.25503 75.550012) (xy 162.189537 75.585019) (xy 162.132131 75.632131) (xy 162.085019 75.689537)
+ (xy 162.050012 75.75503) (xy 162.028455 75.826095) (xy 162.021176 75.9) (xy 162.021176 76.151303) (xy 162.010473 76.131279)
+ (xy 161.838397 75.921603) (xy 161.628721 75.749527) (xy 161.389505 75.621663) (xy 161.129939 75.542925) (xy 160.86 75.516338)
+ (xy 160.590062 75.542925) (xy 160.330496 75.621663) (xy 160.09128 75.749527) (xy 159.881604 75.921603) (xy 159.709527 76.131279)
+ (xy 159.59 76.354898) (xy 159.470473 76.131279) (xy 159.298397 75.921603) (xy 159.088721 75.749527) (xy 158.849505 75.621663)
+ (xy 158.589939 75.542925) (xy 158.32 75.516338) (xy 158.050062 75.542925) (xy 157.790496 75.621663) (xy 157.55128 75.749527)
+ (xy 157.341604 75.921603) (xy 157.169527 76.131279) (xy 157.046563 76.361328) (xy 157.003581 76.255712) (xy 156.854376 76.029383)
+ (xy 156.663883 75.836511) (xy 156.439423 75.684509) (xy 156.189622 75.579217) (xy 156.119929 75.565617) (xy 155.907 75.623552)
+ (xy 155.907 77.073) (xy 155.927 77.073) (xy 155.927 77.327) (xy 155.907 77.327) (xy 155.907 78.776448)
+ (xy 156.119929 78.834383) (xy 156.189622 78.820783) (xy 156.439423 78.715491) (xy 156.663883 78.563489) (xy 156.854376 78.370617)
+ (xy 157.003581 78.144288) (xy 157.046563 78.038671) (xy 157.169527 78.26872) (xy 157.341603 78.478396) (xy 157.551279 78.650473)
+ (xy 157.693001 78.726225) (xy 157.693001 79.547198) (xy 157.689967 79.578002) (xy 157.702073 79.700914) (xy 157.73691 79.815756)
+ (xy 157.737926 79.819105) (xy 157.796148 79.92803) (xy 157.8745 80.023503) (xy 157.898422 80.043136) (xy 159.278286 81.423)
+ (xy 151.771844 81.423) (xy 147.675844 77.327) (xy 154.403 77.327) (xy 154.403 77.627) (xy 154.454235 77.893199)
+ (xy 154.556419 78.144288) (xy 154.705624 78.370617) (xy 154.896117 78.563489) (xy 155.120577 78.715491) (xy 155.370378 78.820783)
+ (xy 155.440071 78.834383) (xy 155.653 78.776448) (xy 155.653 77.327) (xy 154.403 77.327) (xy 147.675844 77.327)
+ (xy 147.121844 76.773) (xy 154.403 76.773) (xy 154.403 77.073) (xy 155.653 77.073) (xy 155.653 75.623552)
+ (xy 155.440071 75.565617) (xy 155.370378 75.579217) (xy 155.120577 75.684509) (xy 154.896117 75.836511) (xy 154.705624 76.029383)
+ (xy 154.556419 76.255712) (xy 154.454235 76.506801) (xy 154.403 76.773) (xy 147.121844 76.773) (xy 146.726414 76.377571)
+ (xy 146.702081 76.347921) (xy 146.583767 76.250824) (xy 146.448785 76.178674) (xy 146.30232 76.134244) (xy 146.188167 76.123001)
+ (xy 146.188164 76.123001) (xy 146.150001 76.119242) (xy 146.111838 76.123001) (xy 139.257868 76.123001) (xy 139.239981 76.089537)
+ (xy 139.192869 76.032131) (xy 139.135463 75.985019) (xy 139.06997 75.950012) (xy 139.06993 75.95) (xy 139.06997 75.949988)
+ (xy 139.135463 75.914981) (xy 139.192869 75.867869) (xy 139.239981 75.810463) (xy 139.274988 75.74497) (xy 139.296545 75.673905)
+ (xy 139.303824 75.6) (xy 139.302 75.22125) (xy 139.20775 75.127) (xy 138.677 75.127) (xy 138.677 75.147)
+ (xy 138.423 75.147) (xy 138.423 75.127) (xy 137.89225 75.127) (xy 137.798 75.22125) (xy 137.796176 75.6)
+ (xy 137.803455 75.673905) (xy 137.825012 75.74497) (xy 137.860019 75.810463) (xy 137.907131 75.867869) (xy 137.964537 75.914981)
+ (xy 138.03003 75.949988) (xy 138.03007 75.95) (xy 138.03003 75.950012) (xy 137.964537 75.985019) (xy 137.907131 76.032131)
+ (xy 137.860019 76.089537) (xy 137.842132 76.123001) (xy 130.038162 76.123001) (xy 129.999998 76.119242) (xy 129.961835 76.123001)
+ (xy 129.961833 76.123001) (xy 129.84768 76.134244) (xy 129.701215 76.178674) (xy 129.566233 76.250824) (xy 129.50201 76.30353)
+ (xy 129.50201 75.321843) (xy 129.72243 75.101423) (xy 129.75208 75.07709) (xy 129.776413 75.04744) (xy 129.846853 74.977)
+ (xy 130.292133 74.977) (xy 130.310019 75.010463) (xy 130.357131 75.067869) (xy 130.414537 75.114981) (xy 130.48003 75.149988)
+ (xy 130.551095 75.171545) (xy 130.625 75.178824) (xy 131.375 75.178824) (xy 131.448905 75.171545) (xy 131.51997 75.149988)
+ (xy 131.585463 75.114981) (xy 131.642869 75.067869) (xy 131.689981 75.010463) (xy 131.724988 74.94497) (xy 131.746545 74.873905)
+ (xy 131.751165 74.827) (xy 132.609206 74.827) (xy 132.64 74.830033) (xy 132.670794 74.827) (xy 132.762913 74.817927)
+ (xy 132.881103 74.782075) (xy 132.990028 74.723853) (xy 133.085501 74.645501) (xy 133.105138 74.621573) (xy 133.266573 74.460138)
+ (xy 133.290501 74.440501) (xy 133.323739 74.4) (xy 137.796176 74.4) (xy 137.798 74.77875) (xy 137.89225 74.873)
+ (xy 138.423 74.873) (xy 138.423 74.11725) (xy 138.677 74.11725) (xy 138.677 74.873) (xy 139.20775 74.873)
+ (xy 139.302 74.77875) (xy 139.303824 74.4) (xy 139.296545 74.326095) (xy 139.274988 74.25503) (xy 139.239981 74.189537)
+ (xy 139.192869 74.132131) (xy 139.135463 74.085019) (xy 139.06997 74.050012) (xy 138.998905 74.028455) (xy 138.925 74.021176)
+ (xy 138.77125 74.023) (xy 138.677 74.11725) (xy 138.423 74.11725) (xy 138.32875 74.023) (xy 138.175 74.021176)
+ (xy 138.101095 74.028455) (xy 138.03003 74.050012) (xy 137.964537 74.085019) (xy 137.907131 74.132131) (xy 137.860019 74.189537)
+ (xy 137.825012 74.25503) (xy 137.803455 74.326095) (xy 137.796176 74.4) (xy 133.323739 74.4) (xy 133.368853 74.345028)
+ (xy 133.427075 74.236103) (xy 133.462927 74.117913) (xy 133.472 74.025794) (xy 133.473548 74.010082) (xy 133.48 73.99801)
+ (xy 133.500019 74.035463) (xy 133.547131 74.092869) (xy 133.604537 74.139981) (xy 133.67003 74.174988) (xy 133.741095 74.196545)
+ (xy 133.815 74.203824) (xy 134.415 74.203824) (xy 134.488905 74.196545) (xy 134.55997 74.174988) (xy 134.625463 74.139981)
+ (xy 134.682869 74.092869) (xy 134.729981 74.035463) (xy 134.75 73.99801) (xy 134.770019 74.035463) (xy 134.817131 74.092869)
+ (xy 134.874537 74.139981) (xy 134.94003 74.174988) (xy 135.011095 74.196545) (xy 135.085 74.203824) (xy 135.685 74.203824)
+ (xy 135.758905 74.196545) (xy 135.82997 74.174988) (xy 135.895463 74.139981) (xy 135.952869 74.092869) (xy 135.999981 74.035463)
+ (xy 136.02 73.99801) (xy 136.040019 74.035463) (xy 136.087131 74.092869) (xy 136.144537 74.139981) (xy 136.21003 74.174988)
+ (xy 136.281095 74.196545) (xy 136.355 74.203824) (xy 136.43375 74.202) (xy 136.528 74.10775) (xy 136.528 73.177)
+ (xy 136.782 73.177) (xy 136.782 74.10775) (xy 136.87625 74.202) (xy 136.955 74.203824) (xy 137.028905 74.196545)
+ (xy 137.09997 74.174988) (xy 137.165463 74.139981) (xy 137.222869 74.092869) (xy 137.269981 74.035463) (xy 137.304988 73.96997)
+ (xy 137.326545 73.898905) (xy 137.333824 73.825) (xy 137.332 73.27125) (xy 137.23775 73.177) (xy 136.782 73.177)
+ (xy 136.528 73.177) (xy 136.508 73.177) (xy 136.508 72.923) (xy 136.528 72.923) (xy 136.528 72.903)
+ (xy 136.782 72.903) (xy 136.782 72.923) (xy 137.23775 72.923) (xy 137.332 72.82875) (xy 137.333824 72.275)
+ (xy 137.326545 72.201095) (xy 137.304988 72.13003) (xy 137.269981 72.064537) (xy 137.222869 72.007131) (xy 137.165463 71.960019)
+ (xy 137.117835 71.934561) (xy 138.921574 70.130822) (xy 138.936698 70.11841) (xy 140.861195 70.11841) (xy 140.941306 70.285043)
+ (xy 141.144213 70.375111) (xy 141.360793 70.423864) (xy 141.582723 70.429428) (xy 141.801474 70.391588) (xy 142.00864 70.311798)
+ (xy 142.058694 70.285043) (xy 142.138805 70.11841) (xy 141.5 69.479605) (xy 140.861195 70.11841) (xy 138.936698 70.11841)
+ (xy 138.945501 70.111186) (xy 139.023853 70.015713) (xy 139.039411 69.986607) (xy 139.082075 69.906789) (xy 139.117927 69.788598)
+ (xy 139.130033 69.665685) (xy 139.127 69.634891) (xy 139.127 69.560193) (xy 139.188569 69.468048) (xy 139.247141 69.326643)
+ (xy 139.277 69.176528) (xy 139.277 69.023472) (xy 139.247141 68.873357) (xy 139.188569 68.731952) (xy 139.103536 68.604691)
+ (xy 139.050845 68.552) (xy 140.647338 68.552)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 132.166176 77.948) (xy 131.16228 77.948) (xy 131.137637 77.945573) (xy 131.112994 77.948) (xy 131.112985 77.948)
+ (xy 131.039229 77.955264) (xy 130.944602 77.983969) (xy 130.857393 78.030583) (xy 130.857391 78.030584) (xy 130.857392 78.030584)
+ (xy 130.800835 78.077) (xy 130.780954 78.093316) (xy 130.765241 78.112462) (xy 129.947312 78.930392) (xy 129.928161 78.946109)
+ (xy 129.865428 79.022548) (xy 129.818814 79.109758) (xy 129.790109 79.204385) (xy 129.782845 79.278141) (xy 129.782845 79.27815)
+ (xy 129.780418 79.302793) (xy 129.782845 79.327436) (xy 129.782846 80.273014) (xy 129.668926 80.273014) (xy 129.518811 80.302873)
+ (xy 129.377406 80.361445) (xy 129.250145 80.446478) (xy 129.141918 80.554705) (xy 129.056885 80.681966) (xy 129.044423 80.712051)
+ (xy 128.469061 80.136689) (xy 128.473 80.13275) (xy 128.473 79.377) (xy 128.727 79.377) (xy 128.727 80.13275)
+ (xy 128.82125 80.227) (xy 128.975 80.228824) (xy 129.048905 80.221545) (xy 129.11997 80.199988) (xy 129.185463 80.164981)
+ (xy 129.242869 80.117869) (xy 129.289981 80.060463) (xy 129.324988 79.99497) (xy 129.346545 79.923905) (xy 129.353824 79.85)
+ (xy 129.352 79.47125) (xy 129.25775 79.377) (xy 128.727 79.377) (xy 128.473 79.377) (xy 127.94225 79.377)
+ (xy 127.848 79.47125) (xy 127.847559 79.562756) (xy 127.840474 79.558969) (xy 127.745847 79.530264) (xy 127.672091 79.523)
+ (xy 127.672081 79.523) (xy 127.647438 79.520573) (xy 127.622795 79.523) (xy 126.453824 79.523) (xy 126.453824 79.15)
+ (xy 126.446545 79.076095) (xy 126.438629 79.05) (xy 126.446545 79.023905) (xy 126.453824 78.95) (xy 126.452 78.91725)
+ (xy 126.35775 78.823) (xy 126.262977 78.823) (xy 126.21997 78.800012) (xy 126.148905 78.778455) (xy 126.075 78.771176)
+ (xy 124.625 78.771176) (xy 124.551095 78.778455) (xy 124.48003 78.800012) (xy 124.437023 78.823) (xy 124.34225 78.823)
+ (xy 124.29225 78.873) (xy 124.099642 78.873) (xy 124.074999 78.870573) (xy 124.050356 78.873) (xy 124.050347 78.873)
+ (xy 123.976591 78.880264) (xy 123.881964 78.908969) (xy 123.794755 78.955583) (xy 123.789282 78.960075) (xy 123.737466 79.0026)
+ (xy 123.718316 79.018316) (xy 123.702603 79.037462) (xy 121.662453 81.077613) (xy 121.643307 81.093326) (xy 121.627594 81.112472)
+ (xy 121.627591 81.112475) (xy 121.580574 81.169765) (xy 121.53396 81.256974) (xy 121.505255 81.351601) (xy 121.495563 81.45001)
+ (xy 121.497991 81.474663) (xy 121.49799 83.223) (xy 121.45442 83.223) (xy 121.454439 83.222811) (xy 121.452012 83.198168)
+ (xy 121.452012 80.057922) (xy 123.582935 77.927) (xy 124.246176 77.927) (xy 124.246176 78.3) (xy 124.253455 78.373905)
+ (xy 124.261371 78.4) (xy 124.253455 78.426095) (xy 124.246176 78.5) (xy 124.248 78.53275) (xy 124.34225 78.627)
+ (xy 124.437023 78.627) (xy 124.48003 78.649988) (xy 124.551095 78.671545) (xy 124.625 78.678824) (xy 126.075 78.678824)
+ (xy 126.148905 78.671545) (xy 126.21997 78.649988) (xy 126.262977 78.627) (xy 126.35775 78.627) (xy 126.40775 78.577)
+ (xy 127.100357 78.577) (xy 127.125 78.579427) (xy 127.149643 78.577) (xy 127.149653 78.577) (xy 127.223409 78.569736)
+ (xy 127.318036 78.541031) (xy 127.405245 78.494417) (xy 127.481684 78.431684) (xy 127.497401 78.412533) (xy 127.861037 78.048898)
+ (xy 127.875012 78.09497) (xy 127.910019 78.160463) (xy 127.957131 78.217869) (xy 128.014537 78.264981) (xy 128.08003 78.299988)
+ (xy 128.08007 78.3) (xy 128.08003 78.300012) (xy 128.014537 78.335019) (xy 127.957131 78.382131) (xy 127.910019 78.439537)
+ (xy 127.875012 78.50503) (xy 127.853455 78.576095) (xy 127.846176 78.65) (xy 127.848 79.02875) (xy 127.94225 79.123)
+ (xy 128.473 79.123) (xy 128.473 79.103) (xy 128.727 79.103) (xy 128.727 79.123) (xy 129.25775 79.123)
+ (xy 129.352 79.02875) (xy 129.353824 78.65) (xy 129.346545 78.576095) (xy 129.324988 78.50503) (xy 129.289981 78.439537)
+ (xy 129.242869 78.382131) (xy 129.185463 78.335019) (xy 129.11997 78.300012) (xy 129.11993 78.3) (xy 129.11997 78.299988)
+ (xy 129.185463 78.264981) (xy 129.242869 78.217869) (xy 129.289981 78.160463) (xy 129.324988 78.09497) (xy 129.330439 78.077)
+ (xy 129.561837 78.077) (xy 129.6 78.080759) (xy 129.638163 78.077) (xy 129.676528 78.077) (xy 129.714154 78.069516)
+ (xy 129.752319 78.065757) (xy 129.789013 78.054626) (xy 129.826643 78.047141) (xy 129.862092 78.032457) (xy 129.898784 78.021327)
+ (xy 129.932598 78.003253) (xy 129.968048 77.988569) (xy 129.999955 77.96725) (xy 130.033766 77.949177) (xy 130.063402 77.924856)
+ (xy 130.095309 77.903536) (xy 130.122442 77.876403) (xy 130.15208 77.85208) (xy 130.176403 77.822442) (xy 130.203536 77.795309)
+ (xy 130.20354 77.795303) (xy 130.321842 77.677001) (xy 132.166176 77.677001)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 137.860019 77.710463) (xy 137.907131 77.767869) (xy 137.964537 77.814981) (xy 138.03003 77.849988) (xy 138.101095 77.871545)
+ (xy 138.175 77.878824) (xy 138.925 77.878824) (xy 138.998905 77.871545) (xy 139.06997 77.849988) (xy 139.135463 77.814981)
+ (xy 139.192869 77.767869) (xy 139.239981 77.710463) (xy 139.257867 77.677001) (xy 145.828158 77.677001) (xy 150.649134 82.497978)
+ (xy 143.057914 82.497978) (xy 141.997357 81.437423) (xy 141.98164 81.418272) (xy 141.905201 81.355539) (xy 141.817992 81.308925)
+ (xy 141.723365 81.28022) (xy 141.649609 81.272956) (xy 141.649599 81.272956) (xy 141.624956 81.270529) (xy 141.600313 81.272956)
+ (xy 136.763976 81.272956) (xy 136.984623 81.05231) (xy 137 81.053824) (xy 137.30375 81.052) (xy 137.398 80.95775)
+ (xy 137.398 80.327) (xy 137.652 80.327) (xy 137.652 80.95775) (xy 137.74625 81.052) (xy 138.05 81.053824)
+ (xy 138.123905 81.046545) (xy 138.19497 81.024988) (xy 138.260463 80.989981) (xy 138.317869 80.942869) (xy 138.364981 80.885463)
+ (xy 138.399988 80.81997) (xy 138.421545 80.748905) (xy 138.428824 80.675) (xy 138.427 80.42125) (xy 138.33275 80.327)
+ (xy 137.652 80.327) (xy 137.398 80.327) (xy 137.378 80.327) (xy 137.378 80.073) (xy 137.398 80.073)
+ (xy 137.398 79.44225) (xy 137.652 79.44225) (xy 137.652 80.073) (xy 138.33275 80.073) (xy 138.427 79.97875)
+ (xy 138.428824 79.725) (xy 138.421545 79.651095) (xy 138.399988 79.58003) (xy 138.364981 79.514537) (xy 138.317869 79.457131)
+ (xy 138.260463 79.410019) (xy 138.19497 79.375012) (xy 138.123905 79.353455) (xy 138.05 79.346176) (xy 137.74625 79.348)
+ (xy 137.652 79.44225) (xy 137.398 79.44225) (xy 137.309813 79.354063) (xy 137.326545 79.298905) (xy 137.333824 79.225)
+ (xy 137.333824 77.677001) (xy 137.842133 77.677001)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 125.720089 72.624955) (xy 125.826643 72.681909) (xy 125.88628 72.7) (xy 125.826643 72.718091) (xy 125.720089 72.775045)
+ (xy 125.626693 72.851693) (xy 125.550045 72.945089) (xy 125.493091 73.051643) (xy 125.458018 73.167261) (xy 125.446176 73.2875)
+ (xy 125.446176 73.8625) (xy 125.458018 73.982739) (xy 125.493091 74.098357) (xy 125.550045 74.204911) (xy 125.626693 74.298307)
+ (xy 125.720089 74.374955) (xy 125.826643 74.431909) (xy 125.942261 74.466982) (xy 126.0625 74.478824) (xy 126.46889 74.478824)
+ (xy 126.998 75.007935) (xy 126.998001 75.992064) (xy 126.727067 76.262999) (xy 126.453824 76.262999) (xy 126.453824 75.9)
+ (xy 126.446545 75.826095) (xy 126.438629 75.8) (xy 126.446545 75.773905) (xy 126.453824 75.7) (xy 126.453824 75.25)
+ (xy 126.446545 75.176095) (xy 126.424988 75.10503) (xy 126.389981 75.039537) (xy 126.342869 74.982131) (xy 126.285463 74.935019)
+ (xy 126.21997 74.900012) (xy 126.148905 74.878455) (xy 126.075 74.871176) (xy 124.625 74.871176) (xy 124.551095 74.878455)
+ (xy 124.48003 74.900012) (xy 124.414537 74.935019) (xy 124.368257 74.973) (xy 123.357934 74.973) (xy 120.986933 72.602)
+ (xy 125.692118 72.602)
+ )
+ )
+ )
+ (zone (net 1) (net_name GND) (layer B.Cu) (tstamp 5EADAA10) (hatch edge 0.508)
+ (priority 4)
+ (connect_pads yes (clearance 0.6))
+ (min_thickness 0.254)
+ (fill yes (arc_segments 32) (thermal_gap 0.25) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 70 95) (xy 93 95) (xy 93 124) (xy 87 124) (xy 83 120)
+ (xy 70 120)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 92.873 123.873) (xy 87.052606 123.873) (xy 83.089803 119.910197) (xy 83.070557 119.894403) (xy 83.048601 119.882667)
+ (xy 83.024776 119.87544) (xy 83 119.873) (xy 70.802 119.873) (xy 70.802 95.127) (xy 92.873 95.127)
+ )
+ )
+ )
+ (zone (net 10) (net_name /VIN) (layer B.Cu) (tstamp 5EADAA0D) (hatch edge 0.508)
+ (priority 1)
+ (connect_pads yes (clearance 0.6))
+ (min_thickness 0.254)
+ (fill yes (arc_segments 32) (thermal_gap 0.25) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 70 120) (xy 97.5 120) (xy 116 120) (xy 116 138) (xy 132 138)
+ (xy 132 147) (xy 130 149) (xy 99 149) (xy 93 143) (xy 70 143)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 86.485933 124.514067) (xy 86.5961 124.604478) (xy 86.721789 124.67166) (xy 86.858169 124.713031) (xy 87 124.727)
+ (xy 92.873 124.727) (xy 92.873 130) (xy 92.87544 130.024776) (xy 92.882667 130.048601) (xy 92.894403 130.070557)
+ (xy 92.910197 130.089803) (xy 109.910197 147.089803) (xy 109.929443 147.105597) (xy 109.951399 147.117333) (xy 109.975224 147.12456)
+ (xy 110 147.127) (xy 131.693394 147.127) (xy 129.947394 148.873) (xy 112.045621 148.873) (xy 111.807499 148.516624)
+ (xy 111.483376 148.192501) (xy 111.102248 147.93784) (xy 110.678761 147.762426) (xy 110.229189 147.673) (xy 109.770811 147.673)
+ (xy 109.321239 147.762426) (xy 108.897752 147.93784) (xy 108.516624 148.192501) (xy 108.192501 148.516624) (xy 107.954379 148.873)
+ (xy 99.052606 148.873) (xy 93.089803 142.910197) (xy 93.070557 142.894403) (xy 93.048601 142.882667) (xy 93.024776 142.87544)
+ (xy 93 142.873) (xy 73.027 142.873) (xy 73.027 120.727) (xy 82.698866 120.727)
+ )
+ )
+ )
+ (zone (net 1) (net_name GND) (layer B.Cu) (tstamp 5EADAA0A) (hatch edge 0.508)
+ (connect_pads yes (clearance 0.25))
+ (min_thickness 0.254)
+ (fill yes (arc_segments 32) (thermal_gap 0.25) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 70 143) (xy 170 143) (xy 170 155) (xy 70 155)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 169.548 144.873) (xy 160 144.873) (xy 159.975224 144.87544) (xy 159.951399 144.882667) (xy 159.929443 144.894403)
+ (xy 159.910197 144.910197) (xy 159.894403 144.929443) (xy 159.882667 144.951399) (xy 159.87544 144.975224) (xy 159.873 145)
+ (xy 159.873 154.548) (xy 143.693736 154.548) (xy 146.618413 151.623324) (xy 146.637559 151.607611) (xy 146.700292 151.531172)
+ (xy 146.746906 151.443963) (xy 146.775611 151.349336) (xy 146.782164 151.282798) (xy 146.884411 151.180551) (xy 146.969444 151.05329)
+ (xy 147.028016 150.911885) (xy 147.057875 150.76177) (xy 147.057875 150.608714) (xy 147.028016 150.458599) (xy 146.969444 150.317194)
+ (xy 146.884411 150.189933) (xy 146.776184 150.081706) (xy 146.648923 149.996673) (xy 146.507518 149.938101) (xy 146.357403 149.908242)
+ (xy 146.204347 149.908242) (xy 146.201033 149.908901) (xy 146.304652 149.805282) (xy 151.023 149.805282) (xy 151.023 150.194718)
+ (xy 151.098975 150.57667) (xy 151.248005 150.936461) (xy 151.464364 151.260264) (xy 151.739736 151.535636) (xy 152.063539 151.751995)
+ (xy 152.42333 151.901025) (xy 152.805282 151.977) (xy 153.194718 151.977) (xy 153.57667 151.901025) (xy 153.936461 151.751995)
+ (xy 154.260264 151.535636) (xy 154.535636 151.260264) (xy 154.751995 150.936461) (xy 154.901025 150.57667) (xy 154.977 150.194718)
+ (xy 154.977 149.805282) (xy 154.901025 149.42333) (xy 154.751995 149.063539) (xy 154.535636 148.739736) (xy 154.260264 148.464364)
+ (xy 153.936461 148.248005) (xy 153.57667 148.098975) (xy 153.194718 148.023) (xy 152.805282 148.023) (xy 152.42333 148.098975)
+ (xy 152.063539 148.248005) (xy 151.739736 148.464364) (xy 151.464364 148.739736) (xy 151.248005 149.063539) (xy 151.098975 149.42333)
+ (xy 151.023 149.805282) (xy 146.304652 149.805282) (xy 147.073089 149.036846) (xy 147.094605 149.051222) (xy 147.23601 149.109794)
+ (xy 147.386125 149.139653) (xy 147.539181 149.139653) (xy 147.689296 149.109794) (xy 147.830701 149.051222) (xy 147.957962 148.966189)
+ (xy 148.066189 148.857962) (xy 148.151222 148.730701) (xy 148.209794 148.589296) (xy 148.239653 148.439181) (xy 148.239653 148.286125)
+ (xy 148.209794 148.13601) (xy 148.151222 147.994605) (xy 148.136845 147.973089) (xy 148.382935 147.727) (xy 154 147.727)
+ (xy 154.141831 147.713031) (xy 154.278211 147.67166) (xy 154.4039 147.604478) (xy 154.514067 147.514067) (xy 156.761596 145.266538)
+ (xy 156.831952 145.313548) (xy 156.973357 145.37212) (xy 157.123472 145.401979) (xy 157.276528 145.401979) (xy 157.426643 145.37212)
+ (xy 157.568048 145.313548) (xy 157.695309 145.228515) (xy 157.803536 145.120288) (xy 157.888569 144.993027) (xy 157.947141 144.851622)
+ (xy 157.977 144.701507) (xy 157.977 144.548451) (xy 157.947865 144.401977) (xy 167.461782 144.401974) (xy 167.486435 144.404402)
+ (xy 167.584844 144.39471) (xy 167.679471 144.366005) (xy 167.76668 144.319391) (xy 167.82397 144.272374) (xy 167.823973 144.272371)
+ (xy 167.843119 144.256658) (xy 167.858832 144.237512) (xy 168.369345 143.727) (xy 169.548 143.727)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 98.485933 149.514067) (xy 98.5961 149.604478) (xy 98.721789 149.67166) (xy 98.858169 149.713031) (xy 99 149.727)
+ (xy 108.038571 149.727) (xy 108.023 149.805282) (xy 108.023 150.194718) (xy 108.098975 150.57667) (xy 108.248005 150.936461)
+ (xy 108.464364 151.260264) (xy 108.739736 151.535636) (xy 109.063539 151.751995) (xy 109.42333 151.901025) (xy 109.805282 151.977)
+ (xy 110.194718 151.977) (xy 110.57667 151.901025) (xy 110.936461 151.751995) (xy 111.260264 151.535636) (xy 111.535636 151.260264)
+ (xy 111.751995 150.936461) (xy 111.901025 150.57667) (xy 111.977 150.194718) (xy 111.977 149.805282) (xy 111.961429 149.727)
+ (xy 130 149.727) (xy 130.141831 149.713031) (xy 130.278211 149.67166) (xy 130.4039 149.604478) (xy 130.514067 149.514067)
+ (xy 132.301134 147.727) (xy 145.563067 147.727) (xy 141.192066 152.098) (xy 129.007935 152.098) (xy 128.377 151.467066)
+ (xy 128.377 151.323472) (xy 128.347141 151.173357) (xy 128.288569 151.031952) (xy 128.203536 150.904691) (xy 128.095309 150.796464)
+ (xy 127.968048 150.711431) (xy 127.826643 150.652859) (xy 127.676528 150.623) (xy 127.523472 150.623) (xy 127.373357 150.652859)
+ (xy 127.231952 150.711431) (xy 127.104691 150.796464) (xy 126.996464 150.904691) (xy 126.911431 151.031952) (xy 126.852859 151.173357)
+ (xy 126.823 151.323472) (xy 126.823 151.423) (xy 126.723472 151.423) (xy 126.573357 151.452859) (xy 126.431952 151.511431)
+ (xy 126.304691 151.596464) (xy 126.196464 151.704691) (xy 126.111431 151.831952) (xy 126.052859 151.973357) (xy 126.023 152.123472)
+ (xy 126.023 152.276528) (xy 126.052859 152.426643) (xy 126.111431 152.568048) (xy 126.196464 152.695309) (xy 126.304691 152.803536)
+ (xy 126.431952 152.888569) (xy 126.573357 152.947141) (xy 126.723472 152.977) (xy 126.867066 152.977) (xy 126.888087 152.998022)
+ (xy 92.707957 152.998022) (xy 88.447402 148.737468) (xy 88.431684 148.718316) (xy 88.355245 148.655583) (xy 88.268036 148.608969)
+ (xy 88.173409 148.580264) (xy 88.099653 148.573) (xy 88.099643 148.573) (xy 88.075 148.570573) (xy 88.050357 148.573)
+ (xy 82.896845 148.573) (xy 82.795309 148.471464) (xy 82.668048 148.386431) (xy 82.526643 148.327859) (xy 82.376528 148.298)
+ (xy 82.223472 148.298) (xy 82.073357 148.327859) (xy 81.931952 148.386431) (xy 81.804691 148.471464) (xy 81.696464 148.579691)
+ (xy 81.611431 148.706952) (xy 81.552859 148.848357) (xy 81.523 148.998472) (xy 81.523 149.151528) (xy 81.552859 149.301643)
+ (xy 81.611431 149.443048) (xy 81.696464 149.570309) (xy 81.804691 149.678536) (xy 81.931952 149.763569) (xy 82.073357 149.822141)
+ (xy 82.223472 149.852) (xy 82.376528 149.852) (xy 82.526643 149.822141) (xy 82.668048 149.763569) (xy 82.795309 149.678536)
+ (xy 82.896845 149.577) (xy 87.524153 149.577) (xy 87.483803 149.61735) (xy 87.39877 149.744611) (xy 87.340198 149.886016)
+ (xy 87.310339 150.036131) (xy 87.310339 150.189187) (xy 87.340198 150.339302) (xy 87.39877 150.480707) (xy 87.483803 150.607968)
+ (xy 87.59203 150.716195) (xy 87.719291 150.801228) (xy 87.860696 150.8598) (xy 88.010811 150.889659) (xy 88.154405 150.889659)
+ (xy 91.162789 153.898044) (xy 90.20798 153.898044) (xy 88.677 152.367066) (xy 88.677 152.223472) (xy 88.647141 152.073357)
+ (xy 88.588569 151.931952) (xy 88.503536 151.804691) (xy 88.395309 151.696464) (xy 88.268048 151.611431) (xy 88.126643 151.552859)
+ (xy 87.976528 151.523) (xy 87.823472 151.523) (xy 87.673357 151.552859) (xy 87.531952 151.611431) (xy 87.404691 151.696464)
+ (xy 87.296464 151.804691) (xy 87.211431 151.931952) (xy 87.152859 152.073357) (xy 87.123 152.223472) (xy 87.123 152.376528)
+ (xy 87.152859 152.526643) (xy 87.211431 152.668048) (xy 87.296464 152.795309) (xy 87.404691 152.903536) (xy 87.531952 152.988569)
+ (xy 87.673357 153.047141) (xy 87.823472 153.077) (xy 87.967066 153.077) (xy 89.438064 154.548) (xy 80.127 154.548)
+ (xy 80.127 145) (xy 80.12456 144.975224) (xy 80.117333 144.951399) (xy 80.105597 144.929443) (xy 80.089803 144.910197)
+ (xy 80.070557 144.894403) (xy 80.048601 144.882667) (xy 80.024776 144.87544) (xy 80 144.873) (xy 70.452 144.873)
+ (xy 70.452 143.727) (xy 92.698866 143.727)
+ )
+ )
+ )
+ (zone (net 1) (net_name GND) (layer F.Cu) (tstamp 5EADAA07) (hatch edge 0.508)
+ (connect_pads yes (clearance 0.25))
+ (min_thickness 0.254)
+ (fill yes (arc_segments 32) (thermal_gap 0.25) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 70 143) (xy 170 143) (xy 170 155) (xy 70 155)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 159.873 154.548) (xy 146.52677 154.548) (xy 146.580245 154.519417) (xy 146.656684 154.456684) (xy 146.672402 154.437532)
+ (xy 147.800191 153.309743) (xy 147.819337 153.29403) (xy 147.88207 153.217591) (xy 147.928684 153.130382) (xy 147.957389 153.035755)
+ (xy 147.964653 152.961999) (xy 147.964653 152.96199) (xy 147.96708 152.937347) (xy 147.964653 152.912704) (xy 147.964653 148.959498)
+ (xy 148.066189 148.857962) (xy 148.151222 148.730701) (xy 148.209794 148.589296) (xy 148.239653 148.439181) (xy 148.239653 148.286125)
+ (xy 148.209794 148.13601) (xy 148.151222 147.994605) (xy 148.066189 147.867344) (xy 147.957962 147.759117) (xy 147.909895 147.727)
+ (xy 148.873 147.727) (xy 148.873 152.6) (xy 148.87544 152.624776) (xy 148.882667 152.648601) (xy 148.894403 152.670557)
+ (xy 148.910197 152.689803) (xy 150.410197 154.189803) (xy 150.429443 154.205597) (xy 150.451399 154.217333) (xy 150.475224 154.22456)
+ (xy 150.5 154.227) (xy 155.5 154.227) (xy 155.524776 154.22456) (xy 155.548601 154.217333) (xy 155.570557 154.205597)
+ (xy 155.589803 154.189803) (xy 157.089803 152.689803) (xy 157.105597 152.670557) (xy 157.117333 152.648601) (xy 157.12456 152.624776)
+ (xy 157.127 152.6) (xy 157.127 147.6) (xy 157.12456 147.575224) (xy 157.117333 147.551399) (xy 157.105597 147.529443)
+ (xy 157.089803 147.510197) (xy 155.881606 146.302) (xy 158.715721 146.302) (xy 158.740364 146.304427) (xy 158.765007 146.302)
+ (xy 158.765017 146.302) (xy 158.838773 146.294736) (xy 158.9334 146.266031) (xy 159.020609 146.219417) (xy 159.097048 146.156684)
+ (xy 159.112765 146.137533) (xy 159.873 145.377299)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 98.485933 149.514067) (xy 98.5961 149.604478) (xy 98.721789 149.67166) (xy 98.858169 149.713031) (xy 99 149.727)
+ (xy 105.873 149.727) (xy 105.873 152.5) (xy 105.87544 152.524776) (xy 105.882667 152.548601) (xy 105.894403 152.570557)
+ (xy 105.910197 152.589803) (xy 107.410197 154.089803) (xy 107.429443 154.105597) (xy 107.451399 154.117333) (xy 107.475224 154.12456)
+ (xy 107.5 154.127) (xy 112.5 154.127) (xy 112.524776 154.12456) (xy 112.548601 154.117333) (xy 112.570557 154.105597)
+ (xy 112.589803 154.089803) (xy 114.089803 152.589803) (xy 114.105597 152.570557) (xy 114.117333 152.548601) (xy 114.12456 152.524776)
+ (xy 114.127 152.5) (xy 114.127 149.727) (xy 127.556936 149.727) (xy 127.533962 149.733969) (xy 127.446753 149.780583)
+ (xy 127.370314 149.843316) (xy 127.354601 149.862462) (xy 126.462467 150.754597) (xy 126.443316 150.770314) (xy 126.380583 150.846753)
+ (xy 126.333969 150.933963) (xy 126.305264 151.02859) (xy 126.298 151.102346) (xy 126.298 151.102355) (xy 126.295573 151.126998)
+ (xy 126.298 151.151641) (xy 126.298 151.603155) (xy 126.196464 151.704691) (xy 126.111431 151.831952) (xy 126.052859 151.973357)
+ (xy 126.023 152.123472) (xy 126.023 152.276528) (xy 126.052859 152.426643) (xy 126.111431 152.568048) (xy 126.196464 152.695309)
+ (xy 126.304691 152.803536) (xy 126.431952 152.888569) (xy 126.573357 152.947141) (xy 126.723472 152.977) (xy 126.876528 152.977)
+ (xy 127.026643 152.947141) (xy 127.168048 152.888569) (xy 127.295309 152.803536) (xy 127.403536 152.695309) (xy 127.488569 152.568048)
+ (xy 127.547141 152.426643) (xy 127.577 152.276528) (xy 127.577 152.177) (xy 127.676528 152.177) (xy 127.826643 152.147141)
+ (xy 127.968048 152.088569) (xy 128.021176 152.05307) (xy 128.021176 152.4375) (xy 128.033018 152.557739) (xy 128.068091 152.673357)
+ (xy 128.125045 152.779911) (xy 128.201693 152.873307) (xy 128.295089 152.949955) (xy 128.401643 153.006909) (xy 128.517261 153.041982)
+ (xy 128.6375 153.053824) (xy 129.2125 153.053824) (xy 129.332739 153.041982) (xy 129.448357 153.006909) (xy 129.554911 152.949955)
+ (xy 129.648307 152.873307) (xy 129.724955 152.779911) (xy 129.781909 152.673357) (xy 129.8 152.61372) (xy 129.818091 152.673357)
+ (xy 129.875045 152.779911) (xy 129.951693 152.873307) (xy 130.045089 152.949955) (xy 130.151643 153.006909) (xy 130.267261 153.041982)
+ (xy 130.3875 153.053824) (xy 130.9625 153.053824) (xy 131.082739 153.041982) (xy 131.198357 153.006909) (xy 131.304911 152.949955)
+ (xy 131.398307 152.873307) (xy 131.474955 152.779911) (xy 131.531909 152.673357) (xy 131.566982 152.557739) (xy 131.572472 152.502)
+ (xy 131.841176 152.502) (xy 131.841176 153.05) (xy 131.848455 153.123905) (xy 131.870012 153.19497) (xy 131.905019 153.260463)
+ (xy 131.952131 153.317869) (xy 132.009537 153.364981) (xy 132.07503 153.399988) (xy 132.146095 153.421545) (xy 132.22 153.428824)
+ (xy 134.72 153.428824) (xy 134.793905 153.421545) (xy 134.86497 153.399988) (xy 134.930463 153.364981) (xy 134.987869 153.317869)
+ (xy 135.034981 153.260463) (xy 135.05 153.232365) (xy 135.065019 153.260463) (xy 135.112131 153.317869) (xy 135.169537 153.364981)
+ (xy 135.23503 153.399988) (xy 135.306095 153.421545) (xy 135.38 153.428824) (xy 135.628 153.428824) (xy 135.628 153.605357)
+ (xy 135.625573 153.63) (xy 135.628 153.654643) (xy 135.628 153.654652) (xy 135.635264 153.728408) (xy 135.663969 153.823035)
+ (xy 135.710583 153.910245) (xy 135.773316 153.986684) (xy 135.792467 154.002401) (xy 136.227603 154.437538) (xy 136.243316 154.456684)
+ (xy 136.319755 154.519417) (xy 136.37323 154.548) (xy 80.127 154.548) (xy 80.127 150.8375) (xy 80.196176 150.8375)
+ (xy 80.196176 151.4125) (xy 80.208018 151.532739) (xy 80.243091 151.648357) (xy 80.300045 151.754911) (xy 80.376693 151.848307)
+ (xy 80.470089 151.924955) (xy 80.576643 151.981909) (xy 80.63628 152) (xy 80.576643 152.018091) (xy 80.470089 152.075045)
+ (xy 80.376693 152.151693) (xy 80.300045 152.245089) (xy 80.243091 152.351643) (xy 80.208018 152.467261) (xy 80.196176 152.5875)
+ (xy 80.196176 153.1625) (xy 80.208018 153.282739) (xy 80.243091 153.398357) (xy 80.300045 153.504911) (xy 80.376693 153.598307)
+ (xy 80.470089 153.674955) (xy 80.576643 153.731909) (xy 80.692261 153.766982) (xy 80.8125 153.778824) (xy 81.250755 153.778824)
+ (xy 81.269756 153.794418) (xy 81.356965 153.841032) (xy 81.451592 153.869737) (xy 81.525348 153.877001) (xy 81.525358 153.877001)
+ (xy 81.550001 153.879428) (xy 81.574644 153.877001) (xy 87.315358 153.877001) (xy 87.340001 153.879428) (xy 87.364644 153.877001)
+ (xy 87.364654 153.877001) (xy 87.43841 153.869737) (xy 87.533037 153.841032) (xy 87.620246 153.794418) (xy 87.696685 153.731685)
+ (xy 87.712402 153.712534) (xy 88.237538 153.187399) (xy 88.256684 153.171686) (xy 88.286575 153.135265) (xy 88.319416 153.095248)
+ (xy 88.320176 153.093827) (xy 88.366031 153.008038) (xy 88.394736 152.913411) (xy 88.395752 152.903093) (xy 88.503536 152.795309)
+ (xy 88.588569 152.668048) (xy 88.647141 152.526643) (xy 88.677 152.376528) (xy 88.677 152.223472) (xy 88.647141 152.073357)
+ (xy 88.588569 151.931952) (xy 88.503536 151.804691) (xy 88.395309 151.696464) (xy 88.268048 151.611431) (xy 88.126643 151.552859)
+ (xy 87.976528 151.523) (xy 87.823472 151.523) (xy 87.673357 151.552859) (xy 87.531952 151.611431) (xy 87.458824 151.660294)
+ (xy 87.458824 150.95) (xy 87.451545 150.876095) (xy 87.429988 150.80503) (xy 87.394981 150.739537) (xy 87.347869 150.682131)
+ (xy 87.290463 150.635019) (xy 87.252372 150.614659) (xy 87.490494 150.614659) (xy 87.59203 150.716195) (xy 87.719291 150.801228)
+ (xy 87.860696 150.8598) (xy 88.010811 150.889659) (xy 88.163867 150.889659) (xy 88.313982 150.8598) (xy 88.455387 150.801228)
+ (xy 88.582648 150.716195) (xy 88.690875 150.607968) (xy 88.775908 150.480707) (xy 88.83448 150.339302) (xy 88.864339 150.189187)
+ (xy 88.864339 150.036131) (xy 88.83448 149.886016) (xy 88.775908 149.744611) (xy 88.690875 149.61735) (xy 88.582648 149.509123)
+ (xy 88.455387 149.42409) (xy 88.313982 149.365518) (xy 88.163867 149.335659) (xy 88.010811 149.335659) (xy 87.860696 149.365518)
+ (xy 87.719291 149.42409) (xy 87.59203 149.509123) (xy 87.490494 149.610659) (xy 86.832 149.610659) (xy 86.832 148.428824)
+ (xy 87.08 148.428824) (xy 87.153905 148.421545) (xy 87.22497 148.399988) (xy 87.290463 148.364981) (xy 87.347869 148.317869)
+ (xy 87.394981 148.260463) (xy 87.429988 148.19497) (xy 87.451545 148.123905) (xy 87.458824 148.05) (xy 87.458824 145.95)
+ (xy 87.451545 145.876095) (xy 87.429988 145.80503) (xy 87.394981 145.739537) (xy 87.347869 145.682131) (xy 87.290463 145.635019)
+ (xy 87.22497 145.600012) (xy 87.153905 145.578455) (xy 87.08 145.571176) (xy 85.58 145.571176) (xy 85.506095 145.578455)
+ (xy 85.43503 145.600012) (xy 85.369537 145.635019) (xy 85.312131 145.682131) (xy 85.265019 145.739537) (xy 85.25 145.767635)
+ (xy 85.234981 145.739537) (xy 85.187869 145.682131) (xy 85.130463 145.635019) (xy 85.06497 145.600012) (xy 84.993905 145.578455)
+ (xy 84.92 145.571176) (xy 82.42 145.571176) (xy 82.346095 145.578455) (xy 82.27503 145.600012) (xy 82.209537 145.635019)
+ (xy 82.152131 145.682131) (xy 82.105019 145.739537) (xy 82.070012 145.80503) (xy 82.048455 145.876095) (xy 82.041176 145.95)
+ (xy 82.041176 146.356241) (xy 81.953824 146.268889) (xy 81.953824 145.8375) (xy 81.941982 145.717261) (xy 81.906909 145.601643)
+ (xy 81.849955 145.495089) (xy 81.773307 145.401693) (xy 81.679911 145.325045) (xy 81.573357 145.268091) (xy 81.457739 145.233018)
+ (xy 81.3375 145.221176) (xy 80.8625 145.221176) (xy 80.742261 145.233018) (xy 80.626643 145.268091) (xy 80.520089 145.325045)
+ (xy 80.426693 145.401693) (xy 80.350045 145.495089) (xy 80.293091 145.601643) (xy 80.258018 145.717261) (xy 80.246176 145.8375)
+ (xy 80.246176 146.4125) (xy 80.258018 146.532739) (xy 80.293091 146.648357) (xy 80.350045 146.754911) (xy 80.426693 146.848307)
+ (xy 80.520089 146.924955) (xy 80.626643 146.981909) (xy 80.68628 147) (xy 80.626643 147.018091) (xy 80.520089 147.075045)
+ (xy 80.426693 147.151693) (xy 80.350045 147.245089) (xy 80.293091 147.351643) (xy 80.258018 147.467261) (xy 80.246176 147.5875)
+ (xy 80.246176 148.1625) (xy 80.258018 148.282739) (xy 80.293091 148.398357) (xy 80.350045 148.504911) (xy 80.426693 148.598307)
+ (xy 80.520089 148.674955) (xy 80.626643 148.731909) (xy 80.742261 148.766982) (xy 80.8625 148.778824) (xy 81.29389 148.778824)
+ (xy 81.523 149.007935) (xy 81.523 149.151528) (xy 81.552859 149.301643) (xy 81.611431 149.443048) (xy 81.696464 149.570309)
+ (xy 81.804691 149.678536) (xy 81.931952 149.763569) (xy 82.073357 149.822141) (xy 82.223472 149.852) (xy 82.376528 149.852)
+ (xy 82.526643 149.822141) (xy 82.668048 149.763569) (xy 82.795309 149.678536) (xy 82.903536 149.570309) (xy 82.988569 149.443048)
+ (xy 83.047141 149.301643) (xy 83.077 149.151528) (xy 83.077 148.998472) (xy 83.047141 148.848357) (xy 82.988569 148.706952)
+ (xy 82.903536 148.579691) (xy 82.795309 148.471464) (xy 82.731494 148.428824) (xy 84.92 148.428824) (xy 84.993905 148.421545)
+ (xy 85.06497 148.399988) (xy 85.130463 148.364981) (xy 85.187869 148.317869) (xy 85.234981 148.260463) (xy 85.25 148.232365)
+ (xy 85.265019 148.260463) (xy 85.312131 148.317869) (xy 85.369537 148.364981) (xy 85.43503 148.399988) (xy 85.506095 148.421545)
+ (xy 85.58 148.428824) (xy 85.828 148.428824) (xy 85.828001 150.088) (xy 85.825572 150.112659) (xy 85.828001 150.137318)
+ (xy 85.828001 150.571176) (xy 85.58 150.571176) (xy 85.506095 150.578455) (xy 85.43503 150.600012) (xy 85.369537 150.635019)
+ (xy 85.312131 150.682131) (xy 85.265019 150.739537) (xy 85.25 150.767635) (xy 85.234981 150.739537) (xy 85.187869 150.682131)
+ (xy 85.130463 150.635019) (xy 85.06497 150.600012) (xy 84.993905 150.578455) (xy 84.92 150.571176) (xy 82.42 150.571176)
+ (xy 82.346095 150.578455) (xy 82.27503 150.600012) (xy 82.232023 150.623) (xy 81.863388 150.623) (xy 81.856909 150.601643)
+ (xy 81.799955 150.495089) (xy 81.723307 150.401693) (xy 81.629911 150.325045) (xy 81.523357 150.268091) (xy 81.407739 150.233018)
+ (xy 81.2875 150.221176) (xy 80.8125 150.221176) (xy 80.692261 150.233018) (xy 80.576643 150.268091) (xy 80.470089 150.325045)
+ (xy 80.376693 150.401693) (xy 80.300045 150.495089) (xy 80.243091 150.601643) (xy 80.208018 150.717261) (xy 80.196176 150.8375)
+ (xy 80.127 150.8375) (xy 80.127 145) (xy 80.12456 144.975224) (xy 80.117333 144.951399) (xy 80.105597 144.929443)
+ (xy 80.089803 144.910197) (xy 80.070557 144.894403) (xy 80.048601 144.882667) (xy 80.024776 144.87544) (xy 80 144.873)
+ (xy 70.452 144.873) (xy 70.452 143.727) (xy 92.698866 143.727)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 144.493332 148.960323) (xy 144.47418 148.976041) (xy 144.411447 149.05248) (xy 144.364833 149.13969) (xy 144.336128 149.234317)
+ (xy 144.328864 149.308073) (xy 144.328864 149.308082) (xy 144.326437 149.332725) (xy 144.328864 149.357368) (xy 144.328865 150.234019)
+ (xy 144.227328 150.335556) (xy 144.142295 150.462817) (xy 144.083723 150.604222) (xy 144.053864 150.754337) (xy 144.053864 150.907393)
+ (xy 144.083723 151.057508) (xy 144.142295 151.198913) (xy 144.227328 151.326174) (xy 144.335555 151.434401) (xy 144.462816 151.519434)
+ (xy 144.604221 151.578006) (xy 144.754336 151.607865) (xy 144.907392 151.607865) (xy 145.057507 151.578006) (xy 145.198912 151.519434)
+ (xy 145.326173 151.434401) (xy 145.4344 151.326174) (xy 145.519433 151.198913) (xy 145.578005 151.057508) (xy 145.583211 151.031334)
+ (xy 145.592306 151.05329) (xy 145.677339 151.180551) (xy 145.785566 151.288778) (xy 145.912827 151.373811) (xy 146.054232 151.432383)
+ (xy 146.204347 151.462242) (xy 146.357403 151.462242) (xy 146.507518 151.432383) (xy 146.648923 151.373811) (xy 146.776184 151.288778)
+ (xy 146.884411 151.180551) (xy 146.960654 151.066446) (xy 146.960654 152.72941) (xy 146.092065 153.598) (xy 136.807935 153.598)
+ (xy 136.638758 153.428824) (xy 136.88 153.428824) (xy 136.953905 153.421545) (xy 137.02497 153.399988) (xy 137.090463 153.364981)
+ (xy 137.147869 153.317869) (xy 137.194981 153.260463) (xy 137.229988 153.19497) (xy 137.251545 153.123905) (xy 137.258824 153.05)
+ (xy 137.258824 150.95) (xy 137.251545 150.876095) (xy 137.229988 150.80503) (xy 137.194981 150.739537) (xy 137.147869 150.682131)
+ (xy 137.090463 150.635019) (xy 137.02497 150.600012) (xy 136.953905 150.578455) (xy 136.88 150.571176) (xy 136.632 150.571176)
+ (xy 136.632 148.428824) (xy 136.88 148.428824) (xy 136.953905 148.421545) (xy 137.02497 148.399988) (xy 137.090463 148.364981)
+ (xy 137.147869 148.317869) (xy 137.194981 148.260463) (xy 137.229988 148.19497) (xy 137.251545 148.123905) (xy 137.258824 148.05)
+ (xy 137.258824 147.727) (xy 145.726655 147.727)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 135.065019 148.260463) (xy 135.112131 148.317869) (xy 135.169537 148.364981) (xy 135.23503 148.399988) (xy 135.306095 148.421545)
+ (xy 135.38 148.428824) (xy 135.628 148.428824) (xy 135.628001 150.571176) (xy 135.38 150.571176) (xy 135.306095 150.578455)
+ (xy 135.23503 150.600012) (xy 135.169537 150.635019) (xy 135.112131 150.682131) (xy 135.065019 150.739537) (xy 135.05 150.767635)
+ (xy 135.034981 150.739537) (xy 134.987869 150.682131) (xy 134.930463 150.635019) (xy 134.86497 150.600012) (xy 134.793905 150.578455)
+ (xy 134.72 150.571176) (xy 132.22 150.571176) (xy 132.146095 150.578455) (xy 132.07503 150.600012) (xy 132.009537 150.635019)
+ (xy 131.952131 150.682131) (xy 131.905019 150.739537) (xy 131.870012 150.80503) (xy 131.848455 150.876095) (xy 131.841176 150.95)
+ (xy 131.841176 151.498) (xy 131.363344 151.498) (xy 131.304911 151.450045) (xy 131.198357 151.393091) (xy 131.082739 151.358018)
+ (xy 130.9625 151.346176) (xy 130.3875 151.346176) (xy 130.267261 151.358018) (xy 130.151643 151.393091) (xy 130.045089 151.450045)
+ (xy 129.951693 151.526693) (xy 129.875045 151.620089) (xy 129.818091 151.726643) (xy 129.8 151.78628) (xy 129.781909 151.726643)
+ (xy 129.724955 151.620089) (xy 129.648307 151.526693) (xy 129.554911 151.450045) (xy 129.448357 151.393091) (xy 129.332739 151.358018)
+ (xy 129.2125 151.346176) (xy 128.781111 151.346176) (xy 128.497401 151.062467) (xy 128.481684 151.043316) (xy 128.458244 151.024079)
+ (xy 128.517261 151.041982) (xy 128.6375 151.053824) (xy 129.2125 151.053824) (xy 129.332739 151.041982) (xy 129.448357 151.006909)
+ (xy 129.554911 150.949955) (xy 129.648307 150.873307) (xy 129.724955 150.779911) (xy 129.781909 150.673357) (xy 129.8 150.61372)
+ (xy 129.818091 150.673357) (xy 129.875045 150.779911) (xy 129.951693 150.873307) (xy 130.045089 150.949955) (xy 130.151643 151.006909)
+ (xy 130.267261 151.041982) (xy 130.3875 151.053824) (xy 130.9625 151.053824) (xy 131.082739 151.041982) (xy 131.198357 151.006909)
+ (xy 131.304911 150.949955) (xy 131.398307 150.873307) (xy 131.474955 150.779911) (xy 131.531909 150.673357) (xy 131.566982 150.557739)
+ (xy 131.578824 150.4375) (xy 131.578824 150.00611) (xy 133.156111 148.428824) (xy 134.72 148.428824) (xy 134.793905 148.421545)
+ (xy 134.86497 148.399988) (xy 134.930463 148.364981) (xy 134.987869 148.317869) (xy 135.034981 148.260463) (xy 135.05 148.232365)
+ )
+ )
+ )
+ (zone (net 0) (net_name "") (layer B.Cu) (tstamp 0) (hatch edge 0.508)
+ (connect_pads yes (clearance 0.508))
+ (min_thickness 0.254)
+ (keepout (tracks allowed) (vias allowed) (copperpour not_allowed))
+ (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 93 124.5) (xy 94.75 124.5) (xy 94.75 95) (xy 93 95)
+ )
+ )
+ )
+ (zone (net 0) (net_name "") (layer F.Cu) (tstamp 0) (hatch edge 0.508)
+ (connect_pads yes (clearance 0.508))
+ (min_thickness 0.254)
+ (keepout (tracks allowed) (vias allowed) (copperpour not_allowed))
+ (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 94.7375 96.082056) (xy 94.7375 90.492944) (xy 96.2625 90.507056) (xy 96.2625 96.1)
+ )
+ )
+ )
+ (zone (net 0) (net_name "") (layers F&B.Cu) (tstamp 0) (hatch edge 0.508)
+ (connect_pads yes (clearance 0.508))
+ (min_thickness 0.254)
+ (keepout (tracks not_allowed) (vias not_allowed) (copperpour not_allowed))
+ (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 70 55) (xy 80 55) (xy 80 65) (xy 70 65)
+ )
+ )
+ )
+ (zone (net 0) (net_name "") (layers F&B.Cu) (tstamp 0) (hatch edge 0.508)
+ (connect_pads yes (clearance 0.508))
+ (min_thickness 0.254)
+ (keepout (tracks not_allowed) (vias not_allowed) (copperpour not_allowed))
+ (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 170 55) (xy 160 55) (xy 160 63) (xy 162 65) (xy 170 65)
+ )
+ )
+ )
+ (zone (net 0) (net_name "") (layers F&B.Cu) (tstamp 0) (hatch edge 0.508)
+ (connect_pads yes (clearance 0.508))
+ (min_thickness 0.254)
+ (keepout (tracks not_allowed) (vias not_allowed) (copperpour not_allowed))
+ (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 170 145) (xy 160 145) (xy 160 155) (xy 170 155)
+ )
+ )
+ )
+ (zone (net 0) (net_name "") (layers F&B.Cu) (tstamp 0) (hatch edge 0.508)
+ (connect_pads yes (clearance 0.508))
+ (min_thickness 0.254)
+ (keepout (tracks not_allowed) (vias not_allowed) (copperpour not_allowed))
+ (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 70 145) (xy 80 145) (xy 80 155) (xy 70 155)
+ )
+ )
+ )
+ (zone (net 1) (net_name GND) (layer B.Cu) (tstamp 5EADAA04) (hatch edge 0.508)
+ (priority 4)
+ (connect_pads (clearance 0.25))
+ (min_thickness 0.254)
+ (fill yes (arc_segments 32) (thermal_gap 0.25) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 70 94) (xy 170 94) (xy 170 55) (xy 70 55)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 153.359733 92.987554) (xy 153.375442 93.006695) (xy 153.394582 93.022403) (xy 153.39459 93.022411) (xy 153.45188 93.069428)
+ (xy 153.498494 93.094343) (xy 153.53909 93.116042) (xy 153.633717 93.144747) (xy 153.707473 93.152011) (xy 153.707483 93.152011)
+ (xy 153.732126 93.154438) (xy 153.756769 93.152011) (xy 161.823 93.152011) (xy 161.823 93.276528) (xy 161.852859 93.426643)
+ (xy 161.911431 93.568048) (xy 161.996464 93.695309) (xy 162.104691 93.803536) (xy 162.208651 93.873) (xy 147.627 93.873)
+ (xy 147.627 90) (xy 147.62456 89.975224) (xy 147.617333 89.951399) (xy 147.605597 89.929443) (xy 147.589803 89.910197)
+ (xy 147.570557 89.894403) (xy 147.548601 89.882667) (xy 147.524776 89.87544) (xy 147.5 89.873) (xy 125.363934 89.873)
+ (xy 125.634934 89.602) (xy 149.974181 89.602)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 118.823 67.2) (xy 118.830244 67.273549) (xy 118.851697 67.344272) (xy 118.886536 67.40945) (xy 118.933421 67.466579)
+ (xy 118.99055 67.513464) (xy 119.055728 67.548303) (xy 119.126451 67.569756) (xy 119.2 67.577) (xy 135.690289 67.577)
+ (xy 136.792044 68.678756) (xy 136.752859 68.773357) (xy 136.723 68.923472) (xy 136.723 69.076528) (xy 136.752859 69.226643)
+ (xy 136.811431 69.368048) (xy 136.896464 69.495309) (xy 137.004691 69.603536) (xy 137.131952 69.688569) (xy 137.273357 69.747141)
+ (xy 137.423472 69.777) (xy 137.576528 69.777) (xy 137.726643 69.747141) (xy 137.868048 69.688569) (xy 137.940987 69.639832)
+ (xy 138.004691 69.703536) (xy 138.131952 69.788569) (xy 138.273357 69.847141) (xy 138.423472 69.877) (xy 138.576528 69.877)
+ (xy 138.726643 69.847141) (xy 138.868048 69.788569) (xy 138.995309 69.703536) (xy 139.103536 69.595309) (xy 139.188569 69.468048)
+ (xy 139.223911 69.382723) (xy 140.370572 69.382723) (xy 140.408412 69.601474) (xy 140.488202 69.80864) (xy 140.514957 69.858694)
+ (xy 140.68159 69.938805) (xy 141.320395 69.3) (xy 141.679605 69.3) (xy 142.31841 69.938805) (xy 142.485043 69.858694)
+ (xy 142.575111 69.655787) (xy 142.623864 69.439207) (xy 142.629428 69.217277) (xy 142.624537 69.189) (xy 142.663 69.189)
+ (xy 142.663 69.411) (xy 142.70631 69.628734) (xy 142.791266 69.833835) (xy 142.914602 70.018421) (xy 143.071579 70.175398)
+ (xy 143.256165 70.298734) (xy 143.461266 70.38369) (xy 143.679 70.427) (xy 143.901 70.427) (xy 144.118734 70.38369)
+ (xy 144.323835 70.298734) (xy 144.508421 70.175398) (xy 144.565409 70.11841) (xy 152.291195 70.11841) (xy 152.371306 70.285043)
+ (xy 152.574213 70.375111) (xy 152.790793 70.423864) (xy 153.012723 70.429428) (xy 153.231474 70.391588) (xy 153.43864 70.311798)
+ (xy 153.488694 70.285043) (xy 153.568805 70.11841) (xy 152.93 69.479605) (xy 152.291195 70.11841) (xy 144.565409 70.11841)
+ (xy 144.665398 70.018421) (xy 144.788734 69.833835) (xy 144.87369 69.628734) (xy 144.917 69.411) (xy 144.917 69.382723)
+ (xy 151.800572 69.382723) (xy 151.838412 69.601474) (xy 151.918202 69.80864) (xy 151.944957 69.858694) (xy 152.11159 69.938805)
+ (xy 152.750395 69.3) (xy 153.109605 69.3) (xy 153.74841 69.938805) (xy 153.915043 69.858694) (xy 154.005111 69.655787)
+ (xy 154.053864 69.439207) (xy 154.059428 69.217277) (xy 154.054537 69.189) (xy 154.093 69.189) (xy 154.093 69.411)
+ (xy 154.13631 69.628734) (xy 154.221266 69.833835) (xy 154.344602 70.018421) (xy 154.501579 70.175398) (xy 154.686165 70.298734)
+ (xy 154.891266 70.38369) (xy 155.109 70.427) (xy 155.331 70.427) (xy 155.548734 70.38369) (xy 155.753835 70.298734)
+ (xy 155.938421 70.175398) (xy 156.095398 70.018421) (xy 156.218734 69.833835) (xy 156.30369 69.628734) (xy 156.347 69.411)
+ (xy 156.347 69.189) (xy 156.30369 68.971266) (xy 156.218734 68.766165) (xy 156.095398 68.581579) (xy 155.938421 68.424602)
+ (xy 155.753835 68.301266) (xy 155.548734 68.21631) (xy 155.331 68.173) (xy 155.109 68.173) (xy 154.891266 68.21631)
+ (xy 154.686165 68.301266) (xy 154.501579 68.424602) (xy 154.344602 68.581579) (xy 154.221266 68.766165) (xy 154.13631 68.971266)
+ (xy 154.093 69.189) (xy 154.054537 69.189) (xy 154.021588 68.998526) (xy 153.941798 68.79136) (xy 153.915043 68.741306)
+ (xy 153.74841 68.661195) (xy 153.109605 69.3) (xy 152.750395 69.3) (xy 152.11159 68.661195) (xy 151.944957 68.741306)
+ (xy 151.854889 68.944213) (xy 151.806136 69.160793) (xy 151.800572 69.382723) (xy 144.917 69.382723) (xy 144.917 69.189)
+ (xy 144.87369 68.971266) (xy 144.788734 68.766165) (xy 144.665398 68.581579) (xy 144.565409 68.48159) (xy 152.291195 68.48159)
+ (xy 152.93 69.120395) (xy 153.568805 68.48159) (xy 153.488694 68.314957) (xy 153.285787 68.224889) (xy 153.069207 68.176136)
+ (xy 152.847277 68.170572) (xy 152.628526 68.208412) (xy 152.42136 68.288202) (xy 152.371306 68.314957) (xy 152.291195 68.48159)
+ (xy 144.565409 68.48159) (xy 144.508421 68.424602) (xy 144.323835 68.301266) (xy 144.118734 68.21631) (xy 143.901 68.173)
+ (xy 143.679 68.173) (xy 143.461266 68.21631) (xy 143.256165 68.301266) (xy 143.071579 68.424602) (xy 142.914602 68.581579)
+ (xy 142.791266 68.766165) (xy 142.70631 68.971266) (xy 142.663 69.189) (xy 142.624537 69.189) (xy 142.591588 68.998526)
+ (xy 142.511798 68.79136) (xy 142.485043 68.741306) (xy 142.31841 68.661195) (xy 141.679605 69.3) (xy 141.320395 69.3)
+ (xy 140.68159 68.661195) (xy 140.514957 68.741306) (xy 140.424889 68.944213) (xy 140.376136 69.160793) (xy 140.370572 69.382723)
+ (xy 139.223911 69.382723) (xy 139.247141 69.326643) (xy 139.277 69.176528) (xy 139.277 69.023472) (xy 139.247141 68.873357)
+ (xy 139.188569 68.731952) (xy 139.127 68.639807) (xy 139.127 68.48159) (xy 140.861195 68.48159) (xy 141.5 69.120395)
+ (xy 142.138805 68.48159) (xy 142.058694 68.314957) (xy 141.855787 68.224889) (xy 141.639207 68.176136) (xy 141.417277 68.170572)
+ (xy 141.198526 68.208412) (xy 140.99136 68.288202) (xy 140.941306 68.314957) (xy 140.861195 68.48159) (xy 139.127 68.48159)
+ (xy 139.127 68.21084) (xy 139.130033 68.180046) (xy 139.117927 68.057134) (xy 139.115457 68.048992) (xy 139.082075 67.938944)
+ (xy 139.023853 67.830019) (xy 138.945501 67.734546) (xy 138.921578 67.714913) (xy 138.783665 67.577) (xy 158 67.577)
+ (xy 158.073549 67.569756) (xy 158.144272 67.548303) (xy 158.20945 67.513464) (xy 158.266579 67.466579) (xy 158.313464 67.40945)
+ (xy 158.348303 67.344272) (xy 158.369756 67.273549) (xy 158.377 67.2) (xy 158.377 55.452) (xy 159.873 55.452)
+ (xy 159.873 63) (xy 159.87544 63.024776) (xy 159.882667 63.048601) (xy 159.894403 63.070557) (xy 159.910197 63.089803)
+ (xy 161.910197 65.089803) (xy 161.929443 65.105597) (xy 161.951399 65.117333) (xy 161.975224 65.12456) (xy 162 65.127)
+ (xy 169.548001 65.127) (xy 169.548001 93.873) (xy 169.252 93.873) (xy 169.252 91.646845) (xy 169.353536 91.545309)
+ (xy 169.438569 91.418048) (xy 169.497141 91.276643) (xy 169.527 91.126528) (xy 169.527 90.973472) (xy 169.497141 90.823357)
+ (xy 169.438569 90.681952) (xy 169.353536 90.554691) (xy 169.245309 90.446464) (xy 169.118048 90.361431) (xy 168.976643 90.302859)
+ (xy 168.826528 90.273) (xy 168.673472 90.273) (xy 168.523357 90.302859) (xy 168.381952 90.361431) (xy 168.254691 90.446464)
+ (xy 168.146464 90.554691) (xy 168.061431 90.681952) (xy 168.002859 90.823357) (xy 167.973 90.973472) (xy 167.973 91.126528)
+ (xy 168.002859 91.276643) (xy 168.061431 91.418048) (xy 168.146464 91.545309) (xy 168.248 91.646845) (xy 168.248 93.738066)
+ (xy 168.177 93.667066) (xy 168.177 93.523472) (xy 168.147141 93.373357) (xy 168.088569 93.231952) (xy 168.003536 93.104691)
+ (xy 167.895309 92.996464) (xy 167.768048 92.911431) (xy 167.626643 92.852859) (xy 167.476528 92.823) (xy 167.323472 92.823)
+ (xy 167.173357 92.852859) (xy 167.031952 92.911431) (xy 166.904691 92.996464) (xy 166.796464 93.104691) (xy 166.711431 93.231952)
+ (xy 166.652859 93.373357) (xy 166.623 93.523472) (xy 166.623 93.676528) (xy 166.652859 93.826643) (xy 166.672061 93.873)
+ (xy 166.282935 93.873) (xy 164.272402 91.862468) (xy 164.256684 91.843316) (xy 164.180245 91.780583) (xy 164.093036 91.733969)
+ (xy 163.998409 91.705264) (xy 163.924653 91.698) (xy 163.924643 91.698) (xy 163.9 91.695573) (xy 163.875357 91.698)
+ (xy 163.321855 91.698) (xy 163.220319 91.596464) (xy 163.093058 91.511431) (xy 162.951653 91.452859) (xy 162.801538 91.423)
+ (xy 162.648482 91.423) (xy 162.498367 91.452859) (xy 162.356962 91.511431) (xy 162.229701 91.596464) (xy 162.128165 91.698)
+ (xy 154.953304 91.698) (xy 154.955952 91.691608) (xy 154.985811 91.541493) (xy 154.985811 91.388437) (xy 154.955952 91.238322)
+ (xy 154.89738 91.096917) (xy 154.812347 90.969656) (xy 154.70412 90.861429) (xy 154.576859 90.776396) (xy 154.435454 90.717824)
+ (xy 154.285339 90.687965) (xy 154.141746 90.687965) (xy 151.316226 87.862446) (xy 151.300508 87.843294) (xy 151.224069 87.780561)
+ (xy 151.13686 87.733947) (xy 151.042233 87.705242) (xy 150.968477 87.697978) (xy 150.968467 87.697978) (xy 150.943824 87.695551)
+ (xy 150.919181 87.697978) (xy 125.078841 87.697978) (xy 125.054198 87.695551) (xy 125.029555 87.697978) (xy 125.029545 87.697978)
+ (xy 124.955789 87.705242) (xy 124.861162 87.733947) (xy 124.832665 87.749179) (xy 124.773952 87.780561) (xy 124.716662 87.827578)
+ (xy 124.716654 87.827586) (xy 124.697514 87.843294) (xy 124.681805 87.862435) (xy 122.671241 89.873) (xy 118.5 89.873)
+ (xy 118.475224 89.87544) (xy 118.451399 89.882667) (xy 118.429443 89.894403) (xy 118.410197 89.910197) (xy 118.394403 89.929443)
+ (xy 118.382667 89.951399) (xy 118.37544 89.975224) (xy 118.373 90) (xy 118.373 91.798) (xy 112.707935 91.798)
+ (xy 112.248139 91.338204) (xy 112.368048 91.288536) (xy 112.495309 91.203503) (xy 112.603536 91.095276) (xy 112.688569 90.968015)
+ (xy 112.747141 90.82661) (xy 112.777 90.676495) (xy 112.777 90.523439) (xy 112.747141 90.373324) (xy 112.688569 90.231919)
+ (xy 112.603536 90.104658) (xy 112.502 90.003122) (xy 112.502 89.224604) (xy 112.53135 89.195254) (xy 112.616383 89.067993)
+ (xy 112.674955 88.926588) (xy 112.704814 88.776473) (xy 112.704814 88.623417) (xy 112.674955 88.473302) (xy 112.616383 88.331897)
+ (xy 112.53135 88.204636) (xy 112.423123 88.096409) (xy 112.295862 88.011376) (xy 112.154457 87.952804) (xy 112.004342 87.922945)
+ (xy 111.851286 87.922945) (xy 111.701171 87.952804) (xy 111.559766 88.011376) (xy 111.432505 88.096409) (xy 111.324278 88.204636)
+ (xy 111.239245 88.331897) (xy 111.180673 88.473302) (xy 111.150814 88.623417) (xy 111.150814 88.776473) (xy 111.180673 88.926588)
+ (xy 111.239245 89.067993) (xy 111.324278 89.195254) (xy 111.432505 89.303481) (xy 111.498001 89.347244) (xy 111.498 90.003122)
+ (xy 111.396464 90.104658) (xy 111.311431 90.231919) (xy 111.261763 90.351829) (xy 111.127001 90.217067) (xy 111.127001 89.951336)
+ (xy 111.129428 89.926693) (xy 111.127001 89.90205) (xy 111.127001 89.90204) (xy 111.119737 89.828284) (xy 111.091032 89.733657)
+ (xy 111.062628 89.680517) (xy 111.044418 89.646447) (xy 110.997401 89.589157) (xy 110.997393 89.589149) (xy 110.981685 89.570009)
+ (xy 110.962544 89.5543) (xy 109.433055 88.024813) (xy 109.417338 88.005662) (xy 109.340899 87.942929) (xy 109.25369 87.896315)
+ (xy 109.159063 87.86761) (xy 109.085307 87.860346) (xy 109.085297 87.860346) (xy 109.060654 87.857919) (xy 109.036011 87.860346)
+ (xy 107.762296 87.860346) (xy 107.737653 87.857919) (xy 107.71301 87.860346) (xy 107.713001 87.860346) (xy 107.639245 87.86761)
+ (xy 107.544618 87.896315) (xy 107.457409 87.942929) (xy 107.38097 88.005662) (xy 107.365257 88.024808) (xy 106.904413 88.485653)
+ (xy 106.760819 88.485653) (xy 106.610704 88.515512) (xy 106.469299 88.574084) (xy 106.342038 88.659117) (xy 106.233811 88.767344)
+ (xy 106.148778 88.894605) (xy 106.090206 89.03601) (xy 106.060347 89.186125) (xy 106.060347 89.339181) (xy 106.090206 89.489296)
+ (xy 106.148778 89.630701) (xy 106.233811 89.757962) (xy 106.342038 89.866189) (xy 106.469299 89.951222) (xy 106.610704 90.009794)
+ (xy 106.760819 90.039653) (xy 106.913875 90.039653) (xy 107.06399 90.009794) (xy 107.205395 89.951222) (xy 107.332656 89.866189)
+ (xy 107.440883 89.757962) (xy 107.525916 89.630701) (xy 107.584488 89.489296) (xy 107.614347 89.339181) (xy 107.614347 89.195587)
+ (xy 107.945589 88.864346) (xy 107.964788 88.864346) (xy 107.935653 89.010819) (xy 107.935653 89.163875) (xy 107.965512 89.31399)
+ (xy 108.024084 89.455395) (xy 108.109117 89.582656) (xy 108.217344 89.690883) (xy 108.332996 89.768159) (xy 108.296464 89.804691)
+ (xy 108.211431 89.931952) (xy 108.152859 90.073357) (xy 108.123 90.223472) (xy 108.123 90.376528) (xy 108.152859 90.526643)
+ (xy 108.211431 90.668048) (xy 108.296464 90.795309) (xy 108.398712 90.897557) (xy 108.405265 90.964094) (xy 108.43397 91.058721)
+ (xy 108.480584 91.14593) (xy 108.527601 91.20322) (xy 108.527604 91.203223) (xy 108.543317 91.222369) (xy 108.562463 91.238082)
+ (xy 108.836913 91.512532) (xy 108.852631 91.531684) (xy 108.92907 91.594417) (xy 109.016279 91.641031) (xy 109.110906 91.669736)
+ (xy 109.184662 91.677) (xy 109.184671 91.677) (xy 109.209314 91.679427) (xy 109.233957 91.677) (xy 109.855667 91.677)
+ (xy 111.71623 93.537565) (xy 111.731939 93.556706) (xy 111.751079 93.572414) (xy 111.751087 93.572422) (xy 111.808377 93.619439)
+ (xy 111.895586 93.666053) (xy 111.990213 93.694758) (xy 111.999055 93.695629) (xy 112.06397 93.702022) (xy 112.063977 93.702022)
+ (xy 112.088623 93.704449) (xy 112.113268 93.702022) (xy 118.373 93.702022) (xy 118.373 93.873) (xy 70.452 93.873)
+ (xy 70.452 91) (xy 92.219241 91) (xy 92.223 91.038163) (xy 92.223 91.076528) (xy 92.230486 91.114162)
+ (xy 92.234244 91.152318) (xy 92.245372 91.189003) (xy 92.252859 91.226643) (xy 92.267545 91.262097) (xy 92.278673 91.298783)
+ (xy 92.296746 91.332595) (xy 92.311431 91.368048) (xy 92.332751 91.399956) (xy 92.350823 91.433766) (xy 92.375144 91.463401)
+ (xy 92.396464 91.495309) (xy 92.504691 91.603536) (xy 92.504697 91.60354) (xy 92.823586 91.922429) (xy 92.847919 91.952079)
+ (xy 92.966233 92.049176) (xy 93.101215 92.121326) (xy 93.24768 92.165756) (xy 93.361833 92.176999) (xy 93.361835 92.176999)
+ (xy 93.399998 92.180758) (xy 93.438162 92.176999) (xy 98.861838 92.176999) (xy 98.900001 92.180758) (xy 98.938164 92.176999)
+ (xy 98.938167 92.176999) (xy 99.05232 92.165756) (xy 99.198785 92.121326) (xy 99.333767 92.049176) (xy 99.452081 91.952079)
+ (xy 99.476413 91.92243) (xy 100.022438 91.376406) (xy 100.052081 91.352079) (xy 100.104229 91.288536) (xy 100.149178 91.233766)
+ (xy 100.221328 91.098783) (xy 100.232013 91.063558) (xy 100.265758 90.952318) (xy 100.277001 90.838165) (xy 100.277001 90.838156)
+ (xy 100.280759 90.8) (xy 100.277001 90.761844) (xy 100.277001 87.138164) (xy 100.28076 87.100001) (xy 100.277001 87.061835)
+ (xy 100.265758 86.947682) (xy 100.221328 86.801217) (xy 100.191684 86.745757) (xy 100.149179 86.666235) (xy 100.07641 86.577566)
+ (xy 100.076409 86.577565) (xy 100.052081 86.547921) (xy 100.022437 86.523593) (xy 99.527 86.028157) (xy 99.527 83.671845)
+ (xy 99.603536 83.595309) (xy 99.624856 83.563401) (xy 99.649177 83.533766) (xy 99.667249 83.499956) (xy 99.688569 83.468048)
+ (xy 99.703254 83.432595) (xy 99.721327 83.398783) (xy 99.732456 83.362097) (xy 99.747141 83.326643) (xy 99.754627 83.289005)
+ (xy 99.765756 83.252319) (xy 99.769514 83.214164) (xy 99.777 83.176528) (xy 99.777 83.138157) (xy 99.780758 83.100001)
+ (xy 99.777 83.061845) (xy 99.777 83.023472) (xy 99.769514 82.985835) (xy 99.765756 82.947682) (xy 99.754628 82.910998)
+ (xy 99.747141 82.873357) (xy 99.732454 82.837901) (xy 99.721327 82.801218) (xy 99.703256 82.76741) (xy 99.688569 82.731952)
+ (xy 99.667246 82.70004) (xy 99.649177 82.666235) (xy 99.62486 82.636605) (xy 99.603536 82.604691) (xy 99.576395 82.57755)
+ (xy 99.552079 82.547921) (xy 99.52245 82.523605) (xy 99.495309 82.496464) (xy 99.463395 82.47514) (xy 99.433765 82.450823)
+ (xy 99.39996 82.432754) (xy 99.368048 82.411431) (xy 99.33259 82.396744) (xy 99.298782 82.378673) (xy 99.262099 82.367546)
+ (xy 99.226643 82.352859) (xy 99.189002 82.345372) (xy 99.152318 82.334244) (xy 99.114165 82.330486) (xy 99.076528 82.323)
+ (xy 99.038155 82.323) (xy 98.999999 82.319242) (xy 98.961843 82.323) (xy 98.923472 82.323) (xy 98.885836 82.330486)
+ (xy 98.847681 82.334244) (xy 98.810995 82.345373) (xy 98.773357 82.352859) (xy 98.737903 82.367544) (xy 98.701217 82.378673)
+ (xy 98.667405 82.396746) (xy 98.631952 82.411431) (xy 98.600044 82.432751) (xy 98.566234 82.450823) (xy 98.536599 82.475144)
+ (xy 98.504691 82.496464) (xy 98.396464 82.604691) (xy 98.39646 82.604697) (xy 98.227565 82.773592) (xy 98.197921 82.79792)
+ (xy 98.173593 82.827564) (xy 98.173591 82.827566) (xy 98.100823 82.916234) (xy 98.028673 83.051217) (xy 97.984244 83.197682)
+ (xy 97.969241 83.35) (xy 97.973001 83.388173) (xy 97.973 86.273472) (xy 97.973 86.311837) (xy 97.969241 86.35)
+ (xy 97.973 86.388163) (xy 97.973 86.426528) (xy 97.980484 86.464155) (xy 97.984243 86.502318) (xy 97.995374 86.53901)
+ (xy 98.002859 86.576643) (xy 98.017545 86.612097) (xy 98.028673 86.648783) (xy 98.046746 86.682595) (xy 98.061431 86.718048)
+ (xy 98.082751 86.749956) (xy 98.100823 86.783766) (xy 98.125144 86.813401) (xy 98.146464 86.845309) (xy 98.173598 86.872443)
+ (xy 98.19792 86.90208) (xy 98.227558 86.926403) (xy 98.254691 86.953536) (xy 98.254697 86.95354) (xy 98.723002 87.421846)
+ (xy 98.723001 90.478155) (xy 98.578158 90.622999) (xy 93.721842 90.622999) (xy 93.60354 90.504697) (xy 93.603536 90.504691)
+ (xy 93.495309 90.396464) (xy 93.463401 90.375144) (xy 93.433766 90.350823) (xy 93.399956 90.332751) (xy 93.368048 90.311431)
+ (xy 93.332595 90.296746) (xy 93.298783 90.278673) (xy 93.262097 90.267545) (xy 93.226643 90.252859) (xy 93.189003 90.245372)
+ (xy 93.152318 90.234244) (xy 93.114162 90.230486) (xy 93.076528 90.223) (xy 93.038163 90.223) (xy 93 90.219241)
+ (xy 92.961837 90.223) (xy 92.923472 90.223) (xy 92.885838 90.230486) (xy 92.847682 90.234244) (xy 92.810997 90.245372)
+ (xy 92.773357 90.252859) (xy 92.737903 90.267545) (xy 92.701217 90.278673) (xy 92.667405 90.296746) (xy 92.631952 90.311431)
+ (xy 92.600044 90.332751) (xy 92.566234 90.350823) (xy 92.536601 90.375142) (xy 92.504691 90.396464) (xy 92.477551 90.423604)
+ (xy 92.447921 90.447921) (xy 92.423604 90.477551) (xy 92.396464 90.504691) (xy 92.375142 90.536601) (xy 92.350823 90.566234)
+ (xy 92.332751 90.600044) (xy 92.311431 90.631952) (xy 92.296746 90.667405) (xy 92.278673 90.701217) (xy 92.267545 90.737903)
+ (xy 92.252859 90.773357) (xy 92.245372 90.810997) (xy 92.234244 90.847682) (xy 92.230486 90.885838) (xy 92.223 90.923472)
+ (xy 92.223 90.961837) (xy 92.219241 91) (xy 70.452 91) (xy 70.452 88.947122) (xy 75.082483 88.947122)
+ (xy 75.192881 89.13933) (xy 75.438495 89.25443) (xy 75.701845 89.3194) (xy 75.972809 89.331745) (xy 76.240975 89.290991)
+ (xy 76.496038 89.198703) (xy 76.607119 89.13933) (xy 76.717517 88.947122) (xy 75.9 88.129605) (xy 75.082483 88.947122)
+ (xy 70.452 88.947122) (xy 70.452 88.022809) (xy 74.518255 88.022809) (xy 74.559009 88.290975) (xy 74.651297 88.546038)
+ (xy 74.71067 88.657119) (xy 74.902878 88.767517) (xy 75.720395 87.95) (xy 76.079605 87.95) (xy 76.897122 88.767517)
+ (xy 77.08933 88.657119) (xy 77.20443 88.411505) (xy 77.2694 88.148155) (xy 77.281745 87.877191) (xy 77.240991 87.609025)
+ (xy 77.148703 87.353962) (xy 77.08933 87.242881) (xy 76.897122 87.132483) (xy 76.079605 87.95) (xy 75.720395 87.95)
+ (xy 74.902878 87.132483) (xy 74.71067 87.242881) (xy 74.59557 87.488495) (xy 74.5306 87.751845) (xy 74.518255 88.022809)
+ (xy 70.452 88.022809) (xy 70.452 86.952878) (xy 75.082483 86.952878) (xy 75.9 87.770395) (xy 76.717517 86.952878)
+ (xy 76.715864 86.95) (xy 79.169483 86.95) (xy 79.169483 88.95) (xy 79.18352 89.092517) (xy 79.22509 89.229557)
+ (xy 79.292597 89.355853) (xy 79.383446 89.466554) (xy 79.494147 89.557403) (xy 79.620443 89.62491) (xy 79.757483 89.66648)
+ (xy 79.9 89.680517) (xy 81.9 89.680517) (xy 82.042517 89.66648) (xy 82.179557 89.62491) (xy 82.305853 89.557403)
+ (xy 82.416554 89.466554) (xy 82.507403 89.355853) (xy 82.57491 89.229557) (xy 82.61648 89.092517) (xy 82.630517 88.95)
+ (xy 82.630517 86.95) (xy 82.61648 86.807483) (xy 82.57491 86.670443) (xy 82.507403 86.544147) (xy 82.416554 86.433446)
+ (xy 82.305853 86.342597) (xy 82.179557 86.27509) (xy 82.042517 86.23352) (xy 81.9 86.219483) (xy 79.9 86.219483)
+ (xy 79.757483 86.23352) (xy 79.620443 86.27509) (xy 79.494147 86.342597) (xy 79.383446 86.433446) (xy 79.292597 86.544147)
+ (xy 79.22509 86.670443) (xy 79.18352 86.807483) (xy 79.169483 86.95) (xy 76.715864 86.95) (xy 76.607119 86.76067)
+ (xy 76.361505 86.64557) (xy 76.098155 86.5806) (xy 75.827191 86.568255) (xy 75.559025 86.609009) (xy 75.303962 86.701297)
+ (xy 75.192881 86.76067) (xy 75.082483 86.952878) (xy 70.452 86.952878) (xy 70.452 79.5) (xy 105.019241 79.5)
+ (xy 105.023 79.538163) (xy 105.023 79.576528) (xy 105.030486 79.614162) (xy 105.034244 79.652318) (xy 105.045372 79.689003)
+ (xy 105.052859 79.726643) (xy 105.067545 79.762097) (xy 105.078673 79.798783) (xy 105.096746 79.832595) (xy 105.111431 79.868048)
+ (xy 105.132751 79.899956) (xy 105.150823 79.933766) (xy 105.175144 79.963401) (xy 105.196464 79.995309) (xy 105.304691 80.103536)
+ (xy 105.304697 80.10354) (xy 109.12359 83.922434) (xy 109.14792 83.95208) (xy 109.177564 83.976408) (xy 109.177565 83.976409)
+ (xy 109.266233 84.049177) (xy 109.333725 84.085252) (xy 109.401216 84.121327) (xy 109.547681 84.165757) (xy 109.661834 84.177)
+ (xy 109.661836 84.177) (xy 109.7 84.180759) (xy 109.738163 84.177) (xy 116.598155 84.177) (xy 118.19359 85.772436)
+ (xy 118.217918 85.80208) (xy 118.247562 85.826408) (xy 118.247563 85.826409) (xy 118.336231 85.899177) (xy 118.445005 85.957318)
+ (xy 118.471214 85.971327) (xy 118.617679 86.015757) (xy 118.731832 86.027) (xy 118.731841 86.027) (xy 118.769997 86.030758)
+ (xy 118.808153 86.027) (xy 121.961837 86.027) (xy 122 86.030759) (xy 122.038163 86.027) (xy 122.038166 86.027)
+ (xy 122.152319 86.015757) (xy 122.298784 85.971327) (xy 122.433766 85.899177) (xy 122.55208 85.80208) (xy 122.576412 85.772431)
+ (xy 123.571844 84.777) (xy 125.578157 84.777) (xy 126.32359 85.522434) (xy 126.34792 85.55208) (xy 126.377564 85.576408)
+ (xy 126.377565 85.576409) (xy 126.466234 85.649178) (xy 126.554199 85.696195) (xy 126.601216 85.721327) (xy 126.747681 85.765757)
+ (xy 126.861834 85.777) (xy 126.861836 85.777) (xy 126.9 85.780759) (xy 126.938163 85.777) (xy 127.103 85.777)
+ (xy 127.103 86.056528) (xy 127.110484 86.094155) (xy 127.114243 86.132318) (xy 127.125374 86.16901) (xy 127.132859 86.206643)
+ (xy 127.147544 86.242095) (xy 127.158673 86.278783) (xy 127.176745 86.312593) (xy 127.191431 86.348048) (xy 127.212753 86.379959)
+ (xy 127.230823 86.413765) (xy 127.255142 86.443398) (xy 127.276464 86.475309) (xy 127.303598 86.502443) (xy 127.32792 86.53208)
+ (xy 127.357558 86.556403) (xy 127.384691 86.583536) (xy 127.416598 86.604856) (xy 127.446234 86.629177) (xy 127.480045 86.64725)
+ (xy 127.511952 86.668569) (xy 127.547402 86.683253) (xy 127.581216 86.701327) (xy 127.617908 86.712457) (xy 127.653357 86.727141)
+ (xy 127.690987 86.734626) (xy 127.727681 86.745757) (xy 127.765846 86.749516) (xy 127.803472 86.757) (xy 127.841834 86.757)
+ (xy 127.88 86.760759) (xy 127.918166 86.757) (xy 127.956528 86.757) (xy 127.994155 86.749516) (xy 128.032318 86.745757)
+ (xy 128.06901 86.734626) (xy 128.106643 86.727141) (xy 128.142095 86.712456) (xy 128.178783 86.701327) (xy 128.212593 86.683255)
+ (xy 128.248048 86.668569) (xy 128.279959 86.647247) (xy 128.313765 86.629177) (xy 128.343398 86.604858) (xy 128.375309 86.583536)
+ (xy 128.402443 86.556402) (xy 128.43208 86.53208) (xy 128.456403 86.502442) (xy 128.483536 86.475309) (xy 128.504856 86.443402)
+ (xy 128.529177 86.413766) (xy 128.54725 86.379955) (xy 128.568569 86.348048) (xy 128.583253 86.312598) (xy 128.601327 86.278784)
+ (xy 128.612457 86.242092) (xy 128.627141 86.206643) (xy 128.634626 86.169013) (xy 128.645757 86.132319) (xy 128.649516 86.094154)
+ (xy 128.657 86.056528) (xy 128.657 85.777) (xy 132.461837 85.777) (xy 132.5 85.780759) (xy 132.538163 85.777)
+ (xy 132.538166 85.777) (xy 132.652319 85.765757) (xy 132.798784 85.721327) (xy 132.933766 85.649177) (xy 133.05208 85.55208)
+ (xy 133.076412 85.522431) (xy 134.571844 84.027) (xy 138.578157 84.027) (xy 140.851462 86.300306) (xy 140.851464 86.300309)
+ (xy 140.959691 86.408536) (xy 140.991593 86.429852) (xy 141.021234 86.454178) (xy 141.05505 86.472253) (xy 141.086952 86.493569)
+ (xy 141.122403 86.508253) (xy 141.156217 86.526327) (xy 141.192903 86.537456) (xy 141.228357 86.552141) (xy 141.265995 86.559627)
+ (xy 141.302681 86.570756) (xy 141.340838 86.574514) (xy 141.378472 86.582) (xy 141.416836 86.582) (xy 141.455 86.585759)
+ (xy 141.493163 86.582) (xy 141.531528 86.582) (xy 141.569162 86.574514) (xy 141.607318 86.570756) (xy 141.644003 86.559628)
+ (xy 141.681643 86.552141) (xy 141.717097 86.537455) (xy 141.753783 86.526327) (xy 141.787597 86.508253) (xy 141.823048 86.493569)
+ (xy 141.85495 86.472253) (xy 141.888766 86.454178) (xy 141.918407 86.429852) (xy 141.950309 86.408536) (xy 141.97744 86.381405)
+ (xy 142.00708 86.35708) (xy 142.031405 86.32744) (xy 142.058536 86.300309) (xy 142.079852 86.268407) (xy 142.104178 86.238766)
+ (xy 142.122253 86.20495) (xy 142.143569 86.173048) (xy 142.158253 86.137597) (xy 142.176327 86.103783) (xy 142.187455 86.067097)
+ (xy 142.202141 86.031643) (xy 142.209628 85.994003) (xy 142.220756 85.957318) (xy 142.224514 85.919162) (xy 142.232 85.881528)
+ (xy 142.232 85.843163) (xy 142.235759 85.805) (xy 142.232 85.766836) (xy 142.232 85.728472) (xy 142.224514 85.690838)
+ (xy 142.220756 85.652681) (xy 142.209627 85.615995) (xy 142.202141 85.578357) (xy 142.187456 85.542903) (xy 142.176327 85.506217)
+ (xy 142.158253 85.472403) (xy 142.143569 85.436952) (xy 142.122253 85.40505) (xy 142.104178 85.371234) (xy 142.079852 85.341593)
+ (xy 142.058536 85.309691) (xy 141.950309 85.201464) (xy 141.950306 85.201462) (xy 139.476413 82.727569) (xy 139.45208 82.69792)
+ (xy 139.333766 82.600823) (xy 139.198784 82.528673) (xy 139.052319 82.484243) (xy 138.938166 82.473) (xy 138.938163 82.473)
+ (xy 138.9 82.469241) (xy 138.861837 82.473) (xy 134.288163 82.473) (xy 134.25 82.469241) (xy 134.211836 82.473)
+ (xy 134.211834 82.473) (xy 134.097681 82.484243) (xy 133.951216 82.528673) (xy 133.874618 82.569616) (xy 133.816234 82.600823)
+ (xy 133.736529 82.666235) (xy 133.69792 82.69792) (xy 133.673592 82.727564) (xy 132.178157 84.223) (xy 127.788163 84.223)
+ (xy 127.75 84.219241) (xy 127.711837 84.223) (xy 127.221844 84.223) (xy 126.476412 83.477569) (xy 126.45208 83.44792)
+ (xy 126.333766 83.350823) (xy 126.198784 83.278673) (xy 126.052319 83.234243) (xy 125.938166 83.223) (xy 125.938163 83.223)
+ (xy 125.9 83.219241) (xy 125.861837 83.223) (xy 125.502749 83.223) (xy 125.61234 83.149774) (xy 125.720567 83.041547)
+ (xy 125.8056 82.914286) (xy 125.864172 82.772881) (xy 125.894031 82.622766) (xy 125.894031 82.46971) (xy 125.864172 82.319595)
+ (xy 125.836187 82.252033) (xy 129.020788 82.252033) (xy 129.045431 82.25446) (xy 129.070074 82.252033) (xy 129.070084 82.252033)
+ (xy 129.14384 82.244769) (xy 129.238467 82.216064) (xy 129.325676 82.16945) (xy 129.402115 82.106717) (xy 129.417832 82.087566)
+ (xy 129.678384 81.827014) (xy 129.821982 81.827014) (xy 129.972097 81.797155) (xy 130.113502 81.738583) (xy 130.240763 81.65355)
+ (xy 130.34899 81.545323) (xy 130.434023 81.418062) (xy 130.492595 81.276657) (xy 130.498438 81.247283) (xy 130.504691 81.253536)
+ (xy 130.631952 81.338569) (xy 130.773357 81.397141) (xy 130.923472 81.427) (xy 131.076528 81.427) (xy 131.226643 81.397141)
+ (xy 131.368048 81.338569) (xy 131.495309 81.253536) (xy 131.603536 81.145309) (xy 131.688569 81.018048) (xy 131.747141 80.876643)
+ (xy 131.777 80.726528) (xy 131.777 80.573472) (xy 131.747141 80.423357) (xy 131.688569 80.281952) (xy 131.603739 80.154996)
+ (xy 131.613389 80.145346) (xy 131.698422 80.018085) (xy 131.756994 79.87668) (xy 131.786853 79.726565) (xy 131.786853 79.573509)
+ (xy 131.756994 79.423394) (xy 131.698422 79.281989) (xy 131.613389 79.154728) (xy 131.505162 79.046501) (xy 131.377901 78.961468)
+ (xy 131.236496 78.902896) (xy 131.086381 78.873037) (xy 130.933325 78.873037) (xy 130.78321 78.902896) (xy 130.641805 78.961468)
+ (xy 130.514544 79.046501) (xy 130.412297 79.148748) (xy 130.345759 79.155301) (xy 130.251132 79.184006) (xy 130.163923 79.23062)
+ (xy 130.087484 79.293353) (xy 130.071766 79.312505) (xy 130.011282 79.372989) (xy 129.2254 79.372989) (xy 129.200757 79.370562)
+ (xy 129.176114 79.372989) (xy 129.176105 79.372989) (xy 129.102349 79.380253) (xy 129.007722 79.408958) (xy 128.920513 79.455572)
+ (xy 128.844074 79.518305) (xy 128.828361 79.537451) (xy 128.017802 80.348011) (xy 127.650832 80.348011) (xy 130.095306 77.903538)
+ (xy 130.095309 77.903536) (xy 130.203536 77.795309) (xy 130.224855 77.763402) (xy 130.249177 77.733766) (xy 130.267249 77.699956)
+ (xy 130.288569 77.668048) (xy 130.303254 77.632595) (xy 130.321327 77.598783) (xy 130.332456 77.562097) (xy 130.347141 77.526643)
+ (xy 130.354627 77.489005) (xy 130.365756 77.452319) (xy 130.369514 77.414162) (xy 130.377 77.376528) (xy 130.377 77.338164)
+ (xy 130.378099 77.327) (xy 154.403 77.327) (xy 154.403 77.627) (xy 154.454235 77.893199) (xy 154.556419 78.144288)
+ (xy 154.705624 78.370617) (xy 154.896117 78.563489) (xy 155.120577 78.715491) (xy 155.370378 78.820783) (xy 155.440071 78.834383)
+ (xy 155.653 78.776448) (xy 155.653 77.327) (xy 154.403 77.327) (xy 130.378099 77.327) (xy 130.380759 77.3)
+ (xy 130.377 77.261837) (xy 130.377 77.223472) (xy 130.369514 77.185838) (xy 130.365756 77.147682) (xy 130.354628 77.110997)
+ (xy 130.347141 77.073357) (xy 130.332455 77.037903) (xy 130.321327 77.001217) (xy 130.303254 76.967405) (xy 130.288569 76.931952)
+ (xy 130.267249 76.900044) (xy 130.249177 76.866234) (xy 130.224856 76.836598) (xy 130.203536 76.804691) (xy 130.176403 76.777558)
+ (xy 130.172663 76.773) (xy 154.403 76.773) (xy 154.403 77.073) (xy 155.653 77.073) (xy 155.653 75.623552)
+ (xy 155.907 75.623552) (xy 155.907 77.073) (xy 155.927 77.073) (xy 155.927 77.327) (xy 155.907 77.327)
+ (xy 155.907 78.776448) (xy 156.119929 78.834383) (xy 156.189622 78.820783) (xy 156.439423 78.715491) (xy 156.663883 78.563489)
+ (xy 156.854376 78.370617) (xy 157.003581 78.144288) (xy 157.046563 78.038671) (xy 157.169527 78.26872) (xy 157.341603 78.478396)
+ (xy 157.551279 78.650473) (xy 157.790495 78.778337) (xy 158.050061 78.857075) (xy 158.32 78.883662) (xy 158.589938 78.857075)
+ (xy 158.849504 78.778337) (xy 159.08872 78.650473) (xy 159.298396 78.478397) (xy 159.470473 78.268721) (xy 159.59 78.045102)
+ (xy 159.709527 78.26872) (xy 159.881603 78.478396) (xy 160.091279 78.650473) (xy 160.330495 78.778337) (xy 160.590061 78.857075)
+ (xy 160.86 78.883662) (xy 161.129938 78.857075) (xy 161.389504 78.778337) (xy 161.62872 78.650473) (xy 161.838396 78.478397)
+ (xy 162.010473 78.268721) (xy 162.021176 78.248697) (xy 162.021176 78.5) (xy 162.028455 78.573905) (xy 162.050012 78.64497)
+ (xy 162.085019 78.710463) (xy 162.132131 78.767869) (xy 162.189537 78.814981) (xy 162.25503 78.849988) (xy 162.326095 78.871545)
+ (xy 162.4 78.878824) (xy 164.4 78.878824) (xy 164.473905 78.871545) (xy 164.54497 78.849988) (xy 164.610463 78.814981)
+ (xy 164.667869 78.767869) (xy 164.714981 78.710463) (xy 164.749988 78.64497) (xy 164.771545 78.573905) (xy 164.778824 78.5)
+ (xy 164.778824 75.9) (xy 164.771545 75.826095) (xy 164.749988 75.75503) (xy 164.714981 75.689537) (xy 164.667869 75.632131)
+ (xy 164.610463 75.585019) (xy 164.54497 75.550012) (xy 164.473905 75.528455) (xy 164.4 75.521176) (xy 162.4 75.521176)
+ (xy 162.326095 75.528455) (xy 162.25503 75.550012) (xy 162.189537 75.585019) (xy 162.132131 75.632131) (xy 162.085019 75.689537)
+ (xy 162.050012 75.75503) (xy 162.028455 75.826095) (xy 162.021176 75.9) (xy 162.021176 76.151303) (xy 162.010473 76.131279)
+ (xy 161.838397 75.921603) (xy 161.628721 75.749527) (xy 161.389505 75.621663) (xy 161.129939 75.542925) (xy 160.86 75.516338)
+ (xy 160.590062 75.542925) (xy 160.330496 75.621663) (xy 160.09128 75.749527) (xy 159.881604 75.921603) (xy 159.709527 76.131279)
+ (xy 159.59 76.354898) (xy 159.470473 76.131279) (xy 159.298397 75.921603) (xy 159.088721 75.749527) (xy 158.849505 75.621663)
+ (xy 158.589939 75.542925) (xy 158.32 75.516338) (xy 158.050062 75.542925) (xy 157.790496 75.621663) (xy 157.55128 75.749527)
+ (xy 157.341604 75.921603) (xy 157.169527 76.131279) (xy 157.046563 76.361328) (xy 157.003581 76.255712) (xy 156.854376 76.029383)
+ (xy 156.663883 75.836511) (xy 156.439423 75.684509) (xy 156.189622 75.579217) (xy 156.119929 75.565617) (xy 155.907 75.623552)
+ (xy 155.653 75.623552) (xy 155.440071 75.565617) (xy 155.370378 75.579217) (xy 155.120577 75.684509) (xy 154.896117 75.836511)
+ (xy 154.705624 76.029383) (xy 154.556419 76.255712) (xy 154.454235 76.506801) (xy 154.403 76.773) (xy 130.172663 76.773)
+ (xy 130.15208 76.74792) (xy 130.122442 76.723597) (xy 130.095309 76.696464) (xy 130.063402 76.675144) (xy 130.033766 76.650823)
+ (xy 129.999956 76.632751) (xy 129.968048 76.611431) (xy 129.932595 76.596746) (xy 129.898783 76.578673) (xy 129.862097 76.567545)
+ (xy 129.826643 76.552859) (xy 129.789003 76.545372) (xy 129.752318 76.534244) (xy 129.714162 76.530486) (xy 129.676528 76.523)
+ (xy 129.638163 76.523) (xy 129.6 76.519241) (xy 129.561836 76.523) (xy 129.523472 76.523) (xy 129.485838 76.530486)
+ (xy 129.447681 76.534244) (xy 129.410995 76.545373) (xy 129.373357 76.552859) (xy 129.337903 76.567544) (xy 129.301217 76.578673)
+ (xy 129.267405 76.596746) (xy 129.231952 76.611431) (xy 129.200044 76.632751) (xy 129.166234 76.650823) (xy 129.136598 76.675145)
+ (xy 129.104691 76.696464) (xy 128.996464 76.804691) (xy 128.996462 76.804694) (xy 126.453156 79.348001) (xy 119.21253 79.348001)
+ (xy 118.342097 78.477569) (xy 118.333459 78.467044) (xy 118.346545 78.423905) (xy 118.353824 78.35) (xy 118.353824 77.15)
+ (xy 118.346545 77.076095) (xy 118.324988 77.00503) (xy 118.289981 76.939537) (xy 118.242869 76.882131) (xy 118.185463 76.835019)
+ (xy 118.11997 76.800012) (xy 118.11993 76.8) (xy 118.11997 76.799988) (xy 118.185463 76.764981) (xy 118.242869 76.717869)
+ (xy 118.289981 76.660463) (xy 118.324988 76.59497) (xy 118.346545 76.523905) (xy 118.353824 76.45) (xy 118.352 76.07125)
+ (xy 118.25775 75.977) (xy 117.727 75.977) (xy 117.727 75.997) (xy 117.473 75.997) (xy 117.473 75.977)
+ (xy 116.94225 75.977) (xy 116.848 76.07125) (xy 116.846176 76.45) (xy 116.853455 76.523905) (xy 116.875012 76.59497)
+ (xy 116.910019 76.660463) (xy 116.957131 76.717869) (xy 117.014537 76.764981) (xy 117.08003 76.799988) (xy 117.08007 76.8)
+ (xy 117.08003 76.800012) (xy 117.014537 76.835019) (xy 116.957131 76.882131) (xy 116.910019 76.939537) (xy 116.875012 77.00503)
+ (xy 116.853455 77.076095) (xy 116.846176 77.15) (xy 116.846176 78.305539) (xy 116.831952 78.311431) (xy 116.800045 78.33275)
+ (xy 116.766234 78.350823) (xy 116.736598 78.375144) (xy 116.704691 78.396464) (xy 116.677558 78.423597) (xy 116.64792 78.44792)
+ (xy 116.623597 78.477558) (xy 116.596464 78.504691) (xy 116.575144 78.536598) (xy 116.550823 78.566234) (xy 116.53275 78.600045)
+ (xy 116.511431 78.631952) (xy 116.496747 78.667402) (xy 116.478673 78.701216) (xy 116.467543 78.737908) (xy 116.452859 78.773357)
+ (xy 116.445374 78.810987) (xy 116.434243 78.847681) (xy 116.430484 78.885846) (xy 116.423 78.923472) (xy 116.423 78.961834)
+ (xy 116.419241 79) (xy 116.423 79.038166) (xy 116.423 79.076528) (xy 116.430484 79.114154) (xy 116.434243 79.152319)
+ (xy 116.445374 79.189013) (xy 116.452859 79.226643) (xy 116.467543 79.262092) (xy 116.478673 79.298784) (xy 116.496747 79.332598)
+ (xy 116.511431 79.368048) (xy 116.53275 79.399955) (xy 116.550823 79.433766) (xy 116.575144 79.463402) (xy 116.596464 79.495309)
+ (xy 116.601157 79.500002) (xy 116.583806 79.517352) (xy 116.498773 79.644613) (xy 116.440201 79.786018) (xy 116.410342 79.936133)
+ (xy 116.410342 80.089189) (xy 116.440201 80.239304) (xy 116.48349 80.343812) (xy 116.404691 80.396464) (xy 116.296464 80.504691)
+ (xy 116.211431 80.631952) (xy 116.152859 80.773357) (xy 116.123 80.923472) (xy 116.123 81.076528) (xy 116.152859 81.226643)
+ (xy 116.211431 81.368048) (xy 116.296464 81.495309) (xy 116.404691 81.603536) (xy 116.531952 81.688569) (xy 116.673357 81.747141)
+ (xy 116.823472 81.777) (xy 116.976528 81.777) (xy 117.126643 81.747141) (xy 117.268048 81.688569) (xy 117.373715 81.617964)
+ (xy 117.393305 81.637554) (xy 117.409023 81.656706) (xy 117.427487 81.671859) (xy 117.331952 81.711431) (xy 117.204691 81.796464)
+ (xy 117.096464 81.904691) (xy 117.011431 82.031952) (xy 116.952859 82.173357) (xy 116.923 82.323472) (xy 116.923 82.476528)
+ (xy 116.952014 82.622395) (xy 116.919998 82.619241) (xy 116.881835 82.623) (xy 110.021844 82.623) (xy 106.40354 79.004697)
+ (xy 106.403536 79.004691) (xy 106.295309 78.896464) (xy 106.263401 78.875144) (xy 106.233766 78.850823) (xy 106.199956 78.832751)
+ (xy 106.168048 78.811431) (xy 106.132595 78.796746) (xy 106.098783 78.778673) (xy 106.062097 78.767545) (xy 106.026643 78.752859)
+ (xy 105.989003 78.745372) (xy 105.952318 78.734244) (xy 105.914162 78.730486) (xy 105.876528 78.723) (xy 105.838163 78.723)
+ (xy 105.8 78.719241) (xy 105.761837 78.723) (xy 105.723472 78.723) (xy 105.685838 78.730486) (xy 105.647682 78.734244)
+ (xy 105.610997 78.745372) (xy 105.573357 78.752859) (xy 105.537903 78.767545) (xy 105.501217 78.778673) (xy 105.467405 78.796746)
+ (xy 105.431952 78.811431) (xy 105.400044 78.832751) (xy 105.366234 78.850823) (xy 105.336601 78.875142) (xy 105.304691 78.896464)
+ (xy 105.277551 78.923604) (xy 105.247921 78.947921) (xy 105.223604 78.977551) (xy 105.196464 79.004691) (xy 105.175142 79.036601)
+ (xy 105.150823 79.066234) (xy 105.132751 79.100044) (xy 105.111431 79.131952) (xy 105.096746 79.167405) (xy 105.078673 79.201217)
+ (xy 105.067545 79.237903) (xy 105.052859 79.273357) (xy 105.045372 79.310997) (xy 105.034244 79.347682) (xy 105.030486 79.385838)
+ (xy 105.023 79.423472) (xy 105.023 79.461837) (xy 105.019241 79.5) (xy 70.452 79.5) (xy 70.452 78.889827)
+ (xy 78.289778 78.889827) (xy 78.424319 79.102518) (xy 78.704048 79.237612) (xy 79.004756 79.315538) (xy 79.31489 79.333301)
+ (xy 79.62253 79.290219) (xy 79.915853 79.187948) (xy 80.075681 79.102518) (xy 80.210222 78.889827) (xy 79.25 77.929605)
+ (xy 78.289778 78.889827) (xy 70.452 78.889827) (xy 70.452 77.81489) (xy 77.666699 77.81489) (xy 77.709781 78.12253)
+ (xy 77.812052 78.415853) (xy 77.897482 78.575681) (xy 78.110173 78.710222) (xy 79.070395 77.75) (xy 79.429605 77.75)
+ (xy 80.389827 78.710222) (xy 80.602518 78.575681) (xy 80.737612 78.295952) (xy 80.815538 77.995244) (xy 80.833301 77.68511)
+ (xy 80.790219 77.37747) (xy 80.687948 77.084147) (xy 80.602518 76.924319) (xy 80.389827 76.789778) (xy 79.429605 77.75)
+ (xy 79.070395 77.75) (xy 78.110173 76.789778) (xy 77.897482 76.924319) (xy 77.762388 77.204048) (xy 77.684462 77.504756)
+ (xy 77.666699 77.81489) (xy 70.452 77.81489) (xy 70.452 76.610173) (xy 78.289778 76.610173) (xy 79.25 77.570395)
+ (xy 80.210222 76.610173) (xy 80.075681 76.397482) (xy 79.795952 76.262388) (xy 79.495244 76.184462) (xy 79.18511 76.166699)
+ (xy 78.87747 76.209781) (xy 78.584147 76.312052) (xy 78.424319 76.397482) (xy 78.289778 76.610173) (xy 70.452 76.610173)
+ (xy 70.452 75.25) (xy 116.846176 75.25) (xy 116.848 75.62875) (xy 116.94225 75.723) (xy 117.473 75.723)
+ (xy 117.473 74.96725) (xy 117.727 74.96725) (xy 117.727 75.723) (xy 118.25775 75.723) (xy 118.352 75.62875)
+ (xy 118.353824 75.25) (xy 118.346545 75.176095) (xy 118.324988 75.10503) (xy 118.289981 75.039537) (xy 118.242869 74.982131)
+ (xy 118.185463 74.935019) (xy 118.11997 74.900012) (xy 118.048905 74.878455) (xy 117.975 74.871176) (xy 117.82125 74.873)
+ (xy 117.727 74.96725) (xy 117.473 74.96725) (xy 117.37875 74.873) (xy 117.225 74.871176) (xy 117.151095 74.878455)
+ (xy 117.08003 74.900012) (xy 117.014537 74.935019) (xy 116.957131 74.982131) (xy 116.910019 75.039537) (xy 116.875012 75.10503)
+ (xy 116.853455 75.176095) (xy 116.846176 75.25) (xy 70.452 75.25) (xy 70.452 71.55) (xy 77.671176 71.55)
+ (xy 77.671176 73.95) (xy 77.678455 74.023905) (xy 77.700012 74.09497) (xy 77.735019 74.160463) (xy 77.782131 74.217869)
+ (xy 77.839537 74.264981) (xy 77.90503 74.299988) (xy 77.976095 74.321545) (xy 78.05 74.328824) (xy 80.45 74.328824)
+ (xy 80.523905 74.321545) (xy 80.59497 74.299988) (xy 80.660463 74.264981) (xy 80.717869 74.217869) (xy 80.764981 74.160463)
+ (xy 80.799988 74.09497) (xy 80.821545 74.023905) (xy 80.828824 73.95) (xy 80.828824 71.55) (xy 80.821545 71.476095)
+ (xy 80.799988 71.40503) (xy 80.764981 71.339537) (xy 80.717869 71.282131) (xy 80.660463 71.235019) (xy 80.59497 71.200012)
+ (xy 80.523905 71.178455) (xy 80.45 71.171176) (xy 78.05 71.171176) (xy 77.976095 71.178455) (xy 77.90503 71.200012)
+ (xy 77.839537 71.235019) (xy 77.782131 71.282131) (xy 77.735019 71.339537) (xy 77.700012 71.40503) (xy 77.678455 71.476095)
+ (xy 77.671176 71.55) (xy 70.452 71.55) (xy 70.452 70.11841) (xy 121.011195 70.11841) (xy 121.091306 70.285043)
+ (xy 121.294213 70.375111) (xy 121.510793 70.423864) (xy 121.732723 70.429428) (xy 121.951474 70.391588) (xy 122.15864 70.311798)
+ (xy 122.208694 70.285043) (xy 122.288805 70.11841) (xy 121.65 69.479605) (xy 121.011195 70.11841) (xy 70.452 70.11841)
+ (xy 70.452 69.382723) (xy 120.520572 69.382723) (xy 120.558412 69.601474) (xy 120.638202 69.80864) (xy 120.664957 69.858694)
+ (xy 120.83159 69.938805) (xy 121.470395 69.3) (xy 121.829605 69.3) (xy 122.46841 69.938805) (xy 122.635043 69.858694)
+ (xy 122.725111 69.655787) (xy 122.773864 69.439207) (xy 122.779428 69.217277) (xy 122.774537 69.189) (xy 122.813 69.189)
+ (xy 122.813 69.411) (xy 122.85631 69.628734) (xy 122.941266 69.833835) (xy 123.064602 70.018421) (xy 123.221579 70.175398)
+ (xy 123.406165 70.298734) (xy 123.611266 70.38369) (xy 123.829 70.427) (xy 124.051 70.427) (xy 124.268734 70.38369)
+ (xy 124.473835 70.298734) (xy 124.658421 70.175398) (xy 124.715409 70.11841) (xy 132.441195 70.11841) (xy 132.521306 70.285043)
+ (xy 132.724213 70.375111) (xy 132.940793 70.423864) (xy 133.162723 70.429428) (xy 133.381474 70.391588) (xy 133.58864 70.311798)
+ (xy 133.638694 70.285043) (xy 133.718805 70.11841) (xy 133.08 69.479605) (xy 132.441195 70.11841) (xy 124.715409 70.11841)
+ (xy 124.815398 70.018421) (xy 124.938734 69.833835) (xy 125.02369 69.628734) (xy 125.067 69.411) (xy 125.067 69.382723)
+ (xy 131.950572 69.382723) (xy 131.988412 69.601474) (xy 132.068202 69.80864) (xy 132.094957 69.858694) (xy 132.26159 69.938805)
+ (xy 132.900395 69.3) (xy 133.259605 69.3) (xy 133.89841 69.938805) (xy 134.065043 69.858694) (xy 134.155111 69.655787)
+ (xy 134.203864 69.439207) (xy 134.209428 69.217277) (xy 134.204537 69.189) (xy 134.243 69.189) (xy 134.243 69.411)
+ (xy 134.28631 69.628734) (xy 134.371266 69.833835) (xy 134.494602 70.018421) (xy 134.651579 70.175398) (xy 134.836165 70.298734)
+ (xy 135.041266 70.38369) (xy 135.259 70.427) (xy 135.481 70.427) (xy 135.698734 70.38369) (xy 135.903835 70.298734)
+ (xy 136.088421 70.175398) (xy 136.145409 70.11841) (xy 140.861195 70.11841) (xy 140.941306 70.285043) (xy 141.144213 70.375111)
+ (xy 141.360793 70.423864) (xy 141.582723 70.429428) (xy 141.801474 70.391588) (xy 142.00864 70.311798) (xy 142.058694 70.285043)
+ (xy 142.138805 70.11841) (xy 141.5 69.479605) (xy 140.861195 70.11841) (xy 136.145409 70.11841) (xy 136.245398 70.018421)
+ (xy 136.368734 69.833835) (xy 136.45369 69.628734) (xy 136.497 69.411) (xy 136.497 69.189) (xy 136.45369 68.971266)
+ (xy 136.368734 68.766165) (xy 136.245398 68.581579) (xy 136.088421 68.424602) (xy 135.903835 68.301266) (xy 135.698734 68.21631)
+ (xy 135.481 68.173) (xy 135.259 68.173) (xy 135.041266 68.21631) (xy 134.836165 68.301266) (xy 134.651579 68.424602)
+ (xy 134.494602 68.581579) (xy 134.371266 68.766165) (xy 134.28631 68.971266) (xy 134.243 69.189) (xy 134.204537 69.189)
+ (xy 134.171588 68.998526) (xy 134.091798 68.79136) (xy 134.065043 68.741306) (xy 133.89841 68.661195) (xy 133.259605 69.3)
+ (xy 132.900395 69.3) (xy 132.26159 68.661195) (xy 132.094957 68.741306) (xy 132.004889 68.944213) (xy 131.956136 69.160793)
+ (xy 131.950572 69.382723) (xy 125.067 69.382723) (xy 125.067 69.189) (xy 125.02369 68.971266) (xy 124.938734 68.766165)
+ (xy 124.815398 68.581579) (xy 124.715409 68.48159) (xy 132.441195 68.48159) (xy 133.08 69.120395) (xy 133.718805 68.48159)
+ (xy 133.638694 68.314957) (xy 133.435787 68.224889) (xy 133.219207 68.176136) (xy 132.997277 68.170572) (xy 132.778526 68.208412)
+ (xy 132.57136 68.288202) (xy 132.521306 68.314957) (xy 132.441195 68.48159) (xy 124.715409 68.48159) (xy 124.658421 68.424602)
+ (xy 124.473835 68.301266) (xy 124.268734 68.21631) (xy 124.051 68.173) (xy 123.829 68.173) (xy 123.611266 68.21631)
+ (xy 123.406165 68.301266) (xy 123.221579 68.424602) (xy 123.064602 68.581579) (xy 122.941266 68.766165) (xy 122.85631 68.971266)
+ (xy 122.813 69.189) (xy 122.774537 69.189) (xy 122.741588 68.998526) (xy 122.661798 68.79136) (xy 122.635043 68.741306)
+ (xy 122.46841 68.661195) (xy 121.829605 69.3) (xy 121.470395 69.3) (xy 120.83159 68.661195) (xy 120.664957 68.741306)
+ (xy 120.574889 68.944213) (xy 120.526136 69.160793) (xy 120.520572 69.382723) (xy 70.452 69.382723) (xy 70.452 69.054192)
+ (xy 108.725413 69.054192) (xy 108.811595 69.225936) (xy 109.023051 69.321015) (xy 109.248992 69.373014) (xy 109.480738 69.379936)
+ (xy 109.70938 69.341512) (xy 109.926133 69.259221) (xy 109.988405 69.225936) (xy 110.074587 69.054192) (xy 109.4 68.379605)
+ (xy 108.725413 69.054192) (xy 70.452 69.054192) (xy 70.452 68.280738) (xy 108.220064 68.280738) (xy 108.258488 68.50938)
+ (xy 108.340779 68.726133) (xy 108.374064 68.788405) (xy 108.545808 68.874587) (xy 109.220395 68.2) (xy 109.206253 68.185858)
+ (xy 109.385858 68.006253) (xy 109.4 68.020395) (xy 109.414143 68.006253) (xy 109.593748 68.185858) (xy 109.579605 68.2)
+ (xy 110.254192 68.874587) (xy 110.425936 68.788405) (xy 110.521015 68.576949) (xy 110.542961 68.48159) (xy 121.011195 68.48159)
+ (xy 121.65 69.120395) (xy 122.288805 68.48159) (xy 122.208694 68.314957) (xy 122.005787 68.224889) (xy 121.789207 68.176136)
+ (xy 121.567277 68.170572) (xy 121.348526 68.208412) (xy 121.14136 68.288202) (xy 121.091306 68.314957) (xy 121.011195 68.48159)
+ (xy 110.542961 68.48159) (xy 110.573014 68.351008) (xy 110.579936 68.119262) (xy 110.541512 67.89062) (xy 110.459221 67.673867)
+ (xy 110.425936 67.611595) (xy 110.254193 67.525414) (xy 110.325661 67.453946) (xy 110.246008 67.374293) (xy 110.273905 67.371545)
+ (xy 110.288888 67.367) (xy 115.321176 67.367) (xy 115.321176 67.44) (xy 115.328455 67.513905) (xy 115.350012 67.58497)
+ (xy 115.385019 67.650463) (xy 115.432131 67.707869) (xy 115.489537 67.754981) (xy 115.55503 67.789988) (xy 115.626095 67.811545)
+ (xy 115.7 67.818824) (xy 117.4 67.818824) (xy 117.473905 67.811545) (xy 117.54497 67.789988) (xy 117.610463 67.754981)
+ (xy 117.667869 67.707869) (xy 117.714981 67.650463) (xy 117.749988 67.58497) (xy 117.771545 67.513905) (xy 117.778824 67.44)
+ (xy 117.778824 65.74) (xy 117.771545 65.666095) (xy 117.749988 65.59503) (xy 117.714981 65.529537) (xy 117.667869 65.472131)
+ (xy 117.610463 65.425019) (xy 117.54497 65.390012) (xy 117.473905 65.368455) (xy 117.4 65.361176) (xy 115.7 65.361176)
+ (xy 115.626095 65.368455) (xy 115.55503 65.390012) (xy 115.489537 65.425019) (xy 115.432131 65.472131) (xy 115.385019 65.529537)
+ (xy 115.350012 65.59503) (xy 115.328455 65.666095) (xy 115.321176 65.74) (xy 115.321176 65.813) (xy 110.578824 65.813)
+ (xy 110.578824 65.4) (xy 110.571545 65.326095) (xy 110.549988 65.25503) (xy 110.514981 65.189537) (xy 110.467869 65.132131)
+ (xy 110.410463 65.085019) (xy 110.34497 65.050012) (xy 110.273905 65.028455) (xy 110.2 65.021176) (xy 108.6 65.021176)
+ (xy 108.526095 65.028455) (xy 108.45503 65.050012) (xy 108.389537 65.085019) (xy 108.332131 65.132131) (xy 108.285019 65.189537)
+ (xy 108.250012 65.25503) (xy 108.228455 65.326095) (xy 108.221176 65.4) (xy 108.221176 67) (xy 108.228455 67.073905)
+ (xy 108.250012 67.14497) (xy 108.285019 67.210463) (xy 108.332131 67.267869) (xy 108.389537 67.314981) (xy 108.45503 67.349988)
+ (xy 108.526095 67.371545) (xy 108.553992 67.374293) (xy 108.474339 67.453946) (xy 108.545807 67.525414) (xy 108.374064 67.611595)
+ (xy 108.278985 67.823051) (xy 108.226986 68.048992) (xy 108.220064 68.280738) (xy 70.452 68.280738) (xy 70.452 65.127)
+ (xy 80 65.127) (xy 80.024776 65.12456) (xy 80.048601 65.117333) (xy 80.070557 65.105597) (xy 80.089803 65.089803)
+ (xy 80.105597 65.070557) (xy 80.117333 65.048601) (xy 80.12456 65.024776) (xy 80.127 65) (xy 80.127 63.929151)
+ (xy 115.323 63.929151) (xy 115.323 64.170849) (xy 115.370153 64.407903) (xy 115.462647 64.631202) (xy 115.596927 64.832167)
+ (xy 115.767833 65.003073) (xy 115.968798 65.137353) (xy 116.192097 65.229847) (xy 116.429151 65.277) (xy 116.670849 65.277)
+ (xy 116.907903 65.229847) (xy 117.131202 65.137353) (xy 117.332167 65.003073) (xy 117.503073 64.832167) (xy 117.637353 64.631202)
+ (xy 117.729847 64.407903) (xy 117.777 64.170849) (xy 117.777 63.929151) (xy 117.729847 63.692097) (xy 117.637353 63.468798)
+ (xy 117.503073 63.267833) (xy 117.332167 63.096927) (xy 117.131202 62.962647) (xy 116.907903 62.870153) (xy 116.670849 62.823)
+ (xy 116.429151 62.823) (xy 116.192097 62.870153) (xy 115.968798 62.962647) (xy 115.767833 63.096927) (xy 115.596927 63.267833)
+ (xy 115.462647 63.468798) (xy 115.370153 63.692097) (xy 115.323 63.929151) (xy 80.127 63.929151) (xy 80.127 60.45)
+ (xy 85.621176 60.45) (xy 85.621176 63.05) (xy 85.628455 63.123905) (xy 85.650012 63.19497) (xy 85.685019 63.260463)
+ (xy 85.732131 63.317869) (xy 85.789537 63.364981) (xy 85.85503 63.399988) (xy 85.926095 63.421545) (xy 86 63.428824)
+ (xy 88 63.428824) (xy 88.073905 63.421545) (xy 88.14497 63.399988) (xy 88.210463 63.364981) (xy 88.267869 63.317869)
+ (xy 88.314981 63.260463) (xy 88.349988 63.19497) (xy 88.371545 63.123905) (xy 88.378824 63.05) (xy 88.378824 62.78895)
+ (xy 88.465624 62.920617) (xy 88.656117 63.113489) (xy 88.880577 63.265491) (xy 89.130378 63.370783) (xy 89.200071 63.384383)
+ (xy 89.413 63.326448) (xy 89.413 61.877) (xy 89.667 61.877) (xy 89.667 63.326448) (xy 89.879929 63.384383)
+ (xy 89.949622 63.370783) (xy 90.199423 63.265491) (xy 90.423883 63.113489) (xy 90.614376 62.920617) (xy 90.763581 62.694288)
+ (xy 90.865765 62.443199) (xy 90.917 62.177) (xy 90.917 61.877) (xy 89.667 61.877) (xy 89.413 61.877)
+ (xy 89.393 61.877) (xy 89.393 61.826351) (xy 115.364482 61.826351) (xy 115.388518 61.905605) (xy 115.488014 62.124597)
+ (xy 115.628322 62.319971) (xy 115.804049 62.484218) (xy 116.008443 62.611026) (xy 116.233648 62.695523) (xy 116.423 62.636166)
+ (xy 116.423 61.637) (xy 116.677 61.637) (xy 116.677 62.636166) (xy 116.866352 62.695523) (xy 117.091557 62.611026)
+ (xy 117.295951 62.484218) (xy 117.471678 62.319971) (xy 117.611986 62.124597) (xy 117.711482 61.905605) (xy 117.735518 61.826351)
+ (xy 117.675608 61.637) (xy 116.677 61.637) (xy 116.423 61.637) (xy 115.424392 61.637) (xy 115.364482 61.826351)
+ (xy 89.393 61.826351) (xy 89.393 61.623) (xy 89.413 61.623) (xy 89.413 60.173552) (xy 89.667 60.173552)
+ (xy 89.667 61.623) (xy 90.917 61.623) (xy 90.917 61.323) (xy 90.892104 61.193649) (xy 115.364482 61.193649)
+ (xy 115.424392 61.383) (xy 116.423 61.383) (xy 116.423 60.383834) (xy 116.677 60.383834) (xy 116.677 61.383)
+ (xy 117.675608 61.383) (xy 117.735518 61.193649) (xy 117.711482 61.114395) (xy 117.611986 60.895403) (xy 117.471678 60.700029)
+ (xy 117.295951 60.535782) (xy 117.091557 60.408974) (xy 116.866352 60.324477) (xy 116.677 60.383834) (xy 116.423 60.383834)
+ (xy 116.233648 60.324477) (xy 116.008443 60.408974) (xy 115.804049 60.535782) (xy 115.628322 60.700029) (xy 115.488014 60.895403)
+ (xy 115.388518 61.114395) (xy 115.364482 61.193649) (xy 90.892104 61.193649) (xy 90.865765 61.056801) (xy 90.763581 60.805712)
+ (xy 90.614376 60.579383) (xy 90.423883 60.386511) (xy 90.199423 60.234509) (xy 89.949622 60.129217) (xy 89.879929 60.115617)
+ (xy 89.667 60.173552) (xy 89.413 60.173552) (xy 89.200071 60.115617) (xy 89.130378 60.129217) (xy 88.880577 60.234509)
+ (xy 88.656117 60.386511) (xy 88.465624 60.579383) (xy 88.378824 60.71105) (xy 88.378824 60.45) (xy 88.371545 60.376095)
+ (xy 88.349988 60.30503) (xy 88.314981 60.239537) (xy 88.267869 60.182131) (xy 88.210463 60.135019) (xy 88.14497 60.100012)
+ (xy 88.073905 60.078455) (xy 88 60.071176) (xy 86 60.071176) (xy 85.926095 60.078455) (xy 85.85503 60.100012)
+ (xy 85.789537 60.135019) (xy 85.732131 60.182131) (xy 85.685019 60.239537) (xy 85.650012 60.30503) (xy 85.628455 60.376095)
+ (xy 85.621176 60.45) (xy 80.127 60.45) (xy 80.127 58.849151) (xy 115.323 58.849151) (xy 115.323 59.090849)
+ (xy 115.370153 59.327903) (xy 115.462647 59.551202) (xy 115.596927 59.752167) (xy 115.767833 59.923073) (xy 115.968798 60.057353)
+ (xy 116.192097 60.149847) (xy 116.429151 60.197) (xy 116.670849 60.197) (xy 116.907903 60.149847) (xy 117.131202 60.057353)
+ (xy 117.332167 59.923073) (xy 117.503073 59.752167) (xy 117.637353 59.551202) (xy 117.729847 59.327903) (xy 117.777 59.090849)
+ (xy 117.777 58.849151) (xy 117.729847 58.612097) (xy 117.637353 58.388798) (xy 117.503073 58.187833) (xy 117.332167 58.016927)
+ (xy 117.131202 57.882647) (xy 116.907903 57.790153) (xy 116.670849 57.743) (xy 116.429151 57.743) (xy 116.192097 57.790153)
+ (xy 115.968798 57.882647) (xy 115.767833 58.016927) (xy 115.596927 58.187833) (xy 115.462647 58.388798) (xy 115.370153 58.612097)
+ (xy 115.323 58.849151) (xy 80.127 58.849151) (xy 80.127 55.452) (xy 118.823 55.452)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 118.804691 82.296464) (xy 118.696464 82.404691) (xy 118.611431 82.531952) (xy 118.552859 82.673357) (xy 118.523 82.823472)
+ (xy 118.523 82.976528) (xy 118.552859 83.126643) (xy 118.611431 83.268048) (xy 118.696464 83.395309) (xy 118.804691 83.503536)
+ (xy 118.931952 83.588569) (xy 119.073357 83.647141) (xy 119.223472 83.677) (xy 119.376528 83.677) (xy 119.526643 83.647141)
+ (xy 119.668048 83.588569) (xy 119.795309 83.503536) (xy 119.903536 83.395309) (xy 119.988569 83.268048) (xy 120.047141 83.126643)
+ (xy 120.077 82.976528) (xy 120.077 82.832935) (xy 120.201145 82.70879) (xy 122.106275 82.70879) (xy 121.592066 83.223)
+ (xy 121.448472 83.223) (xy 121.298357 83.252859) (xy 121.156952 83.311431) (xy 121.029691 83.396464) (xy 120.921464 83.504691)
+ (xy 120.836431 83.631952) (xy 120.777859 83.773357) (xy 120.748 83.923472) (xy 120.748 84.076528) (xy 120.777859 84.226643)
+ (xy 120.836431 84.368048) (xy 120.906558 84.473) (xy 119.091842 84.473) (xy 117.792637 83.173796) (xy 117.926643 83.147141)
+ (xy 118.068048 83.088569) (xy 118.195309 83.003536) (xy 118.303536 82.895309) (xy 118.388569 82.768048) (xy 118.447141 82.626643)
+ (xy 118.477 82.476528) (xy 118.477 82.332935) (xy 118.557902 82.252033) (xy 118.871187 82.252033)
+ )
+ )
+ )
+ (zone (net 0) (net_name "") (layer F.Cu) (tstamp 5C528D61) (hatch edge 0.508)
+ (connect_pads yes (clearance 0.25))
+ (min_thickness 0.254)
+ (keepout (tracks allowed) (vias allowed) (copperpour not_allowed))
+ (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 114.15 91.5) (xy 118.85 91.5) (xy 118.85 94.45) (xy 113.95 94.45) (xy 114 94.5)
+ (xy 114 99.5) (xy 112.5 101) (xy 107.5 101) (xy 106 99.5) (xy 106 94.5)
+ (xy 107.5 93) (xy 109.9 93) (xy 109.9 90.35) (xy 114.15 90.35)
+ )
+ )
+ )
+ (zone (net 0) (net_name "") (layer F.Cu) (tstamp 5C528D86) (hatch edge 0.508)
+ (connect_pads yes (clearance 0.25))
+ (min_thickness 0.254)
+ (keepout (tracks allowed) (vias allowed) (copperpour not_allowed))
+ (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 114 147.5) (xy 114 152.5) (xy 112.5 154) (xy 107.5 154) (xy 106 152.5)
+ (xy 106 147.5) (xy 107.5 146) (xy 112.5 146)
+ )
+ )
+ )
+ (zone (net 0) (net_name "") (layer F.Cu) (tstamp 5C528D86) (hatch edge 0.508)
+ (connect_pads yes (clearance 0.25))
+ (min_thickness 0.254)
+ (keepout (tracks allowed) (vias allowed) (copperpour not_allowed))
+ (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 157 147.6) (xy 157 152.6) (xy 155.5 154.1) (xy 150.5 154.1) (xy 149 152.6)
+ (xy 149 147.6) (xy 150.5 146.1) (xy 155.5 146.1)
+ )
+ )
+ )
+ (zone (net 55) (net_name /GND_RS485) (layer B.Cu) (tstamp 5EADA9FE) (hatch edge 0.508)
+ (priority 5)
+ (connect_pads (clearance 0.25))
+ (min_thickness 0.254)
+ (fill yes (arc_segments 32) (thermal_gap 0.25) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 119.2 55) (xy 158 55) (xy 158 67.2) (xy 119.2 67.2)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 157.873 67.073) (xy 138.279665 67.073) (xy 136.1749 64.968236) (xy 136.158341 64.884985) (xy 136.178495 64.89443)
+ (xy 136.441845 64.9594) (xy 136.712809 64.971745) (xy 136.980975 64.930991) (xy 137.236038 64.838703) (xy 137.347119 64.77933)
+ (xy 137.457517 64.587122) (xy 139.412483 64.587122) (xy 139.522881 64.77933) (xy 139.768495 64.89443) (xy 140.031845 64.9594)
+ (xy 140.302809 64.971745) (xy 140.570975 64.930991) (xy 140.826038 64.838703) (xy 140.937119 64.77933) (xy 141.047517 64.587122)
+ (xy 140.23 63.769605) (xy 139.412483 64.587122) (xy 137.457517 64.587122) (xy 136.64 63.769605) (xy 136.625858 63.783748)
+ (xy 136.446253 63.604143) (xy 136.460395 63.59) (xy 136.819605 63.59) (xy 137.637122 64.407517) (xy 137.82933 64.297119)
+ (xy 137.94443 64.051505) (xy 138.0094 63.788155) (xy 138.01511 63.662809) (xy 138.848255 63.662809) (xy 138.889009 63.930975)
+ (xy 138.981297 64.186038) (xy 139.04067 64.297119) (xy 139.232878 64.407517) (xy 140.050395 63.59) (xy 140.409605 63.59)
+ (xy 141.227122 64.407517) (xy 141.41933 64.297119) (xy 141.53443 64.051505) (xy 141.5994 63.788155) (xy 141.611745 63.517191)
+ (xy 141.570991 63.249025) (xy 141.478703 62.993962) (xy 141.41933 62.882881) (xy 141.227122 62.772483) (xy 140.409605 63.59)
+ (xy 140.050395 63.59) (xy 139.232878 62.772483) (xy 139.04067 62.882881) (xy 138.92557 63.128495) (xy 138.8606 63.391845)
+ (xy 138.848255 63.662809) (xy 138.01511 63.662809) (xy 138.021745 63.517191) (xy 137.980991 63.249025) (xy 137.888703 62.993962)
+ (xy 137.82933 62.882881) (xy 137.637122 62.772483) (xy 136.819605 63.59) (xy 136.460395 63.59) (xy 135.642878 62.772483)
+ (xy 135.45067 62.882881) (xy 135.33557 63.128495) (xy 135.2706 63.391845) (xy 135.258255 63.662809) (xy 135.299009 63.930975)
+ (xy 135.391297 64.186038) (xy 135.45067 64.297119) (xy 135.471679 64.309186) (xy 135.329611 64.309186) (xy 135.179496 64.339045)
+ (xy 135.038091 64.397617) (xy 134.91083 64.48265) (xy 134.802603 64.590877) (xy 134.71757 64.718138) (xy 134.658998 64.859543)
+ (xy 134.629139 65.009658) (xy 134.629139 65.162714) (xy 134.656682 65.301187) (xy 134.631952 65.311431) (xy 134.504691 65.396464)
+ (xy 134.396464 65.504691) (xy 134.311431 65.631952) (xy 134.252859 65.773357) (xy 134.223 65.923472) (xy 134.223 66.076528)
+ (xy 134.252859 66.226643) (xy 134.311431 66.368048) (xy 134.396464 66.495309) (xy 134.504691 66.603536) (xy 134.631952 66.688569)
+ (xy 134.773357 66.747141) (xy 134.88205 66.768761) (xy 135.186289 67.073) (xy 119.327 67.073) (xy 119.327 64.587122)
+ (xy 119.562483 64.587122) (xy 119.672881 64.77933) (xy 119.918495 64.89443) (xy 120.181845 64.9594) (xy 120.452809 64.971745)
+ (xy 120.720975 64.930991) (xy 120.976038 64.838703) (xy 121.087119 64.77933) (xy 121.197517 64.587122) (xy 120.38 63.769605)
+ (xy 119.562483 64.587122) (xy 119.327 64.587122) (xy 119.327 64.375423) (xy 119.382878 64.407517) (xy 120.200395 63.59)
+ (xy 120.559605 63.59) (xy 121.377122 64.407517) (xy 121.56933 64.297119) (xy 121.68443 64.051505) (xy 121.7494 63.788155)
+ (xy 121.761745 63.517191) (xy 121.720991 63.249025) (xy 121.628703 62.993962) (xy 121.56933 62.882881) (xy 121.377122 62.772483)
+ (xy 120.559605 63.59) (xy 120.200395 63.59) (xy 119.382878 62.772483) (xy 119.327 62.804577) (xy 119.327 62.592878)
+ (xy 119.562483 62.592878) (xy 120.38 63.410395) (xy 121.197517 62.592878) (xy 121.087119 62.40067) (xy 120.841505 62.28557)
+ (xy 120.578155 62.2206) (xy 120.307191 62.208255) (xy 120.039025 62.249009) (xy 119.783962 62.341297) (xy 119.672881 62.40067)
+ (xy 119.562483 62.592878) (xy 119.327 62.592878) (xy 119.327 59.96282) (xy 120.158 59.96282) (xy 120.158 60.35718)
+ (xy 120.234936 60.743962) (xy 120.38585 61.108303) (xy 120.604945 61.436201) (xy 120.883799 61.715055) (xy 121.211697 61.93415)
+ (xy 121.576038 62.085064) (xy 121.96282 62.162) (xy 122.35718 62.162) (xy 122.743962 62.085064) (xy 123.070037 61.95)
+ (xy 123.821176 61.95) (xy 123.821176 63.45) (xy 123.828455 63.523905) (xy 123.850012 63.59497) (xy 123.885019 63.660463)
+ (xy 123.932131 63.717869) (xy 123.989537 63.764981) (xy 124.05503 63.799988) (xy 124.126095 63.821545) (xy 124.2 63.828824)
+ (xy 124.441573 63.828824) (xy 124.5045 63.905501) (xy 124.528422 63.925133) (xy 124.86445 64.261162) (xy 124.843 64.369)
+ (xy 124.843 64.591) (xy 124.88631 64.808734) (xy 124.971266 65.013835) (xy 125.094602 65.198421) (xy 125.251579 65.355398)
+ (xy 125.436165 65.478734) (xy 125.641266 65.56369) (xy 125.859 65.607) (xy 126.081 65.607) (xy 126.298734 65.56369)
+ (xy 126.503835 65.478734) (xy 126.688421 65.355398) (xy 126.845398 65.198421) (xy 126.968734 65.013835) (xy 126.99 64.962495)
+ (xy 127.011266 65.013835) (xy 127.134602 65.198421) (xy 127.291579 65.355398) (xy 127.476165 65.478734) (xy 127.681266 65.56369)
+ (xy 127.899 65.607) (xy 128.121 65.607) (xy 128.338734 65.56369) (xy 128.543835 65.478734) (xy 128.728421 65.355398)
+ (xy 128.785409 65.29841) (xy 129.411195 65.29841) (xy 129.491306 65.465043) (xy 129.694213 65.555111) (xy 129.910793 65.603864)
+ (xy 130.132723 65.609428) (xy 130.351474 65.571588) (xy 130.55864 65.491798) (xy 130.608694 65.465043) (xy 130.688805 65.29841)
+ (xy 130.05 64.659605) (xy 129.411195 65.29841) (xy 128.785409 65.29841) (xy 128.885398 65.198421) (xy 129.008734 65.013835)
+ (xy 129.029032 64.964831) (xy 129.038202 64.98864) (xy 129.064957 65.038694) (xy 129.23159 65.118805) (xy 129.870395 64.48)
+ (xy 129.856253 64.465858) (xy 130.035858 64.286253) (xy 130.05 64.300395) (xy 130.064143 64.286253) (xy 130.243748 64.465858)
+ (xy 130.229605 64.48) (xy 130.86841 65.118805) (xy 131.035043 65.038694) (xy 131.069453 64.961174) (xy 131.091266 65.013835)
+ (xy 131.214602 65.198421) (xy 131.371579 65.355398) (xy 131.556165 65.478734) (xy 131.761266 65.56369) (xy 131.979 65.607)
+ (xy 132.201 65.607) (xy 132.418734 65.56369) (xy 132.623835 65.478734) (xy 132.808421 65.355398) (xy 132.965398 65.198421)
+ (xy 133.088734 65.013835) (xy 133.17369 64.808734) (xy 133.217 64.591) (xy 133.217 64.369) (xy 133.17369 64.151266)
+ (xy 133.088734 63.946165) (xy 132.965398 63.761579) (xy 132.808421 63.604602) (xy 132.623835 63.481266) (xy 132.418734 63.39631)
+ (xy 132.201 63.353) (xy 131.989111 63.353) (xy 132.068734 63.233835) (xy 132.15369 63.028734) (xy 132.197 62.811)
+ (xy 132.197 62.592878) (xy 135.822483 62.592878) (xy 136.64 63.410395) (xy 137.457517 62.592878) (xy 139.412483 62.592878)
+ (xy 140.23 63.410395) (xy 141.047517 62.592878) (xy 140.937119 62.40067) (xy 140.691505 62.28557) (xy 140.428155 62.2206)
+ (xy 140.157191 62.208255) (xy 139.889025 62.249009) (xy 139.633962 62.341297) (xy 139.522881 62.40067) (xy 139.412483 62.592878)
+ (xy 137.457517 62.592878) (xy 137.347119 62.40067) (xy 137.101505 62.28557) (xy 136.838155 62.2206) (xy 136.567191 62.208255)
+ (xy 136.299025 62.249009) (xy 136.043962 62.341297) (xy 135.932881 62.40067) (xy 135.822483 62.592878) (xy 132.197 62.592878)
+ (xy 132.197 62.589) (xy 132.15369 62.371266) (xy 132.068734 62.166165) (xy 131.945398 61.981579) (xy 131.788421 61.824602)
+ (xy 131.603835 61.701266) (xy 131.398734 61.61631) (xy 131.181 61.573) (xy 130.959 61.573) (xy 130.741266 61.61631)
+ (xy 130.536165 61.701266) (xy 130.351579 61.824602) (xy 130.194602 61.981579) (xy 130.071266 62.166165) (xy 130.05 62.217505)
+ (xy 130.028734 62.166165) (xy 129.905398 61.981579) (xy 129.748421 61.824602) (xy 129.563835 61.701266) (xy 129.358734 61.61631)
+ (xy 129.141 61.573) (xy 128.919 61.573) (xy 128.701266 61.61631) (xy 128.496165 61.701266) (xy 128.311579 61.824602)
+ (xy 128.154602 61.981579) (xy 128.031266 62.166165) (xy 128.010968 62.215169) (xy 128.001798 62.19136) (xy 127.975043 62.141306)
+ (xy 127.80841 62.061195) (xy 127.169605 62.7) (xy 127.183748 62.714143) (xy 127.004143 62.893748) (xy 126.99 62.879605)
+ (xy 126.975858 62.893748) (xy 126.796253 62.714143) (xy 126.810395 62.7) (xy 126.17159 62.061195) (xy 126.078824 62.105793)
+ (xy 126.078824 61.95) (xy 126.072087 61.88159) (xy 126.351195 61.88159) (xy 126.99 62.520395) (xy 127.628805 61.88159)
+ (xy 127.548694 61.714957) (xy 127.345787 61.624889) (xy 127.129207 61.576136) (xy 126.907277 61.570572) (xy 126.688526 61.608412)
+ (xy 126.48136 61.688202) (xy 126.431306 61.714957) (xy 126.351195 61.88159) (xy 126.072087 61.88159) (xy 126.071545 61.876095)
+ (xy 126.049988 61.80503) (xy 126.014981 61.739537) (xy 125.967869 61.682131) (xy 125.910463 61.635019) (xy 125.84497 61.600012)
+ (xy 125.773905 61.578455) (xy 125.727 61.573835) (xy 125.727 61.471843) (xy 127.236023 59.96282) (xy 132.858 59.96282)
+ (xy 132.858 60.35718) (xy 132.934936 60.743962) (xy 133.08585 61.108303) (xy 133.304945 61.436201) (xy 133.583799 61.715055)
+ (xy 133.911697 61.93415) (xy 134.276038 62.085064) (xy 134.66282 62.162) (xy 135.05718 62.162) (xy 135.443962 62.085064)
+ (xy 135.808303 61.93415) (xy 136.136201 61.715055) (xy 136.415055 61.436201) (xy 136.63415 61.108303) (xy 136.785064 60.743962)
+ (xy 136.862 60.35718) (xy 136.862 59.96282) (xy 140.008 59.96282) (xy 140.008 60.35718) (xy 140.084936 60.743962)
+ (xy 140.23585 61.108303) (xy 140.454945 61.436201) (xy 140.733799 61.715055) (xy 141.061697 61.93415) (xy 141.426038 62.085064)
+ (xy 141.81282 62.162) (xy 142.20718 62.162) (xy 142.593962 62.085064) (xy 142.958303 61.93415) (xy 143.286201 61.715055)
+ (xy 143.565055 61.436201) (xy 143.78415 61.108303) (xy 143.935064 60.743962) (xy 144.012 60.35718) (xy 144.012 59.96282)
+ (xy 143.935064 59.576038) (xy 143.78415 59.211697) (xy 143.565055 58.883799) (xy 143.286201 58.604945) (xy 142.958303 58.38585)
+ (xy 142.593962 58.234936) (xy 142.20718 58.158) (xy 141.81282 58.158) (xy 141.426038 58.234936) (xy 141.061697 58.38585)
+ (xy 140.733799 58.604945) (xy 140.454945 58.883799) (xy 140.23585 59.211697) (xy 140.084936 59.576038) (xy 140.008 59.96282)
+ (xy 136.862 59.96282) (xy 136.785064 59.576038) (xy 136.63415 59.211697) (xy 136.415055 58.883799) (xy 136.136201 58.604945)
+ (xy 135.808303 58.38585) (xy 135.443962 58.234936) (xy 135.05718 58.158) (xy 134.66282 58.158) (xy 134.276038 58.234936)
+ (xy 133.911697 58.38585) (xy 133.583799 58.604945) (xy 133.304945 58.883799) (xy 133.08585 59.211697) (xy 132.934936 59.576038)
+ (xy 132.858 59.96282) (xy 127.236023 59.96282) (xy 129.121844 58.077) (xy 143.178157 58.077) (xy 144.023 58.921844)
+ (xy 144.023001 61.111825) (xy 144.023 61.111835) (xy 144.023 61.573835) (xy 143.976095 61.578455) (xy 143.90503 61.600012)
+ (xy 143.839537 61.635019) (xy 143.782131 61.682131) (xy 143.735019 61.739537) (xy 143.700012 61.80503) (xy 143.678455 61.876095)
+ (xy 143.671176 61.95) (xy 143.671176 63.45) (xy 143.678455 63.523905) (xy 143.700012 63.59497) (xy 143.735019 63.660463)
+ (xy 143.782131 63.717869) (xy 143.839537 63.764981) (xy 143.90503 63.799988) (xy 143.976095 63.821545) (xy 144.05 63.828824)
+ (xy 144.291573 63.828824) (xy 144.3545 63.905501) (xy 144.378422 63.925133) (xy 144.71445 64.261162) (xy 144.693 64.369)
+ (xy 144.693 64.591) (xy 144.73631 64.808734) (xy 144.821266 65.013835) (xy 144.944602 65.198421) (xy 145.101579 65.355398)
+ (xy 145.286165 65.478734) (xy 145.491266 65.56369) (xy 145.709 65.607) (xy 145.931 65.607) (xy 146.148734 65.56369)
+ (xy 146.353835 65.478734) (xy 146.538421 65.355398) (xy 146.695398 65.198421) (xy 146.818734 65.013835) (xy 146.84 64.962495)
+ (xy 146.861266 65.013835) (xy 146.984602 65.198421) (xy 147.141579 65.355398) (xy 147.326165 65.478734) (xy 147.531266 65.56369)
+ (xy 147.749 65.607) (xy 147.971 65.607) (xy 148.188734 65.56369) (xy 148.393835 65.478734) (xy 148.578421 65.355398)
+ (xy 148.635409 65.29841) (xy 149.261195 65.29841) (xy 149.341306 65.465043) (xy 149.544213 65.555111) (xy 149.760793 65.603864)
+ (xy 149.982723 65.609428) (xy 150.201474 65.571588) (xy 150.40864 65.491798) (xy 150.458694 65.465043) (xy 150.538805 65.29841)
+ (xy 149.9 64.659605) (xy 149.261195 65.29841) (xy 148.635409 65.29841) (xy 148.735398 65.198421) (xy 148.858734 65.013835)
+ (xy 148.879032 64.964831) (xy 148.888202 64.98864) (xy 148.914957 65.038694) (xy 149.08159 65.118805) (xy 149.720395 64.48)
+ (xy 149.706253 64.465858) (xy 149.885858 64.286253) (xy 149.9 64.300395) (xy 149.914143 64.286253) (xy 150.093748 64.465858)
+ (xy 150.079605 64.48) (xy 150.71841 65.118805) (xy 150.885043 65.038694) (xy 150.919453 64.961174) (xy 150.941266 65.013835)
+ (xy 151.064602 65.198421) (xy 151.221579 65.355398) (xy 151.406165 65.478734) (xy 151.611266 65.56369) (xy 151.829 65.607)
+ (xy 152.051 65.607) (xy 152.268734 65.56369) (xy 152.473835 65.478734) (xy 152.658421 65.355398) (xy 152.815398 65.198421)
+ (xy 152.938734 65.013835) (xy 153.02369 64.808734) (xy 153.067 64.591) (xy 153.067 64.587122) (xy 155.672483 64.587122)
+ (xy 155.782881 64.77933) (xy 156.028495 64.89443) (xy 156.291845 64.9594) (xy 156.562809 64.971745) (xy 156.830975 64.930991)
+ (xy 157.086038 64.838703) (xy 157.197119 64.77933) (xy 157.307517 64.587122) (xy 156.49 63.769605) (xy 155.672483 64.587122)
+ (xy 153.067 64.587122) (xy 153.067 64.369) (xy 153.02369 64.151266) (xy 152.938734 63.946165) (xy 152.815398 63.761579)
+ (xy 152.716628 63.662809) (xy 155.108255 63.662809) (xy 155.149009 63.930975) (xy 155.241297 64.186038) (xy 155.30067 64.297119)
+ (xy 155.492878 64.407517) (xy 156.310395 63.59) (xy 156.669605 63.59) (xy 157.487122 64.407517) (xy 157.67933 64.297119)
+ (xy 157.79443 64.051505) (xy 157.8594 63.788155) (xy 157.871745 63.517191) (xy 157.830991 63.249025) (xy 157.738703 62.993962)
+ (xy 157.67933 62.882881) (xy 157.487122 62.772483) (xy 156.669605 63.59) (xy 156.310395 63.59) (xy 155.492878 62.772483)
+ (xy 155.30067 62.882881) (xy 155.18557 63.128495) (xy 155.1206 63.391845) (xy 155.108255 63.662809) (xy 152.716628 63.662809)
+ (xy 152.658421 63.604602) (xy 152.473835 63.481266) (xy 152.268734 63.39631) (xy 152.051 63.353) (xy 151.839111 63.353)
+ (xy 151.918734 63.233835) (xy 152.00369 63.028734) (xy 152.047 62.811) (xy 152.047 62.592878) (xy 155.672483 62.592878)
+ (xy 156.49 63.410395) (xy 157.307517 62.592878) (xy 157.197119 62.40067) (xy 156.951505 62.28557) (xy 156.688155 62.2206)
+ (xy 156.417191 62.208255) (xy 156.149025 62.249009) (xy 155.893962 62.341297) (xy 155.782881 62.40067) (xy 155.672483 62.592878)
+ (xy 152.047 62.592878) (xy 152.047 62.589) (xy 152.00369 62.371266) (xy 151.918734 62.166165) (xy 151.795398 61.981579)
+ (xy 151.638421 61.824602) (xy 151.453835 61.701266) (xy 151.248734 61.61631) (xy 151.031 61.573) (xy 150.809 61.573)
+ (xy 150.591266 61.61631) (xy 150.386165 61.701266) (xy 150.201579 61.824602) (xy 150.044602 61.981579) (xy 149.921266 62.166165)
+ (xy 149.9 62.217505) (xy 149.878734 62.166165) (xy 149.755398 61.981579) (xy 149.598421 61.824602) (xy 149.413835 61.701266)
+ (xy 149.208734 61.61631) (xy 148.991 61.573) (xy 148.769 61.573) (xy 148.551266 61.61631) (xy 148.346165 61.701266)
+ (xy 148.161579 61.824602) (xy 148.004602 61.981579) (xy 147.881266 62.166165) (xy 147.860968 62.215169) (xy 147.851798 62.19136)
+ (xy 147.825043 62.141306) (xy 147.65841 62.061195) (xy 147.019605 62.7) (xy 147.033748 62.714143) (xy 146.854143 62.893748)
+ (xy 146.84 62.879605) (xy 146.825858 62.893748) (xy 146.646253 62.714143) (xy 146.660395 62.7) (xy 146.02159 62.061195)
+ (xy 145.928824 62.105793) (xy 145.928824 61.95) (xy 145.922087 61.88159) (xy 146.201195 61.88159) (xy 146.84 62.520395)
+ (xy 147.478805 61.88159) (xy 147.398694 61.714957) (xy 147.195787 61.624889) (xy 146.979207 61.576136) (xy 146.757277 61.570572)
+ (xy 146.538526 61.608412) (xy 146.33136 61.688202) (xy 146.281306 61.714957) (xy 146.201195 61.88159) (xy 145.922087 61.88159)
+ (xy 145.921545 61.876095) (xy 145.899988 61.80503) (xy 145.864981 61.739537) (xy 145.817869 61.682131) (xy 145.760463 61.635019)
+ (xy 145.69497 61.600012) (xy 145.623905 61.578455) (xy 145.577 61.573835) (xy 145.577 59.96282) (xy 152.708 59.96282)
+ (xy 152.708 60.35718) (xy 152.784936 60.743962) (xy 152.93585 61.108303) (xy 153.154945 61.436201) (xy 153.433799 61.715055)
+ (xy 153.761697 61.93415) (xy 154.126038 62.085064) (xy 154.51282 62.162) (xy 154.90718 62.162) (xy 155.293962 62.085064)
+ (xy 155.658303 61.93415) (xy 155.986201 61.715055) (xy 156.265055 61.436201) (xy 156.48415 61.108303) (xy 156.635064 60.743962)
+ (xy 156.712 60.35718) (xy 156.712 59.96282) (xy 156.635064 59.576038) (xy 156.48415 59.211697) (xy 156.265055 58.883799)
+ (xy 155.986201 58.604945) (xy 155.658303 58.38585) (xy 155.293962 58.234936) (xy 154.90718 58.158) (xy 154.51282 58.158)
+ (xy 154.126038 58.234936) (xy 153.761697 58.38585) (xy 153.433799 58.604945) (xy 153.154945 58.883799) (xy 152.93585 59.211697)
+ (xy 152.784936 59.576038) (xy 152.708 59.96282) (xy 145.577 59.96282) (xy 145.577 58.638163) (xy 145.580759 58.6)
+ (xy 145.577 58.561834) (xy 145.565757 58.447681) (xy 145.521327 58.301216) (xy 145.496195 58.254199) (xy 145.449178 58.166234)
+ (xy 145.376409 58.077565) (xy 145.376408 58.077564) (xy 145.35208 58.04792) (xy 145.322437 58.023593) (xy 144.076412 56.777569)
+ (xy 144.05208 56.74792) (xy 143.933766 56.650823) (xy 143.798784 56.578673) (xy 143.652319 56.534243) (xy 143.538166 56.523)
+ (xy 143.538163 56.523) (xy 143.5 56.519241) (xy 143.461837 56.523) (xy 128.838155 56.523) (xy 128.799999 56.519242)
+ (xy 128.761843 56.523) (xy 128.761834 56.523) (xy 128.647681 56.534243) (xy 128.501216 56.578673) (xy 128.366233 56.650823)
+ (xy 128.277565 56.723591) (xy 128.24792 56.74792) (xy 128.223592 56.777564) (xy 124.427565 60.573592) (xy 124.397921 60.59792)
+ (xy 124.373593 60.627564) (xy 124.373591 60.627566) (xy 124.300823 60.716234) (xy 124.228673 60.851217) (xy 124.184244 60.997682)
+ (xy 124.169241 61.15) (xy 124.173001 61.188173) (xy 124.173001 61.573835) (xy 124.126095 61.578455) (xy 124.05503 61.600012)
+ (xy 123.989537 61.635019) (xy 123.932131 61.682131) (xy 123.885019 61.739537) (xy 123.850012 61.80503) (xy 123.828455 61.876095)
+ (xy 123.821176 61.95) (xy 123.070037 61.95) (xy 123.108303 61.93415) (xy 123.436201 61.715055) (xy 123.715055 61.436201)
+ (xy 123.93415 61.108303) (xy 124.085064 60.743962) (xy 124.162 60.35718) (xy 124.162 59.96282) (xy 124.085064 59.576038)
+ (xy 123.93415 59.211697) (xy 123.715055 58.883799) (xy 123.436201 58.604945) (xy 123.108303 58.38585) (xy 122.743962 58.234936)
+ (xy 122.35718 58.158) (xy 121.96282 58.158) (xy 121.576038 58.234936) (xy 121.211697 58.38585) (xy 120.883799 58.604945)
+ (xy 120.604945 58.883799) (xy 120.38585 59.211697) (xy 120.234936 59.576038) (xy 120.158 59.96282) (xy 119.327 59.96282)
+ (xy 119.327 55.452) (xy 157.873 55.452)
+ )
+ )
+ )
+ (zone (net 9) (net_name /OUT_B) (layer B.Cu) (tstamp 5EADA9FB) (hatch edge 0.508)
+ (priority 1)
+ (connect_pads yes (clearance 0.6))
+ (min_thickness 0.254)
+ (fill yes (arc_segments 32) (thermal_gap 0.25) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 128 120) (xy 170 120) (xy 170 143) (xy 158 143) (xy 154 147)
+ (xy 128 147)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 129.89131 120.296266) (xy 129.848 120.514) (xy 129.848 120.736) (xy 129.89131 120.953734) (xy 129.976266 121.158835)
+ (xy 130.099602 121.343421) (xy 130.256579 121.500398) (xy 130.441165 121.623734) (xy 130.646266 121.70869) (xy 130.864 121.752)
+ (xy 131.086 121.752) (xy 131.303734 121.70869) (xy 131.508835 121.623734) (xy 131.693421 121.500398) (xy 131.850398 121.343421)
+ (xy 131.973734 121.158835) (xy 132.007631 121.077001) (xy 167.13315 121.077001) (xy 167.174999 121.081123) (xy 167.216848 121.077001)
+ (xy 167.216851 121.077001) (xy 167.34202 121.064673) (xy 167.397989 121.047695) (xy 167.397989 142.147101) (xy 166.947125 142.597966)
+ (xy 156.405473 142.597966) (xy 156.363624 142.593844) (xy 156.321775 142.597966) (xy 156.321772 142.597966) (xy 156.196603 142.610294)
+ (xy 156.036 142.659012) (xy 155.887988 142.738127) (xy 155.758254 142.844596) (xy 155.731575 142.877105) (xy 153.310691 145.29799)
+ (xy 147.891861 145.29799) (xy 147.850012 145.293868) (xy 147.808163 145.29799) (xy 147.80816 145.29799) (xy 147.682991 145.310318)
+ (xy 147.522388 145.359036) (xy 147.374376 145.438151) (xy 147.244642 145.54462) (xy 147.217963 145.577129) (xy 145.922092 146.873)
+ (xy 132.727 146.873) (xy 132.727 133) (xy 132.713031 132.858169) (xy 132.67166 132.721789) (xy 132.604478 132.5961)
+ (xy 132.514067 132.485933) (xy 132.4039 132.395522) (xy 132.278211 132.32834) (xy 132.141831 132.286969) (xy 132 132.273)
+ (xy 128.727 132.273) (xy 128.727 131.604685) (xy 128.781898 131.549787) (xy 128.819187 131.519185) (xy 128.941277 131.370417)
+ (xy 129.031998 131.20069) (xy 129.087864 131.016524) (xy 129.102001 130.872992) (xy 129.106728 130.824999) (xy 129.102001 130.777006)
+ (xy 129.102001 129.497992) (xy 129.106728 129.449999) (xy 129.087864 129.258473) (xy 129.031998 129.074309) (xy 129.031998 129.074308)
+ (xy 128.941277 128.904581) (xy 128.819187 128.755813) (xy 128.781903 128.725215) (xy 128.727 128.670312) (xy 128.727 120.127)
+ (xy 129.961423 120.127)
+ )
+ )
+ )
+ (zone (net 8) (net_name /OUT_A) (layer B.Cu) (tstamp 5EADA9F8) (hatch edge 0.508)
+ (connect_pads yes (clearance 0.6))
+ (min_thickness 0.254)
+ (fill yes (arc_segments 32) (thermal_gap 0.25) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 128 95) (xy 170 95) (xy 170 120) (xy 128 120)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 151.516624 95.192501) (xy 151.192501 95.516624) (xy 150.93784 95.897752) (xy 150.762426 96.321239) (xy 150.673 96.770811)
+ (xy 150.673 97.229189) (xy 150.762426 97.678761) (xy 150.93784 98.102248) (xy 151.192501 98.483376) (xy 151.516624 98.807499)
+ (xy 151.897752 99.06216) (xy 152.321239 99.237574) (xy 152.770811 99.327) (xy 153.229189 99.327) (xy 153.678761 99.237574)
+ (xy 154.102248 99.06216) (xy 154.483376 98.807499) (xy 154.807499 98.483376) (xy 155.06216 98.102248) (xy 155.237574 97.678761)
+ (xy 155.327 97.229189) (xy 155.327 96.770811) (xy 155.237574 96.321239) (xy 155.06216 95.897752) (xy 154.807499 95.516624)
+ (xy 154.483376 95.192501) (xy 154.385347 95.127) (xy 164.372091 95.127) (xy 166.848 97.60291) (xy 166.848001 119.273)
+ (xy 129.226999 119.273) (xy 129.226999 109.954687) (xy 130.204687 108.977) (xy 131.398115 108.977) (xy 131.505888 108.987615)
+ (xy 131.613661 108.977) (xy 133.468958 108.977) (xy 133.516951 108.981727) (xy 133.708476 108.962863) (xy 133.892642 108.906997)
+ (xy 134.062369 108.816276) (xy 134.211137 108.694186) (xy 134.241735 108.656902) (xy 136.356902 106.541735) (xy 136.394186 106.511137)
+ (xy 136.516276 106.362369) (xy 136.606997 106.192642) (xy 136.642471 106.075701) (xy 136.662863 106.008477) (xy 136.681727 105.816951)
+ (xy 136.677 105.768958) (xy 136.677 98.604686) (xy 137.190364 98.091322) (xy 137.228734 98.08369) (xy 137.433835 97.998734)
+ (xy 137.618421 97.875398) (xy 137.775398 97.718421) (xy 137.836483 97.627) (xy 147.5 97.627) (xy 147.524776 97.62456)
+ (xy 147.548601 97.617333) (xy 147.570557 97.605597) (xy 147.589803 97.589803) (xy 147.605597 97.570557) (xy 147.617333 97.548601)
+ (xy 147.62456 97.524776) (xy 147.627 97.5) (xy 147.627 95.127) (xy 151.614653 95.127)
+ )
+ )
+ )
+ (zone (net 10) (net_name /VIN) (layer B.Cu) (tstamp 5EADA9F5) (hatch edge 0.508)
+ (priority 2)
+ (connect_pads yes (clearance 0.25))
+ (min_thickness 0.254)
+ (fill yes (arc_segments 32) (thermal_gap 0.25) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 133 95) (xy 133 105.7) (xy 131.7 107) (xy 128 107) (xy 128 133)
+ (xy 132 133) (xy 132 147) (xy 112 147) (xy 110 147) (xy 93 130)
+ (xy 93 95) (xy 132 95)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 108.516624 95.192501) (xy 108.192501 95.516624) (xy 107.93784 95.897752) (xy 107.762426 96.321239) (xy 107.673 96.770811)
+ (xy 107.673 97.229189) (xy 107.762426 97.678761) (xy 107.93784 98.102248) (xy 108.192501 98.483376) (xy 108.516624 98.807499)
+ (xy 108.897752 99.06216) (xy 109.321239 99.237574) (xy 109.770811 99.327) (xy 110.229189 99.327) (xy 110.678761 99.237574)
+ (xy 111.102248 99.06216) (xy 111.483376 98.807499) (xy 111.807499 98.483376) (xy 112.06216 98.102248) (xy 112.237574 97.678761)
+ (xy 112.327 97.229189) (xy 112.327 96.770811) (xy 112.237574 96.321239) (xy 112.06216 95.897752) (xy 111.807499 95.516624)
+ (xy 111.483376 95.192501) (xy 111.385347 95.127) (xy 118.447394 95.127) (xy 120.310197 96.989803) (xy 120.329443 97.005597)
+ (xy 120.351399 97.017333) (xy 120.375224 97.02456) (xy 120.4 97.027) (xy 122.447394 97.027) (xy 123.010197 97.589803)
+ (xy 123.029443 97.605597) (xy 123.051399 97.617333) (xy 123.075224 97.62456) (xy 123.1 97.627) (xy 131.273002 97.627)
+ (xy 131.273001 103.623) (xy 128.581043 103.623) (xy 128.53305 103.618273) (xy 128.341524 103.637137) (xy 128.249442 103.66507)
+ (xy 128.157359 103.693003) (xy 127.987632 103.783724) (xy 127.838864 103.905814) (xy 127.808266 103.943098) (xy 123.993072 107.758292)
+ (xy 123.955794 107.788885) (xy 123.925201 107.826163) (xy 123.9252 107.826164) (xy 123.833704 107.937653) (xy 123.742982 108.107381)
+ (xy 123.687116 108.291546) (xy 123.668252 108.483071) (xy 123.67298 108.531074) (xy 123.672979 116.158638) (xy 123.651245 116.191165)
+ (xy 123.566289 116.396266) (xy 123.522979 116.614) (xy 123.522979 116.836) (xy 123.566289 117.053734) (xy 123.651245 117.258835)
+ (xy 123.774581 117.443421) (xy 123.931558 117.600398) (xy 124.116144 117.723734) (xy 124.321245 117.80869) (xy 124.538979 117.852)
+ (xy 124.760979 117.852) (xy 124.92299 117.819774) (xy 124.922989 129.026996) (xy 124.918262 129.074989) (xy 124.937126 129.266514)
+ (xy 124.992786 129.449999) (xy 124.992992 129.450679) (xy 125.083713 129.620407) (xy 125.205803 129.769175) (xy 125.243087 129.799773)
+ (xy 125.783678 130.340364) (xy 125.79131 130.378734) (xy 125.876266 130.583835) (xy 125.999602 130.768421) (xy 126.156579 130.925398)
+ (xy 126.320953 131.035228) (xy 126.274602 131.081579) (xy 126.151266 131.266165) (xy 126.06631 131.471266) (xy 126.023 131.689)
+ (xy 126.023 131.911) (xy 126.06631 132.128734) (xy 126.151266 132.333835) (xy 126.274602 132.518421) (xy 126.431579 132.675398)
+ (xy 126.616165 132.798734) (xy 126.821266 132.88369) (xy 127.039 132.927) (xy 127.261 132.927) (xy 127.478734 132.88369)
+ (xy 127.683835 132.798734) (xy 127.868421 132.675398) (xy 127.873 132.670819) (xy 127.873 133) (xy 127.87544 133.024776)
+ (xy 127.882667 133.048601) (xy 127.894403 133.070557) (xy 127.910197 133.089803) (xy 127.929443 133.105597) (xy 127.951399 133.117333)
+ (xy 127.975224 133.12456) (xy 128 133.127) (xy 131.873 133.127) (xy 131.873 146.873) (xy 110.052606 146.873)
+ (xy 93.127 129.947394) (xy 93.127 124.714492) (xy 93.141831 124.713031) (xy 93.278211 124.67166) (xy 93.361764 124.627)
+ (xy 94.75 124.627) (xy 94.774776 124.62456) (xy 94.798601 124.617333) (xy 94.820557 124.605597) (xy 94.839803 124.589803)
+ (xy 94.855597 124.570557) (xy 94.867333 124.548601) (xy 94.87456 124.524776) (xy 94.877 124.5) (xy 94.877 98.227)
+ (xy 100.652887 98.227) (xy 100.75 98.236565) (xy 100.847113 98.227) (xy 100.847116 98.227) (xy 101.13756 98.198394)
+ (xy 101.510226 98.085347) (xy 101.853676 97.901768) (xy 102.154714 97.654714) (xy 102.216629 97.57927) (xy 103.32927 96.466629)
+ (xy 103.404714 96.404714) (xy 103.641427 96.116277) (xy 103.651768 96.103677) (xy 103.835347 95.760226) (xy 103.835347 95.760225)
+ (xy 103.948394 95.38756) (xy 103.974057 95.127) (xy 108.614653 95.127)
+ )
+ )
+ )
+ (zone (net 0) (net_name "") (layers F&B.Cu) (tstamp 0) (hatch edge 0.508)
+ (connect_pads (clearance 0.6))
+ (min_thickness 0.254)
+ (keepout (tracks allowed) (vias allowed) (copperpour not_allowed))
+ (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 147.5 97.5) (xy 123.1 97.5) (xy 122.5 96.9) (xy 120.4 96.9) (xy 118.5 95)
+ (xy 118.5 90) (xy 147.5 90)
+ )
+ )
+ )
+ (zone (net 0) (net_name "") (layer F.Cu) (tstamp 0) (hatch edge 0.508)
+ (connect_pads yes (clearance 0.25))
+ (min_thickness 0.254)
+ (keepout (tracks allowed) (vias allowed) (copperpour not_allowed))
+ (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 157 94.5) (xy 157 99.5) (xy 155.5 101) (xy 150.5 101) (xy 149 99.5)
+ (xy 149 95.5) (xy 137 95.5) (xy 137 94.5) (xy 149 94.5) (xy 150.5 93)
+ (xy 155.5 93)
+ )
+ )
+ )
+ (zone (net 55) (net_name /GND_RS485) (layer F.Cu) (tstamp 5EADAA01) (hatch edge 0.508)
+ (priority 5)
+ (connect_pads (clearance 0.25))
+ (min_thickness 0.254)
+ (fill yes (arc_segments 32) (thermal_gap 0.25) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 119 55) (xy 119 65.5) (xy 158 65.5) (xy 158 55)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 157.873 65.373) (xy 152.632078 65.373) (xy 152.658421 65.355398) (xy 152.815398 65.198421) (xy 152.938734 65.013835)
+ (xy 153.02369 64.808734) (xy 153.067 64.591) (xy 153.067 64.587122) (xy 155.672483 64.587122) (xy 155.782881 64.77933)
+ (xy 156.028495 64.89443) (xy 156.291845 64.9594) (xy 156.562809 64.971745) (xy 156.830975 64.930991) (xy 157.086038 64.838703)
+ (xy 157.197119 64.77933) (xy 157.307517 64.587122) (xy 156.49 63.769605) (xy 155.672483 64.587122) (xy 153.067 64.587122)
+ (xy 153.067 64.369) (xy 153.02369 64.151266) (xy 152.938734 63.946165) (xy 152.815398 63.761579) (xy 152.716628 63.662809)
+ (xy 155.108255 63.662809) (xy 155.149009 63.930975) (xy 155.241297 64.186038) (xy 155.30067 64.297119) (xy 155.492878 64.407517)
+ (xy 156.310395 63.59) (xy 156.669605 63.59) (xy 157.487122 64.407517) (xy 157.67933 64.297119) (xy 157.79443 64.051505)
+ (xy 157.8594 63.788155) (xy 157.871745 63.517191) (xy 157.830991 63.249025) (xy 157.738703 62.993962) (xy 157.67933 62.882881)
+ (xy 157.487122 62.772483) (xy 156.669605 63.59) (xy 156.310395 63.59) (xy 155.492878 62.772483) (xy 155.30067 62.882881)
+ (xy 155.18557 63.128495) (xy 155.1206 63.391845) (xy 155.108255 63.662809) (xy 152.716628 63.662809) (xy 152.658421 63.604602)
+ (xy 152.473835 63.481266) (xy 152.268734 63.39631) (xy 152.051 63.353) (xy 151.839111 63.353) (xy 151.918734 63.233835)
+ (xy 152.00369 63.028734) (xy 152.047 62.811) (xy 152.047 62.592878) (xy 155.672483 62.592878) (xy 156.49 63.410395)
+ (xy 157.307517 62.592878) (xy 157.197119 62.40067) (xy 156.951505 62.28557) (xy 156.688155 62.2206) (xy 156.417191 62.208255)
+ (xy 156.149025 62.249009) (xy 155.893962 62.341297) (xy 155.782881 62.40067) (xy 155.672483 62.592878) (xy 152.047 62.592878)
+ (xy 152.047 62.589) (xy 152.00369 62.371266) (xy 151.918734 62.166165) (xy 151.795398 61.981579) (xy 151.638421 61.824602)
+ (xy 151.453835 61.701266) (xy 151.248734 61.61631) (xy 151.031 61.573) (xy 150.809 61.573) (xy 150.591266 61.61631)
+ (xy 150.386165 61.701266) (xy 150.201579 61.824602) (xy 150.044602 61.981579) (xy 149.921266 62.166165) (xy 149.9 62.217505)
+ (xy 149.878734 62.166165) (xy 149.755398 61.981579) (xy 149.598421 61.824602) (xy 149.507 61.763517) (xy 149.507 59.96282)
+ (xy 152.708 59.96282) (xy 152.708 60.35718) (xy 152.784936 60.743962) (xy 152.93585 61.108303) (xy 153.154945 61.436201)
+ (xy 153.433799 61.715055) (xy 153.761697 61.93415) (xy 154.126038 62.085064) (xy 154.51282 62.162) (xy 154.90718 62.162)
+ (xy 155.293962 62.085064) (xy 155.658303 61.93415) (xy 155.986201 61.715055) (xy 156.265055 61.436201) (xy 156.48415 61.108303)
+ (xy 156.635064 60.743962) (xy 156.712 60.35718) (xy 156.712 59.96282) (xy 156.635064 59.576038) (xy 156.48415 59.211697)
+ (xy 156.265055 58.883799) (xy 155.986201 58.604945) (xy 155.658303 58.38585) (xy 155.293962 58.234936) (xy 154.90718 58.158)
+ (xy 154.51282 58.158) (xy 154.126038 58.234936) (xy 153.761697 58.38585) (xy 153.433799 58.604945) (xy 153.154945 58.883799)
+ (xy 152.93585 59.211697) (xy 152.784936 59.576038) (xy 152.708 59.96282) (xy 149.507 59.96282) (xy 149.507 59.810791)
+ (xy 149.510033 59.779999) (xy 149.507 59.749206) (xy 149.497927 59.657087) (xy 149.462075 59.538897) (xy 149.403853 59.429972)
+ (xy 149.325501 59.334499) (xy 149.301578 59.314866) (xy 146.365138 56.378427) (xy 146.345501 56.354499) (xy 146.250028 56.276147)
+ (xy 146.141103 56.217925) (xy 146.022913 56.182073) (xy 145.930794 56.173) (xy 145.9 56.169967) (xy 145.869206 56.173)
+ (xy 130.930791 56.173) (xy 130.899999 56.169967) (xy 130.869207 56.173) (xy 130.869206 56.173) (xy 130.777087 56.182073)
+ (xy 130.658897 56.217925) (xy 130.549972 56.276147) (xy 130.454499 56.354499) (xy 130.434866 56.378422) (xy 128.608427 58.204862)
+ (xy 128.584499 58.224499) (xy 128.506147 58.319973) (xy 128.447925 58.428898) (xy 128.412073 58.547087) (xy 128.412073 58.547088)
+ (xy 128.399967 58.67) (xy 128.403 58.700794) (xy 128.403001 60.499196) (xy 128.399967 60.53) (xy 128.403 60.560794)
+ (xy 128.403001 61.763516) (xy 128.311579 61.824602) (xy 128.154602 61.981579) (xy 128.031266 62.166165) (xy 128.010968 62.215169)
+ (xy 128.001798 62.19136) (xy 127.975043 62.141306) (xy 127.80841 62.061195) (xy 127.169605 62.7) (xy 127.183748 62.714143)
+ (xy 127.004143 62.893748) (xy 126.99 62.879605) (xy 126.975858 62.893748) (xy 126.796253 62.714143) (xy 126.810395 62.7)
+ (xy 126.17159 62.061195) (xy 126.078824 62.105793) (xy 126.078824 61.95) (xy 126.072087 61.88159) (xy 126.351195 61.88159)
+ (xy 126.99 62.520395) (xy 127.628805 61.88159) (xy 127.548694 61.714957) (xy 127.345787 61.624889) (xy 127.129207 61.576136)
+ (xy 126.907277 61.570572) (xy 126.688526 61.608412) (xy 126.48136 61.688202) (xy 126.431306 61.714957) (xy 126.351195 61.88159)
+ (xy 126.072087 61.88159) (xy 126.071545 61.876095) (xy 126.049988 61.80503) (xy 126.014981 61.739537) (xy 125.967869 61.682131)
+ (xy 125.910463 61.635019) (xy 125.84497 61.600012) (xy 125.773905 61.578455) (xy 125.7 61.571176) (xy 124.2 61.571176)
+ (xy 124.126095 61.578455) (xy 124.05503 61.600012) (xy 123.989537 61.635019) (xy 123.932131 61.682131) (xy 123.885019 61.739537)
+ (xy 123.850012 61.80503) (xy 123.828455 61.876095) (xy 123.821176 61.95) (xy 123.821176 63.45) (xy 123.828455 63.523905)
+ (xy 123.850012 63.59497) (xy 123.885019 63.660463) (xy 123.932131 63.717869) (xy 123.989537 63.764981) (xy 124.05503 63.799988)
+ (xy 124.126095 63.821545) (xy 124.2 63.828824) (xy 125.04967 63.828824) (xy 124.971266 63.946165) (xy 124.88631 64.151266)
+ (xy 124.843 64.369) (xy 124.843 64.591) (xy 124.88631 64.808734) (xy 124.971266 65.013835) (xy 125.094602 65.198421)
+ (xy 125.251579 65.355398) (xy 125.277922 65.373) (xy 119.127 65.373) (xy 119.127 64.587122) (xy 119.562483 64.587122)
+ (xy 119.672881 64.77933) (xy 119.918495 64.89443) (xy 120.181845 64.9594) (xy 120.452809 64.971745) (xy 120.720975 64.930991)
+ (xy 120.976038 64.838703) (xy 121.087119 64.77933) (xy 121.197517 64.587122) (xy 120.38 63.769605) (xy 119.562483 64.587122)
+ (xy 119.127 64.587122) (xy 119.127 64.174162) (xy 119.131297 64.186038) (xy 119.19067 64.297119) (xy 119.382878 64.407517)
+ (xy 120.200395 63.59) (xy 120.559605 63.59) (xy 121.377122 64.407517) (xy 121.56933 64.297119) (xy 121.68443 64.051505)
+ (xy 121.7494 63.788155) (xy 121.761745 63.517191) (xy 121.720991 63.249025) (xy 121.628703 62.993962) (xy 121.56933 62.882881)
+ (xy 121.377122 62.772483) (xy 120.559605 63.59) (xy 120.200395 63.59) (xy 119.382878 62.772483) (xy 119.19067 62.882881)
+ (xy 119.127 63.018748) (xy 119.127 62.592878) (xy 119.562483 62.592878) (xy 120.38 63.410395) (xy 121.197517 62.592878)
+ (xy 121.087119 62.40067) (xy 120.841505 62.28557) (xy 120.578155 62.2206) (xy 120.307191 62.208255) (xy 120.039025 62.249009)
+ (xy 119.783962 62.341297) (xy 119.672881 62.40067) (xy 119.562483 62.592878) (xy 119.127 62.592878) (xy 119.127 59.96282)
+ (xy 120.158 59.96282) (xy 120.158 60.35718) (xy 120.234936 60.743962) (xy 120.38585 61.108303) (xy 120.604945 61.436201)
+ (xy 120.883799 61.715055) (xy 121.211697 61.93415) (xy 121.576038 62.085064) (xy 121.96282 62.162) (xy 122.35718 62.162)
+ (xy 122.743962 62.085064) (xy 123.108303 61.93415) (xy 123.436201 61.715055) (xy 123.715055 61.436201) (xy 123.93415 61.108303)
+ (xy 124.085064 60.743962) (xy 124.162 60.35718) (xy 124.162 59.96282) (xy 124.085064 59.576038) (xy 123.93415 59.211697)
+ (xy 123.715055 58.883799) (xy 123.436201 58.604945) (xy 123.108303 58.38585) (xy 122.743962 58.234936) (xy 122.35718 58.158)
+ (xy 121.96282 58.158) (xy 121.576038 58.234936) (xy 121.211697 58.38585) (xy 120.883799 58.604945) (xy 120.604945 58.883799)
+ (xy 120.38585 59.211697) (xy 120.234936 59.576038) (xy 120.158 59.96282) (xy 119.127 59.96282) (xy 119.127 55.452)
+ (xy 157.873 55.452)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 148.253001 60.039713) (xy 148.253 61.763516) (xy 148.161579 61.824602) (xy 148.004602 61.981579) (xy 147.881266 62.166165)
+ (xy 147.860968 62.215169) (xy 147.851798 62.19136) (xy 147.825043 62.141306) (xy 147.65841 62.061195) (xy 147.019605 62.7)
+ (xy 147.033748 62.714143) (xy 146.854143 62.893748) (xy 146.84 62.879605) (xy 146.825858 62.893748) (xy 146.646253 62.714143)
+ (xy 146.660395 62.7) (xy 146.02159 62.061195) (xy 145.928824 62.105793) (xy 145.928824 61.95) (xy 145.922087 61.88159)
+ (xy 146.201195 61.88159) (xy 146.84 62.520395) (xy 147.478805 61.88159) (xy 147.398694 61.714957) (xy 147.195787 61.624889)
+ (xy 146.979207 61.576136) (xy 146.757277 61.570572) (xy 146.538526 61.608412) (xy 146.33136 61.688202) (xy 146.281306 61.714957)
+ (xy 146.201195 61.88159) (xy 145.922087 61.88159) (xy 145.921545 61.876095) (xy 145.899988 61.80503) (xy 145.864981 61.739537)
+ (xy 145.817869 61.682131) (xy 145.760463 61.635019) (xy 145.69497 61.600012) (xy 145.623905 61.578455) (xy 145.55 61.571176)
+ (xy 144.05 61.571176) (xy 143.976095 61.578455) (xy 143.90503 61.600012) (xy 143.839537 61.635019) (xy 143.782131 61.682131)
+ (xy 143.735019 61.739537) (xy 143.700012 61.80503) (xy 143.678455 61.876095) (xy 143.671176 61.95) (xy 143.671176 63.45)
+ (xy 143.678455 63.523905) (xy 143.700012 63.59497) (xy 143.735019 63.660463) (xy 143.782131 63.717869) (xy 143.839537 63.764981)
+ (xy 143.90503 63.799988) (xy 143.976095 63.821545) (xy 144.05 63.828824) (xy 144.89967 63.828824) (xy 144.821266 63.946165)
+ (xy 144.73631 64.151266) (xy 144.693 64.369) (xy 144.693 64.591) (xy 144.73631 64.808734) (xy 144.821266 65.013835)
+ (xy 144.944602 65.198421) (xy 145.101579 65.355398) (xy 145.127922 65.373) (xy 136.128356 65.373) (xy 136.15328 65.312829)
+ (xy 136.183139 65.162714) (xy 136.183139 65.009658) (xy 136.158341 64.884985) (xy 136.178495 64.89443) (xy 136.441845 64.9594)
+ (xy 136.712809 64.971745) (xy 136.980975 64.930991) (xy 137.236038 64.838703) (xy 137.347119 64.77933) (xy 137.457517 64.587122)
+ (xy 139.412483 64.587122) (xy 139.522881 64.77933) (xy 139.768495 64.89443) (xy 140.031845 64.9594) (xy 140.302809 64.971745)
+ (xy 140.570975 64.930991) (xy 140.826038 64.838703) (xy 140.937119 64.77933) (xy 141.047517 64.587122) (xy 140.23 63.769605)
+ (xy 139.412483 64.587122) (xy 137.457517 64.587122) (xy 136.64 63.769605) (xy 136.625858 63.783748) (xy 136.446253 63.604143)
+ (xy 136.460395 63.59) (xy 136.819605 63.59) (xy 137.637122 64.407517) (xy 137.82933 64.297119) (xy 137.94443 64.051505)
+ (xy 138.0094 63.788155) (xy 138.01511 63.662809) (xy 138.848255 63.662809) (xy 138.889009 63.930975) (xy 138.981297 64.186038)
+ (xy 139.04067 64.297119) (xy 139.232878 64.407517) (xy 140.050395 63.59) (xy 140.409605 63.59) (xy 141.227122 64.407517)
+ (xy 141.41933 64.297119) (xy 141.53443 64.051505) (xy 141.5994 63.788155) (xy 141.611745 63.517191) (xy 141.570991 63.249025)
+ (xy 141.478703 62.993962) (xy 141.41933 62.882881) (xy 141.227122 62.772483) (xy 140.409605 63.59) (xy 140.050395 63.59)
+ (xy 139.232878 62.772483) (xy 139.04067 62.882881) (xy 138.92557 63.128495) (xy 138.8606 63.391845) (xy 138.848255 63.662809)
+ (xy 138.01511 63.662809) (xy 138.021745 63.517191) (xy 137.980991 63.249025) (xy 137.888703 62.993962) (xy 137.82933 62.882881)
+ (xy 137.637122 62.772483) (xy 136.819605 63.59) (xy 136.460395 63.59) (xy 135.642878 62.772483) (xy 135.45067 62.882881)
+ (xy 135.33557 63.128495) (xy 135.2706 63.391845) (xy 135.258255 63.662809) (xy 135.299009 63.930975) (xy 135.3905 64.183836)
+ (xy 134.427 63.220336) (xy 134.427 63.030791) (xy 134.430033 62.999999) (xy 134.424232 62.941102) (xy 134.417927 62.877087)
+ (xy 134.382075 62.758897) (xy 134.323853 62.649972) (xy 134.276998 62.592878) (xy 135.822483 62.592878) (xy 136.64 63.410395)
+ (xy 137.457517 62.592878) (xy 139.412483 62.592878) (xy 140.23 63.410395) (xy 141.047517 62.592878) (xy 140.937119 62.40067)
+ (xy 140.691505 62.28557) (xy 140.428155 62.2206) (xy 140.157191 62.208255) (xy 139.889025 62.249009) (xy 139.633962 62.341297)
+ (xy 139.522881 62.40067) (xy 139.412483 62.592878) (xy 137.457517 62.592878) (xy 137.347119 62.40067) (xy 137.101505 62.28557)
+ (xy 136.838155 62.2206) (xy 136.567191 62.208255) (xy 136.299025 62.249009) (xy 136.043962 62.341297) (xy 135.932881 62.40067)
+ (xy 135.822483 62.592878) (xy 134.276998 62.592878) (xy 134.245501 62.554499) (xy 134.221578 62.534866) (xy 131.795138 60.108427)
+ (xy 131.775501 60.084499) (xy 131.680028 60.006147) (xy 131.59897 59.96282) (xy 132.858 59.96282) (xy 132.858 60.35718)
+ (xy 132.934936 60.743962) (xy 133.08585 61.108303) (xy 133.304945 61.436201) (xy 133.583799 61.715055) (xy 133.911697 61.93415)
+ (xy 134.276038 62.085064) (xy 134.66282 62.162) (xy 135.05718 62.162) (xy 135.443962 62.085064) (xy 135.808303 61.93415)
+ (xy 136.136201 61.715055) (xy 136.415055 61.436201) (xy 136.63415 61.108303) (xy 136.785064 60.743962) (xy 136.862 60.35718)
+ (xy 136.862 59.96282) (xy 140.008 59.96282) (xy 140.008 60.35718) (xy 140.084936 60.743962) (xy 140.23585 61.108303)
+ (xy 140.454945 61.436201) (xy 140.733799 61.715055) (xy 141.061697 61.93415) (xy 141.426038 62.085064) (xy 141.81282 62.162)
+ (xy 142.20718 62.162) (xy 142.593962 62.085064) (xy 142.958303 61.93415) (xy 143.286201 61.715055) (xy 143.565055 61.436201)
+ (xy 143.78415 61.108303) (xy 143.935064 60.743962) (xy 144.012 60.35718) (xy 144.012 59.96282) (xy 143.935064 59.576038)
+ (xy 143.78415 59.211697) (xy 143.565055 58.883799) (xy 143.286201 58.604945) (xy 142.958303 58.38585) (xy 142.593962 58.234936)
+ (xy 142.20718 58.158) (xy 141.81282 58.158) (xy 141.426038 58.234936) (xy 141.061697 58.38585) (xy 140.733799 58.604945)
+ (xy 140.454945 58.883799) (xy 140.23585 59.211697) (xy 140.084936 59.576038) (xy 140.008 59.96282) (xy 136.862 59.96282)
+ (xy 136.785064 59.576038) (xy 136.63415 59.211697) (xy 136.415055 58.883799) (xy 136.136201 58.604945) (xy 135.808303 58.38585)
+ (xy 135.443962 58.234936) (xy 135.05718 58.158) (xy 134.66282 58.158) (xy 134.276038 58.234936) (xy 133.911697 58.38585)
+ (xy 133.583799 58.604945) (xy 133.304945 58.883799) (xy 133.08585 59.211697) (xy 132.934936 59.576038) (xy 132.858 59.96282)
+ (xy 131.59897 59.96282) (xy 131.571103 59.947925) (xy 131.452913 59.912073) (xy 131.360794 59.903) (xy 131.33 59.899967)
+ (xy 131.299206 59.903) (xy 129.657 59.903) (xy 129.657 58.929711) (xy 131.159712 57.427) (xy 145.640289 57.427)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 150.093748 64.465858) (xy 150.079605 64.48) (xy 150.71841 65.118805) (xy 150.885043 65.038694) (xy 150.919453 64.961174)
+ (xy 150.941266 65.013835) (xy 151.064602 65.198421) (xy 151.221579 65.355398) (xy 151.247922 65.373) (xy 150.502945 65.373)
+ (xy 150.538805 65.29841) (xy 149.9 64.659605) (xy 149.261195 65.29841) (xy 149.297055 65.373) (xy 148.552078 65.373)
+ (xy 148.578421 65.355398) (xy 148.735398 65.198421) (xy 148.858734 65.013835) (xy 148.879032 64.964831) (xy 148.888202 64.98864)
+ (xy 148.914957 65.038694) (xy 149.08159 65.118805) (xy 149.720395 64.48) (xy 149.706253 64.465858) (xy 149.885858 64.286253)
+ (xy 149.9 64.300395) (xy 149.914143 64.286253)
+ )
+ )
+ (filled_polygon
+ (pts
+ (xy 130.243748 64.465858) (xy 130.229605 64.48) (xy 130.86841 65.118805) (xy 131.035043 65.038694) (xy 131.069453 64.961174)
+ (xy 131.091266 65.013835) (xy 131.214602 65.198421) (xy 131.371579 65.355398) (xy 131.397922 65.373) (xy 130.652945 65.373)
+ (xy 130.688805 65.29841) (xy 130.05 64.659605) (xy 129.411195 65.29841) (xy 129.447055 65.373) (xy 128.729052 65.373)
+ (xy 128.718247 65.362196) (xy 128.728421 65.355398) (xy 128.885398 65.198421) (xy 129.008734 65.013835) (xy 129.029032 64.964831)
+ (xy 129.038202 64.98864) (xy 129.064957 65.038694) (xy 129.23159 65.118805) (xy 129.870395 64.48) (xy 129.856253 64.465858)
+ (xy 130.035858 64.286253) (xy 130.05 64.300395) (xy 130.064143 64.286253)
+ )
+ )
+ )
+)
diff --git a/driver/driver.pro b/driver/driver.pro
index 5f95ab3..bbdda13 100644
--- a/driver/driver.pro
+++ b/driver/driver.pro
@@ -1,49 +1,275 @@
-update=Fri Apr 26 12:14:01 2019
-version=1
-last_client=kicad
-[general]
-version=1
-RootSch=
-BoardNm=
-[pcbnew]
-version=1
-LastNetListRead=
-UseCmpFile=1
-PadDrill=0.600000000000
-PadDrillOvalY=0.600000000000
-PadSizeH=1.500000000000
-PadSizeV=1.500000000000
-PcbTextSizeV=1.500000000000
-PcbTextSizeH=1.500000000000
-PcbTextThickness=0.300000000000
-ModuleTextSizeV=1.000000000000
-ModuleTextSizeH=1.000000000000
-ModuleTextSizeThickness=0.150000000000
-SolderMaskClearance=0.000000000000
-SolderMaskMinWidth=0.000000000000
-DrawSegmentWidth=0.200000000000
-BoardOutlineThickness=0.100000000000
-ModuleOutlineThickness=0.150000000000
-[cvpcb]
-version=1
-NetIExt=net
-[eeschema]
-version=1
-LibDir=
-[eeschema/libraries]
-[schematic_editor]
-version=1
-PageLayoutDescrFile=
-PlotDirectoryName=
-SubpartIdSeparator=0
-SubpartFirstId=65
-NetFmtName=
-SpiceAjustPassiveValues=0
-LabSize=50
-ERC_WriteFile=0
-ERC_TestSimilarLabels=1
-ERC_CheckUniqueGlobalLabels=1
-ERC_CheckBusDriverConflicts=1
-ERC_CheckBusEntryConflicts=1
-ERC_CheckBusToBusConflicts=1
-ERC_CheckBusToNetConflicts=1
+update=4/10/2020 8:32:47 PM
+version=1
+last_client=kicad
+[general]
+version=1
+RootSch=
+BoardNm=
+[cvpcb]
+version=1
+NetIExt=net
+[eeschema]
+version=1
+LibDir=
+[eeschema/libraries]
+[schematic_editor]
+version=1
+PageLayoutDescrFile=
+PlotDirectoryName=
+SubpartIdSeparator=0
+SubpartFirstId=65
+NetFmtName=
+SpiceAjustPassiveValues=0
+LabSize=50
+ERC_WriteFile=0
+ERC_TestSimilarLabels=1
+ERC_CheckUniqueGlobalLabels=1
+ERC_CheckBusDriverConflicts=1
+ERC_CheckBusEntryConflicts=1
+ERC_CheckBusToBusConflicts=1
+ERC_CheckBusToNetConflicts=1
+[pcbnew]
+version=1
+PageLayoutDescrFile=
+LastNetListRead=
+CopperLayerCount=2
+BoardThickness=1.6
+AllowMicroVias=0
+AllowBlindVias=0
+RequireCourtyardDefinitions=0
+ProhibitOverlappingCourtyards=1
+MinTrackWidth=0.15
+MinViaDiameter=0.4
+MinViaDrill=0.3
+MinMicroViaDiameter=0.2
+MinMicroViaDrill=0.09999999999999999
+MinHoleToHole=0.25
+TrackWidth1=0.25
+TrackWidth2=0.15
+TrackWidth3=0.25
+TrackWidth4=0.5
+TrackWidth5=0.8
+TrackWidth6=1.2
+TrackWidth7=1.8
+TrackWidth8=2.5
+TrackWidth9=3.2
+ViaDiameter1=0.8
+ViaDrill1=0.4
+ViaDiameter2=2
+ViaDrill2=1
+dPairWidth1=0.2
+dPairGap1=0.25
+dPairViaGap1=0.25
+SilkLineWidth=0.12
+SilkTextSizeV=1
+SilkTextSizeH=1
+SilkTextSizeThickness=0.15
+SilkTextItalic=0
+SilkTextUpright=1
+CopperLineWidth=0.2
+CopperTextSizeV=1.5
+CopperTextSizeH=1.5
+CopperTextThickness=0.3
+CopperTextItalic=0
+CopperTextUpright=1
+EdgeCutLineWidth=0.05
+CourtyardLineWidth=0.05
+OthersLineWidth=0.15
+OthersTextSizeV=1
+OthersTextSizeH=1
+OthersTextSizeThickness=0.15
+OthersTextItalic=0
+OthersTextUpright=1
+SolderMaskClearance=0.051
+SolderMaskMinWidth=0.25
+SolderPasteClearance=0
+SolderPasteRatio=-0
+[pcbnew/Layer.F.Cu]
+Name=F.Cu
+Type=0
+Enabled=1
+[pcbnew/Layer.In1.Cu]
+Name=In1.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In2.Cu]
+Name=In2.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In3.Cu]
+Name=In3.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In4.Cu]
+Name=In4.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In5.Cu]
+Name=In5.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In6.Cu]
+Name=In6.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In7.Cu]
+Name=In7.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In8.Cu]
+Name=In8.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In9.Cu]
+Name=In9.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In10.Cu]
+Name=In10.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In11.Cu]
+Name=In11.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In12.Cu]
+Name=In12.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In13.Cu]
+Name=In13.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In14.Cu]
+Name=In14.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In15.Cu]
+Name=In15.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In16.Cu]
+Name=In16.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In17.Cu]
+Name=In17.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In18.Cu]
+Name=In18.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In19.Cu]
+Name=In19.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In20.Cu]
+Name=In20.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In21.Cu]
+Name=In21.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In22.Cu]
+Name=In22.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In23.Cu]
+Name=In23.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In24.Cu]
+Name=In24.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In25.Cu]
+Name=In25.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In26.Cu]
+Name=In26.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In27.Cu]
+Name=In27.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In28.Cu]
+Name=In28.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In29.Cu]
+Name=In29.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.In30.Cu]
+Name=In30.Cu
+Type=0
+Enabled=0
+[pcbnew/Layer.B.Cu]
+Name=B.Cu
+Type=0
+Enabled=1
+[pcbnew/Layer.B.Adhes]
+Enabled=1
+[pcbnew/Layer.F.Adhes]
+Enabled=1
+[pcbnew/Layer.B.Paste]
+Enabled=1
+[pcbnew/Layer.F.Paste]
+Enabled=1
+[pcbnew/Layer.B.SilkS]
+Enabled=1
+[pcbnew/Layer.F.SilkS]
+Enabled=1
+[pcbnew/Layer.B.Mask]
+Enabled=1
+[pcbnew/Layer.F.Mask]
+Enabled=1
+[pcbnew/Layer.Dwgs.User]
+Enabled=1
+[pcbnew/Layer.Cmts.User]
+Enabled=1
+[pcbnew/Layer.Eco1.User]
+Enabled=1
+[pcbnew/Layer.Eco2.User]
+Enabled=1
+[pcbnew/Layer.Edge.Cuts]
+Enabled=1
+[pcbnew/Layer.Margin]
+Enabled=1
+[pcbnew/Layer.B.CrtYd]
+Enabled=1
+[pcbnew/Layer.F.CrtYd]
+Enabled=1
+[pcbnew/Layer.B.Fab]
+Enabled=1
+[pcbnew/Layer.F.Fab]
+Enabled=1
+[pcbnew/Layer.Rescue]
+Enabled=0
+[pcbnew/Netclasses]
+[pcbnew/Netclasses/Default]
+Name=Default
+Clearance=0.2
+TrackWidth=0.25
+ViaDiameter=0.8
+ViaDrill=0.4
+uViaDiameter=0.3
+uViaDrill=0.1
+dPairWidth=0.2
+dPairGap=0.25
+dPairViaGap=0.25
+[pcbnew/Netclasses/1]
+Name=HV
+Clearance=0.6
+TrackWidth=0.25
+ViaDiameter=0.8
+ViaDrill=0.4
+uViaDiameter=0.3
+uViaDrill=0.1
+dPairWidth=0.2
+dPairGap=0.25
+dPairViaGap=0.25
diff --git a/driver/driver.sch b/driver/driver.sch
index 6447add..e9625b3 100644
--- a/driver/driver.sch
+++ b/driver/driver.sch
@@ -1,3088 +1,3135 @@
-EESchema Schematic File Version 5
-LIBS:driver-cache
-EELAYER 29 0
-EELAYER END
-$Descr A2 23386 16535
-encoding utf-8
-Sheet 1 1
-Title ""
-Date ""
-Rev ""
-Comp ""
-Comment1 ""
-Comment2 ""
-Comment3 ""
-Comment4 ""
-$EndDescr
-$Comp
-L Device:R_Small R28
-U 1 1 5C3D5DD5
-P 9150 10300
-F 0 "R28" V 8954 10300 50 0000 C CNN
-F 1 "5mR 1W 2512" V 9045 10300 50 0000 C CNN
-F 2 "Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder" H 9150 10300 50 0001 C CNN
-F 3 "~" H 9150 10300 50 0001 C CNN
- 1 9150 10300
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R29
-U 1 1 5C3D5E81
-P 9250 10300
-F 0 "R29" V 9054 10300 50 0001 C CNN
-F 1 "5mR 1W" V 9145 10300 50 0001 C CNN
-F 2 "Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder" H 9250 10300 50 0001 C CNN
-F 3 "~" H 9250 10300 50 0001 C CNN
- 1 9250 10300
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R30
-U 1 1 5C3D5FC2
-P 9350 10300
-F 0 "R30" V 9154 10300 50 0001 C CNN
-F 1 "5mR 1W" V 9245 10300 50 0001 C CNN
-F 2 "Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder" H 9350 10300 50 0001 C CNN
-F 3 "~" H 9350 10300 50 0001 C CNN
- 1 9350 10300
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R31
-U 1 1 5C3D600E
-P 9450 10300
-F 0 "R31" V 9254 10300 50 0001 C CNN
-F 1 "5mR 1W" V 9345 10300 50 0001 C CNN
-F 2 "Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder" H 9450 10300 50 0001 C CNN
-F 3 "~" H 9450 10300 50 0001 C CNN
- 1 9450 10300
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R32
-U 1 1 5C3D603D
-P 9550 10300
-F 0 "R32" V 9354 10300 50 0001 C CNN
-F 1 "5mR 1W" V 9445 10300 50 0001 C CNN
-F 2 "Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder" H 9550 10300 50 0001 C CNN
-F 3 "~" H 9550 10300 50 0001 C CNN
- 1 9550 10300
- 1 0 0 -1
-$EndComp
-$Comp
-L Analog_ADC:INA226 U7
-U 1 1 5C3D6130
-P 10800 10300
-F 0 "U7" H 10550 10750 50 0000 C CNN
-F 1 "INA226" H 10950 10750 50 0000 C CNN
-F 2 "Package_SO:MSOP-10_3x3mm_P0.5mm" H 10850 10400 50 0001 C CNN
-F 3 "http://www.ti.com/lit/ds/symlink/ina226.pdf" H 11150 10200 50 0001 C CNN
- 1 10800 10300
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0101
-U 1 1 5C3D6C90
-P 10800 10900
-F 0 "#PWR0101" H 10800 10650 50 0001 C CNN
-F 1 "GND" H 10805 10727 50 0000 C CNN
-F 2 "" H 10800 10900 50 0001 C CNN
-F 3 "" H 10800 10900 50 0001 C CNN
- 1 10800 10900
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0102
-U 1 1 5C3D6E59
-P 11300 10900
-F 0 "#PWR0102" H 11300 10650 50 0001 C CNN
-F 1 "GND" H 11305 10727 50 0000 C CNN
-F 2 "" H 11300 10900 50 0001 C CNN
-F 3 "" H 11300 10900 50 0001 C CNN
- 1 11300 10900
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 11300 10900 11300 10100
-Wire Wire Line
- 11200 10000 11300 10000
-Wire Wire Line
- 11300 10000 11300 10100
-Connection ~ 11300 10100
-Wire Wire Line
- 11300 10100 11200 10100
-Wire Wire Line
- 9150 10400 9150 10500
-Wire Wire Line
- 9150 10500 9250 10500
-Wire Wire Line
- 9550 10500 9550 10400
-Wire Wire Line
- 9450 10400 9450 10500
-Connection ~ 9450 10500
-Wire Wire Line
- 9450 10500 9550 10500
-Wire Wire Line
- 9350 10500 9350 10400
-Connection ~ 9350 10500
-Wire Wire Line
- 9350 10500 9450 10500
-Wire Wire Line
- 9250 10400 9250 10500
-Connection ~ 9250 10500
-Wire Wire Line
- 9250 10500 9350 10500
-Wire Wire Line
- 9150 10200 9150 10100
-Wire Wire Line
- 9150 10100 9250 10100
-Wire Wire Line
- 9550 10100 9550 10200
-Wire Wire Line
- 9450 10200 9450 10100
-Connection ~ 9450 10100
-Wire Wire Line
- 9450 10100 9550 10100
-Wire Wire Line
- 9350 10100 9350 10200
-Connection ~ 9350 10100
-Wire Wire Line
- 9350 10100 9450 10100
-Wire Wire Line
- 9250 10200 9250 10100
-Connection ~ 9250 10100
-Wire Wire Line
- 9250 10100 9350 10100
-$Comp
-L Transistor_FET:IRF7480M Q3
-U 1 1 5C3D9DBF
-P 8750 6600
-F 0 "Q3" H 8955 6646 50 0000 L CNN
-F 1 "TPHR6503PL" H 8955 6555 50 0001 L CNN
-F 2 "footprints:Toshiba SOP Advance" H 8750 6600 50 0001 C CIN
-F 3 "https://www.infineon.com/dgdl/Infineon-IRF7480M-DS-v01_02-EN.pdf?fileId=5546d462533600a4015355ff8fa41c30" H 8750 6600 50 0001 L CNN
- 1 8750 6600
- 1 0 0 -1
-$EndComp
-$Comp
-L Transistor_FET:IRF7480M Q1
-U 1 1 5C3DA5D0
-P 8750 5650
-F 0 "Q1" H 8955 5696 50 0000 L CNN
-F 1 "TPHR6503PL" H 8950 5800 50 0001 L CNN
-F 2 "footprints:Toshiba SOP Advance" H 8750 5650 50 0001 C CIN
-F 3 "https://www.infineon.com/dgdl/Infineon-IRF7480M-DS-v01_02-EN.pdf?fileId=5546d462533600a4015355ff8fa41c30" H 8750 5650 50 0001 L CNN
- 1 8750 5650
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 8850 5850 8850 6150
-$Comp
-L Transistor_FET:IRF7480M Q2
-U 1 1 5C3DAAC4
-P 9950 5650
-F 0 "Q2" H 10156 5696 50 0000 L CNN
-F 1 "TPHR6503PL" H 10300 5800 50 0000 L CNN
-F 2 "footprints:Toshiba SOP Advance" H 9950 5650 50 0001 C CIN
-F 3 "https://www.infineon.com/dgdl/Infineon-IRF7480M-DS-v01_02-EN.pdf?fileId=5546d462533600a4015355ff8fa41c30" H 9950 5650 50 0001 L CNN
- 1 9950 5650
- -1 0 0 -1
-$EndComp
-$Comp
-L Transistor_FET:IRF7480M Q4
-U 1 1 5C3DB5E6
-P 9950 6600
-F 0 "Q4" H 10156 6646 50 0000 L CNN
-F 1 "TPHR6503PL" H 10300 6750 50 0000 L CNN
-F 2 "footprints:Toshiba SOP Advance" H 9950 6600 50 0001 C CIN
-F 3 "https://www.infineon.com/dgdl/Infineon-IRF7480M-DS-v01_02-EN.pdf?fileId=5546d462533600a4015355ff8fa41c30" H 9950 6600 50 0001 L CNN
- 1 9950 6600
- -1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R19
-U 1 1 5C3DB9A3
-P 8250 5650
-F 0 "R19" V 8054 5650 50 0000 C CNN
-F 1 "0R" V 8145 5650 50 0000 C CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 8250 5650 50 0001 C CNN
-F 3 "~" H 8250 5650 50 0001 C CNN
- 1 8250 5650
- 0 1 1 0
-$EndComp
-Wire Wire Line
- 8050 5650 8150 5650
-Wire Wire Line
- 8350 5650 8450 5650
-Wire Wire Line
- 8450 5700 8450 5650
-$Comp
-L power:GND #PWR0103
-U 1 1 5C3E47F9
-P 7350 6500
-F 0 "#PWR0103" H 7350 6250 50 0001 C CNN
-F 1 "GND" H 7355 6327 50 0000 C CNN
-F 2 "" H 7350 6500 50 0001 C CNN
-F 3 "" H 7350 6500 50 0001 C CNN
- 1 7350 6500
- 1 0 0 -1
-$EndComp
-Connection ~ 8850 6150
-Wire Wire Line
- 8850 6150 8850 6400
-Wire Wire Line
- 9850 6150 9850 6400
-Wire Wire Line
- 9850 6150 9850 5850
-Connection ~ 9850 6150
-Wire Wire Line
- 8850 5450 8850 5350
-Wire Wire Line
- 8850 5350 9350 5350
-Wire Wire Line
- 9850 5350 9850 5450
-Wire Wire Line
- 8850 6800 8850 6900
-Wire Wire Line
- 9850 6900 9850 6800
-Wire Wire Line
- 10400 10000 10250 10000
-Wire Wire Line
- 10250 10000 10250 5350
-Wire Wire Line
- 10250 5350 9850 5350
-Connection ~ 9850 5350
-Wire Wire Line
- 6200 4100 9350 4100
-Wire Wire Line
- 9350 4100 9350 5350
-Connection ~ 9350 5350
-Wire Wire Line
- 9350 5350 9850 5350
-Wire Wire Line
- 9350 10500 9350 10750
-Wire Wire Line
- 9350 10750 6200 10750
-$Comp
-L Device:R_Small R21
-U 1 1 5C405205
-P 8250 6600
-F 0 "R21" V 8450 6600 50 0000 C CNN
-F 1 "0R" V 8350 6600 50 0000 C CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 8250 6600 50 0001 C CNN
-F 3 "~" H 8250 6600 50 0001 C CNN
- 1 8250 6600
- 0 1 1 0
-$EndComp
-Wire Wire Line
- 8050 6600 8150 6600
-$Comp
-L components:TC4427 U4
-U 1 1 5C406E47
-P 7350 6100
-F 0 "U4" H 7050 6450 50 0000 C CNN
-F 1 "TC4427" H 7600 6450 50 0000 C CNN
-F 2 "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" H 7350 6100 50 0001 C CNN
-F 3 "" H 7350 6100 50 0001 C CNN
- 1 7350 6100
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 7850 5950 8050 5950
-Wire Wire Line
- 8050 5950 8050 5650
-Wire Wire Line
- 7850 6250 8050 6250
-Wire Wire Line
- 8050 6250 8050 6600
-$Comp
-L Device:C_Small C25
-U 1 1 5C40F6B4
-P 8450 5800
-F 0 "C25" H 8250 5850 50 0000 L CNN
-F 1 "0" H 8300 5700 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 8450 5800 50 0001 C CNN
-F 3 "~" H 8450 5800 50 0001 C CNN
- 1 8450 5800
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:C_Small C27
-U 1 1 5C40F928
-P 8450 6450
-F 0 "C27" H 8250 6550 50 0000 L CNN
-F 1 "0" H 8300 6400 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 8450 6450 50 0001 C CNN
-F 3 "~" H 8450 6450 50 0001 C CNN
- 1 8450 6450
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R20
-U 1 1 5C41E378
-P 10700 5650
-F 0 "R20" V 10504 5650 50 0000 C CNN
-F 1 "0R" V 10595 5650 50 0000 C CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 10700 5650 50 0001 C CNN
-F 3 "~" H 10700 5650 50 0001 C CNN
- 1 10700 5650
- 0 -1 1 0
-$EndComp
-Wire Wire Line
- 10900 5650 10800 5650
-Wire Wire Line
- 10600 5650 10500 5650
-$Comp
-L power:GND #PWR0104
-U 1 1 5C41E380
-P 10700 6200
-F 0 "#PWR0104" H 10700 5950 50 0001 C CNN
-F 1 "GND" H 10705 6027 50 0000 C CNN
-F 2 "" H 10700 6200 50 0001 C CNN
-F 3 "" H 10700 6200 50 0001 C CNN
- 1 10700 6200
- -1 0 0 -1
-$EndComp
-Wire Wire Line
- 10500 5700 10500 5650
-$Comp
-L power:GND #PWR0105
-U 1 1 5C41E390
-P 11600 6500
-F 0 "#PWR0105" H 11600 6250 50 0001 C CNN
-F 1 "GND" H 11605 6327 50 0000 C CNN
-F 2 "" H 11600 6500 50 0001 C CNN
-F 3 "" H 11600 6500 50 0001 C CNN
- 1 11600 6500
- -1 0 0 -1
-$EndComp
-Connection ~ 10500 5650
-$Comp
-L Device:R_Small R22
-U 1 1 5C41E39D
-P 10700 6600
-F 0 "R22" V 10750 6450 50 0000 C CNN
-F 1 "0R" V 10800 6600 50 0000 C CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 10700 6600 50 0001 C CNN
-F 3 "~" H 10700 6600 50 0001 C CNN
- 1 10700 6600
- 0 -1 1 0
-$EndComp
-Wire Wire Line
- 10900 6600 10800 6600
-$Comp
-L components:TC4427 U5
-U 1 1 5C41E3A6
-P 11600 6100
-F 0 "U5" H 11300 6450 50 0000 C CNN
-F 1 "TC4427" H 11850 6450 50 0000 C CNN
-F 2 "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" H 11600 6100 50 0001 C CNN
-F 3 "" H 11600 6100 50 0001 C CNN
- 1 11600 6100
- -1 0 0 -1
-$EndComp
-Wire Wire Line
- 11100 5950 10900 5950
-Wire Wire Line
- 10900 5950 10900 5650
-Wire Wire Line
- 11100 6250 10900 6250
-Wire Wire Line
- 10900 6250 10900 6600
-$Comp
-L Device:C_Small C26
-U 1 1 5C41E3B0
-P 10500 5800
-F 0 "C26" H 10592 5846 50 0000 L CNN
-F 1 "0" H 10592 5755 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 10500 5800 50 0001 C CNN
-F 3 "~" H 10500 5800 50 0001 C CNN
- 1 10500 5800
- -1 0 0 -1
-$EndComp
-$Comp
-L Device:C_Small C28
-U 1 1 5C41E3B6
-P 10500 6450
-F 0 "C28" H 10592 6496 50 0000 L CNN
-F 1 "0" H 10592 6405 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 10500 6450 50 0001 C CNN
-F 3 "~" H 10500 6450 50 0001 C CNN
- 1 10500 6450
- -1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0106
-U 1 1 5C3E155E
-P 8600 6200
-F 0 "#PWR0106" H 8600 5950 50 0001 C CNN
-F 1 "GND" H 8605 6027 50 0000 C CNN
-F 2 "" H 8600 6200 50 0001 C CNN
-F 3 "" H 8600 6200 50 0001 C CNN
- 1 8600 6200
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 8350 6600 8450 6600
-Wire Wire Line
- 8450 6600 8450 6550
-Connection ~ 8450 6600
-Wire Wire Line
- 8450 6600 8550 6600
-Wire Wire Line
- 8450 5900 8450 6150
-Wire Wire Line
- 8450 6150 8600 6150
-Wire Wire Line
- 8600 6150 8600 6200
-Connection ~ 8450 6150
-Wire Wire Line
- 8450 6150 8450 6350
-Wire Wire Line
- 10150 5650 10500 5650
-Wire Wire Line
- 10500 6550 10500 6600
-Wire Wire Line
- 10500 6600 10600 6600
-Wire Wire Line
- 10500 6150 10700 6150
-Wire Wire Line
- 10700 6150 10700 6200
-Wire Wire Line
- 10500 5900 10500 6150
-Connection ~ 10500 6150
-Wire Wire Line
- 10500 6150 10500 6350
-Wire Wire Line
- 6450 5950 6850 5950
-$Comp
-L power:+3V3 #PWR0107
-U 1 1 5C4568B2
-P 10800 9800
-F 0 "#PWR0107" H 10800 9650 50 0001 C CNN
-F 1 "+3V3" H 10815 9973 50 0000 C CNN
-F 2 "" H 10800 9800 50 0001 C CNN
-F 3 "" H 10800 9800 50 0001 C CNN
- 1 10800 9800
- 1 0 0 -1
-$EndComp
-$Comp
-L Regulator_Linear:AMS1117-3.3 U3
-U 1 1 5C45B743
-P 14000 4100
-F 0 "U3" H 14000 4342 50 0000 C CNN
-F 1 "AMS1117-3.3" H 14000 4251 50 0000 C CNN
-F 2 "Package_TO_SOT_SMD:SOT-223-3_TabPin2" H 14000 4300 50 0001 C CNN
-F 3 "http://www.advanced-monolithic.com/pdf/ds1117.pdf" H 14100 3850 50 0001 C CNN
- 1 14000 4100
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0108
-U 1 1 5C45EB4A
-P 9350 10750
-F 0 "#PWR0108" H 9350 10500 50 0001 C CNN
-F 1 "GND" H 9355 10577 50 0000 C CNN
-F 2 "" H 9350 10750 50 0001 C CNN
-F 3 "" H 9350 10750 50 0001 C CNN
- 1 9350 10750
- 1 0 0 -1
-$EndComp
-Connection ~ 9350 10750
-$Comp
-L Device:C_Small C17
-U 1 1 5C460459
-P 14400 4250
-F 0 "C17" H 14492 4296 50 0000 L CNN
-F 1 "1u" H 14500 4200 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 14400 4250 50 0001 C CNN
-F 3 "~" H 14400 4250 50 0001 C CNN
- 1 14400 4250
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 14400 4350 14400 4400
-Wire Wire Line
- 14400 4150 14400 4100
-Wire Wire Line
- 14400 4100 14300 4100
-$Comp
-L Device:C_Small C16
-U 1 1 5C46605E
-P 13600 4250
-F 0 "C16" H 13400 4300 50 0000 L CNN
-F 1 "1u" H 13400 4200 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 13600 4250 50 0001 C CNN
-F 3 "~" H 13600 4250 50 0001 C CNN
- 1 13600 4250
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 13600 4400 13600 4350
-Wire Wire Line
- 13600 4150 13600 4100
-Wire Wire Line
- 13600 4100 13700 4100
-$Comp
-L Device:CP_Small C15
-U 1 1 5C46BEDF
-P 13250 4250
-F 0 "C15" H 13000 4300 50 0000 L CNN
-F 1 "100u" H 13000 4200 50 0000 L CNN
-F 2 "Capacitor_THT:CP_Radial_D10.0mm_P5.00mm" H 13250 4250 50 0001 C CNN
-F 3 "~" H 13250 4250 50 0001 C CNN
- 1 13250 4250
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R7
-U 1 1 5C47C915
-P 12850 4100
-F 0 "R7" V 12654 4100 50 0000 C CNN
-F 1 "10R .5W" V 12745 4100 50 0000 C CNN
-F 2 "Resistor_SMD:R_1206_3216Metric_Pad1.42x1.75mm_HandSolder" H 12850 4100 50 0001 C CNN
-F 3 "~" H 12850 4100 50 0001 C CNN
- 1 12850 4100
- 0 -1 1 0
-$EndComp
-Wire Wire Line
- 13250 4100 13250 4150
-Wire Wire Line
- 13250 4100 13600 4100
-Connection ~ 13250 4100
-Connection ~ 13600 4100
-$Comp
-L power:GND #PWR0109
-U 1 1 5C45FBC3
-P 14000 4450
-F 0 "#PWR0109" H 14000 4200 50 0001 C CNN
-F 1 "GND" H 14150 4400 50 0000 C CNN
-F 2 "" H 14000 4450 50 0001 C CNN
-F 3 "" H 14000 4450 50 0001 C CNN
- 1 14000 4450
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 14400 4400 14000 4400
-Wire Wire Line
- 13250 4400 13250 4350
-Connection ~ 13600 4400
-Wire Wire Line
- 13600 4400 13250 4400
-Connection ~ 14000 4400
-Wire Wire Line
- 14000 4400 13600 4400
-Wire Wire Line
- 14000 4400 14000 4450
-$Comp
-L Device:CP_Small C19
-U 1 1 5C48FE5D
-P 15100 4250
-F 0 "C19" H 15200 4300 50 0000 L CNN
-F 1 "470u" H 15200 4200 50 0000 L CNN
-F 2 "Capacitor_THT:CP_Radial_D10.0mm_P5.00mm" H 15100 4250 50 0001 C CNN
-F 3 "~" H 15100 4250 50 0001 C CNN
- 1 15100 4250
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 14750 4150 14750 4100
-Wire Wire Line
- 14750 4100 14400 4100
-Connection ~ 14400 4100
-Wire Wire Line
- 14400 4400 14750 4400
-Wire Wire Line
- 14750 4400 14750 4350
-Connection ~ 14400 4400
-$Comp
-L Device:C_Small C18
-U 1 1 5C49E930
-P 14750 4250
-F 0 "C18" H 14842 4296 50 0000 L CNN
-F 1 "10u" H 14850 4200 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 14750 4250 50 0001 C CNN
-F 3 "~" H 14750 4250 50 0001 C CNN
- 1 14750 4250
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 14750 4100 15100 4100
-Wire Wire Line
- 15100 4100 15100 4150
-Connection ~ 14750 4100
-Wire Wire Line
- 14750 4400 15100 4400
-Wire Wire Line
- 15100 4400 15100 4350
-Connection ~ 14750 4400
-Wire Wire Line
- 12750 4100 12650 4100
-Connection ~ 9350 4100
-Connection ~ 15100 4100
-$Comp
-L power:+3V3 #PWR0110
-U 1 1 5C4D85E0
-P 15100 4000
-F 0 "#PWR0110" H 15100 3850 50 0001 C CNN
-F 1 "+3V3" H 15115 4173 50 0000 C CNN
-F 2 "" H 15100 4000 50 0001 C CNN
-F 3 "" H 15100 4000 50 0001 C CNN
- 1 15100 4000
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 15100 4000 15100 4100
-$Comp
-L components:MT3608 U1
-U 1 1 5C3DB03B
-P 14000 2150
-F 0 "U1" H 14000 2515 50 0000 C CNN
-F 1 "MT3608" H 14000 2424 50 0000 C CNN
-F 2 "Package_TO_SOT_SMD:SOT-23-6_Handsoldering" H 14000 2150 50 0001 C CNN
-F 3 "" H 14000 2150 50 0001 C CNN
- 1 14000 2150
- 1 0 0 -1
-$EndComp
-$Comp
-L components:L_EU_small L1
-U 1 1 5C3E1491
-P 14000 1650
-F 0 "L1" H 14000 1842 50 0000 C CNN
-F 1 "10u" H 14000 1751 50 0000 C CNN
-F 2 "Inductor_SMD:L_Taiyo-Yuden_NR-50xx_HandSoldering" H 14000 1650 50 0001 C CNN
-F 3 "" H 14000 1650 50 0001 C CNN
- 1 14000 1650
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 13800 1650 13600 1650
-Wire Wire Line
- 13600 1650 13600 2050
-Wire Wire Line
- 13600 2050 13650 2050
-Wire Wire Line
- 13650 2200 13600 2200
-Wire Wire Line
- 13600 2200 13600 2050
-Connection ~ 13600 2050
-Connection ~ 12650 4100
-Wire Wire Line
- 12650 4100 9350 4100
-Wire Wire Line
- 14200 1650 14450 1650
-Wire Wire Line
- 14450 1650 14450 2050
-Wire Wire Line
- 14450 2050 14350 2050
-$Comp
-L Device:D_Schottky_Small_ALT D1
-U 1 1 5C3F3E87
-P 14650 1650
-F 0 "D1" H 14650 1445 50 0000 C CNN
-F 1 "2A schottky" H 14650 1536 50 0000 C CNN
-F 2 "Diode_SMD:D_SMB_Handsoldering" V 14650 1650 50 0001 C CNN
-F 3 "~" V 14650 1650 50 0001 C CNN
- 1 14650 1650
- -1 0 0 1
-$EndComp
-Wire Wire Line
- 14550 1650 14450 1650
-Connection ~ 14450 1650
-$Comp
-L Device:C_Small C1
-U 1 1 5C3F99AD
-P 15250 2100
-F 0 "C1" H 15342 2146 50 0000 L CNN
-F 1 "10u" H 15342 2055 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 15250 2100 50 0001 C CNN
-F 3 "~" H 15250 2100 50 0001 C CNN
- 1 15250 2100
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:CP_Small C2
-U 1 1 5C3FB1E5
-P 15600 2100
-F 0 "C2" H 15700 2150 50 0000 L CNN
-F 1 "470u" H 15700 2050 50 0000 L CNN
-F 2 "Capacitor_THT:CP_Radial_D10.0mm_P5.00mm" H 15600 2100 50 0001 C CNN
-F 3 "~" H 15600 2100 50 0001 C CNN
- 1 15600 2100
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 15600 2000 15600 1650
-Wire Wire Line
- 15600 1650 15250 1650
-Wire Wire Line
- 15250 2000 15250 1650
-Connection ~ 15250 1650
-Wire Wire Line
- 15250 1650 14950 1650
-Wire Wire Line
- 15250 2550 15250 2200
-Wire Wire Line
- 15250 2550 15600 2550
-Wire Wire Line
- 15600 2550 15600 2200
-$Comp
-L Device:R_Small R2
-U 1 1 5C40F95F
-P 14950 2050
-F 0 "R2" V 14754 2050 50 0000 C CNN
-F 1 "100k" V 14845 2050 50 0000 C CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 14950 2050 50 0001 C CNN
-F 3 "~" H 14950 2050 50 0001 C CNN
- 1 14950 2050
- 1 0 0 1
-$EndComp
-$Comp
-L Device:R_Small R4
-U 1 1 5C417AAA
-P 14950 2350
-F 0 "R4" V 14754 2350 50 0000 C CNN
-F 1 "3k3" V 14845 2350 50 0000 C CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 14950 2350 50 0001 C CNN
-F 3 "~" H 14950 2350 50 0001 C CNN
- 1 14950 2350
- 1 0 0 1
-$EndComp
-Wire Wire Line
- 15250 2550 14950 2550
-Wire Wire Line
- 14000 2550 14000 2400
-Connection ~ 15250 2550
-Wire Wire Line
- 14950 2550 14950 2450
-Connection ~ 14950 2550
-Wire Wire Line
- 14950 2550 14550 2550
-Wire Wire Line
- 14350 2200 14950 2200
-Wire Wire Line
- 14950 2200 14950 2250
-Wire Wire Line
- 14950 2200 14950 2150
-Connection ~ 14950 2200
-Wire Wire Line
- 14950 1950 14950 1650
-Connection ~ 14950 1650
-Wire Wire Line
- 14950 1650 14750 1650
-$Comp
-L power:GND #PWR0111
-U 1 1 5C4436DB
-P 14550 2550
-F 0 "#PWR0111" H 14550 2300 50 0001 C CNN
-F 1 "GND" H 14555 2377 50 0000 C CNN
-F 2 "" H 14550 2550 50 0001 C CNN
-F 3 "" H 14550 2550 50 0001 C CNN
- 1 14550 2550
- 1 0 0 -1
-$EndComp
-Connection ~ 14550 2550
-Wire Wire Line
- 14550 2550 14000 2550
-Wire Wire Line
- 15600 1550 15600 1650
-Connection ~ 15600 1650
-$Comp
-L Device:R_Small R3
-U 1 1 5C45B966
-P 16050 2050
-F 0 "R3" V 16250 2050 50 0000 C CNN
-F 1 "47k" V 16150 2050 50 0000 C CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 16050 2050 50 0001 C CNN
-F 3 "~" H 16050 2050 50 0001 C CNN
- 1 16050 2050
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R5
-U 1 1 5C45D762
-P 16050 2350
-F 0 "R5" V 16250 2350 50 0000 C CNN
-F 1 "10k" V 16150 2350 50 0000 C CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 16050 2350 50 0001 C CNN
-F 3 "~" H 16050 2350 50 0001 C CNN
- 1 16050 2350
- 1 0 0 1
-$EndComp
-Wire Wire Line
- 16050 2150 16050 2200
-Connection ~ 16050 2200
-Wire Wire Line
- 16050 2200 16050 2250
-Text Label 16950 2200 2 50 ~ 0
-VBOOT_MON
-Wire Wire Line
- 16050 2200 16400 2200
-Wire Wire Line
- 16050 2450 16050 2550
-Wire Wire Line
- 16050 2550 15600 2550
-Connection ~ 15600 2550
-Wire Wire Line
- 15600 1650 16050 1650
-Wire Wire Line
- 16050 1650 16050 1950
-$Comp
-L Device:C_Small C5
-U 1 1 5C48B1CF
-P 16400 2350
-F 0 "C5" H 16492 2396 50 0000 L CNN
-F 1 "100n" H 16492 2305 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 16400 2350 50 0001 C CNN
-F 3 "~" H 16400 2350 50 0001 C CNN
- 1 16400 2350
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 16400 2250 16400 2200
-Connection ~ 16400 2200
-Wire Wire Line
- 16400 2450 16400 2550
-Wire Wire Line
- 16400 2550 16050 2550
-Connection ~ 16050 2550
-Wire Wire Line
- 16400 2200 16950 2200
-$Comp
-L Device:R_Small R8
-U 1 1 5C4AAEC7
-P 12650 4650
-F 0 "R8" V 12850 4650 50 0000 C CNN
-F 1 "47k" V 12750 4650 50 0000 C CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 12650 4650 50 0001 C CNN
-F 3 "~" H 12650 4650 50 0001 C CNN
- 1 12650 4650
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R9
-U 1 1 5C4AAECD
-P 12650 4950
-F 0 "R9" V 12850 4950 50 0000 C CNN
-F 1 "10k" V 12750 4950 50 0000 C CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 12650 4950 50 0001 C CNN
-F 3 "~" H 12650 4950 50 0001 C CNN
- 1 12650 4950
- 1 0 0 1
-$EndComp
-Wire Wire Line
- 12650 4750 12650 4800
-Connection ~ 12650 4800
-Wire Wire Line
- 12650 4800 12650 4850
-Text Label 13550 4800 2 50 ~ 0
-VIN_MON
-Wire Wire Line
- 12650 4800 13000 4800
-Wire Wire Line
- 12650 5050 12650 5150
-$Comp
-L Device:C_Small C21
-U 1 1 5C4AAEDB
-P 13000 4950
-F 0 "C21" H 13092 4996 50 0000 L CNN
-F 1 "100n" H 13092 4905 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 13000 4950 50 0001 C CNN
-F 3 "~" H 13000 4950 50 0001 C CNN
- 1 13000 4950
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 13000 4850 13000 4800
-Connection ~ 13000 4800
-Wire Wire Line
- 13000 5050 13000 5150
-Wire Wire Line
- 13000 5150 12650 5150
-Wire Wire Line
- 13000 4800 13550 4800
-Wire Wire Line
- 12650 4550 12650 4100
-$Comp
-L power:GND #PWR0112
-U 1 1 5C4D989C
-P 12650 5250
-F 0 "#PWR0112" H 12650 5000 50 0001 C CNN
-F 1 "GND" H 12655 5077 50 0000 C CNN
-F 2 "" H 12650 5250 50 0001 C CNN
-F 3 "" H 12650 5250 50 0001 C CNN
- 1 12650 5250
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 12650 5250 12650 5150
-Connection ~ 12650 5150
-$Comp
-L Device:CP_Small C3
-U 1 1 5C4E826F
-P 13100 2250
-F 0 "C3" H 12850 2300 50 0000 L CNN
-F 1 "470u" H 12850 2200 50 0000 L CNN
-F 2 "Capacitor_THT:CP_Radial_D10.0mm_P5.00mm" H 13100 2250 50 0001 C CNN
-F 3 "~" H 13100 2250 50 0001 C CNN
- 1 13100 2250
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:C_Small C4
-U 1 1 5C4E8B95
-P 13450 2250
-F 0 "C4" H 13250 2300 50 0000 L CNN
-F 1 "10u" H 13250 2200 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 13450 2250 50 0001 C CNN
-F 3 "~" H 13450 2250 50 0001 C CNN
- 1 13450 2250
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R1
-U 1 1 5C503EA9
-P 12850 2050
-F 0 "R1" V 12654 2050 50 0000 C CNN
-F 1 "10R .5W" V 12745 2050 50 0000 C CNN
-F 2 "Resistor_SMD:R_1206_3216Metric_Pad1.42x1.75mm_HandSolder" H 12850 2050 50 0001 C CNN
-F 3 "~" H 12850 2050 50 0001 C CNN
- 1 12850 2050
- 0 -1 1 0
-$EndComp
-Wire Wire Line
- 12650 2050 12750 2050
-Wire Wire Line
- 12950 2050 13100 2050
-Wire Wire Line
- 13450 2150 13450 2050
-Connection ~ 13450 2050
-Wire Wire Line
- 13450 2050 13600 2050
-Wire Wire Line
- 13100 2150 13100 2050
-Connection ~ 13100 2050
-Wire Wire Line
- 13100 2050 13450 2050
-Wire Wire Line
- 13100 2350 13100 2550
-Wire Wire Line
- 13100 2550 13450 2550
-Connection ~ 14000 2550
-Wire Wire Line
- 13450 2350 13450 2550
-Connection ~ 13450 2550
-Wire Wire Line
- 13450 2550 14000 2550
-$Comp
-L power:GND #PWR0113
-U 1 1 5C574D2B
-P 16450 6400
-F 0 "#PWR0113" H 16450 6150 50 0001 C CNN
-F 1 "GND" H 16455 6227 50 0000 C CNN
-F 2 "" H 16450 6400 50 0001 C CNN
-F 3 "" H 16450 6400 50 0001 C CNN
- 1 16450 6400
- 1 0 0 -1
-$EndComp
-$Comp
-L power:+VSW #PWR0114
-U 1 1 5C599866
-P 15600 1550
-F 0 "#PWR0114" H 15600 1400 50 0001 C CNN
-F 1 "+VSW" H 15615 1723 50 0000 C CNN
-F 2 "" H 15600 1550 50 0001 C CNN
-F 3 "" H 15600 1550 50 0001 C CNN
- 1 15600 1550
- 1 0 0 -1
-$EndComp
-$Comp
-L power:+VSW #PWR0115
-U 1 1 5C599CBC
-P 11600 5700
-F 0 "#PWR0115" H 11600 5550 50 0001 C CNN
-F 1 "+VSW" H 11615 5873 50 0000 C CNN
-F 2 "" H 11600 5700 50 0001 C CNN
-F 3 "" H 11600 5700 50 0001 C CNN
- 1 11600 5700
- 1 0 0 -1
-$EndComp
-$Comp
-L power:+VSW #PWR0116
-U 1 1 5C59A47D
-P 7350 5700
-F 0 "#PWR0116" H 7350 5550 50 0001 C CNN
-F 1 "+VSW" H 7365 5873 50 0000 C CNN
-F 2 "" H 7350 5700 50 0001 C CNN
-F 3 "" H 7350 5700 50 0001 C CNN
- 1 7350 5700
- 1 0 0 -1
-$EndComp
-Text Notes 8700 4100 0 50 ~ 0
-<=18V
-$Comp
-L Device:C_Small C11
-U 1 1 5C5BBF20
-P 15200 3250
-F 0 "C11" H 15292 3296 50 0000 L CNN
-F 1 "100n" H 15300 3200 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 15200 3250 50 0001 C CNN
-F 3 "~" H 15200 3250 50 0001 C CNN
- 1 15200 3250
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:C_Small C12
-U 1 1 5C5BD76D
-P 15600 3250
-F 0 "C12" H 15692 3296 50 0000 L CNN
-F 1 "100n" H 15700 3200 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 15600 3250 50 0001 C CNN
-F 3 "~" H 15600 3250 50 0001 C CNN
- 1 15600 3250
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:C_Small C13
-U 1 1 5C5C8D7A
-P 16000 3250
-F 0 "C13" H 16092 3296 50 0000 L CNN
-F 1 "100n" H 16100 3200 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 16000 3250 50 0001 C CNN
-F 3 "~" H 16000 3250 50 0001 C CNN
- 1 16000 3250
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:C_Small C14
-U 1 1 5C5C9980
-P 16400 3250
-F 0 "C14" H 16492 3296 50 0000 L CNN
-F 1 "100n" H 16500 3200 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 16400 3250 50 0001 C CNN
-F 3 "~" H 16400 3250 50 0001 C CNN
- 1 16400 3250
- 1 0 0 -1
-$EndComp
-$Comp
-L power:+3V3 #PWR0117
-U 1 1 5C5CB59E
-P 15800 3050
-F 0 "#PWR0117" H 15800 2900 50 0001 C CNN
-F 1 "+3V3" H 15815 3223 50 0000 C CNN
-F 2 "" H 15800 3050 50 0001 C CNN
-F 3 "" H 15800 3050 50 0001 C CNN
- 1 15800 3050
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 15200 3150 15600 3150
-Connection ~ 15600 3150
-Wire Wire Line
- 15600 3150 15800 3150
-Connection ~ 16000 3150
-Wire Wire Line
- 16000 3150 16400 3150
-Wire Wire Line
- 15800 3050 15800 3150
-Connection ~ 15800 3150
-Wire Wire Line
- 15800 3150 16000 3150
-$Comp
-L power:GND #PWR0118
-U 1 1 5C5DEABD
-P 15800 3450
-F 0 "#PWR0118" H 15800 3200 50 0001 C CNN
-F 1 "GND" H 15805 3277 50 0000 C CNN
-F 2 "" H 15800 3450 50 0001 C CNN
-F 3 "" H 15800 3450 50 0001 C CNN
- 1 15800 3450
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 15200 3350 15600 3350
-Connection ~ 15600 3350
-Wire Wire Line
- 15600 3350 15800 3350
-Connection ~ 16000 3350
-Wire Wire Line
- 16000 3350 16400 3350
-Wire Wire Line
- 15800 3350 15800 3450
-Connection ~ 15800 3350
-Wire Wire Line
- 15800 3350 16000 3350
-$Comp
-L Device:C_Small C29
-U 1 1 5C5F270F
-P 11550 10800
-F 0 "C29" H 11642 10846 50 0000 L CNN
-F 1 "100n" H 11650 10750 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 11550 10800 50 0001 C CNN
-F 3 "~" H 11550 10800 50 0001 C CNN
- 1 11550 10800
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0119
-U 1 1 5C5F5707
-P 11550 10900
-F 0 "#PWR0119" H 11550 10650 50 0001 C CNN
-F 1 "GND" H 11555 10727 50 0000 C CNN
-F 2 "" H 11550 10900 50 0001 C CNN
-F 3 "" H 11550 10900 50 0001 C CNN
- 1 11550 10900
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:C_Small C24
-U 1 1 5C60D685
-P 11250 5350
-F 0 "C24" H 11342 5396 50 0000 L CNN
-F 1 "100n" H 11350 5300 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 11250 5350 50 0001 C CNN
-F 3 "~" H 11250 5350 50 0001 C CNN
- 1 11250 5350
- 1 0 0 -1
-$EndComp
-$Comp
-L power:+VSW #PWR0120
-U 1 1 5C60F8F1
-P 11250 5250
-F 0 "#PWR0120" H 11250 5100 50 0001 C CNN
-F 1 "+VSW" H 11265 5423 50 0000 C CNN
-F 2 "" H 11250 5250 50 0001 C CNN
-F 3 "" H 11250 5250 50 0001 C CNN
- 1 11250 5250
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0121
-U 1 1 5C61040C
-P 11250 5450
-F 0 "#PWR0121" H 11250 5200 50 0001 C CNN
-F 1 "GND" H 11255 5277 50 0000 C CNN
-F 2 "" H 11250 5450 50 0001 C CNN
-F 3 "" H 11250 5450 50 0001 C CNN
- 1 11250 5450
- -1 0 0 -1
-$EndComp
-$Comp
-L Device:C_Small C23
-U 1 1 5C61DEFC
-P 7700 5350
-F 0 "C23" H 7792 5396 50 0000 L CNN
-F 1 "100n" H 7800 5300 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 7700 5350 50 0001 C CNN
-F 3 "~" H 7700 5350 50 0001 C CNN
- 1 7700 5350
- 1 0 0 -1
-$EndComp
-$Comp
-L power:+VSW #PWR0122
-U 1 1 5C61DF02
-P 7700 5250
-F 0 "#PWR0122" H 7700 5100 50 0001 C CNN
-F 1 "+VSW" H 7715 5423 50 0000 C CNN
-F 2 "" H 7700 5250 50 0001 C CNN
-F 3 "" H 7700 5250 50 0001 C CNN
- 1 7700 5250
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0123
-U 1 1 5C61DF08
-P 7700 5450
-F 0 "#PWR0123" H 7700 5200 50 0001 C CNN
-F 1 "GND" H 7705 5277 50 0000 C CNN
-F 2 "" H 7700 5450 50 0001 C CNN
-F 3 "" H 7700 5450 50 0001 C CNN
- 1 7700 5450
- -1 0 0 -1
-$EndComp
-$Comp
-L Device:Crystal_GND24 Y1
-U 1 1 5C62F3E9
-P 14200 5050
-F 0 "Y1" V 14246 4809 50 0000 R CNN
-F 1 "8MHz" V 14155 4809 50 0000 R CNN
-F 2 "Crystal:Crystal_SMD_3225-4Pin_3.2x2.5mm_HandSoldering" H 14200 5050 50 0001 C CNN
-F 3 "~" H 14200 5050 50 0001 C CNN
- 1 14200 5050
- 0 -1 -1 0
-$EndComp
-$Comp
-L Device:C_Small C22
-U 1 1 5C6343C3
-P 14000 5300
-F 0 "C22" H 14092 5346 50 0000 L CNN
-F 1 "12p" H 14100 5250 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 14000 5300 50 0001 C CNN
-F 3 "~" H 14000 5300 50 0001 C CNN
- 1 14000 5300
- 0 1 1 0
-$EndComp
-$Comp
-L Device:C_Small C20
-U 1 1 5C645E08
-P 14000 4800
-F 0 "C20" H 14092 4846 50 0000 L CNN
-F 1 "12p" H 14100 4750 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 14000 4800 50 0001 C CNN
-F 3 "~" H 14000 4800 50 0001 C CNN
- 1 14000 4800
- 0 -1 -1 0
-$EndComp
-Wire Wire Line
- 14100 4800 14200 4800
-Wire Wire Line
- 14200 5200 14200 5300
-Wire Wire Line
- 14200 5300 14100 5300
-Wire Wire Line
- 14200 4800 14200 4900
-Connection ~ 14200 4800
-Wire Wire Line
- 14200 4800 15150 4800
-Wire Wire Line
- 13900 4800 13800 4800
-Wire Wire Line
- 13800 4800 13800 5050
-Wire Wire Line
- 13800 5300 13900 5300
-Wire Wire Line
- 13800 5050 14000 5050
-Connection ~ 13800 5050
-Wire Wire Line
- 13800 5050 13800 5300
-Wire Wire Line
- 14400 5600 14200 5600
-Wire Wire Line
- 13800 5600 13800 5300
-Connection ~ 13800 5300
-$Comp
-L power:GND #PWR0124
-U 1 1 5C6B0329
-P 14200 5600
-F 0 "#PWR0124" H 14200 5350 50 0001 C CNN
-F 1 "GND" H 14205 5427 50 0000 C CNN
-F 2 "" H 14200 5600 50 0001 C CNN
-F 3 "" H 14200 5600 50 0001 C CNN
- 1 14200 5600
- 1 0 0 -1
-$EndComp
-Connection ~ 14200 5600
-Wire Wire Line
- 14200 5600 13800 5600
-$Comp
-L power:GND #PWR0125
-U 1 1 5C6B6219
-P 15850 5300
-F 0 "#PWR0125" H 15850 5050 50 0001 C CNN
-F 1 "GND" H 15855 5127 50 0000 C CNN
-F 2 "" H 15850 5300 50 0001 C CNN
-F 3 "" H 15850 5300 50 0001 C CNN
- 1 15850 5300
- 0 1 1 0
-$EndComp
-Wire Wire Line
- 15850 5300 15950 5300
-$Comp
-L Device:R_Small R10
-U 1 1 5C6C27C5
-P 15750 5100
-F 0 "R10" V 15950 5100 50 0000 C CNN
-F 1 "10k" V 15850 5100 50 0000 C CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 15750 5100 50 0001 C CNN
-F 3 "~" H 15750 5100 50 0001 C CNN
- 1 15750 5100
- 0 1 -1 0
-$EndComp
-Wire Wire Line
- 15850 5100 15950 5100
-$Comp
-L power:+3V3 #PWR0126
-U 1 1 5C6CEED8
-P 15550 5100
-F 0 "#PWR0126" H 15550 4950 50 0001 C CNN
-F 1 "+3V3" H 15565 5273 50 0000 C CNN
-F 2 "" H 15550 5100 50 0001 C CNN
-F 3 "" H 15550 5100 50 0001 C CNN
- 1 15550 5100
- 0 -1 -1 0
-$EndComp
-Wire Wire Line
- 15550 5100 15650 5100
-$Comp
-L power:+3V3 #PWR0128
-U 1 1 5C7621FB
-P 11550 10700
-F 0 "#PWR0128" H 11550 10550 50 0001 C CNN
-F 1 "+3V3" H 11565 10873 50 0000 C CNN
-F 2 "" H 11550 10700 50 0001 C CNN
-F 3 "" H 11550 10700 50 0001 C CNN
- 1 11550 10700
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 12500 5950 12500 7100
-Wire Wire Line
- 6550 7100 6550 6250
-Wire Wire Line
- 6550 6250 6850 6250
-Wire Wire Line
- 12100 5950 12500 5950
-Wire Wire Line
- 6450 5950 6450 7250
-Wire Wire Line
- 12400 7250 12400 6250
-Wire Wire Line
- 12400 6250 12100 6250
-Text Label 12400 6250 2 50 ~ 0
-CTRL_A
-Text Label 12400 5950 2 50 ~ 0
-CTRL_B
-Text Label 17450 5100 2 50 ~ 0
-VBOOT_MON
-Text Label 17450 5500 2 50 ~ 0
-VIN_MON
-Text Label 6550 6250 0 50 ~ 0
-CTRL_B
-Text Label 6450 5950 0 50 ~ 0
-CTRL_A
-Text Label 14150 7250 2 50 ~ 0
-CTRL_A
-Text Label 14150 7100 2 50 ~ 0
-CTRL_B
-Wire Wire Line
- 12500 7100 13000 7100
-Connection ~ 12500 7100
-Wire Wire Line
- 12400 7250 13000 7250
-Connection ~ 12400 7250
-Text Label 15600 6200 0 50 ~ 0
-CTRL_B
-Text Label 17450 5700 2 50 ~ 0
-CTRL_A
-Wire Wire Line
- 14200 5300 15050 5300
-Connection ~ 14200 5300
-Wire Wire Line
- 14400 5050 14400 5600
-$Comp
-L Connector_Generic:Conn_01x04 J6
-U 1 1 5CDBF041
-P 13800 11850
-F 0 "J6" H 13880 11842 50 0000 L CNN
-F 1 "1602 I2C LCD" H 13550 12100 50 0000 L CNN
-F 2 "Connectors_Molex:Molex_KK-6410-04_04x2.54mm_Straight" H 13800 11850 50 0001 C CNN
-F 3 "~" H 13800 11850 50 0001 C CNN
- 1 13800 11850
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0135
-U 1 1 5CDC9131
-P 13600 12050
-F 0 "#PWR0135" H 13600 11800 50 0001 C CNN
-F 1 "GND" H 13605 11877 50 0000 C CNN
-F 2 "" H 13600 12050 50 0001 C CNN
-F 3 "" H 13600 12050 50 0001 C CNN
- 1 13600 12050
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R26
-U 1 1 5CE0D5AA
-P 12200 10150
-F 0 "R26" H 12259 10196 50 0000 L CNN
-F 1 "1k5" H 12259 10105 50 0000 L CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 12200 10150 50 0001 C CNN
-F 3 "~" H 12200 10150 50 0001 C CNN
- 1 12200 10150
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R27
-U 1 1 5CE10E6A
-P 12500 10150
-F 0 "R27" H 12559 10196 50 0000 L CNN
-F 1 "1k5" H 12559 10105 50 0000 L CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 12500 10150 50 0001 C CNN
-F 3 "~" H 12500 10150 50 0001 C CNN
- 1 12500 10150
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 13650 11950 13600 11950
-Wire Wire Line
- 11200 10300 12200 10300
-Wire Wire Line
- 12200 10300 12200 10250
-Wire Wire Line
- 11200 10400 12300 10400
-Wire Wire Line
- 12500 10400 12500 10250
-Wire Wire Line
- 12200 10050 12200 9950
-Wire Wire Line
- 12200 9950 12350 9950
-Wire Wire Line
- 12500 9950 12500 10050
-$Comp
-L power:+3V3 #PWR0138
-U 1 1 5CF808D0
-P 12350 9850
-F 0 "#PWR0138" H 12350 9700 50 0001 C CNN
-F 1 "+3V3" H 12365 10023 50 0000 C CNN
-F 2 "" H 12350 9850 50 0001 C CNN
-F 3 "" H 12350 9850 50 0001 C CNN
- 1 12350 9850
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 12350 9950 12350 9850
-Connection ~ 12350 9950
-Wire Wire Line
- 12350 9950 12500 9950
-Wire Wire Line
- 12200 10300 12800 10300
-Connection ~ 12200 10300
-Connection ~ 12500 10400
-Text Label 13250 10050 2 50 ~ 0
-SCL
-Text Label 13250 9950 2 50 ~ 0
-SDA
-$Comp
-L Device:LED_Small_ALT D4
-U 1 1 5D120495
-P 19950 5250
-F 0 "D4" V 19850 5200 50 0000 R CNN
-F 1 "cyan" V 19905 5182 50 0001 R CNN
-F 2 "LED_SMD:LED_PLCC_2835_Handsoldering" V 19950 5250 50 0001 C CNN
-F 3 "~" V 19950 5250 50 0001 C CNN
- 1 19950 5250
- 0 1 1 0
-$EndComp
-$Comp
-L Device:LED_Small_ALT D5
-U 1 1 5D120F6F
-P 19550 5250
-F 0 "D5" V 19650 5200 50 0000 R CNN
-F 1 "cyan" V 19505 5182 50 0001 R CNN
-F 2 "LED_SMD:LED_PLCC_2835_Handsoldering" V 19550 5250 50 0001 C CNN
-F 3 "~" V 19550 5250 50 0001 C CNN
- 1 19550 5250
- 0 1 1 0
-$EndComp
-$Comp
-L Device:LED_Small_ALT D6
-U 1 1 5D12221B
-P 19750 5250
-F 0 "D6" V 19850 5200 50 0000 R CNN
-F 1 "pink" V 19550 5000 50 0001 R CNN
-F 2 "LED_SMD:LED_PLCC_2835_Handsoldering" V 19750 5250 50 0001 C CNN
-F 3 "~" V 19750 5250 50 0001 C CNN
- 1 19750 5250
- 0 1 1 0
-$EndComp
-$Comp
-L Device:LED_Small_ALT D7
-U 1 1 5D12562A
-P 19350 5250
-F 0 "D7" V 19450 5200 50 0000 R CNN
-F 1 "pink" V 19305 5182 50 0001 R CNN
-F 2 "LED_SMD:LED_PLCC_2835_Handsoldering" V 19350 5250 50 0001 C CNN
-F 3 "~" V 19350 5250 50 0001 C CNN
- 1 19350 5250
- 0 1 1 0
-$EndComp
-$Comp
-L Device:R_Small R13
-U 1 1 5D18F534
-P 19350 5000
-F 0 "R13" V 19450 5050 50 0000 L CNN
-F 1 "150" V 19250 4950 50 0001 L CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 19350 5000 50 0001 C CNN
-F 3 "~" H 19350 5000 50 0001 C CNN
- 1 19350 5000
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R14
-U 1 1 5D18F810
-P 19550 5000
-F 0 "R14" V 19650 5050 50 0000 L CNN
-F 1 "150" V 19450 4950 50 0001 L CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 19550 5000 50 0001 C CNN
-F 3 "~" H 19550 5000 50 0001 C CNN
- 1 19550 5000
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R15
-U 1 1 5D190038
-P 19750 5000
-F 0 "R15" V 19850 5050 50 0000 L CNN
-F 1 "150" V 19650 4950 50 0001 L CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 19750 5000 50 0001 C CNN
-F 3 "~" H 19750 5000 50 0001 C CNN
- 1 19750 5000
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R16
-U 1 1 5D1904ED
-P 19950 5000
-F 0 "R16" V 20050 5050 50 0000 L CNN
-F 1 "150" V 19850 4950 50 0001 L CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 19950 5000 50 0001 C CNN
-F 3 "~" H 19950 5000 50 0001 C CNN
- 1 19950 5000
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 19950 5150 19950 5100
-Wire Wire Line
- 19750 5100 19750 5150
-Wire Wire Line
- 19550 5150 19550 5100
-Wire Wire Line
- 19350 5100 19350 5150
-Wire Wire Line
- 19650 5450 19650 5350
-Connection ~ 19650 5350
-Text Label 19350 4600 3 50 ~ 0
-SLED1
-Text Label 19550 4600 3 50 ~ 0
-SLED2
-Text Label 19750 4600 3 50 ~ 0
-SLED3
-Text Label 19950 4600 3 50 ~ 0
-SLED4
-$Comp
-L Sensor_Temperature:MCP9804_MSOP U8
-U 1 1 5D7751AD
-P 13550 10600
-F 0 "U8" H 13250 11050 50 0000 L CNN
-F 1 "MCP9804_MSOP" V 13950 10700 50 0000 L CNN
-F 2 "Package_SO:MSOP-8_3x3mm_P0.65mm" H 12550 10100 50 0001 C CNN
-F 3 "http://ww1.microchip.com/downloads/en/DeviceDoc/22203b.pdf" H 13300 11050 50 0001 C CNN
- 1 13550 10600
- 1 0 0 -1
-$EndComp
-Text Notes 10450 11200 0 50 ~ 0
-I2C addr=100'0000
-Wire Wire Line
- 13250 9950 12800 9950
-Wire Wire Line
- 12800 9950 12800 10300
-Connection ~ 12800 10300
-Wire Wire Line
- 13250 10050 12900 10050
-Wire Wire Line
- 12900 10050 12900 10400
-Connection ~ 12900 10400
-Wire Wire Line
- 12900 10400 12500 10400
-Wire Wire Line
- 12900 10400 13150 10400
-Wire Wire Line
- 12800 10300 13150 10300
-Wire Wire Line
- 13050 10700 13150 10700
-Wire Wire Line
- 13150 10800 13050 10800
-Connection ~ 13050 10800
-Wire Wire Line
- 13050 10800 13050 10700
-Wire Wire Line
- 13050 10900 13150 10900
-Connection ~ 13050 10900
-Wire Wire Line
- 13050 10900 13050 10800
-$Comp
-L power:+3V3 #PWR0146
-U 1 1 5D958091
-P 13550 10100
-F 0 "#PWR0146" H 13550 9950 50 0001 C CNN
-F 1 "+3V3" H 13565 10273 50 0000 C CNN
-F 2 "" H 13550 10100 50 0001 C CNN
-F 3 "" H 13550 10100 50 0001 C CNN
- 1 13550 10100
- 1 0 0 -1
-$EndComp
-NoConn ~ 13950 10600
-Text Notes 14150 10750 0 50 ~ 0
-I2C addr=100'1000
-Text Notes 14100 10850 0 50 ~ 0
-MOSFET temp monitor
-Wire Wire Line
- 8850 6150 9050 6150
-Wire Wire Line
- 6200 4100 6200 6050
-$Comp
-L Connector:Screw_Terminal_01x03 J7
-U 1 1 5C557B2A
-P 6000 6150
-F 0 "J7" H 6150 6050 50 0000 C CNN
-F 1 "IN_VCC" H 6250 6200 50 0000 C CNN
-F 2 "TerminalBlock_Phoenix:TerminalBlock_Phoenix_MKDS-1,5-3-5.08_1x03_P5.08mm_Horizontal" H 6000 6150 50 0001 C CNN
-F 3 "~" H 6000 6150 50 0001 C CNN
- 1 6000 6150
- -1 0 0 1
-$EndComp
-$Comp
-L Connector:Screw_Terminal_01x03 J8
-U 1 1 5C558772
-P 6000 6500
-F 0 "J8" H 6150 6400 50 0000 C CNN
-F 1 "IN_GND" H 6250 6550 50 0000 C CNN
-F 2 "TerminalBlock_Phoenix:TerminalBlock_Phoenix_MKDS-1,5-3-5.08_1x03_P5.08mm_Horizontal" H 6000 6500 50 0001 C CNN
-F 3 "~" H 6000 6500 50 0001 C CNN
- 1 6000 6500
- -1 0 0 1
-$EndComp
-Wire Wire Line
- 6200 6600 6200 10750
-Wire Wire Line
- 6200 6250 6200 6150
-Connection ~ 6200 6050
-Connection ~ 6200 6150
-Wire Wire Line
- 6200 6150 6200 6050
-Wire Wire Line
- 6200 6600 6200 6500
-Connection ~ 6200 6600
-Connection ~ 6200 6500
-Wire Wire Line
- 6200 6500 6200 6400
-$Comp
-L Connector:Screw_Terminal_01x03 J2
-U 1 1 5C5D9205
-P 9500 5950
-F 0 "J2" H 9650 5850 50 0000 C CNN
-F 1 "OUT_B" H 9750 6000 50 0000 C CNN
-F 2 "TerminalBlock_Phoenix:TerminalBlock_Phoenix_MKDS-1,5-3-5.08_1x03_P5.08mm_Horizontal" H 9500 5950 50 0001 C CNN
-F 3 "~" H 9500 5950 50 0001 C CNN
- 1 9500 5950
- 0 -1 -1 0
-$EndComp
-Wire Wire Line
- 9600 6150 9850 6150
-$Comp
-L Connector:Screw_Terminal_01x03 J1
-U 1 1 5C5DA183
-P 9150 5950
-F 0 "J1" H 9300 5850 50 0000 C CNN
-F 1 "OUT_A" H 9400 6000 50 0000 C CNN
-F 2 "TerminalBlock_Phoenix:TerminalBlock_Phoenix_MKDS-1,5-3-5.08_1x03_P5.08mm_Horizontal" H 9150 5950 50 0001 C CNN
-F 3 "~" H 9150 5950 50 0001 C CNN
- 1 9150 5950
- 0 -1 -1 0
-$EndComp
-Wire Wire Line
- 9250 6150 9150 6150
-Connection ~ 9050 6150
-Connection ~ 9150 6150
-Wire Wire Line
- 9150 6150 9050 6150
-Wire Wire Line
- 9400 6150 9500 6150
-Connection ~ 9600 6150
-Connection ~ 9500 6150
-Wire Wire Line
- 9500 6150 9600 6150
-$Comp
-L Mechanical:MountingHole H1
-U 1 1 5C68E1B2
-P 17400 1550
-F 0 "H1" H 17500 1596 50 0000 L CNN
-F 1 "MountingHole" H 17500 1505 50 0000 L CNN
-F 2 "MountingHole:MountingHole_3.2mm_M3" H 17400 1550 50 0001 C CNN
-F 3 "~" H 17400 1550 50 0001 C CNN
- 1 17400 1550
- 1 0 0 -1
-$EndComp
-$Comp
-L Mechanical:MountingHole H2
-U 1 1 5C68F0B2
-P 17400 1750
-F 0 "H2" H 17500 1796 50 0000 L CNN
-F 1 "MountingHole" H 17500 1705 50 0000 L CNN
-F 2 "MountingHole:MountingHole_3.2mm_M3" H 17400 1750 50 0001 C CNN
-F 3 "~" H 17400 1750 50 0001 C CNN
- 1 17400 1750
- 1 0 0 -1
-$EndComp
-$Comp
-L Mechanical:MountingHole H3
-U 1 1 5C6913F3
-P 17400 1950
-F 0 "H3" H 17500 1996 50 0000 L CNN
-F 1 "MountingHole" H 17500 1905 50 0000 L CNN
-F 2 "MountingHole:MountingHole_3.2mm_M3" H 17400 1950 50 0001 C CNN
-F 3 "~" H 17400 1950 50 0001 C CNN
- 1 17400 1950
- 1 0 0 -1
-$EndComp
-$Comp
-L Mechanical:MountingHole H4
-U 1 1 5C6916D1
-P 17400 2150
-F 0 "H4" H 17500 2196 50 0000 L CNN
-F 1 "MountingHole" H 17500 2105 50 0000 L CNN
-F 2 "MountingHole:MountingHole_3.2mm_M3" H 17400 2150 50 0001 C CNN
-F 3 "~" H 17400 2150 50 0001 C CNN
- 1 17400 2150
- 1 0 0 -1
-$EndComp
-Text Label 8850 6250 1 50 ~ 0
-OUT_A
-Text Label 9850 6250 1 50 ~ 0
-OUT_B
-Text Label 9350 10000 1 50 ~ 0
-GND_MEAS
-Text Label 8050 5850 1 50 ~ 0
-DRV1
-Text Label 8450 5350 3 50 ~ 0
-DRV1F
-Text Label 8450 6600 0 50 ~ 0
-DRV3F
-Text Label 8050 6450 1 50 ~ 0
-DRV3
-Text Label 10350 5650 0 50 ~ 0
-DRV2F
-Text Label 10350 6600 0 50 ~ 0
-DRV4F
-Text Label 10900 6450 1 50 ~ 0
-DRV4
-Text Label 10900 5950 1 50 ~ 0
-DRV2
-Text Label 8500 4100 0 50 ~ 0
-VIN
-Wire Wire Line
- 12650 2050 12650 4100
-Wire Wire Line
- 12950 4100 13250 4100
-Text Label 12400 11150 0 50 ~ 0
-GND_MEAS
-Wire Wire Line
- 13550 11100 13550 11150
-Wire Wire Line
- 13550 11150 13050 11150
-Wire Wire Line
- 13050 10900 13050 11150
-Connection ~ 13050 11150
-Wire Wire Line
- 13050 11150 12400 11150
-$Comp
-L Connector_Generic:Conn_01x04 J9
-U 1 1 5CA7AF20
-P 18500 5600
-F 0 "J9" H 18580 5592 50 0000 L CNN
-F 1 "SWD" H 18580 5501 50 0000 L CNN
-F 2 "Pin_Headers:Pin_Header_Straight_1x04_Pitch2.54mm" H 18500 5600 50 0001 C CNN
-F 3 "~" H 18500 5600 50 0001 C CNN
- 1 18500 5600
- 1 0 0 -1
-$EndComp
-$Comp
-L power:+3V3 #PWR0144
-U 1 1 5CAAE690
-P 18250 5400
-F 0 "#PWR0144" H 18250 5250 50 0001 C CNN
-F 1 "+3V3" H 18265 5573 50 0000 C CNN
-F 2 "" H 18250 5400 50 0001 C CNN
-F 3 "" H 18250 5400 50 0001 C CNN
- 1 18250 5400
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0145
-U 1 1 5CB04BF8
-P 18250 5900
-F 0 "#PWR0145" H 18250 5650 50 0001 C CNN
-F 1 "GND" H 18255 5727 50 0000 C CNN
-F 2 "" H 18250 5900 50 0001 C CNN
-F 3 "" H 18250 5900 50 0001 C CNN
- 1 18250 5900
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 18250 5900 18250 5700
-Wire Wire Line
- 18250 5700 18300 5700
-Wire Wire Line
- 18250 5400 18250 5500
-Wire Wire Line
- 18250 5500 18300 5500
-Wire Wire Line
- 17950 5800 18300 5800
-Wire Wire Line
- 17950 5600 18300 5600
-Text Label 17950 5800 0 50 ~ 0
-SWDIO
-Text Label 17950 5600 0 50 ~ 0
-SWCLK
-Text Label 17450 6200 2 50 ~ 0
-SWCLK
-Text Label 17450 6100 2 50 ~ 0
-SWDIO
-Wire Wire Line
- 10350 6600 10500 6600
-Connection ~ 10500 6600
-Text Label 8550 5350 3 50 ~ 0
-DRV4F
-Wire Wire Line
- 8550 5350 8550 5650
-Wire Wire Line
- 8450 5350 8450 5650
-Connection ~ 8450 5650
-Text Label 10150 6300 3 50 ~ 0
-DRV1F
-Wire Wire Line
- 10150 6300 10150 6600
-$Comp
-L Connector_Generic:Conn_01x02 J10
-U 1 1 5C533914
-P 13300 3200
-F 0 "J10" H 13379 3192 50 0000 L CNN
-F 1 "FAN" H 13379 3101 50 0000 L CNN
-F 2 "Connectors_Molex:Molex_KK-6410-02_02x2.54mm_Straight" H 13300 3200 50 0001 C CNN
-F 3 "~" H 13300 3200 50 0001 C CNN
- 1 13300 3200
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0147
-U 1 1 5C55EA3D
-P 13100 3300
-F 0 "#PWR0147" H 13100 3050 50 0001 C CNN
-F 1 "GND" H 13105 3127 50 0000 C CNN
-F 2 "" H 13100 3300 50 0001 C CNN
-F 3 "" H 13100 3300 50 0001 C CNN
- 1 13100 3300
- 1 0 0 -1
-$EndComp
-$Comp
-L Interface_UART:MAX485E U13
-U 1 1 5C607F28
-P 16750 13400
-F 0 "U13" H 16500 13850 50 0000 C CNN
-F 1 "MAX485E" H 16950 13850 50 0000 C CNN
-F 2 "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" H 16750 12700 50 0001 C CNN
-F 3 "https://datasheets.maximintegrated.com/en/ds/MAX1487E-MAX491E.pdf" H 16750 13450 50 0001 C CNN
- 1 16750 13400
- -1 0 0 -1
-$EndComp
-$Comp
-L power:+3V3 #PWR0127
-U 1 1 5C63AB1C
-P 13650 11950
-F 0 "#PWR0127" H 13650 11800 50 0001 C CNN
-F 1 "+3V3" V 13650 12200 50 0000 C CNN
-F 2 "" H 13650 11950 50 0001 C CNN
-F 3 "" H 13650 11950 50 0001 C CNN
- 1 13650 11950
- 0 -1 -1 0
-$EndComp
-$Comp
-L Connector:RJ45_LED_Shielded J5
-U 1 1 5C63EC4A
-P 14350 13400
-F 0 "J5" H 14350 13974 50 0000 C CNN
-F 1 "RJ45_LED_Shielded" H 14350 14065 50 0000 C CNN
-F 2 "Connector_RJ:RJ45_Amphenol_RJHSE538X" V 14350 13425 50 0001 C CNN
-F 3 "~" V 14350 13425 50 0001 C CNN
- 1 14350 13400
- 1 0 0 1
-$EndComp
-Wire Wire Line
- 14750 13600 14850 13600
-Wire Wire Line
- 16750 14000 16750 14100
-Connection ~ 16750 14100
-Wire Wire Line
- 14850 13600 14850 14100
-Wire Wire Line
- 14750 13300 14850 13300
-Wire Wire Line
- 14850 13300 14850 13600
-Connection ~ 14850 13600
-Wire Wire Line
- 14750 13400 15500 13400
-Wire Wire Line
- 16250 13400 16250 13300
-Wire Wire Line
- 16250 13300 16350 13300
-Wire Wire Line
- 16350 13600 16250 13600
-Wire Wire Line
- 16250 13600 16250 13500
-Wire Wire Line
- 16250 13500 15600 13500
-Wire Wire Line
- 17150 13400 17250 13400
-Wire Wire Line
- 17250 13400 17250 13500
-Wire Wire Line
- 17250 13500 17150 13500
-$Comp
-L Device:R_Small R23
-U 1 1 5CC2B8EF
-P 17700 13900
-F 0 "R23" H 17759 13946 50 0000 L CNN
-F 1 "10k" H 17759 13855 50 0000 L CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 17700 13900 50 0001 C CNN
-F 3 "~" H 17700 13900 50 0001 C CNN
- 1 17700 13900
- -1 0 0 -1
-$EndComp
-Wire Wire Line
- 17250 13400 17700 13400
-Wire Wire Line
- 17700 13400 17700 13800
-Connection ~ 17250 13400
-Wire Wire Line
- 17700 14000 17700 14100
-Text Label 17950 13300 2 50 ~ 0
-RX
-Text Label 17950 13600 2 50 ~ 0
-TX
-Text Label 17950 13400 2 50 ~ 0
-DE
-Text Label 15700 13500 0 50 ~ 0
-RX485_A
-Text Label 15700 13400 0 50 ~ 0
-RS485_B
-Wire Wire Line
- 17450 5300 16950 5300
-Wire Wire Line
- 17450 5400 16950 5400
-Wire Wire Line
- 17450 5200 16950 5200
-Text Label 17450 5200 2 50 ~ 0
-DE
-Text Label 17450 5300 2 50 ~ 0
-TX
-Text Label 17450 5400 2 50 ~ 0
-RX
-Wire Wire Line
- 17450 6100 16950 6100
-Wire Wire Line
- 17450 6200 16950 6200
-Wire Wire Line
- 17450 5900 16950 5900
-Wire Wire Line
- 16950 6000 17450 6000
-Wire Wire Line
- 15100 4100 16000 4100
-Wire Wire Line
- 16450 4100 16450 4800
-Wire Wire Line
- 16550 4900 16550 4800
-Wire Wire Line
- 16550 4800 16450 4800
-Connection ~ 16450 4800
-Wire Wire Line
- 16450 4800 16450 4900
-Text Label 17450 6000 2 50 ~ 0
-SDA
-Text Label 17450 5900 2 50 ~ 0
-SCL
-$Comp
-L MCU_ST_STM32F0:STM32F030F4Px U6
-U 1 1 5D52BF88
-P 16450 5600
-F 0 "U6" H 16100 6250 50 0000 C CNN
-F 1 "STM32F030F4Px" H 16900 6250 50 0000 C CNN
-F 2 "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm" H 16050 4900 50 0001 R CNN
-F 3 "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/DM00088500.pdf" H 16450 5600 50 0001 C CNN
- 1 16450 5600
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 12300 11750 12300 10400
-Wire Wire Line
- 12300 11750 12700 11750
-Connection ~ 12300 10400
-Wire Wire Line
- 12300 10400 12500 10400
-Wire Wire Line
- 12200 11850 12200 10300
-Wire Wire Line
- 12200 11850 12700 11850
-Wire Wire Line
- 17450 5800 16950 5800
-Wire Wire Line
- 17450 5700 16950 5700
-Wire Wire Line
- 17450 5100 16950 5100
-Wire Wire Line
- 17450 5500 16950 5500
-Wire Wire Line
- 15600 6200 15950 6200
-Wire Wire Line
- 17450 5600 16950 5600
-Text Label 17450 5600 2 50 ~ 0
-SCK
-Text Label 17450 5800 2 50 ~ 0
-MOSI
-$Comp
-L 74xx:74HC595 U2
-U 1 1 5D86E00F
-P 19650 2900
-F 0 "U2" V 19300 2350 50 0000 L CNN
-F 1 "74HC595" H 19150 2250 50 0000 L CNN
-F 2 "Package_SO:SOIC-16_3.9x9.9mm_P1.27mm" H 19650 2900 50 0001 C CNN
-F 3 "http://www.ti.com/lit/ds/symlink/sn74hc595.pdf" H 19650 2900 50 0001 C CNN
- 1 19650 2900
- 0 1 1 0
-$EndComp
-$Comp
-L power:+3V3 #PWR0137
-U 1 1 5D98E253
-P 13100 3200
-F 0 "#PWR0137" H 13100 3050 50 0001 C CNN
-F 1 "+3V3" H 13115 3373 50 0000 C CNN
-F 2 "" H 13100 3200 50 0001 C CNN
-F 3 "" H 13100 3200 50 0001 C CNN
- 1 13100 3200
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 20050 3300 20050 4500
-Wire Wire Line
- 20050 4500 19950 4500
-Wire Wire Line
- 19950 4500 19950 4900
-Wire Wire Line
- 19750 3300 19750 4350
-Wire Wire Line
- 19750 4350 19350 4350
-Wire Wire Line
- 19350 4350 19350 4900
-Wire Wire Line
- 19550 4400 19850 4400
-Wire Wire Line
- 19850 4400 19850 3300
-Wire Wire Line
- 19550 4400 19550 4900
-Wire Wire Line
- 19950 3300 19950 4450
-Wire Wire Line
- 19950 4450 19750 4450
-Wire Wire Line
- 19750 4450 19750 4900
-$Comp
-L Device:R_Small R6
-U 1 1 5DBC4555
-P 19550 3550
-F 0 "R6" V 19600 3650 50 0000 L CNN
-F 1 "150" V 19450 3500 50 0001 L CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 19550 3550 50 0001 C CNN
-F 3 "~" H 19550 3550 50 0001 C CNN
- 1 19550 3550
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R12
-U 1 1 5DBC4A74
-P 19650 3700
-F 0 "R12" V 19600 3450 50 0000 L CNN
-F 1 "150" V 19550 3650 50 0001 L CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 19650 3700 50 0001 C CNN
-F 3 "~" H 19650 3700 50 0001 C CNN
- 1 19650 3700
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 19550 3300 19550 3450
-Wire Wire Line
- 19650 3300 19650 3600
-$Comp
-L Connector:RJ45_LED_Shielded J4
-U 1 1 5DC186F5
-P 12550 13400
-F 0 "J4" H 12550 13974 50 0000 C CNN
-F 1 "RJ45_LED_Shielded" H 12550 14065 50 0000 C CNN
-F 2 "Connector_RJ:RJ45_Amphenol_RJHSE538X" V 12550 13425 50 0001 C CNN
-F 3 "~" V 12550 13425 50 0001 C CNN
- 1 12550 13400
- 1 0 0 1
-$EndComp
-Wire Wire Line
- 12950 13300 13050 13300
-Wire Wire Line
- 13050 13300 13050 13600
-Wire Wire Line
- 13050 13600 12950 13600
-Wire Wire Line
- 13050 13600 13050 14100
-Connection ~ 13050 13600
-Wire Wire Line
- 12950 13400 13550 13400
-Wire Wire Line
- 13550 13400 13550 14300
-Wire Wire Line
- 13550 14300 15500 14300
-Wire Wire Line
- 15500 14300 15500 13400
-Connection ~ 15500 13400
-Wire Wire Line
- 15500 13400 16250 13400
-Wire Wire Line
- 15600 13500 15600 14400
-Wire Wire Line
- 15600 14400 13450 14400
-Wire Wire Line
- 13450 14400 13450 13500
-Wire Wire Line
- 13450 13500 12950 13500
-Connection ~ 15600 13500
-Wire Wire Line
- 15600 13500 14750 13500
-Text Label 13200 13500 0 50 ~ 0
-RX485_A
-Text Label 13200 13400 0 50 ~ 0
-RS485_B
-Wire Wire Line
- 12950 13200 12950 13100
-Connection ~ 12950 13100
-Wire Wire Line
- 14750 13200 14750 13100
-Connection ~ 14750 13100
-Wire Wire Line
- 14350 12900 14350 12800
-Wire Wire Line
- 12550 12800 12550 12900
-$Comp
-L power:GND #PWR0139
-U 1 1 5DFA2936
-P 12150 13200
-F 0 "#PWR0139" H 12150 12950 50 0001 C CNN
-F 1 "GND" H 12155 13027 50 0000 C CNN
-F 2 "" H 12150 13200 50 0001 C CNN
-F 3 "" H 12150 13200 50 0001 C CNN
- 1 12150 13200
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0140
-U 1 1 5DFA5249
-P 12150 13800
-F 0 "#PWR0140" H 12150 13550 50 0001 C CNN
-F 1 "GND" H 12155 13627 50 0000 C CNN
-F 2 "" H 12150 13800 50 0001 C CNN
-F 3 "" H 12150 13800 50 0001 C CNN
- 1 12150 13800
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0142
-U 1 1 5DFA62E6
-P 13950 13800
-F 0 "#PWR0142" H 13950 13550 50 0001 C CNN
-F 1 "GND" H 13955 13627 50 0000 C CNN
-F 2 "" H 13950 13800 50 0001 C CNN
-F 3 "" H 13950 13800 50 0001 C CNN
- 1 13950 13800
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0148
-U 1 1 5DFAA8D0
-P 13950 13200
-F 0 "#PWR0148" H 13950 12950 50 0001 C CNN
-F 1 "GND" H 13955 13027 50 0000 C CNN
-F 2 "" H 13950 13200 50 0001 C CNN
-F 3 "" H 13950 13200 50 0001 C CNN
- 1 13950 13200
- 1 0 0 -1
-$EndComp
-Text Label 11850 13100 0 50 ~ 0
-CLED1
-Wire Wire Line
- 11850 13100 12150 13100
-Text Label 11850 13700 0 50 ~ 0
-CLED2
-Text Label 13650 13100 0 50 ~ 0
-CLED3
-Wire Wire Line
- 13050 14100 14850 14100
-Wire Wire Line
- 12550 12800 14350 12800
-Wire Wire Line
- 12550 12800 11500 12800
-Wire Wire Line
- 11500 12800 11500 14100
-Wire Wire Line
- 11500 14100 13050 14100
-Connection ~ 12550 12800
-Connection ~ 13050 14100
-Text Label 13650 13700 0 50 ~ 0
-CLED4
-Wire Wire Line
- 13650 13700 13950 13700
-Wire Wire Line
- 13650 13100 13950 13100
-Wire Wire Line
- 11850 13700 12150 13700
-$Comp
-L Device:R_Small R17
-U 1 1 5E16DB9D
-P 19450 3700
-F 0 "R17" V 19400 3450 50 0000 L CNN
-F 1 "150" V 19350 3650 50 0001 L CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 19450 3700 50 0001 C CNN
-F 3 "~" H 19450 3700 50 0001 C CNN
- 1 19450 3700
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R11
-U 1 1 5E16F5AD
-P 19350 3550
-F 0 "R11" V 19400 3650 50 0000 L CNN
-F 1 "150" V 19250 3500 50 0001 L CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 19350 3550 50 0001 C CNN
-F 3 "~" H 19350 3550 50 0001 C CNN
- 1 19350 3550
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 19350 3300 19350 3450
-Wire Wire Line
- 19450 3300 19450 3600
-$Comp
-L power:GND #PWR0130
-U 1 1 5E1CC256
-P 19450 2500
-F 0 "#PWR0130" H 19450 2250 50 0001 C CNN
-F 1 "GND" H 19600 2450 50 0000 C CNN
-F 2 "" H 19450 2500 50 0001 C CNN
-F 3 "" H 19450 2500 50 0001 C CNN
- 1 19450 2500
- -1 0 0 1
-$EndComp
-$Comp
-L power:GND #PWR0131
-U 1 1 5E1CDC48
-P 18950 2900
-F 0 "#PWR0131" H 18950 2650 50 0001 C CNN
-F 1 "GND" V 18955 2772 50 0000 R CNN
-F 2 "" H 18950 2900 50 0001 C CNN
-F 3 "" H 18950 2900 50 0001 C CNN
- 1 18950 2900
- 0 1 1 0
-$EndComp
-NoConn ~ 19150 3300
-Text Label 20050 2100 3 50 ~ 0
-MOSI
-Wire Wire Line
- 20050 2100 20050 2300
-Text Label 19850 2100 3 50 ~ 0
-SCK
-Wire Wire Line
- 19850 2100 19850 2300
-Text Label 19550 2100 3 50 ~ 0
-VIN_MON
-Wire Wire Line
- 19550 2100 19550 2300
-$Comp
-L power:+3.3V #PWR0149
-U 1 1 5E2A481B
-P 19750 2500
-F 0 "#PWR0149" H 19750 2350 50 0001 C CNN
-F 1 "+3.3V" H 19700 2650 50 0000 C CNN
-F 2 "" H 19750 2500 50 0001 C CNN
-F 3 "" H 19750 2500 50 0001 C CNN
- 1 19750 2500
- 1 0 0 -1
-$EndComp
-$Comp
-L power:+3.3V #PWR0150
-U 1 1 5E2A67AD
-P 20250 2900
-F 0 "#PWR0150" H 20250 2750 50 0001 C CNN
-F 1 "+3.3V" V 20265 3028 50 0000 L CNN
-F 2 "" H 20250 2900 50 0001 C CNN
-F 3 "" H 20250 2900 50 0001 C CNN
- 1 20250 2900
- 0 1 1 0
-$EndComp
-Text Label 19450 4250 1 50 ~ 0
-CLED2
-Text Label 19550 4250 1 50 ~ 0
-CLED3
-Text Label 19650 4250 1 50 ~ 0
-CLED4
-Text Label 19350 4250 1 50 ~ 0
-CLED1
-Wire Wire Line
- 19350 4250 19350 3650
-Wire Wire Line
- 19450 3800 19450 4250
-Wire Wire Line
- 19550 4250 19550 3650
-Wire Wire Line
- 19650 3800 19650 4250
-Wire Wire Line
- 19650 5350 19750 5350
-Connection ~ 19750 5350
-Wire Wire Line
- 19750 5350 19950 5350
-Wire Wire Line
- 19350 5350 19550 5350
-Connection ~ 19550 5350
-Wire Wire Line
- 19550 5350 19650 5350
-$Comp
-L power:+3.3V #PWR0141
-U 1 1 5E583365
-P 19650 5450
-F 0 "#PWR0141" H 19650 5300 50 0001 C CNN
-F 1 "+3.3V" H 19665 5623 50 0000 C CNN
-F 2 "" H 19650 5450 50 0001 C CNN
-F 3 "" H 19650 5450 50 0001 C CNN
- 1 19650 5450
- -1 0 0 1
-$EndComp
-Text Label 14900 4800 0 50 ~ 0
-XT1
-Text Label 14900 5300 0 50 ~ 0
-XT2
-Text Label 15950 5100 1 50 ~ 0
-RST
-Wire Wire Line
- 15050 5900 15050 5300
-Wire Wire Line
- 15050 5900 15950 5900
-Wire Wire Line
- 15150 4800 15150 6000
-Wire Wire Line
- 15150 6000 15950 6000
-$Comp
-L Mechanical:MountingHole H5
-U 1 1 5C580F0A
-P 17400 2350
-F 0 "H5" H 17500 2396 50 0000 L CNN
-F 1 "MountingHole" H 17500 2305 50 0000 L CNN
-F 2 "MountingHole:MountingHole_3.2mm_M3" H 17400 2350 50 0001 C CNN
-F 3 "~" H 17400 2350 50 0001 C CNN
- 1 17400 2350
- 1 0 0 -1
-$EndComp
-$Comp
-L Mechanical:MountingHole H6
-U 1 1 5C580F10
-P 17400 2550
-F 0 "H6" H 17500 2596 50 0000 L CNN
-F 1 "MountingHole" H 17500 2505 50 0000 L CNN
-F 2 "MountingHole:MountingHole_3.2mm_M3" H 17400 2550 50 0001 C CNN
-F 3 "~" H 17400 2550 50 0001 C CNN
- 1 17400 2550
- 1 0 0 -1
-$EndComp
-$Comp
-L Mechanical:MountingHole H7
-U 1 1 5C580F16
-P 17400 2750
-F 0 "H7" H 17500 2796 50 0000 L CNN
-F 1 "MountingHole" H 17500 2705 50 0000 L CNN
-F 2 "MountingHole:MountingHole_3.2mm_M3" H 17400 2750 50 0001 C CNN
-F 3 "~" H 17400 2750 50 0001 C CNN
- 1 17400 2750
- 1 0 0 -1
-$EndComp
-$Comp
-L Mechanical:MountingHole H8
-U 1 1 5C580F1C
-P 17400 2950
-F 0 "H8" H 17500 2996 50 0000 L CNN
-F 1 "MountingHole" H 17500 2905 50 0000 L CNN
-F 2 "MountingHole:MountingHole_3.2mm_M3" H 17400 2950 50 0001 C CNN
-F 3 "~" H 17400 2950 50 0001 C CNN
- 1 17400 2950
- 1 0 0 -1
-$EndComp
-NoConn ~ 14600 2800
-Text Notes 14100 11900 0 50 ~ 0
-I2C addr=010'0111
-Wire Wire Line
- 16750 14100 17700 14100
-Wire Wire Line
- 17150 13600 17950 13600
-Wire Wire Line
- 17700 13400 17950 13400
-Connection ~ 17700 13400
-Wire Wire Line
- 17950 13300 17150 13300
-$Comp
-L power:GND #PWR0129
-U 1 1 5CEC1A46
-P 16750 14200
-F 0 "#PWR0129" H 16750 13950 50 0001 C CNN
-F 1 "GND" H 16755 14027 50 0000 C CNN
-F 2 "" H 16750 14200 50 0001 C CNN
-F 3 "" H 16750 14200 50 0001 C CNN
- 1 16750 14200
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 16750 14200 16750 14100
-Text Label 15200 13100 2 50 ~ 0
-BUS_VCC
-Text Label 13400 13100 2 50 ~ 0
-BUS_VCC
-Wire Wire Line
- 14750 13100 15200 13100
-Wire Wire Line
- 12950 13100 13400 13100
-$Comp
-L power:+3V3 #PWR0132
-U 1 1 5CF84864
-P 16750 12800
-F 0 "#PWR0132" H 16750 12650 50 0001 C CNN
-F 1 "+3V3" H 16765 12973 50 0000 C CNN
-F 2 "" H 16750 12800 50 0001 C CNN
-F 3 "" H 16750 12800 50 0001 C CNN
- 1 16750 12800
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 16750 12800 16750 12900
-$Comp
-L Device:R_Small R18
-U 1 1 5D005CD7
-P 13700 7450
-F 0 "R18" V 13750 7300 50 0000 C CNN
-F 1 "1k" V 13800 7450 50 0000 C CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 13700 7450 50 0001 C CNN
-F 3 "~" H 13700 7450 50 0001 C CNN
- 1 13700 7450
- -1 0 0 -1
-$EndComp
-Wire Wire Line
- 8850 6900 9350 6900
-$Comp
-L power:GND #PWR0136
-U 1 1 5D051CBD
-P 13700 7650
-F 0 "#PWR0136" H 13700 7400 50 0001 C CNN
-F 1 "GND" H 13705 7477 50 0000 C CNN
-F 2 "" H 13700 7650 50 0001 C CNN
-F 3 "" H 13700 7650 50 0001 C CNN
- 1 13700 7650
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0143
-U 1 1 5D05213D
-P 13800 7650
-F 0 "#PWR0143" H 13800 7400 50 0001 C CNN
-F 1 "GND" H 13805 7477 50 0000 C CNN
-F 2 "" H 13800 7650 50 0001 C CNN
-F 3 "" H 13800 7650 50 0001 C CNN
- 1 13800 7650
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R24
-U 1 1 5D0524F4
-P 13800 7450
-F 0 "R24" V 13750 7300 50 0000 C CNN
-F 1 "1k" V 13700 7450 50 0000 C CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 13800 7450 50 0001 C CNN
-F 3 "~" H 13800 7450 50 0001 C CNN
- 1 13800 7450
- -1 0 0 -1
-$EndComp
-Wire Wire Line
- 13800 7550 13800 7650
-Wire Wire Line
- 13700 7650 13700 7550
-$Comp
-L Device:R_Small R39
-U 1 1 5D13A776
-P 16050 14100
-F 0 "R39" H 16109 14146 50 0000 L CNN
-F 1 "10k" H 16109 14055 50 0000 L CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 16050 14100 50 0001 C CNN
-F 3 "~" H 16050 14100 50 0001 C CNN
- 1 16050 14100
- 0 1 -1 0
-$EndComp
-Wire Wire Line
- 15950 14100 14850 14100
-Connection ~ 14850 14100
-Wire Wire Line
- 16150 14100 16750 14100
-Text Notes 15900 14250 0 50 ~ 0
-protection
-$Comp
-L Connector:TestPoint_Alt TP1
-U 1 1 5D180D49
-P 16050 1650
-F 0 "TP1" H 16108 1768 50 0000 L CNN
-F 1 "Vdrv" H 16108 1677 50 0000 L CNN
-F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 16250 1650 50 0001 C CNN
-F 3 "~" H 16250 1650 50 0001 C CNN
- 1 16050 1650
- 1 0 0 -1
-$EndComp
-Connection ~ 16050 1650
-$Comp
-L Connector:TestPoint_Alt TP6
-U 1 1 5D1812FD
-P 16000 4100
-F 0 "TP6" H 16058 4218 50 0000 L CNN
-F 1 "+3V3" H 16058 4127 50 0000 L CNN
-F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 16200 4100 50 0001 C CNN
-F 3 "~" H 16200 4100 50 0001 C CNN
- 1 16000 4100
- 1 0 0 -1
-$EndComp
-Connection ~ 16000 4100
-Wire Wire Line
- 16000 4100 16450 4100
-$Comp
-L Connector:TestPoint_Alt TP4
-U 1 1 5D181B83
-P 9350 4100
-F 0 "TP4" H 9408 4218 50 0000 L CNN
-F 1 "Vin" H 9408 4127 50 0000 L CNN
-F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 9550 4100 50 0001 C CNN
-F 3 "~" H 9550 4100 50 0001 C CNN
- 1 9350 4100
- 1 0 0 -1
-$EndComp
-$Comp
-L Connector:TestPoint_Alt TP5
-U 1 1 5D187E52
-P 13250 4100
-F 0 "TP5" H 13308 4218 50 0000 L CNN
-F 1 "I3V3" H 13308 4127 50 0000 L CNN
-F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 13450 4100 50 0001 C CNN
-F 3 "~" H 13450 4100 50 0001 C CNN
- 1 13250 4100
- 1 0 0 -1
-$EndComp
-$Comp
-L Connector:TestPoint_Alt TP2
-U 1 1 5D18845A
-P 13100 2050
-F 0 "TP2" H 13158 2168 50 0000 L CNN
-F 1 "Idrv" H 13158 2077 50 0000 L CNN
-F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 13300 2050 50 0001 C CNN
-F 3 "~" H 13300 2050 50 0001 C CNN
- 1 13100 2050
- 1 0 0 -1
-$EndComp
-$Comp
-L Connector:TestPoint_Alt TP7
-U 1 1 5D19813A
-P 13000 7100
-F 0 "TP7" H 13058 7218 50 0000 L CNN
-F 1 "CTRL_B" H 13058 7127 50 0000 L CNN
-F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 13200 7100 50 0001 C CNN
-F 3 "~" H 13200 7100 50 0001 C CNN
- 1 13000 7100
- 1 0 0 -1
-$EndComp
-$Comp
-L Connector:TestPoint_Alt TP8
-U 1 1 5D199BAC
-P 13000 7250
-F 0 "TP8" H 12942 7276 50 0000 R CNN
-F 1 "CTRL_A" H 12942 7367 50 0000 R CNN
-F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 13200 7250 50 0001 C CNN
-F 3 "~" H 13200 7250 50 0001 C CNN
- 1 13000 7250
- -1 0 0 1
-$EndComp
-$Comp
-L Connector:TestPoint_Alt TP10
-U 1 1 5D19D2DC
-P 12700 11750
-F 0 "TP10" H 12758 11868 50 0000 L CNN
-F 1 "SCL" H 12758 11777 50 0000 L CNN
-F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 12900 11750 50 0001 C CNN
-F 3 "~" H 12900 11750 50 0001 C CNN
- 1 12700 11750
- 1 0 0 -1
-$EndComp
-Connection ~ 12700 11750
-Wire Wire Line
- 12700 11750 13600 11750
-$Comp
-L Connector:TestPoint_Alt TP11
-U 1 1 5D19DB61
-P 12700 11850
-F 0 "TP11" H 12642 11876 50 0000 R CNN
-F 1 "SDA" H 12642 11967 50 0000 R CNN
-F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 12900 11850 50 0001 C CNN
-F 3 "~" H 12900 11850 50 0001 C CNN
- 1 12700 11850
- -1 0 0 1
-$EndComp
-Connection ~ 12700 11850
-Wire Wire Line
- 12700 11850 13600 11850
-$Comp
-L Connector:TestPoint_Alt TP12
-U 1 1 5D19E9F0
-P 12700 12250
-F 0 "TP12" H 12758 12368 50 0000 L CNN
-F 1 "⏚" H 12758 12277 50 0000 L CNN
-F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 12900 12250 50 0001 C CNN
-F 3 "~" H 12900 12250 50 0001 C CNN
- 1 12700 12250
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0151
-U 1 1 5D19F330
-P 12700 12250
-F 0 "#PWR0151" H 12700 12000 50 0001 C CNN
-F 1 "GND" H 12705 12077 50 0000 C CNN
-F 2 "" H 12700 12250 50 0001 C CNN
-F 3 "" H 12700 12250 50 0001 C CNN
- 1 12700 12250
- 1 0 0 -1
-$EndComp
-$Comp
-L Connector:TestPoint_Alt TP3
-U 1 1 5D1C82A7
-P 14700 3300
-F 0 "TP3" H 14758 3418 50 0000 L CNN
-F 1 "⏚" H 14758 3327 50 0000 L CNN
-F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 14900 3300 50 0001 C CNN
-F 3 "~" H 14900 3300 50 0001 C CNN
- 1 14700 3300
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0152
-U 1 1 5D1C82AD
-P 14700 3300
-F 0 "#PWR0152" H 14700 3050 50 0001 C CNN
-F 1 "GND" H 14705 3127 50 0000 C CNN
-F 2 "" H 14700 3300 50 0001 C CNN
-F 3 "" H 14700 3300 50 0001 C CNN
- 1 14700 3300
- 1 0 0 -1
-$EndComp
-$Comp
-L Connector:TestPoint_Alt TP9
-U 1 1 5D1ED8BA
-P 13000 7650
-F 0 "TP9" H 13058 7768 50 0000 L CNN
-F 1 "⏚" H 13058 7677 50 0000 L CNN
-F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 13200 7650 50 0001 C CNN
-F 3 "~" H 13200 7650 50 0001 C CNN
- 1 13000 7650
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0153
-U 1 1 5D1ED8C0
-P 13000 7650
-F 0 "#PWR0153" H 13000 7400 50 0001 C CNN
-F 1 "GND" H 13005 7477 50 0000 C CNN
-F 2 "" H 13000 7650 50 0001 C CNN
-F 3 "" H 13000 7650 50 0001 C CNN
- 1 13000 7650
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R38
-U 1 1 5D217218
-P 9750 10500
-F 0 "R38" H 9809 10546 50 0000 L CNN
-F 1 "0" H 9809 10455 50 0000 L CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 9750 10500 50 0001 C CNN
-F 3 "~" H 9750 10500 50 0001 C CNN
- 1 9750 10500
- 0 1 1 0
-$EndComp
-$Comp
-L Device:C_Small C7
-U 1 1 5D217891
-P 9950 10300
-F 0 "C7" H 10042 10346 50 0000 L CNN
-F 1 "0" H 10050 10250 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 9950 10300 50 0001 C CNN
-F 3 "~" H 9950 10300 50 0001 C CNN
- 1 9950 10300
- -1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R37
-U 1 1 5D2214D0
-P 9750 10100
-F 0 "R37" H 9809 10146 50 0000 L CNN
-F 1 "0" H 9809 10055 50 0000 L CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 9750 10100 50 0001 C CNN
-F 3 "~" H 9750 10100 50 0001 C CNN
- 1 9750 10100
- 0 -1 -1 0
-$EndComp
-Wire Wire Line
- 9550 10100 9650 10100
-Connection ~ 9550 10100
-Wire Wire Line
- 9550 10500 9650 10500
-Connection ~ 9550 10500
-Wire Wire Line
- 9850 10100 9950 10100
-Wire Wire Line
- 9950 10100 9950 10200
-Wire Wire Line
- 9950 10100 10150 10100
-Wire Wire Line
- 10150 10100 10150 10400
-Wire Wire Line
- 10150 10400 10400 10400
-Connection ~ 9950 10100
-Wire Wire Line
- 10400 10500 9950 10500
-Wire Wire Line
- 9950 10400 9950 10500
-Connection ~ 9950 10500
-Wire Wire Line
- 9950 10500 9850 10500
-Connection ~ 9350 6900
-Wire Wire Line
- 9350 6900 9850 6900
-$Comp
-L Amplifier_Operational:NCS325 U9
-U 1 1 5D38FF36
-P 10950 8150
-F 0 "U9" H 11100 8500 50 0000 L CNN
-F 1 "NCS325" H 10900 8400 50 0000 L CNN
-F 2 "Package_TO_SOT_SMD:SOT-23-5" H 10950 8150 50 0001 C CNN
-F 3 "http://www.onsemi.com/pub/Collateral/NCS325-D.PDF" H 11100 8300 50 0001 C CNN
- 1 10950 8150
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0154
-U 1 1 5D410478
-P 10850 8450
-F 0 "#PWR0154" H 10850 8200 50 0001 C CNN
-F 1 "GND" H 10855 8277 50 0000 C CNN
-F 2 "" H 10850 8450 50 0001 C CNN
-F 3 "" H 10850 8450 50 0001 C CNN
- 1 10850 8450
- 1 0 0 -1
-$EndComp
-$Comp
-L power:+3V3 #PWR0155
-U 1 1 5D45AD79
-P 10850 7850
-F 0 "#PWR0155" H 10850 7700 50 0001 C CNN
-F 1 "+3V3" H 10865 8023 50 0000 C CNN
-F 2 "" H 10850 7850 50 0001 C CNN
-F 3 "" H 10850 7850 50 0001 C CNN
- 1 10850 7850
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R36
-U 1 1 5D45B7FF
-P 9750 9800
-F 0 "R36" H 9809 9846 50 0000 L CNN
-F 1 "10k" H 9809 9755 50 0000 L CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 9750 9800 50 0001 C CNN
-F 3 "~" H 9750 9800 50 0001 C CNN
- 1 9750 9800
- 0 1 -1 0
-$EndComp
-Wire Wire Line
- 10050 8050 10650 8050
-$Comp
-L Device:R_Small R33
-U 1 1 5D4C9192
-P 11450 8450
-F 0 "R33" H 11509 8496 50 0000 L CNN
-F 1 "150k" H 11509 8405 50 0000 L CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 11450 8450 50 0001 C CNN
-F 3 "~" H 11450 8450 50 0001 C CNN
- 1 11450 8450
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:R_Small R34
-U 1 1 5D4CA283
-P 11450 8900
-F 0 "R34" H 11509 8946 50 0000 L CNN
-F 1 "100" H 11509 8855 50 0000 L CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 11450 8900 50 0001 C CNN
-F 3 "~" H 11450 8900 50 0001 C CNN
- 1 11450 8900
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 10650 8250 10550 8250
-Wire Wire Line
- 10550 8250 10550 8750
-Wire Wire Line
- 11450 8750 11450 8550
-$Comp
-L power:GND #PWR0156
-U 1 1 5D5AA37D
-P 11450 9600
-F 0 "#PWR0156" H 11450 9350 50 0001 C CNN
-F 1 "GND" H 11455 9427 50 0000 C CNN
-F 2 "" H 11450 9600 50 0001 C CNN
-F 3 "" H 11450 9600 50 0001 C CNN
- 1 11450 9600
- 1 0 0 -1
-$EndComp
-Wire Wire Line
- 11250 8150 11450 8150
-Wire Wire Line
- 11450 8150 11450 8350
-Connection ~ 11450 8150
-Text Label 11800 8150 2 50 ~ 0
-VIsense
-Wire Wire Line
- 10550 8750 11450 8750
-Wire Wire Line
- 11450 8800 11450 8750
-Connection ~ 11450 8750
-Wire Wire Line
- 10800 10900 10800 10800
-Text Label 17500 6450 2 50 ~ 0
-VIsense
-$Comp
-L Device:R_Small R25
-U 1 1 5D81B8C1
-P 12000 8150
-F 0 "R25" H 12059 8196 50 0000 L CNN
-F 1 "10k" H 12059 8105 50 0000 L CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 12000 8150 50 0001 C CNN
-F 3 "~" H 12000 8150 50 0001 C CNN
- 1 12000 8150
- 0 -1 -1 0
-$EndComp
-Wire Wire Line
- 11450 8150 11900 8150
-Wire Wire Line
- 12100 8150 12350 8150
-Text Label 12350 8150 2 50 ~ 0
-SCK
-Text Notes 11650 8300 0 50 ~ 0
-re-use SCK for measurement here
-$Comp
-L Device:R_Small R35
-U 1 1 5D8E3C01
-P 11450 9300
-F 0 "R35" H 11509 9346 50 0000 L CNN
-F 1 "3k6" H 11509 9255 50 0000 L CNN
-F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 11450 9300 50 0001 C CNN
-F 3 "~" H 11450 9300 50 0001 C CNN
- 1 11450 9300
- 1 0 0 -1
-$EndComp
-Connection ~ 13000 7250
-Wire Wire Line
- 13000 7250 13800 7250
-Wire Wire Line
- 6450 7250 12400 7250
-Wire Wire Line
- 6550 7100 12500 7100
-Connection ~ 13000 7100
-Wire Wire Line
- 13000 7100 13700 7100
-Wire Wire Line
- 13700 7350 13700 7100
-Connection ~ 13700 7100
-Wire Wire Line
- 13700 7100 14150 7100
-Wire Wire Line
- 13800 7350 13800 7250
-Connection ~ 13800 7250
-Wire Wire Line
- 13800 7250 14150 7250
-Wire Wire Line
- 9350 6900 9350 10100
-Wire Wire Line
- 9650 9800 9550 9800
-Wire Wire Line
- 9550 9800 9550 10100
-Wire Wire Line
- 9850 9800 10050 9800
-Wire Wire Line
- 10050 8050 10050 9800
-Wire Wire Line
- 11450 9000 11450 9100
-Text Label 12350 9300 2 50 ~ 0
-MOSI
-$Comp
-L Transistor_FET:BSS138 Q5
-U 1 1 5DC08AF7
-P 11950 9300
-F 0 "Q5" H 11800 9400 50 0000 L CNN
-F 1 "AO3400" H 11600 9200 50 0000 L CNN
-F 2 "Package_TO_SOT_SMD:SOT-23" H 12150 9225 50 0001 L CIN
-F 3 "https://www.fairchildsemi.com/datasheets/BS/BSS138.pdf" H 11950 9300 50 0001 L CNN
- 1 11950 9300
- -1 0 0 -1
-$EndComp
-Wire Wire Line
- 12350 9300 12150 9300
-Wire Wire Line
- 11450 9100 11850 9100
-Connection ~ 11450 9100
-Wire Wire Line
- 11450 9100 11450 9200
-Wire Wire Line
- 11450 9500 11450 9400
-Wire Wire Line
- 11850 9500 11450 9500
-Connection ~ 11450 9500
-Wire Wire Line
- 11450 9600 11450 9500
-Text Notes 12000 9100 0 50 ~ 0
-Use MOSI for current range select
-Text Label 14950 14100 0 50 ~ 0
-GND_RS485
-$Comp
-L Device:C_Small C8
-U 1 1 5CC58C3C
-P 17300 12900
-F 0 "C8" H 17392 12946 50 0000 L CNN
-F 1 "100n" H 17400 12850 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 17300 12900 50 0001 C CNN
-F 3 "~" H 17300 12900 50 0001 C CNN
- 1 17300 12900
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0157
-U 1 1 5CC58C47
-P 17300 13000
-F 0 "#PWR0157" H 17300 12750 50 0001 C CNN
-F 1 "GND" H 17305 12827 50 0000 C CNN
-F 2 "" H 17300 13000 50 0001 C CNN
-F 3 "" H 17300 13000 50 0001 C CNN
- 1 17300 13000
- 1 0 0 -1
-$EndComp
-$Comp
-L power:+3V3 #PWR0158
-U 1 1 5CC58C52
-P 17300 12800
-F 0 "#PWR0158" H 17300 12650 50 0001 C CNN
-F 1 "+3V3" H 17315 12973 50 0000 C CNN
-F 2 "" H 17300 12800 50 0001 C CNN
-F 3 "" H 17300 12800 50 0001 C CNN
- 1 17300 12800
- 1 0 0 -1
-$EndComp
-NoConn ~ 11200 10600
-Text Label 14150 10550 1 50 ~ 0
-GND_MEAS
-Wire Wire Line
- 14150 10150 14150 10550
-$Comp
-L power:+3V3 #PWR0134
-U 1 1 5CFC5CFE
-P 14150 9950
-F 0 "#PWR0134" H 14150 9800 50 0001 C CNN
-F 1 "+3V3" H 14165 10123 50 0000 C CNN
-F 2 "" H 14150 9950 50 0001 C CNN
-F 3 "" H 14150 9950 50 0001 C CNN
- 1 14150 9950
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:C_Small C6
-U 1 1 5CFC5CF2
-P 14150 10050
-F 0 "C6" H 14242 10096 50 0000 L CNN
-F 1 "100n" H 14250 10000 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 14150 10050 50 0001 C CNN
-F 3 "~" H 14150 10050 50 0001 C CNN
- 1 14150 10050
- 1 0 0 -1
-$EndComp
-$Comp
-L Device:C_Small C9
-U 1 1 5CC5518C
-P 10850 9150
-F 0 "C9" H 10942 9196 50 0000 L CNN
-F 1 "100n" H 10950 9100 50 0000 L CNN
-F 2 "Capacitors_SMD:C_0603_HandSoldering" H 10850 9150 50 0001 C CNN
-F 3 "~" H 10850 9150 50 0001 C CNN
- 1 10850 9150
- 1 0 0 -1
-$EndComp
-$Comp
-L power:GND #PWR0133
-U 1 1 5CC55197
-P 10850 9250
-F 0 "#PWR0133" H 10850 9000 50 0001 C CNN
-F 1 "GND" H 10855 9077 50 0000 C CNN
-F 2 "" H 10850 9250 50 0001 C CNN
-F 3 "" H 10850 9250 50 0001 C CNN
- 1 10850 9250
- 1 0 0 -1
-$EndComp
-$Comp
-L power:+3V3 #PWR0159
-U 1 1 5CC551A2
-P 10850 9050
-F 0 "#PWR0159" H 10850 8900 50 0001 C CNN
-F 1 "+3V3" H 10865 9223 50 0000 C CNN
-F 2 "" H 10850 9050 50 0001 C CNN
-F 3 "" H 10850 9050 50 0001 C CNN
- 1 10850 9050
- 1 0 0 -1
-$EndComp
-$Comp
-L Connector:TestPoint_Alt TP15
-U 1 1 5CD2DBD6
-P 20050 2300
-F 0 "TP15" H 20108 2418 50 0000 L CNN
-F 1 "MOSI" H 20108 2327 50 0000 L CNN
-F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 20250 2300 50 0001 C CNN
-F 3 "~" H 20250 2300 50 0001 C CNN
- 1 20050 2300
- 0 1 1 0
-$EndComp
-Connection ~ 19850 2300
-Wire Wire Line
- 19850 2300 19850 2500
-$Comp
-L Connector:TestPoint_Alt TP14
-U 1 1 5CD38882
-P 19850 2300
-F 0 "TP14" H 19908 2418 50 0000 L CNN
-F 1 "SCK" H 19908 2327 50 0000 L CNN
-F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 20050 2300 50 0001 C CNN
-F 3 "~" H 20050 2300 50 0001 C CNN
- 1 19850 2300
- 0 1 1 0
-$EndComp
-Connection ~ 20050 2300
-Wire Wire Line
- 20050 2300 20050 2500
-$Comp
-L Connector:TestPoint_Alt TP13
-U 1 1 5CD3B4C9
-P 19550 2300
-F 0 "TP13" H 19608 2418 50 0000 L CNN
-F 1 "LATCH" H 19608 2327 50 0000 L CNN
-F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 19750 2300 50 0001 C CNN
-F 3 "~" H 19750 2300 50 0001 C CNN
- 1 19550 2300
- 0 1 1 0
-$EndComp
-Connection ~ 19550 2300
-Wire Wire Line
- 19550 2300 19550 2500
-$EndSCHEMATC
+EESchema Schematic File Version 4
+EELAYER 30 0
+EELAYER END
+$Descr A2 23386 16535
+encoding utf-8
+Sheet 1 1
+Title ""
+Date ""
+Rev ""
+Comp ""
+Comment1 ""
+Comment2 ""
+Comment3 ""
+Comment4 ""
+$EndDescr
+$Comp
+L driver-rescue:R_Small-Device R28
+U 1 1 5C3D5DD5
+P 9150 10300
+F 0 "R28" V 8954 10300 50 0000 C CNN
+F 1 "25mR 1W 2512" V 9045 10300 50 0000 C CNN
+F 2 "Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder" H 9150 10300 50 0001 C CNN
+F 3 "~" H 9150 10300 50 0001 C CNN
+F 4 "C159173" H 9150 10300 50 0001 C CNN "LCSC"
+F 5 "Ralec" H 9150 10300 50 0001 C CNN "Mfg"
+F 6 "RTT25R025FTE" H 9150 10300 50 0001 C CNN "PN"
+ 1 9150 10300
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:R_Small-Device R29
+U 1 1 5C3D5E81
+P 9250 10300
+F 0 "R29" V 9054 10300 50 0001 C CNN
+F 1 "25mR 1W 2512" V 9145 10300 50 0001 C CNN
+F 2 "Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder" H 9250 10300 50 0001 C CNN
+F 3 "~" H 9250 10300 50 0001 C CNN
+F 4 "C159173" H 9250 10300 50 0001 C CNN "LCSC"
+F 5 "Ralec" H 9250 10300 50 0001 C CNN "Mfg"
+F 6 "RTT25R025FTE" H 9250 10300 50 0001 C CNN "PN"
+ 1 9250 10300
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:R_Small-Device R30
+U 1 1 5C3D5FC2
+P 9350 10300
+F 0 "R30" V 9154 10300 50 0001 C CNN
+F 1 "25mR 1W 2512" V 9245 10300 50 0001 C CNN
+F 2 "Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder" H 9350 10300 50 0001 C CNN
+F 3 "~" H 9350 10300 50 0001 C CNN
+F 4 "C159173" H 9350 10300 50 0001 C CNN "LCSC"
+F 5 "Ralec" H 9350 10300 50 0001 C CNN "Mfg"
+F 6 "RTT25R025FTE" H 9350 10300 50 0001 C CNN "PN"
+ 1 9350 10300
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:R_Small-Device R31
+U 1 1 5C3D600E
+P 9450 10300
+F 0 "R31" V 9254 10300 50 0001 C CNN
+F 1 "25mR 1W 2512" V 9345 10300 50 0001 C CNN
+F 2 "Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder" H 9450 10300 50 0001 C CNN
+F 3 "~" H 9450 10300 50 0001 C CNN
+F 4 "C159173" H 9450 10300 50 0001 C CNN "LCSC"
+F 5 "Ralec" H 9450 10300 50 0001 C CNN "Mfg"
+F 6 "RTT25R025FTE" H 9450 10300 50 0001 C CNN "PN"
+ 1 9450 10300
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:R_Small-Device R32
+U 1 1 5C3D603D
+P 9550 10300
+F 0 "R32" V 9354 10300 50 0001 C CNN
+F 1 "25mR 1W 2512" V 9445 10300 50 0001 C CNN
+F 2 "Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder" H 9550 10300 50 0001 C CNN
+F 3 "~" H 9550 10300 50 0001 C CNN
+F 4 "C159173" H 9550 10300 50 0001 C CNN "LCSC"
+F 5 "Ralec" H 9550 10300 50 0001 C CNN "Mfg"
+F 6 "RTT25R025FTE" H 9550 10300 50 0001 C CNN "PN"
+ 1 9550 10300
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:INA226-Analog_ADC U7
+U 1 1 5C3D6130
+P 10800 10300
+F 0 "U7" H 10550 10750 50 0000 C CNN
+F 1 "INA226" H 10950 10750 50 0000 C CNN
+F 2 "Package_SO:MSOP-10_3x3mm_P0.5mm" H 10850 10400 50 0001 C CNN
+F 3 "http://www.ti.com/lit/ds/symlink/ina226.pdf" H 11150 10200 50 0001 C CNN
+F 4 "?" H 10800 10300 50 0001 C CNN "DNP"
+F 5 "C49851" H 10800 10300 50 0001 C CNN "LCSC"
+F 6 "TI" H 10800 10300 50 0001 C CNN "Mfg"
+F 7 "INA226AIDGSR" H 10800 10300 50 0001 C CNN "PN"
+ 1 10800 10300
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:GND-power #PWR0101
+U 1 1 5C3D6C90
+P 10800 10900
+F 0 "#PWR0101" H 10800 10650 50 0001 C CNN
+F 1 "GND" H 10805 10727 50 0000 C CNN
+F 2 "" H 10800 10900 50 0001 C CNN
+F 3 "" H 10800 10900 50 0001 C CNN
+ 1 10800 10900
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:GND-power #PWR0102
+U 1 1 5C3D6E59
+P 11300 10900
+F 0 "#PWR0102" H 11300 10650 50 0001 C CNN
+F 1 "GND" H 11305 10727 50 0000 C CNN
+F 2 "" H 11300 10900 50 0001 C CNN
+F 3 "" H 11300 10900 50 0001 C CNN
+ 1 11300 10900
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 11300 10900 11300 10100
+Wire Wire Line
+ 11200 10000 11300 10000
+Wire Wire Line
+ 11300 10000 11300 10100
+Connection ~ 11300 10100
+Wire Wire Line
+ 11300 10100 11200 10100
+Wire Wire Line
+ 9150 10400 9150 10500
+Wire Wire Line
+ 9150 10500 9250 10500
+Wire Wire Line
+ 9550 10500 9550 10400
+Wire Wire Line
+ 9450 10400 9450 10500
+Connection ~ 9450 10500
+Wire Wire Line
+ 9450 10500 9550 10500
+Wire Wire Line
+ 9350 10500 9350 10400
+Connection ~ 9350 10500
+Wire Wire Line
+ 9350 10500 9450 10500
+Wire Wire Line
+ 9250 10400 9250 10500
+Connection ~ 9250 10500
+Wire Wire Line
+ 9250 10500 9350 10500
+Wire Wire Line
+ 9150 10200 9150 10100
+Wire Wire Line
+ 9150 10100 9250 10100
+Wire Wire Line
+ 9550 10100 9550 10200
+Wire Wire Line
+ 9450 10200 9450 10100
+Connection ~ 9450 10100
+Wire Wire Line
+ 9450 10100 9550 10100
+Wire Wire Line
+ 9350 10100 9350 10200
+Connection ~ 9350 10100
+Wire Wire Line
+ 9350 10100 9450 10100
+Wire Wire Line
+ 9250 10200 9250 10100
+Connection ~ 9250 10100
+Wire Wire Line
+ 9250 10100 9350 10100
+$Comp
+L driver-rescue:IRF7480M-Transistor_FET Q3
+U 1 1 5C3D9DBF
+P 8750 6600
+F 0 "Q3" H 8955 6646 50 0000 L CNN
+F 1 "NCEP60T15G" H 8955 6555 50 0001 L CNN
+F 2 "footprints:Toshiba SOP Advance" H 8750 6600 50 0001 C CIN
+F 3 "https://www.infineon.com/dgdl/Infineon-IRF7480M-DS-v01_02-EN.pdf?fileId=5546d462533600a4015355ff8fa41c30" H 8750 6600 50 0001 L CNN
+F 4 "Wuxi NCE" H 8750 6600 50 0001 C CNN "Mfg"
+F 5 "NCEP60T15G" H 8750 6600 50 0001 C CNN "PN"
+F 6 "C216776" H 8750 6600 50 0001 C CNN "LCSC"
+ 1 8750 6600
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:IRF7480M-Transistor_FET Q1
+U 1 1 5C3DA5D0
+P 8750 5650
+F 0 "Q1" H 8955 5696 50 0000 L CNN
+F 1 "NCEP60T15G" H 8950 5800 50 0001 L CNN
+F 2 "footprints:Toshiba SOP Advance" H 8750 5650 50 0001 C CIN
+F 3 "https://www.infineon.com/dgdl/Infineon-IRF7480M-DS-v01_02-EN.pdf?fileId=5546d462533600a4015355ff8fa41c30" H 8750 5650 50 0001 L CNN
+F 4 "Wuxi NCE" H 8750 5650 50 0001 C CNN "Mfg"
+F 5 "NCEP60T15G" H 8750 5650 50 0001 C CNN "PN"
+F 6 "C216776" H 8750 5650 50 0001 C CNN "LCSC"
+ 1 8750 5650
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 8850 5850 8850 6150
+$Comp
+L driver-rescue:IRF7480M-Transistor_FET Q2
+U 1 1 5C3DAAC4
+P 9950 5650
+F 0 "Q2" H 10156 5696 50 0000 L CNN
+F 1 "NCEP60T15G" H 10300 5800 50 0000 L CNN
+F 2 "footprints:Toshiba SOP Advance" H 9950 5650 50 0001 C CIN
+F 3 "https://www.infineon.com/dgdl/Infineon-IRF7480M-DS-v01_02-EN.pdf?fileId=5546d462533600a4015355ff8fa41c30" H 9950 5650 50 0001 L CNN
+F 4 "Wuxi NCE" H 9950 5650 50 0001 C CNN "Mfg"
+F 5 "NCEP60T15G" H 9950 5650 50 0001 C CNN "PN"
+F 6 "C216776" H 9950 5650 50 0001 C CNN "LCSC"
+ 1 9950 5650
+ -1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:IRF7480M-Transistor_FET Q4
+U 1 1 5C3DB5E6
+P 9950 6600
+F 0 "Q4" H 10156 6646 50 0000 L CNN
+F 1 "NCEP60T15G" H 10300 6750 50 0000 L CNN
+F 2 "footprints:Toshiba SOP Advance" H 9950 6600 50 0001 C CIN
+F 3 "https://www.infineon.com/dgdl/Infineon-IRF7480M-DS-v01_02-EN.pdf?fileId=5546d462533600a4015355ff8fa41c30" H 9950 6600 50 0001 L CNN
+F 4 "Wuxi NCE" H 9950 6600 50 0001 C CNN "Mfg"
+F 5 "NCEP60T15G" H 9950 6600 50 0001 C CNN "PN"
+F 6 "C216776" H 9950 6600 50 0001 C CNN "LCSC"
+ 1 9950 6600
+ -1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:GND-power #PWR0103
+U 1 1 5C3E47F9
+P 7350 6600
+F 0 "#PWR0103" H 7350 6350 50 0001 C CNN
+F 1 "GND" H 7355 6427 50 0000 C CNN
+F 2 "" H 7350 6600 50 0001 C CNN
+F 3 "" H 7350 6600 50 0001 C CNN
+ 1 7350 6600
+ 1 0 0 -1
+$EndComp
+Connection ~ 8850 6150
+Wire Wire Line
+ 8850 6150 8850 6300
+Wire Wire Line
+ 9850 6150 9850 6300
+Wire Wire Line
+ 9850 6150 9850 5850
+Connection ~ 9850 6150
+Wire Wire Line
+ 8850 5450 8850 5350
+Wire Wire Line
+ 8850 5350 9350 5350
+Wire Wire Line
+ 9850 5350 9850 5450
+Wire Wire Line
+ 8850 6800 8850 6900
+Wire Wire Line
+ 9850 6900 9850 6800
+Wire Wire Line
+ 6200 4100 9350 4100
+Wire Wire Line
+ 9350 4100 9350 5350
+Connection ~ 9350 5350
+Wire Wire Line
+ 9350 5350 9850 5350
+Wire Wire Line
+ 9350 10500 9350 10750
+Wire Wire Line
+ 9350 10750 6200 10750
+Wire Wire Line
+ 7650 5900 8050 5900
+Wire Wire Line
+ 8050 5900 8050 5650
+$Comp
+L driver-rescue:GND-power #PWR0105
+U 1 1 5C41E390
+P 11650 6600
+F 0 "#PWR0105" H 11650 6350 50 0001 C CNN
+F 1 "GND" H 11655 6427 50 0000 C CNN
+F 2 "" H 11650 6600 50 0001 C CNN
+F 3 "" H 11650 6600 50 0001 C CNN
+ 1 11650 6600
+ -1 0 0 -1
+$EndComp
+Wire Wire Line
+ 10900 5900 10900 5650
+Wire Wire Line
+ 10900 6400 10900 6600
+$Comp
+L driver-rescue:+3V3-power #PWR0107
+U 1 1 5C4568B2
+P 10800 9800
+F 0 "#PWR0107" H 10800 9650 50 0001 C CNN
+F 1 "+3V3" H 10815 9973 50 0000 C CNN
+F 2 "" H 10800 9800 50 0001 C CNN
+F 3 "" H 10800 9800 50 0001 C CNN
+ 1 10800 9800
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:GND-power #PWR0108
+U 1 1 5C45EB4A
+P 9350 10750
+F 0 "#PWR0108" H 9350 10500 50 0001 C CNN
+F 1 "GND" H 9355 10577 50 0000 C CNN
+F 2 "" H 9350 10750 50 0001 C CNN
+F 3 "" H 9350 10750 50 0001 C CNN
+ 1 9350 10750
+ 1 0 0 -1
+$EndComp
+Connection ~ 9350 10750
+Connection ~ 9350 4100
+$Comp
+L driver-rescue:R_Small-Device R8
+U 1 1 5C4AAEC7
+P 5500 1750
+F 0 "R8" V 5700 1750 50 0000 C CNN
+F 1 "47k" V 5600 1750 50 0000 C CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 5500 1750 50 0001 C CNN
+F 3 "~" H 5500 1750 50 0001 C CNN
+F 4 "yes" H 5500 1750 50 0001 C CNN "Stock"
+ 1 5500 1750
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:R_Small-Device R9
+U 1 1 5C4AAECD
+P 5500 2050
+F 0 "R9" V 5700 2050 50 0000 C CNN
+F 1 "10k" V 5600 2050 50 0000 C CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 5500 2050 50 0001 C CNN
+F 3 "~" H 5500 2050 50 0001 C CNN
+F 4 "yes" H 5500 2050 50 0001 C CNN "Stock"
+ 1 5500 2050
+ 1 0 0 1
+$EndComp
+Wire Wire Line
+ 5500 1850 5500 1900
+Connection ~ 5500 1900
+Wire Wire Line
+ 5500 1900 5500 1950
+Text Label 6350 1900 2 50 ~ 0
+VIN_MON
+Wire Wire Line
+ 5500 1900 5850 1900
+Wire Wire Line
+ 5500 2150 5500 2250
+$Comp
+L driver-rescue:C_Small-Device C21
+U 1 1 5C4AAEDB
+P 5850 2050
+F 0 "C21" H 5942 2096 50 0000 L CNN
+F 1 "100n" H 5942 2005 50 0000 L CNN
+F 2 "Capacitors_SMD:C_0603_HandSoldering" H 5850 2050 50 0001 C CNN
+F 3 "~" H 5850 2050 50 0001 C CNN
+F 4 "yes" H 5850 2050 50 0001 C CNN "Stock"
+ 1 5850 2050
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 5850 1950 5850 1900
+Connection ~ 5850 1900
+Wire Wire Line
+ 5850 2150 5850 2250
+Wire Wire Line
+ 5850 2250 5500 2250
+Wire Wire Line
+ 5850 1900 6200 1900
+$Comp
+L driver-rescue:GND-power #PWR0112
+U 1 1 5C4D989C
+P 5250 2600
+F 0 "#PWR0112" H 5250 2350 50 0001 C CNN
+F 1 "GND" H 5255 2427 50 0000 C CNN
+F 2 "" H 5250 2600 50 0001 C CNN
+F 3 "" H 5250 2600 50 0001 C CNN
+ 1 5250 2600
+ 0 1 1 0
+$EndComp
+Connection ~ 5500 2250
+$Comp
+L driver-rescue:GND-power #PWR0113
+U 1 1 5C574D2B
+P 16450 6400
+F 0 "#PWR0113" H 16450 6150 50 0001 C CNN
+F 1 "GND" H 16455 6227 50 0000 C CNN
+F 2 "" H 16450 6400 50 0001 C CNN
+F 3 "" H 16450 6400 50 0001 C CNN
+ 1 16450 6400
+ 1 0 0 -1
+$EndComp
+Text Notes 8700 4100 0 50 ~ 0
+<=60V
+$Comp
+L driver-rescue:C_Small-Device C11
+U 1 1 5C5BBF20
+P 14900 2000
+F 0 "C11" H 14992 2046 50 0000 L CNN
+F 1 "100n" H 15000 1950 50 0000 L CNN
+F 2 "Capacitors_SMD:C_0603_HandSoldering" H 14900 2000 50 0001 C CNN
+F 3 "~" H 14900 2000 50 0001 C CNN
+F 4 "yes" H 14900 2000 50 0001 C CNN "Stock"
+ 1 14900 2000
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:C_Small-Device C12
+U 1 1 5C5BD76D
+P 15300 2000
+F 0 "C12" H 15392 2046 50 0000 L CNN
+F 1 "100n" H 15400 1950 50 0000 L CNN
+F 2 "Capacitors_SMD:C_0603_HandSoldering" H 15300 2000 50 0001 C CNN
+F 3 "~" H 15300 2000 50 0001 C CNN
+F 4 "yes" H 15300 2000 50 0001 C CNN "Stock"
+ 1 15300 2000
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:C_Small-Device C13
+U 1 1 5C5C8D7A
+P 15700 2000
+F 0 "C13" H 15792 2046 50 0000 L CNN
+F 1 "100n" H 15800 1950 50 0000 L CNN
+F 2 "Capacitors_SMD:C_0603_HandSoldering" H 15700 2000 50 0001 C CNN
+F 3 "~" H 15700 2000 50 0001 C CNN
+F 4 "yes" H 15700 2000 50 0001 C CNN "Stock"
+ 1 15700 2000
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:C_Small-Device C14
+U 1 1 5C5C9980
+P 16100 2000
+F 0 "C14" H 16192 2046 50 0000 L CNN
+F 1 "100n" H 16200 1950 50 0000 L CNN
+F 2 "Capacitors_SMD:C_0603_HandSoldering" H 16100 2000 50 0001 C CNN
+F 3 "~" H 16100 2000 50 0001 C CNN
+F 4 "yes" H 16100 2000 50 0001 C CNN "Stock"
+ 1 16100 2000
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:+3V3-power #PWR0117
+U 1 1 5C5CB59E
+P 15500 1800
+F 0 "#PWR0117" H 15500 1650 50 0001 C CNN
+F 1 "+3V3" H 15515 1973 50 0000 C CNN
+F 2 "" H 15500 1800 50 0001 C CNN
+F 3 "" H 15500 1800 50 0001 C CNN
+ 1 15500 1800
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 14900 1900 15300 1900
+Connection ~ 15300 1900
+Wire Wire Line
+ 15300 1900 15500 1900
+Connection ~ 15700 1900
+Wire Wire Line
+ 15700 1900 16100 1900
+Wire Wire Line
+ 15500 1800 15500 1900
+Connection ~ 15500 1900
+Wire Wire Line
+ 15500 1900 15700 1900
+$Comp
+L driver-rescue:GND-power #PWR0118
+U 1 1 5C5DEABD
+P 15500 2200
+F 0 "#PWR0118" H 15500 1950 50 0001 C CNN
+F 1 "GND" H 15505 2027 50 0000 C CNN
+F 2 "" H 15500 2200 50 0001 C CNN
+F 3 "" H 15500 2200 50 0001 C CNN
+ 1 15500 2200
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 14900 2100 15300 2100
+Connection ~ 15300 2100
+Wire Wire Line
+ 15300 2100 15500 2100
+Connection ~ 15700 2100
+Wire Wire Line
+ 15700 2100 16100 2100
+Wire Wire Line
+ 15500 2100 15500 2200
+Connection ~ 15500 2100
+Wire Wire Line
+ 15500 2100 15700 2100
+$Comp
+L driver-rescue:C_Small-Device C29
+U 1 1 5C5F270F
+P 11550 10800
+F 0 "C29" H 11642 10846 50 0000 L CNN
+F 1 "100n" H 11650 10750 50 0000 L CNN
+F 2 "Capacitors_SMD:C_0603_HandSoldering" H 11550 10800 50 0001 C CNN
+F 3 "~" H 11550 10800 50 0001 C CNN
+F 4 "yes" H 11550 10800 50 0001 C CNN "Stock"
+ 1 11550 10800
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:GND-power #PWR0119
+U 1 1 5C5F5707
+P 11550 10900
+F 0 "#PWR0119" H 11550 10650 50 0001 C CNN
+F 1 "GND" H 11555 10727 50 0000 C CNN
+F 2 "" H 11550 10900 50 0001 C CNN
+F 3 "" H 11550 10900 50 0001 C CNN
+ 1 11550 10900
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:C_Small-Device C23
+U 1 1 5C61DEFC
+P 7750 6100
+F 0 "C23" H 7842 6146 50 0000 L CNN
+F 1 "470n/60V" H 7850 6050 50 0000 L CNN
+F 2 "Capacitor_SMD:C_1206_3216Metric_Pad1.42x1.75mm_HandSolder" H 7750 6100 50 0001 C CNN
+F 3 "~" H 7750 6100 50 0001 C CNN
+F 4 "C107182" H 7750 6100 50 0001 C CNN "LCSC"
+F 5 "Yageo" H 7750 6100 50 0001 C CNN "Mfg"
+F 6 "CC1206KKX7R0BB474" H 7750 6100 50 0001 C CNN "PN"
+ 1 7750 6100
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:Crystal_GND24-Device Y1
+U 1 1 5C62F3E9
+P 14200 5050
+F 0 "Y1" V 14246 4809 50 0000 R CNN
+F 1 "8MHz" V 14155 4809 50 0000 R CNN
+F 2 "Crystal:Crystal_SMD_3225-4Pin_3.2x2.5mm_HandSoldering" H 14200 5050 50 0001 C CNN
+F 3 "~" H 14200 5050 50 0001 C CNN
+F 4 "C400090" H 14200 5050 50 0001 C CNN "LCSC"
+F 5 "TAE" H 14200 5050 50 0001 C CNN "Mfg"
+F 6 "TAXM8M4RDBCCT2T" H 14200 5050 50 0001 C CNN "PN"
+ 1 14200 5050
+ 0 -1 -1 0
+$EndComp
+$Comp
+L driver-rescue:C_Small-Device C22
+U 1 1 5C6343C3
+P 14000 5300
+F 0 "C22" H 14092 5346 50 0000 L CNN
+F 1 "12p" H 14100 5250 50 0000 L CNN
+F 2 "Capacitors_SMD:C_0603_HandSoldering" H 14000 5300 50 0001 C CNN
+F 3 "~" H 14000 5300 50 0001 C CNN
+F 4 "C38523" H 14000 5300 50 0001 C CNN "LCSC"
+F 5 "Samsung" H 14000 5300 50 0001 C CNN "Mfg"
+F 6 "CL10C120JB8NNNC" H 14000 5300 50 0001 C CNN "PN"
+ 1 14000 5300
+ 0 1 1 0
+$EndComp
+$Comp
+L driver-rescue:C_Small-Device C20
+U 1 1 5C645E08
+P 14000 4800
+F 0 "C20" H 14092 4846 50 0000 L CNN
+F 1 "12p" H 14100 4750 50 0000 L CNN
+F 2 "Capacitors_SMD:C_0603_HandSoldering" H 14000 4800 50 0001 C CNN
+F 3 "~" H 14000 4800 50 0001 C CNN
+F 4 "C38523" H 14000 4800 50 0001 C CNN "LCSC"
+F 5 "Samsung" H 14000 4800 50 0001 C CNN "Mfg"
+F 6 "CL10C120JB8NNNC" H 14000 4800 50 0001 C CNN "PN"
+ 1 14000 4800
+ 0 -1 -1 0
+$EndComp
+Wire Wire Line
+ 14100 4800 14200 4800
+Wire Wire Line
+ 14200 5200 14200 5300
+Wire Wire Line
+ 14200 5300 14100 5300
+Wire Wire Line
+ 14200 4800 14200 4900
+Connection ~ 14200 4800
+Wire Wire Line
+ 14200 4800 15150 4800
+Wire Wire Line
+ 13900 4800 13800 4800
+Wire Wire Line
+ 13800 4800 13800 5050
+Wire Wire Line
+ 13800 5300 13900 5300
+Wire Wire Line
+ 13800 5050 14000 5050
+Connection ~ 13800 5050
+Wire Wire Line
+ 13800 5050 13800 5300
+Wire Wire Line
+ 14400 5600 14200 5600
+Wire Wire Line
+ 13800 5600 13800 5300
+Connection ~ 13800 5300
+$Comp
+L driver-rescue:GND-power #PWR0124
+U 1 1 5C6B0329
+P 14200 5600
+F 0 "#PWR0124" H 14200 5350 50 0001 C CNN
+F 1 "GND" H 14205 5427 50 0000 C CNN
+F 2 "" H 14200 5600 50 0001 C CNN
+F 3 "" H 14200 5600 50 0001 C CNN
+ 1 14200 5600
+ 1 0 0 -1
+$EndComp
+Connection ~ 14200 5600
+Wire Wire Line
+ 14200 5600 13800 5600
+$Comp
+L driver-rescue:GND-power #PWR0125
+U 1 1 5C6B6219
+P 15850 5300
+F 0 "#PWR0125" H 15850 5050 50 0001 C CNN
+F 1 "GND" H 15855 5127 50 0000 C CNN
+F 2 "" H 15850 5300 50 0001 C CNN
+F 3 "" H 15850 5300 50 0001 C CNN
+ 1 15850 5300
+ 0 1 1 0
+$EndComp
+Wire Wire Line
+ 15850 5300 15950 5300
+$Comp
+L driver-rescue:R_Small-Device R10
+U 1 1 5C6C27C5
+P 15750 5100
+F 0 "R10" V 15950 5100 50 0000 C CNN
+F 1 "10k" V 15850 5100 50 0000 C CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 15750 5100 50 0001 C CNN
+F 3 "~" H 15750 5100 50 0001 C CNN
+F 4 "yes" H 15750 5100 50 0001 C CNN "Stock"
+ 1 15750 5100
+ 0 1 -1 0
+$EndComp
+Wire Wire Line
+ 15850 5100 15950 5100
+$Comp
+L driver-rescue:+3V3-power #PWR0126
+U 1 1 5C6CEED8
+P 15550 5100
+F 0 "#PWR0126" H 15550 4950 50 0001 C CNN
+F 1 "+3V3" H 15565 5273 50 0000 C CNN
+F 2 "" H 15550 5100 50 0001 C CNN
+F 3 "" H 15550 5100 50 0001 C CNN
+ 1 15550 5100
+ 0 -1 -1 0
+$EndComp
+Wire Wire Line
+ 15550 5100 15650 5100
+$Comp
+L driver-rescue:+3V3-power #PWR0128
+U 1 1 5C7621FB
+P 11550 10700
+F 0 "#PWR0128" H 11550 10550 50 0001 C CNN
+F 1 "+3V3" H 11565 10873 50 0000 C CNN
+F 2 "" H 11550 10700 50 0001 C CNN
+F 3 "" H 11550 10700 50 0001 C CNN
+ 1 11550 10700
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 6450 6100 6450 7250
+Text Label 12250 6200 2 50 ~ 0
+CTRL_A
+Text Label 17450 5100 2 50 ~ 0
+VBOOT_MON
+Text Label 17450 5500 2 50 ~ 0
+VIN_MON
+Text Label 6450 6100 0 50 ~ 0
+CTRL_A
+Text Label 14150 7250 2 50 ~ 0
+CTRL_A
+Wire Wire Line
+ 12400 7250 13000 7250
+Connection ~ 12400 7250
+Text Label 15600 6200 0 50 ~ 0
+CTRL_B
+Text Label 17450 5700 2 50 ~ 0
+CTRL_A
+Wire Wire Line
+ 14200 5300 15050 5300
+Connection ~ 14200 5300
+Wire Wire Line
+ 14400 5050 14400 5600
+$Comp
+L driver-rescue:Conn_01x04-Connector_Generic J6
+U 1 1 5CDBF041
+P 13800 11850
+F 0 "J6" H 13880 11842 50 0000 L CNN
+F 1 "1602 I2C LCD" H 13550 12100 50 0000 L CNN
+F 2 "Connectors_Molex:Molex_KK-6410-04_04x2.54mm_Straight" H 13800 11850 50 0001 C CNN
+F 3 "~" H 13800 11850 50 0001 C CNN
+F 4 "yes" H 13800 11850 50 0001 C CNN "Stock"
+ 1 13800 11850
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:GND-power #PWR0135
+U 1 1 5CDC9131
+P 13600 12050
+F 0 "#PWR0135" H 13600 11800 50 0001 C CNN
+F 1 "GND" H 13605 11877 50 0000 C CNN
+F 2 "" H 13600 12050 50 0001 C CNN
+F 3 "" H 13600 12050 50 0001 C CNN
+ 1 13600 12050
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:R_Small-Device R26
+U 1 1 5CE0D5AA
+P 12200 10150
+F 0 "R26" H 12259 10196 50 0000 L CNN
+F 1 "1k5" H 12259 10105 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 12200 10150 50 0001 C CNN
+F 3 "~" H 12200 10150 50 0001 C CNN
+F 4 "yes" H 12200 10150 50 0001 C CNN "Stock"
+ 1 12200 10150
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:R_Small-Device R27
+U 1 1 5CE10E6A
+P 12500 10150
+F 0 "R27" H 12559 10196 50 0000 L CNN
+F 1 "1k5" H 12559 10105 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 12500 10150 50 0001 C CNN
+F 3 "~" H 12500 10150 50 0001 C CNN
+F 4 "yes" H 12500 10150 50 0001 C CNN "Stock"
+ 1 12500 10150
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 13650 11950 13600 11950
+Wire Wire Line
+ 11200 10300 12200 10300
+Wire Wire Line
+ 12200 10300 12200 10250
+Wire Wire Line
+ 11200 10400 12300 10400
+Wire Wire Line
+ 12500 10400 12500 10250
+Wire Wire Line
+ 12200 10050 12200 9950
+Wire Wire Line
+ 12200 9950 12350 9950
+Wire Wire Line
+ 12500 9950 12500 10050
+$Comp
+L driver-rescue:+3V3-power #PWR0138
+U 1 1 5CF808D0
+P 12350 9850
+F 0 "#PWR0138" H 12350 9700 50 0001 C CNN
+F 1 "+3V3" H 12365 10023 50 0000 C CNN
+F 2 "" H 12350 9850 50 0001 C CNN
+F 3 "" H 12350 9850 50 0001 C CNN
+ 1 12350 9850
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 12350 9950 12350 9850
+Connection ~ 12350 9950
+Wire Wire Line
+ 12350 9950 12500 9950
+Wire Wire Line
+ 12200 10300 12800 10300
+Connection ~ 12200 10300
+Connection ~ 12500 10400
+Text Label 13250 10050 2 50 ~ 0
+SCL
+Text Label 13250 9950 2 50 ~ 0
+SDA
+$Comp
+L driver-rescue:LED_Small_ALT-Device D4
+U 1 1 5D120495
+P 20700 6050
+F 0 "D4" V 20600 6050 50 0000 R CNN
+F 1 "cyan" V 20655 5982 50 0001 R CNN
+F 2 "LED_SMD:LED_PLCC_2835_Handsoldering" V 20700 6050 50 0001 C CNN
+F 3 "~" V 20700 6050 50 0001 C CNN
+F 4 "yes" H 20700 6050 50 0001 C CNN "Stock"
+ 1 20700 6050
+ 0 1 1 0
+$EndComp
+$Comp
+L driver-rescue:LED_Small_ALT-Device D5
+U 1 1 5D120F6F
+P 20300 6050
+F 0 "D5" V 20200 6050 50 0000 R CNN
+F 1 "cyan" V 20255 5982 50 0001 R CNN
+F 2 "LED_SMD:LED_PLCC_2835_Handsoldering" V 20300 6050 50 0001 C CNN
+F 3 "~" V 20300 6050 50 0001 C CNN
+F 4 "yes" H 20300 6050 50 0001 C CNN "Stock"
+ 1 20300 6050
+ 0 1 1 0
+$EndComp
+$Comp
+L driver-rescue:LED_Small_ALT-Device D6
+U 1 1 5D12221B
+P 20500 6050
+F 0 "D6" V 20400 6050 50 0000 R CNN
+F 1 "pink" V 20300 5800 50 0001 R CNN
+F 2 "LED_SMD:LED_PLCC_2835_Handsoldering" V 20500 6050 50 0001 C CNN
+F 3 "~" V 20500 6050 50 0001 C CNN
+F 4 "yes" H 20500 6050 50 0001 C CNN "Stock"
+ 1 20500 6050
+ 0 1 1 0
+$EndComp
+$Comp
+L driver-rescue:LED_Small_ALT-Device D7
+U 1 1 5D12562A
+P 20100 6050
+F 0 "D7" V 20000 6050 50 0000 R CNN
+F 1 "pink" V 20055 5982 50 0001 R CNN
+F 2 "LED_SMD:LED_PLCC_2835_Handsoldering" V 20100 6050 50 0001 C CNN
+F 3 "~" V 20100 6050 50 0001 C CNN
+F 4 "yes" H 20100 6050 50 0001 C CNN "Stock"
+ 1 20100 6050
+ 0 1 1 0
+$EndComp
+$Comp
+L driver-rescue:R_Small-Device R13
+U 1 1 5D18F534
+P 20100 5800
+F 0 "R13" V 20200 5850 50 0000 L CNN
+F 1 "150" V 20000 5750 50 0001 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 20100 5800 50 0001 C CNN
+F 3 "~" H 20100 5800 50 0001 C CNN
+F 4 "yes" H 20100 5800 50 0001 C CNN "Stock"
+ 1 20100 5800
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:R_Small-Device R14
+U 1 1 5D18F810
+P 20300 5800
+F 0 "R14" V 20400 5850 50 0000 L CNN
+F 1 "150" V 20200 5750 50 0001 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 20300 5800 50 0001 C CNN
+F 3 "~" H 20300 5800 50 0001 C CNN
+F 4 "yes" H 20300 5800 50 0001 C CNN "Stock"
+ 1 20300 5800
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:R_Small-Device R15
+U 1 1 5D190038
+P 20500 5800
+F 0 "R15" V 20600 5850 50 0000 L CNN
+F 1 "150" V 20400 5750 50 0001 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 20500 5800 50 0001 C CNN
+F 3 "~" H 20500 5800 50 0001 C CNN
+F 4 "yes" H 20500 5800 50 0001 C CNN "Stock"
+ 1 20500 5800
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:R_Small-Device R16
+U 1 1 5D1904ED
+P 20700 5800
+F 0 "R16" V 20800 5850 50 0000 L CNN
+F 1 "150" V 20600 5750 50 0001 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 20700 5800 50 0001 C CNN
+F 3 "~" H 20700 5800 50 0001 C CNN
+F 4 "yes" H 20700 5800 50 0001 C CNN "Stock"
+ 1 20700 5800
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 20700 5950 20700 5900
+Wire Wire Line
+ 20500 5900 20500 5950
+Wire Wire Line
+ 20300 5950 20300 5900
+Wire Wire Line
+ 20100 5900 20100 5950
+Wire Wire Line
+ 20400 6250 20400 6150
+Connection ~ 20400 6150
+Text Label 20100 5400 3 50 ~ 0
+SLED1
+Text Label 20300 5400 3 50 ~ 0
+SLED2
+Text Label 20500 5400 3 50 ~ 0
+SLED3
+Text Label 20700 5400 3 50 ~ 0
+SLED4
+$Comp
+L driver-rescue:MCP9804_MSOP-Sensor_Temperature U8
+U 1 1 5D7751AD
+P 13550 10600
+F 0 "U8" H 13250 11050 50 0000 L CNN
+F 1 "MCP9804_MSOP" V 13950 10700 50 0000 L CNN
+F 2 "Package_SO:MSOP-8_3x3mm_P0.65mm" H 12550 10100 50 0001 C CNN
+F 3 "http://ww1.microchip.com/downloads/en/DeviceDoc/22203b.pdf" H 13300 11050 50 0001 C CNN
+F 4 "C94847" H 13550 10600 50 0001 C CNN "LCSC"
+F 5 "Microchip" H 13550 10600 50 0001 C CNN "Mfg"
+F 6 "MCP9808-E/MS" H 13550 10600 50 0001 C CNN "PN"
+ 1 13550 10600
+ 1 0 0 -1
+$EndComp
+Text Notes 10450 11200 0 50 ~ 0
+I2C addr=100'0000
+Wire Wire Line
+ 13250 9950 12800 9950
+Wire Wire Line
+ 12800 9950 12800 10300
+Connection ~ 12800 10300
+Wire Wire Line
+ 13250 10050 12900 10050
+Wire Wire Line
+ 12900 10050 12900 10400
+Connection ~ 12900 10400
+Wire Wire Line
+ 12900 10400 12500 10400
+Wire Wire Line
+ 12900 10400 13150 10400
+Wire Wire Line
+ 12800 10300 13150 10300
+Wire Wire Line
+ 13050 10700 13150 10700
+Wire Wire Line
+ 13150 10800 13050 10800
+Connection ~ 13050 10800
+Wire Wire Line
+ 13050 10800 13050 10700
+Wire Wire Line
+ 13050 10900 13150 10900
+Connection ~ 13050 10900
+Wire Wire Line
+ 13050 10900 13050 10800
+$Comp
+L driver-rescue:+3V3-power #PWR0146
+U 1 1 5D958091
+P 13550 10100
+F 0 "#PWR0146" H 13550 9950 50 0001 C CNN
+F 1 "+3V3" H 13565 10273 50 0000 C CNN
+F 2 "" H 13550 10100 50 0001 C CNN
+F 3 "" H 13550 10100 50 0001 C CNN
+ 1 13550 10100
+ 1 0 0 -1
+$EndComp
+NoConn ~ 13950 10600
+Text Notes 14150 10750 0 50 ~ 0
+I2C addr=100'1000
+Text Notes 14100 10850 0 50 ~ 0
+MOSFET temp monitor
+Wire Wire Line
+ 8850 6150 9050 6150
+Wire Wire Line
+ 6200 4100 6200 6050
+$Comp
+L driver-rescue:Screw_Terminal_01x03-Connector J7
+U 1 1 5C557B2A
+P 6000 6150
+F 0 "J7" H 6150 6050 50 0000 C CNN
+F 1 "IN_VCC" H 6250 6200 50 0000 C CNN
+F 2 "TerminalBlock_Phoenix:TerminalBlock_Phoenix_MKDS-1,5-3_1x03_P5.00mm_Horizontal" H 6000 6150 50 0001 C CNN
+F 3 "~" H 6000 6150 50 0001 C CNN
+F 4 "C424697" H 6000 6150 50 0001 C CNN "LCSC"
+F 5 "DIBO" H 6000 6150 50 0001 C CNN "Mfg"
+F 6 "DB128V-5.0-3P-OG" H 6000 6150 50 0001 C CNN "PN"
+ 1 6000 6150
+ -1 0 0 1
+$EndComp
+$Comp
+L driver-rescue:Screw_Terminal_01x03-Connector J8
+U 1 1 5C558772
+P 6000 6500
+F 0 "J8" H 6150 6400 50 0000 C CNN
+F 1 "IN_GND" H 6250 6550 50 0000 C CNN
+F 2 "TerminalBlock_Phoenix:TerminalBlock_Phoenix_MKDS-1,5-3_1x03_P5.00mm_Horizontal" H 6000 6500 50 0001 C CNN
+F 3 "~" H 6000 6500 50 0001 C CNN
+F 4 "C424695" H 6000 6500 50 0001 C CNN "LCSC"
+F 5 "DIBO" H 6000 6500 50 0001 C CNN "Mfg"
+F 6 "DB128V-5.0-3P-BK " H 6000 6500 50 0001 C CNN "PN"
+ 1 6000 6500
+ -1 0 0 1
+$EndComp
+Wire Wire Line
+ 6200 6600 6200 10750
+Wire Wire Line
+ 6200 6250 6200 6150
+Connection ~ 6200 6050
+Connection ~ 6200 6150
+Wire Wire Line
+ 6200 6150 6200 6050
+Wire Wire Line
+ 6200 6600 6200 6500
+Connection ~ 6200 6600
+Connection ~ 6200 6500
+Wire Wire Line
+ 6200 6500 6200 6400
+$Comp
+L driver-rescue:Screw_Terminal_01x03-Connector J2
+U 1 1 5C5D9205
+P 9500 5950
+F 0 "J2" H 9650 5850 50 0000 C CNN
+F 1 "OUT_B" H 9750 6000 50 0000 C CNN
+F 2 "TerminalBlock_Phoenix:TerminalBlock_Phoenix_MKDS-1,5-3_1x03_P5.00mm_Horizontal" H 9500 5950 50 0001 C CNN
+F 3 "~" H 9500 5950 50 0001 C CNN
+F 4 "C424696" H 9500 5950 50 0001 C CNN "LCSC"
+F 5 "DIBO" H 9500 5950 50 0001 C CNN "Mfg"
+F 6 "DB128V-5.0-3P-GY" H 9500 5950 50 0001 C CNN "PN"
+ 1 9500 5950
+ 0 -1 -1 0
+$EndComp
+Wire Wire Line
+ 9600 6150 9850 6150
+$Comp
+L driver-rescue:Screw_Terminal_01x03-Connector J1
+U 1 1 5C5DA183
+P 9150 5950
+F 0 "J1" H 9300 5850 50 0000 C CNN
+F 1 "OUT_A" H 9400 6000 50 0000 C CNN
+F 2 "TerminalBlock_Phoenix:TerminalBlock_Phoenix_MKDS-1,5-3_1x03_P5.00mm_Horizontal" H 9150 5950 50 0001 C CNN
+F 3 "~" H 9150 5950 50 0001 C CNN
+F 4 "C424696" H 9150 5950 50 0001 C CNN "LCSC"
+F 5 "DIBO" H 9150 5950 50 0001 C CNN "Mfg"
+F 6 "DB128V-5.0-3P-GY" H 9150 5950 50 0001 C CNN "PN"
+ 1 9150 5950
+ 0 -1 -1 0
+$EndComp
+Wire Wire Line
+ 9250 6150 9150 6150
+Connection ~ 9050 6150
+Connection ~ 9150 6150
+Wire Wire Line
+ 9150 6150 9050 6150
+Wire Wire Line
+ 9400 6150 9500 6150
+Connection ~ 9600 6150
+Connection ~ 9500 6150
+Wire Wire Line
+ 9500 6150 9600 6150
+$Comp
+L driver-rescue:MountingHole-Mechanical H1
+U 1 1 5C68E1B2
+P 17050 950
+F 0 "H1" H 17150 996 50 0000 L CNN
+F 1 "MountingHole" H 17150 905 50 0000 L CNN
+F 2 "MountingHole:MountingHole_3.2mm_M3" H 17050 950 50 0001 C CNN
+F 3 "~" H 17050 950 50 0001 C CNN
+F 4 "" H 17050 950 50 0001 C CNN "Stock"
+F 5 "yes" H 17050 950 50 0001 C CNN "BOM ignore"
+ 1 17050 950
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:MountingHole-Mechanical H2
+U 1 1 5C68F0B2
+P 17050 1150
+F 0 "H2" H 17150 1196 50 0000 L CNN
+F 1 "MountingHole" H 17150 1105 50 0000 L CNN
+F 2 "MountingHole:MountingHole_3.2mm_M3" H 17050 1150 50 0001 C CNN
+F 3 "~" H 17050 1150 50 0001 C CNN
+F 4 "" H 17050 1150 50 0001 C CNN "Stock"
+F 5 "yes" H 17050 1150 50 0001 C CNN "BOM ignore"
+ 1 17050 1150
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:MountingHole-Mechanical H3
+U 1 1 5C6913F3
+P 17050 1350
+F 0 "H3" H 17150 1396 50 0000 L CNN
+F 1 "MountingHole" H 17150 1305 50 0000 L CNN
+F 2 "MountingHole:MountingHole_3.2mm_M3" H 17050 1350 50 0001 C CNN
+F 3 "~" H 17050 1350 50 0001 C CNN
+F 4 "" H 17050 1350 50 0001 C CNN "Stock"
+F 5 "yes" H 17050 1350 50 0001 C CNN "BOM ignore"
+ 1 17050 1350
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:MountingHole-Mechanical H4
+U 1 1 5C6916D1
+P 17050 1550
+F 0 "H4" H 17150 1596 50 0000 L CNN
+F 1 "MountingHole" H 17150 1505 50 0000 L CNN
+F 2 "MountingHole:MountingHole_3.2mm_M3" H 17050 1550 50 0001 C CNN
+F 3 "~" H 17050 1550 50 0001 C CNN
+F 4 "" H 17050 1550 50 0001 C CNN "Stock"
+F 5 "yes" H 17050 1550 50 0001 C CNN "BOM ignore"
+ 1 17050 1550
+ 1 0 0 -1
+$EndComp
+Text Label 8850 6250 1 50 ~ 0
+OUT_A
+Text Label 9850 6250 1 50 ~ 0
+OUT_B
+Text Label 9350 10000 1 50 ~ 0
+GND_MEAS
+Text Label 8150 5650 0 50 ~ 0
+DRV1
+Text Label 8150 6600 0 50 ~ 0
+DRV3
+Text Label 10800 6600 2 50 ~ 0
+DRV4
+Text Label 10800 5650 2 50 ~ 0
+DRV2
+Text Label 8500 4100 0 50 ~ 0
+VIN
+Text Label 12400 11150 0 50 ~ 0
+GND_MEAS
+Wire Wire Line
+ 13550 11100 13550 11150
+Wire Wire Line
+ 13550 11150 13050 11150
+Wire Wire Line
+ 13050 10900 13050 11150
+Connection ~ 13050 11150
+Wire Wire Line
+ 13050 11150 12400 11150
+$Comp
+L driver-rescue:Conn_01x04-Connector_Generic J9
+U 1 1 5CA7AF20
+P 18500 5600
+F 0 "J9" H 18580 5592 50 0000 L CNN
+F 1 "SWD" H 18580 5501 50 0000 L CNN
+F 2 "Pin_Headers:Pin_Header_Straight_1x04_Pitch2.54mm" H 18500 5600 50 0001 C CNN
+F 3 "~" H 18500 5600 50 0001 C CNN
+F 4 "yes" H 18500 5600 50 0001 C CNN "Stock"
+ 1 18500 5600
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:+3V3-power #PWR0144
+U 1 1 5CAAE690
+P 18250 5400
+F 0 "#PWR0144" H 18250 5250 50 0001 C CNN
+F 1 "+3V3" H 18265 5573 50 0000 C CNN
+F 2 "" H 18250 5400 50 0001 C CNN
+F 3 "" H 18250 5400 50 0001 C CNN
+ 1 18250 5400
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:GND-power #PWR0145
+U 1 1 5CB04BF8
+P 18250 5900
+F 0 "#PWR0145" H 18250 5650 50 0001 C CNN
+F 1 "GND" H 18255 5727 50 0000 C CNN
+F 2 "" H 18250 5900 50 0001 C CNN
+F 3 "" H 18250 5900 50 0001 C CNN
+ 1 18250 5900
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 18250 5900 18250 5700
+Wire Wire Line
+ 18250 5700 18300 5700
+Wire Wire Line
+ 18250 5400 18250 5500
+Wire Wire Line
+ 18250 5500 18300 5500
+Wire Wire Line
+ 17950 5800 18300 5800
+Wire Wire Line
+ 17950 5600 18300 5600
+Text Label 17950 5800 0 50 ~ 0
+SWDIO
+Text Label 17950 5600 0 50 ~ 0
+SWCLK
+Text Label 17450 6200 2 50 ~ 0
+SWCLK
+Text Label 17450 6100 2 50 ~ 0
+SWDIO
+$Comp
+L driver-rescue:Conn_01x02-Connector_Generic J10
+U 1 1 5C533914
+P 15550 1100
+F 0 "J10" H 15629 1092 50 0000 L CNN
+F 1 "FAN" H 15629 1001 50 0000 L CNN
+F 2 "Connectors_Molex:Molex_KK-6410-02_02x2.54mm_Straight" H 15550 1100 50 0001 C CNN
+F 3 "~" H 15550 1100 50 0001 C CNN
+F 4 "yes" H 15550 1100 50 0001 C CNN "Stock"
+ 1 15550 1100
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:GND-power #PWR0147
+U 1 1 5C55EA3D
+P 15350 1200
+F 0 "#PWR0147" H 15350 950 50 0001 C CNN
+F 1 "GND" H 15355 1027 50 0000 C CNN
+F 2 "" H 15350 1200 50 0001 C CNN
+F 3 "" H 15350 1200 50 0001 C CNN
+ 1 15350 1200
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:MAX485E-Interface_UART U13
+U 1 1 5C607F28
+P 20400 7450
+F 0 "U13" H 20150 7900 50 0000 C CNN
+F 1 "MAX485E" H 20600 7900 50 0000 C CNN
+F 2 "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" H 20400 6750 50 0001 C CNN
+F 3 "https://datasheets.maximintegrated.com/en/ds/MAX1487E-MAX491E.pdf" H 20400 7500 50 0001 C CNN
+F 4 "C269866" H 20400 7450 50 0001 C CNN "LCSC"
+F 5 "Gatemode" H 20400 7450 50 0001 C CNN "Mfg"
+F 6 "YD3082E" H 20400 7450 50 0001 C CNN "PN"
+F 7 "yes" H 20400 7450 50 0001 C CNN "Stock"
+ 1 20400 7450
+ -1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:+3V3-power #PWR0127
+U 1 1 5C63AB1C
+P 13650 11950
+F 0 "#PWR0127" H 13650 11800 50 0001 C CNN
+F 1 "+3V3" V 13650 12200 50 0000 C CNN
+F 2 "" H 13650 11950 50 0001 C CNN
+F 3 "" H 13650 11950 50 0001 C CNN
+ 1 13650 11950
+ 0 -1 -1 0
+$EndComp
+$Comp
+L driver-rescue:RJ45_LED_Shielded-Connector J5
+U 1 1 5C63EC4A
+P 18000 7450
+F 0 "J5" H 18000 8024 50 0000 C CNN
+F 1 "RJ45_LED_Shielded" H 18000 8115 50 0000 C CNN
+F 2 "Connector_RJ:RJ45_Amphenol_RJHSE538X" V 18000 7475 50 0001 C CNN
+F 3 "~" V 18000 7475 50 0001 C CNN
+F 4 "C133529" H 18000 7450 50 0001 C CNN "LCSC"
+F 5 "Ckmtw" H 18000 7450 50 0001 C CNN "Mfg"
+F 6 "C133529" H 18000 7450 50 0001 C CNN "PN"
+ 1 18000 7450
+ 1 0 0 1
+$EndComp
+Wire Wire Line
+ 18400 7650 18500 7650
+Wire Wire Line
+ 20400 8050 20400 8150
+Connection ~ 20400 8150
+Wire Wire Line
+ 18500 7650 18500 8150
+Wire Wire Line
+ 18400 7350 18500 7350
+Wire Wire Line
+ 18500 7350 18500 7650
+Connection ~ 18500 7650
+Wire Wire Line
+ 18400 7450 19150 7450
+Wire Wire Line
+ 19900 7450 19900 7350
+Wire Wire Line
+ 19900 7350 20000 7350
+Wire Wire Line
+ 20000 7650 19900 7650
+Wire Wire Line
+ 19900 7650 19900 7550
+Wire Wire Line
+ 19900 7550 19250 7550
+Wire Wire Line
+ 20800 7450 20900 7450
+Wire Wire Line
+ 20900 7450 20900 7550
+Wire Wire Line
+ 20900 7550 20800 7550
+$Comp
+L driver-rescue:R_Small-Device R23
+U 1 1 5CC2B8EF
+P 21350 7950
+F 0 "R23" H 21409 7996 50 0000 L CNN
+F 1 "10k" H 21409 7905 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 21350 7950 50 0001 C CNN
+F 3 "~" H 21350 7950 50 0001 C CNN
+F 4 "yes" H 21350 7950 50 0001 C CNN "Stock"
+ 1 21350 7950
+ -1 0 0 -1
+$EndComp
+Wire Wire Line
+ 20900 7450 21350 7450
+Wire Wire Line
+ 21350 7450 21350 7850
+Connection ~ 20900 7450
+Wire Wire Line
+ 21350 8050 21350 8150
+Text Label 21600 7350 2 50 ~ 0
+RX
+Text Label 21600 7650 2 50 ~ 0
+TX
+Text Label 21600 7450 2 50 ~ 0
+DE
+Text Label 19350 7550 0 50 ~ 0
+RX485_A
+Text Label 19350 7450 0 50 ~ 0
+RS485_B
+Wire Wire Line
+ 17450 5300 16950 5300
+Wire Wire Line
+ 17450 5400 16950 5400
+Wire Wire Line
+ 17450 5200 16950 5200
+Text Label 17450 5200 2 50 ~ 0
+DE
+Text Label 17450 5300 2 50 ~ 0
+TX
+Text Label 17450 5400 2 50 ~ 0
+RX
+Wire Wire Line
+ 17450 6100 16950 6100
+Wire Wire Line
+ 17450 6200 16950 6200
+Wire Wire Line
+ 17450 5900 16950 5900
+Wire Wire Line
+ 16950 6000 17450 6000
+Wire Wire Line
+ 16550 4900 16550 4800
+Wire Wire Line
+ 16550 4800 16500 4800
+Wire Wire Line
+ 16450 4800 16450 4900
+Text Label 17450 6000 2 50 ~ 0
+SDA
+Text Label 17450 5900 2 50 ~ 0
+SCL
+$Comp
+L driver-rescue:STM32F030F4Px-MCU_ST_STM32F0 U6
+U 1 1 5D52BF88
+P 16450 5600
+F 0 "U6" H 16100 6250 50 0000 C CNN
+F 1 "STM32F030F4Px" H 16900 6250 50 0000 C CNN
+F 2 "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm" H 16050 4900 50 0001 R CNN
+F 3 "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/DM00088500.pdf" H 16450 5600 50 0001 C CNN
+F 4 "C89040" H 16450 5600 50 0001 C CNN "LCSC"
+F 5 "ST" H 16450 5600 50 0001 C CNN "Mfg"
+F 6 "STM32F030F4P6TR" H 16450 5600 50 0001 C CNN "PN"
+F 7 "yes" H 16450 5600 50 0001 C CNN "Stock"
+ 1 16450 5600
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 12300 11750 12300 10400
+Wire Wire Line
+ 12300 11750 12700 11750
+Connection ~ 12300 10400
+Wire Wire Line
+ 12300 10400 12500 10400
+Wire Wire Line
+ 12200 11850 12200 10300
+Wire Wire Line
+ 12200 11850 12700 11850
+Wire Wire Line
+ 17450 5800 16950 5800
+Wire Wire Line
+ 17450 5700 16950 5700
+Wire Wire Line
+ 17450 5100 16950 5100
+Wire Wire Line
+ 17450 5500 16950 5500
+Wire Wire Line
+ 15600 6200 15950 6200
+Wire Wire Line
+ 17450 5600 16950 5600
+Text Label 17450 5600 2 50 ~ 0
+SCK
+Text Label 17450 5800 2 50 ~ 0
+MOSI
+$Comp
+L driver-rescue:74HC595-74xx U2
+U 1 1 5D86E00F
+P 19900 4800
+F 0 "U2" V 19550 4250 50 0000 L CNN
+F 1 "74HC595" H 19400 4150 50 0000 L CNN
+F 2 "Package_SO:SOIC-16_3.9x9.9mm_P1.27mm" H 19900 4800 50 0001 C CNN
+F 3 "http://www.ti.com/lit/ds/symlink/sn74hc595.pdf" H 19900 4800 50 0001 C CNN
+F 4 "C7344" H 19900 4800 50 0001 C CNN "LCSC"
+F 5 "ON Semi" H 19900 4800 50 0001 C CNN "Mfg"
+F 6 "MC74HC595ADR2G" H 19900 4800 50 0001 C CNN "PN"
+F 7 "?" H 19900 4800 50 0001 C CNN "Stock"
+ 1 19900 4800
+ 0 1 1 0
+$EndComp
+$Comp
+L driver-rescue:R_Small-Device R6
+U 1 1 5DBC4555
+P 19800 5450
+F 0 "R6" V 19850 5550 50 0000 L CNN
+F 1 "150" V 19700 5400 50 0001 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 19800 5450 50 0001 C CNN
+F 3 "~" H 19800 5450 50 0001 C CNN
+F 4 "yes" H 19800 5450 50 0001 C CNN "Stock"
+ 1 19800 5450
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:R_Small-Device R12
+U 1 1 5DBC4A74
+P 19900 5600
+F 0 "R12" V 19850 5350 50 0000 L CNN
+F 1 "150" V 19800 5550 50 0001 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 19900 5600 50 0001 C CNN
+F 3 "~" H 19900 5600 50 0001 C CNN
+F 4 "yes" H 19900 5600 50 0001 C CNN "Stock"
+ 1 19900 5600
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 19800 5200 19800 5350
+Wire Wire Line
+ 19900 5200 19900 5500
+$Comp
+L driver-rescue:RJ45_LED_Shielded-Connector J4
+U 1 1 5DC186F5
+P 16200 7450
+F 0 "J4" H 16200 8024 50 0000 C CNN
+F 1 "RJ45_LED_Shielded" H 16200 8115 50 0000 C CNN
+F 2 "Connector_RJ:RJ45_Amphenol_RJHSE538X" V 16200 7475 50 0001 C CNN
+F 3 "~" V 16200 7475 50 0001 C CNN
+F 4 "C133529" H 16200 7450 50 0001 C CNN "LCSC"
+F 5 "Ckmtw" H 16200 7450 50 0001 C CNN "Mfg"
+F 6 "C133529" H 16200 7450 50 0001 C CNN "PN"
+ 1 16200 7450
+ 1 0 0 1
+$EndComp
+Wire Wire Line
+ 16600 7350 16700 7350
+Wire Wire Line
+ 16700 7350 16700 7650
+Wire Wire Line
+ 16700 7650 16600 7650
+Wire Wire Line
+ 16700 7650 16700 8150
+Connection ~ 16700 7650
+Wire Wire Line
+ 16600 7450 17200 7450
+Wire Wire Line
+ 17200 7450 17200 8350
+Wire Wire Line
+ 17200 8350 19150 8350
+Wire Wire Line
+ 19150 8350 19150 7450
+Connection ~ 19150 7450
+Wire Wire Line
+ 19150 7450 19900 7450
+Wire Wire Line
+ 19250 7550 19250 8450
+Wire Wire Line
+ 19250 8450 17100 8450
+Wire Wire Line
+ 17100 8450 17100 7550
+Wire Wire Line
+ 17100 7550 16600 7550
+Connection ~ 19250 7550
+Wire Wire Line
+ 19250 7550 18400 7550
+Text Label 16850 7550 0 50 ~ 0
+RX485_A
+Text Label 16850 7450 0 50 ~ 0
+RS485_B
+Wire Wire Line
+ 16600 7250 16600 7150
+Connection ~ 16600 7150
+Wire Wire Line
+ 18400 7250 18400 7150
+Connection ~ 18400 7150
+Wire Wire Line
+ 18000 6950 18000 6850
+Wire Wire Line
+ 16200 6850 16200 6950
+$Comp
+L driver-rescue:GND-power #PWR0139
+U 1 1 5DFA2936
+P 15800 7250
+F 0 "#PWR0139" H 15800 7000 50 0001 C CNN
+F 1 "GND" H 15805 7077 50 0000 C CNN
+F 2 "" H 15800 7250 50 0001 C CNN
+F 3 "" H 15800 7250 50 0001 C CNN
+ 1 15800 7250
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:GND-power #PWR0140
+U 1 1 5DFA5249
+P 15800 7850
+F 0 "#PWR0140" H 15800 7600 50 0001 C CNN
+F 1 "GND" H 15805 7677 50 0000 C CNN
+F 2 "" H 15800 7850 50 0001 C CNN
+F 3 "" H 15800 7850 50 0001 C CNN
+ 1 15800 7850
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:GND-power #PWR0142
+U 1 1 5DFA62E6
+P 17600 7850
+F 0 "#PWR0142" H 17600 7600 50 0001 C CNN
+F 1 "GND" H 17605 7677 50 0000 C CNN
+F 2 "" H 17600 7850 50 0001 C CNN
+F 3 "" H 17600 7850 50 0001 C CNN
+ 1 17600 7850
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:GND-power #PWR0148
+U 1 1 5DFAA8D0
+P 17600 7250
+F 0 "#PWR0148" H 17600 7000 50 0001 C CNN
+F 1 "GND" H 17605 7077 50 0000 C CNN
+F 2 "" H 17600 7250 50 0001 C CNN
+F 3 "" H 17600 7250 50 0001 C CNN
+ 1 17600 7250
+ 1 0 0 -1
+$EndComp
+Text Label 15500 7150 0 50 ~ 0
+CLED1
+Wire Wire Line
+ 15500 7150 15800 7150
+Text Label 15500 7750 0 50 ~ 0
+CLED2
+Text Label 17300 7150 0 50 ~ 0
+CLED3
+Wire Wire Line
+ 16700 8150 18500 8150
+Wire Wire Line
+ 16200 6850 18000 6850
+Wire Wire Line
+ 16200 6850 15150 6850
+Wire Wire Line
+ 15150 6850 15150 8150
+Wire Wire Line
+ 15150 8150 16700 8150
+Connection ~ 16200 6850
+Connection ~ 16700 8150
+Text Label 17300 7750 0 50 ~ 0
+CLED4
+Wire Wire Line
+ 17300 7750 17600 7750
+Wire Wire Line
+ 17300 7150 17600 7150
+Wire Wire Line
+ 15500 7750 15800 7750
+$Comp
+L driver-rescue:R_Small-Device R17
+U 1 1 5E16DB9D
+P 19700 5600
+F 0 "R17" V 19650 5350 50 0000 L CNN
+F 1 "150" V 19600 5550 50 0001 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 19700 5600 50 0001 C CNN
+F 3 "~" H 19700 5600 50 0001 C CNN
+F 4 "yes" H 19700 5600 50 0001 C CNN "Stock"
+ 1 19700 5600
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:R_Small-Device R11
+U 1 1 5E16F5AD
+P 19600 5450
+F 0 "R11" V 19650 5550 50 0000 L CNN
+F 1 "150" V 19500 5400 50 0001 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 19600 5450 50 0001 C CNN
+F 3 "~" H 19600 5450 50 0001 C CNN
+F 4 "yes" H 19600 5450 50 0001 C CNN "Stock"
+ 1 19600 5450
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 19600 5200 19600 5350
+Wire Wire Line
+ 19700 5200 19700 5500
+$Comp
+L driver-rescue:GND-power #PWR0130
+U 1 1 5E1CC256
+P 19700 4400
+F 0 "#PWR0130" H 19700 4150 50 0001 C CNN
+F 1 "GND" H 19850 4350 50 0000 C CNN
+F 2 "" H 19700 4400 50 0001 C CNN
+F 3 "" H 19700 4400 50 0001 C CNN
+ 1 19700 4400
+ -1 0 0 1
+$EndComp
+$Comp
+L driver-rescue:GND-power #PWR0131
+U 1 1 5E1CDC48
+P 19200 4800
+F 0 "#PWR0131" H 19200 4550 50 0001 C CNN
+F 1 "GND" V 19205 4672 50 0000 R CNN
+F 2 "" H 19200 4800 50 0001 C CNN
+F 3 "" H 19200 4800 50 0001 C CNN
+ 1 19200 4800
+ 0 1 1 0
+$EndComp
+NoConn ~ 19400 5200
+Text Label 20300 4000 3 50 ~ 0
+MOSI
+Wire Wire Line
+ 20300 4000 20300 4200
+Text Label 20100 4000 3 50 ~ 0
+SCK
+Wire Wire Line
+ 20100 4000 20100 4200
+Text Label 19800 4000 3 50 ~ 0
+VIN_MON
+Wire Wire Line
+ 19800 4000 19800 4200
+$Comp
+L driver-rescue:+3.3V-power #PWR0149
+U 1 1 5E2A481B
+P 20000 4400
+F 0 "#PWR0149" H 20000 4250 50 0001 C CNN
+F 1 "+3.3V" H 19950 4550 50 0000 C CNN
+F 2 "" H 20000 4400 50 0001 C CNN
+F 3 "" H 20000 4400 50 0001 C CNN
+ 1 20000 4400
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:+3.3V-power #PWR0150
+U 1 1 5E2A67AD
+P 20500 4800
+F 0 "#PWR0150" H 20500 4650 50 0001 C CNN
+F 1 "+3.3V" V 20515 4928 50 0000 L CNN
+F 2 "" H 20500 4800 50 0001 C CNN
+F 3 "" H 20500 4800 50 0001 C CNN
+ 1 20500 4800
+ 0 1 1 0
+$EndComp
+Text Label 19700 6150 1 50 ~ 0
+CLED2
+Text Label 19800 6150 1 50 ~ 0
+CLED3
+Text Label 19900 6150 1 50 ~ 0
+CLED4
+Text Label 19600 6150 1 50 ~ 0
+CLED1
+Wire Wire Line
+ 19600 6150 19600 5550
+Wire Wire Line
+ 19700 5700 19700 6150
+Wire Wire Line
+ 19800 6150 19800 5550
+Wire Wire Line
+ 19900 5700 19900 6150
+Wire Wire Line
+ 20400 6150 20500 6150
+Connection ~ 20500 6150
+Wire Wire Line
+ 20500 6150 20700 6150
+Wire Wire Line
+ 20100 6150 20300 6150
+Connection ~ 20300 6150
+Wire Wire Line
+ 20300 6150 20400 6150
+$Comp
+L driver-rescue:+3.3V-power #PWR0141
+U 1 1 5E583365
+P 20400 6250
+F 0 "#PWR0141" H 20400 6100 50 0001 C CNN
+F 1 "+3.3V" H 20415 6423 50 0000 C CNN
+F 2 "" H 20400 6250 50 0001 C CNN
+F 3 "" H 20400 6250 50 0001 C CNN
+ 1 20400 6250
+ -1 0 0 1
+$EndComp
+Text Label 14900 4800 0 50 ~ 0
+XT1
+Text Label 14900 5300 0 50 ~ 0
+XT2
+Text Label 15950 5100 1 50 ~ 0
+RST
+Wire Wire Line
+ 15050 5900 15050 5300
+Wire Wire Line
+ 15050 5900 15950 5900
+Wire Wire Line
+ 15150 4800 15150 6000
+Wire Wire Line
+ 15150 6000 15950 6000
+$Comp
+L driver-rescue:MountingHole-Mechanical H5
+U 1 1 5C580F0A
+P 17050 1750
+F 0 "H5" H 17150 1796 50 0000 L CNN
+F 1 "MountingHole" H 17150 1705 50 0000 L CNN
+F 2 "MountingHole:MountingHole_3.2mm_M3" H 17050 1750 50 0001 C CNN
+F 3 "~" H 17050 1750 50 0001 C CNN
+F 4 "" H 17050 1750 50 0001 C CNN "Stock"
+F 5 "yes" H 17050 1750 50 0001 C CNN "BOM ignore"
+ 1 17050 1750
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:MountingHole-Mechanical H6
+U 1 1 5C580F10
+P 17050 1950
+F 0 "H6" H 17150 1996 50 0000 L CNN
+F 1 "MountingHole" H 17150 1905 50 0000 L CNN
+F 2 "MountingHole:MountingHole_3.2mm_M3" H 17050 1950 50 0001 C CNN
+F 3 "~" H 17050 1950 50 0001 C CNN
+F 4 "" H 17050 1950 50 0001 C CNN "Stock"
+F 5 "yes" H 17050 1950 50 0001 C CNN "BOM ignore"
+ 1 17050 1950
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:MountingHole-Mechanical H7
+U 1 1 5C580F16
+P 17050 2150
+F 0 "H7" H 17150 2196 50 0000 L CNN
+F 1 "MountingHole" H 17150 2105 50 0000 L CNN
+F 2 "MountingHole:MountingHole_3.2mm_M3" H 17050 2150 50 0001 C CNN
+F 3 "~" H 17050 2150 50 0001 C CNN
+F 4 "" H 17050 2150 50 0001 C CNN "Stock"
+F 5 "yes" H 17050 2150 50 0001 C CNN "BOM ignore"
+ 1 17050 2150
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:MountingHole-Mechanical H8
+U 1 1 5C580F1C
+P 17050 2350
+F 0 "H8" H 17150 2396 50 0000 L CNN
+F 1 "MountingHole" H 17150 2305 50 0000 L CNN
+F 2 "MountingHole:MountingHole_3.2mm_M3" H 17050 2350 50 0001 C CNN
+F 3 "~" H 17050 2350 50 0001 C CNN
+F 4 "" H 17050 2350 50 0001 C CNN "Stock"
+F 5 "yes" H 17050 2350 50 0001 C CNN "BOM ignore"
+ 1 17050 2350
+ 1 0 0 -1
+$EndComp
+Text Notes 14100 11900 0 50 ~ 0
+I2C addr=010'0111
+Wire Wire Line
+ 20400 8150 21350 8150
+Wire Wire Line
+ 20800 7650 21600 7650
+Wire Wire Line
+ 21350 7450 21600 7450
+Connection ~ 21350 7450
+Wire Wire Line
+ 21600 7350 20800 7350
+$Comp
+L driver-rescue:GND-power #PWR0129
+U 1 1 5CEC1A46
+P 20400 8250
+F 0 "#PWR0129" H 20400 8000 50 0001 C CNN
+F 1 "GND" H 20405 8077 50 0000 C CNN
+F 2 "" H 20400 8250 50 0001 C CNN
+F 3 "" H 20400 8250 50 0001 C CNN
+ 1 20400 8250
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 20400 8250 20400 8150
+Text Label 18850 7150 2 50 ~ 0
+BUS_VCC
+Text Label 17050 7150 2 50 ~ 0
+BUS_VCC
+Wire Wire Line
+ 18400 7150 18850 7150
+Wire Wire Line
+ 16600 7150 17050 7150
+$Comp
+L driver-rescue:+3V3-power #PWR0132
+U 1 1 5CF84864
+P 20400 6850
+F 0 "#PWR0132" H 20400 6700 50 0001 C CNN
+F 1 "+3V3" H 20415 7023 50 0000 C CNN
+F 2 "" H 20400 6850 50 0001 C CNN
+F 3 "" H 20400 6850 50 0001 C CNN
+ 1 20400 6850
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 20400 6850 20400 6950
+Wire Wire Line
+ 8850 6900 9350 6900
+$Comp
+L driver-rescue:GND-power #PWR0143
+U 1 1 5D05213D
+P 13800 7650
+F 0 "#PWR0143" H 13800 7400 50 0001 C CNN
+F 1 "GND" H 13805 7477 50 0000 C CNN
+F 2 "" H 13800 7650 50 0001 C CNN
+F 3 "" H 13800 7650 50 0001 C CNN
+ 1 13800 7650
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:R_Small-Device R24
+U 1 1 5D0524F4
+P 13800 7450
+F 0 "R24" V 13750 7300 50 0000 C CNN
+F 1 "1k" V 13700 7450 50 0000 C CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 13800 7450 50 0001 C CNN
+F 3 "~" H 13800 7450 50 0001 C CNN
+F 4 "yes" H 13800 7450 50 0001 C CNN "Stock"
+ 1 13800 7450
+ -1 0 0 -1
+$EndComp
+Wire Wire Line
+ 13800 7550 13800 7650
+$Comp
+L driver-rescue:R_Small-Device R39
+U 1 1 5D13A776
+P 19700 8150
+F 0 "R39" H 19759 8196 50 0000 L CNN
+F 1 "10k" H 19759 8105 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 19700 8150 50 0001 C CNN
+F 3 "~" H 19700 8150 50 0001 C CNN
+F 4 "yes" H 19700 8150 50 0001 C CNN "Stock"
+ 1 19700 8150
+ 0 1 -1 0
+$EndComp
+Wire Wire Line
+ 19600 8150 18500 8150
+Connection ~ 18500 8150
+Wire Wire Line
+ 19800 8150 20400 8150
+Text Notes 19550 8300 0 50 ~ 0
+protection
+$Comp
+L driver-rescue:TestPoint_Alt-Connector TP6
+U 1 1 5D1812FD
+P 13600 1450
+F 0 "TP6" H 13658 1568 50 0000 L CNN
+F 1 "+3V3" H 13658 1477 50 0000 L CNN
+F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 13800 1450 50 0001 C CNN
+F 3 "~" H 13800 1450 50 0001 C CNN
+F 4 "" H 13600 1450 50 0001 C CNN "Stock"
+F 5 "yes" H 13600 1450 50 0001 C CNN "BOM ignore"
+ 1 13600 1450
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:TestPoint_Alt-Connector TP4
+U 1 1 5D181B83
+P 9350 4100
+F 0 "TP4" H 9408 4218 50 0000 L CNN
+F 1 "Vin" H 9408 4127 50 0000 L CNN
+F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 9550 4100 50 0001 C CNN
+F 3 "~" H 9550 4100 50 0001 C CNN
+F 4 "" H 9350 4100 50 0001 C CNN "Stock"
+F 5 "yes" H 9350 4100 50 0001 C CNN "BOM ignore"
+ 1 9350 4100
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:TestPoint_Alt-Connector TP8
+U 1 1 5D199BAC
+P 13000 7250
+F 0 "TP8" H 12942 7276 50 0000 R CNN
+F 1 "CTRL_A" H 12942 7367 50 0000 R CNN
+F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 13200 7250 50 0001 C CNN
+F 3 "~" H 13200 7250 50 0001 C CNN
+F 4 "" H 13000 7250 50 0001 C CNN "Stock"
+F 5 "yes" H 13000 7250 50 0001 C CNN "BOM ignore"
+ 1 13000 7250
+ -1 0 0 1
+$EndComp
+$Comp
+L driver-rescue:TestPoint_Alt-Connector TP10
+U 1 1 5D19D2DC
+P 12700 11750
+F 0 "TP10" H 12758 11868 50 0000 L CNN
+F 1 "SCL" H 12758 11777 50 0000 L CNN
+F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 12900 11750 50 0001 C CNN
+F 3 "~" H 12900 11750 50 0001 C CNN
+F 4 "" H 12700 11750 50 0001 C CNN "Stock"
+F 5 "yes" H 12700 11750 50 0001 C CNN "BOM ignore"
+ 1 12700 11750
+ 1 0 0 -1
+$EndComp
+Connection ~ 12700 11750
+Wire Wire Line
+ 12700 11750 13600 11750
+$Comp
+L driver-rescue:TestPoint_Alt-Connector TP11
+U 1 1 5D19DB61
+P 12700 11850
+F 0 "TP11" H 12642 11876 50 0000 R CNN
+F 1 "SDA" H 12642 11967 50 0000 R CNN
+F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 12900 11850 50 0001 C CNN
+F 3 "~" H 12900 11850 50 0001 C CNN
+F 4 "" H 12700 11850 50 0001 C CNN "Stock"
+F 5 "yes" H 12700 11850 50 0001 C CNN "BOM ignore"
+ 1 12700 11850
+ -1 0 0 1
+$EndComp
+Connection ~ 12700 11850
+Wire Wire Line
+ 12700 11850 13600 11850
+$Comp
+L driver-rescue:TestPoint_Alt-Connector TP12
+U 1 1 5D19E9F0
+P 12700 12250
+F 0 "TP12" H 12758 12368 50 0000 L CNN
+F 1 "⏚" H 12758 12277 50 0000 L CNN
+F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 12900 12250 50 0001 C CNN
+F 3 "~" H 12900 12250 50 0001 C CNN
+F 4 "" H 12700 12250 50 0001 C CNN "Stock"
+F 5 "yes" H 12700 12250 50 0001 C CNN "BOM ignore"
+ 1 12700 12250
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:GND-power #PWR0151
+U 1 1 5D19F330
+P 12700 12250
+F 0 "#PWR0151" H 12700 12000 50 0001 C CNN
+F 1 "GND" H 12705 12077 50 0000 C CNN
+F 2 "" H 12700 12250 50 0001 C CNN
+F 3 "" H 12700 12250 50 0001 C CNN
+ 1 12700 12250
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:TestPoint_Alt-Connector TP3
+U 1 1 5D1C82A7
+P 13400 2600
+F 0 "TP3" H 13458 2718 50 0000 L CNN
+F 1 "⏚" H 13458 2627 50 0000 L CNN
+F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 13600 2600 50 0001 C CNN
+F 3 "~" H 13600 2600 50 0001 C CNN
+F 4 "" H 13400 2600 50 0001 C CNN "Stock"
+F 5 "yes" H 13400 2600 50 0001 C CNN "BOM ignore"
+ 1 13400 2600
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:TestPoint_Alt-Connector TP9
+U 1 1 5D1ED8BA
+P 13000 7650
+F 0 "TP9" H 13058 7768 50 0000 L CNN
+F 1 "⏚" H 13058 7677 50 0000 L CNN
+F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 13200 7650 50 0001 C CNN
+F 3 "~" H 13200 7650 50 0001 C CNN
+F 4 "" H 13000 7650 50 0001 C CNN "Stock"
+F 5 "yes" H 13000 7650 50 0001 C CNN "BOM ignore"
+ 1 13000 7650
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:GND-power #PWR0153
+U 1 1 5D1ED8C0
+P 13000 7650
+F 0 "#PWR0153" H 13000 7400 50 0001 C CNN
+F 1 "GND" H 13005 7477 50 0000 C CNN
+F 2 "" H 13000 7650 50 0001 C CNN
+F 3 "" H 13000 7650 50 0001 C CNN
+ 1 13000 7650
+ 1 0 0 -1
+$EndComp
+Connection ~ 9550 10100
+Connection ~ 9550 10500
+Wire Wire Line
+ 10150 10100 10150 10400
+Wire Wire Line
+ 10150 10400 10400 10400
+Connection ~ 9350 6900
+Wire Wire Line
+ 9350 6900 9850 6900
+$Comp
+L driver-rescue:NCS325-Amplifier_Operational U9
+U 1 1 5D38FF36
+P 10950 8150
+F 0 "U9" H 11100 8500 50 0000 L CNN
+F 1 "NCS325" H 10900 8400 50 0000 L CNN
+F 2 "Package_TO_SOT_SMD:SOT-23-5" H 10950 8150 50 0001 C CNN
+F 3 "http://www.onsemi.com/pub/Collateral/NCS325-D.PDF" H 11100 8300 50 0001 C CNN
+F 4 "?" H 10950 8150 50 0001 C CNN "DNP"
+F 5 "C73479" H 10950 8150 50 0001 C CNN "LCSC"
+F 6 "TI" H 10950 8150 50 0001 C CNN "Mfg"
+F 7 "OPA340NA/3K " H 10950 8150 50 0001 C CNN "PN"
+ 1 10950 8150
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:GND-power #PWR0154
+U 1 1 5D410478
+P 10850 8450
+F 0 "#PWR0154" H 10850 8200 50 0001 C CNN
+F 1 "GND" H 10855 8277 50 0000 C CNN
+F 2 "" H 10850 8450 50 0001 C CNN
+F 3 "" H 10850 8450 50 0001 C CNN
+ 1 10850 8450
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:+3V3-power #PWR0155
+U 1 1 5D45AD79
+P 10850 7850
+F 0 "#PWR0155" H 10850 7700 50 0001 C CNN
+F 1 "+3V3" H 10865 8023 50 0000 C CNN
+F 2 "" H 10850 7850 50 0001 C CNN
+F 3 "" H 10850 7850 50 0001 C CNN
+ 1 10850 7850
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:R_Small-Device R36
+U 1 1 5D45B7FF
+P 9750 9800
+F 0 "R36" H 9809 9846 50 0000 L CNN
+F 1 "10k" H 9809 9755 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 9750 9800 50 0001 C CNN
+F 3 "~" H 9750 9800 50 0001 C CNN
+F 4 "yes" H 9750 9800 50 0001 C CNN "Stock"
+ 1 9750 9800
+ 0 1 -1 0
+$EndComp
+Wire Wire Line
+ 10050 8050 10650 8050
+$Comp
+L driver-rescue:R_Small-Device R33
+U 1 1 5D4C9192
+P 11450 8450
+F 0 "R33" H 11509 8496 50 0000 L CNN
+F 1 "150k" H 11509 8405 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 11450 8450 50 0001 C CNN
+F 3 "~" H 11450 8450 50 0001 C CNN
+F 4 "yes" H 11450 8450 50 0001 C CNN "Stock"
+ 1 11450 8450
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 10650 8250 10550 8250
+Wire Wire Line
+ 10550 8250 10550 8750
+Wire Wire Line
+ 11450 8750 11450 8550
+$Comp
+L driver-rescue:GND-power #PWR0156
+U 1 1 5D5AA37D
+P 11450 9600
+F 0 "#PWR0156" H 11450 9350 50 0001 C CNN
+F 1 "GND" H 11455 9427 50 0000 C CNN
+F 2 "" H 11450 9600 50 0001 C CNN
+F 3 "" H 11450 9600 50 0001 C CNN
+ 1 11450 9600
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 11250 8150 11450 8150
+Wire Wire Line
+ 11450 8150 11450 8350
+Connection ~ 11450 8150
+Text Label 11800 8150 2 50 ~ 0
+VIsense
+Wire Wire Line
+ 10550 8750 11450 8750
+Connection ~ 11450 8750
+Wire Wire Line
+ 10800 10900 10800 10800
+Text Label 17500 6450 2 50 ~ 0
+VIsense
+$Comp
+L driver-rescue:R_Small-Device R25
+U 1 1 5D81B8C1
+P 12000 8150
+F 0 "R25" H 12059 8196 50 0000 L CNN
+F 1 "10k" H 12059 8105 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 12000 8150 50 0001 C CNN
+F 3 "~" H 12000 8150 50 0001 C CNN
+F 4 "yes" H 12000 8150 50 0001 C CNN "Stock"
+ 1 12000 8150
+ 0 -1 -1 0
+$EndComp
+Wire Wire Line
+ 11450 8150 11900 8150
+Wire Wire Line
+ 12100 8150 12350 8150
+Text Label 12350 8150 2 50 ~ 0
+SCK
+Text Notes 11650 8300 0 50 ~ 0
+re-use SCK for measurement here
+$Comp
+L driver-rescue:R_Small-Device R35
+U 1 1 5D8E3C01
+P 11450 9300
+F 0 "R35" H 11509 9346 50 0000 L CNN
+F 1 "3k6" H 11509 9255 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 11450 9300 50 0001 C CNN
+F 3 "~" H 11450 9300 50 0001 C CNN
+F 4 "yes" H 11450 9300 50 0001 C CNN "Stock"
+ 1 11450 9300
+ 1 0 0 -1
+$EndComp
+Connection ~ 13000 7250
+Wire Wire Line
+ 13000 7250 13800 7250
+Wire Wire Line
+ 6450 7250 12400 7250
+Wire Wire Line
+ 13800 7350 13800 7250
+Connection ~ 13800 7250
+Wire Wire Line
+ 13800 7250 14150 7250
+Wire Wire Line
+ 9350 6900 9350 10100
+Wire Wire Line
+ 9650 9800 9550 9800
+Wire Wire Line
+ 9550 9800 9550 10100
+Wire Wire Line
+ 9850 9800 10050 9800
+Wire Wire Line
+ 10050 8050 10050 9800
+Text Label 18600 8150 0 50 ~ 0
+GND_RS485
+$Comp
+L driver-rescue:C_Small-Device C8
+U 1 1 5CC58C3C
+P 20950 6950
+F 0 "C8" H 21042 6996 50 0000 L CNN
+F 1 "100n" H 21050 6900 50 0000 L CNN
+F 2 "Capacitors_SMD:C_0603_HandSoldering" H 20950 6950 50 0001 C CNN
+F 3 "~" H 20950 6950 50 0001 C CNN
+F 4 "yes" H 20950 6950 50 0001 C CNN "Stock"
+ 1 20950 6950
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:GND-power #PWR0157
+U 1 1 5CC58C47
+P 20950 7050
+F 0 "#PWR0157" H 20950 6800 50 0001 C CNN
+F 1 "GND" H 20955 6877 50 0000 C CNN
+F 2 "" H 20950 7050 50 0001 C CNN
+F 3 "" H 20950 7050 50 0001 C CNN
+ 1 20950 7050
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:+3V3-power #PWR0158
+U 1 1 5CC58C52
+P 20950 6850
+F 0 "#PWR0158" H 20950 6700 50 0001 C CNN
+F 1 "+3V3" H 20965 7023 50 0000 C CNN
+F 2 "" H 20950 6850 50 0001 C CNN
+F 3 "" H 20950 6850 50 0001 C CNN
+ 1 20950 6850
+ 1 0 0 -1
+$EndComp
+NoConn ~ 11200 10600
+Text Label 14150 10550 1 50 ~ 0
+GND_MEAS
+Wire Wire Line
+ 14150 10150 14150 10550
+$Comp
+L driver-rescue:+3V3-power #PWR0134
+U 1 1 5CFC5CFE
+P 14150 9950
+F 0 "#PWR0134" H 14150 9800 50 0001 C CNN
+F 1 "+3V3" H 14165 10123 50 0000 C CNN
+F 2 "" H 14150 9950 50 0001 C CNN
+F 3 "" H 14150 9950 50 0001 C CNN
+ 1 14150 9950
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:C_Small-Device C6
+U 1 1 5CFC5CF2
+P 14150 10050
+F 0 "C6" H 14242 10096 50 0000 L CNN
+F 1 "100n" H 14250 10000 50 0000 L CNN
+F 2 "Capacitors_SMD:C_0603_HandSoldering" H 14150 10050 50 0001 C CNN
+F 3 "~" H 14150 10050 50 0001 C CNN
+F 4 "yes" H 14150 10050 50 0001 C CNN "Stock"
+ 1 14150 10050
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:C_Small-Device C9
+U 1 1 5CC5518C
+P 10850 9150
+F 0 "C9" H 10942 9196 50 0000 L CNN
+F 1 "100n" H 10950 9100 50 0000 L CNN
+F 2 "Capacitors_SMD:C_0603_HandSoldering" H 10850 9150 50 0001 C CNN
+F 3 "~" H 10850 9150 50 0001 C CNN
+F 4 "yes" H 10850 9150 50 0001 C CNN "Stock"
+ 1 10850 9150
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:GND-power #PWR0133
+U 1 1 5CC55197
+P 10850 9250
+F 0 "#PWR0133" H 10850 9000 50 0001 C CNN
+F 1 "GND" H 10855 9077 50 0000 C CNN
+F 2 "" H 10850 9250 50 0001 C CNN
+F 3 "" H 10850 9250 50 0001 C CNN
+ 1 10850 9250
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:+3V3-power #PWR0159
+U 1 1 5CC551A2
+P 10850 9050
+F 0 "#PWR0159" H 10850 8900 50 0001 C CNN
+F 1 "+3V3" H 10865 9223 50 0000 C CNN
+F 2 "" H 10850 9050 50 0001 C CNN
+F 3 "" H 10850 9050 50 0001 C CNN
+ 1 10850 9050
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:TestPoint_Alt-Connector TP15
+U 1 1 5CD2DBD6
+P 20300 4200
+F 0 "TP15" H 20358 4318 50 0000 L CNN
+F 1 "MOSI" H 20358 4227 50 0000 L CNN
+F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 20500 4200 50 0001 C CNN
+F 3 "~" H 20500 4200 50 0001 C CNN
+F 4 "" H 20300 4200 50 0001 C CNN "Stock"
+F 5 "yes" H 20300 4200 50 0001 C CNN "BOM ignore"
+ 1 20300 4200
+ 0 1 1 0
+$EndComp
+Connection ~ 20100 4200
+Wire Wire Line
+ 20100 4200 20100 4400
+$Comp
+L driver-rescue:TestPoint_Alt-Connector TP14
+U 1 1 5CD38882
+P 20100 4200
+F 0 "TP14" H 20158 4318 50 0000 L CNN
+F 1 "SCK" H 20158 4227 50 0000 L CNN
+F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 20300 4200 50 0001 C CNN
+F 3 "~" H 20300 4200 50 0001 C CNN
+F 4 "" H 20100 4200 50 0001 C CNN "Stock"
+F 5 "yes" H 20100 4200 50 0001 C CNN "BOM ignore"
+ 1 20100 4200
+ 0 1 1 0
+$EndComp
+Connection ~ 20300 4200
+Wire Wire Line
+ 20300 4200 20300 4400
+$Comp
+L driver-rescue:TestPoint_Alt-Connector TP13
+U 1 1 5CD3B4C9
+P 19800 4200
+F 0 "TP13" H 19858 4318 50 0000 L CNN
+F 1 "LATCH" H 19858 4227 50 0000 L CNN
+F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 20000 4200 50 0001 C CNN
+F 3 "~" H 20000 4200 50 0001 C CNN
+F 4 "" H 19800 4200 50 0001 C CNN "Stock"
+F 5 "yes" H 19800 4200 50 0001 C CNN "BOM ignore"
+ 1 19800 4200
+ 0 1 1 0
+$EndComp
+Connection ~ 19800 4200
+Wire Wire Line
+ 19800 4200 19800 4400
+Wire Wire Line
+ 8050 6400 7650 6400
+Wire Wire Line
+ 8050 6400 8050 6600
+$Comp
+L Device:D_Small_ALT D1
+U 1 1 5E7E19E7
+P 7750 5450
+F 0 "D1" V 7796 5382 50 0000 R CNN
+F 1 "SS510" V 7705 5382 50 0000 R CNN
+F 2 "Diode_SMD:D_SMA" V 7750 5450 50 0001 C CNN
+F 3 "~" V 7750 5450 50 0001 C CNN
+F 4 "C65010" H 7750 5450 50 0001 C CNN "LCSC"
+F 5 "MDD" H 7750 5450 50 0001 C CNN "Mfg"
+F 6 "SS510" H 7750 5450 50 0001 C CNN "PN"
+F 7 "?" H 7750 5450 50 0001 C CNN "Stock"
+ 1 7750 5450
+ 0 -1 -1 0
+$EndComp
+$Comp
+L driver-rescue:R_Small-Device R3
+U 1 1 5E7E35E4
+P 7750 5250
+F 0 "R3" V 7950 5250 50 0000 C CNN
+F 1 "0R" V 7850 5250 50 0000 C CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 7750 5250 50 0001 C CNN
+F 3 "~" H 7750 5250 50 0001 C CNN
+F 4 "yes" H 7750 5250 50 0001 C CNN "Stock"
+ 1 7750 5250
+ -1 0 0 1
+$EndComp
+Wire Wire Line
+ 7750 5550 7750 5800
+Wire Wire Line
+ 7750 5800 7650 5800
+Wire Wire Line
+ 7350 5600 7350 5050
+Wire Wire Line
+ 7350 5050 7750 5050
+Wire Wire Line
+ 7750 5050 7750 5150
+Wire Wire Line
+ 6450 6100 7050 6100
+$Comp
+L driver-rescue:IRS21867S-Driver_FET U1
+U 1 1 5E88678E
+P 7350 6100
+F 0 "U1" H 7200 6550 50 0000 C CNN
+F 1 "IRS21867S" H 6900 6350 50 0000 C CNN
+F 2 "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" H 7350 6100 50 0001 C CIN
+F 3 "http://www.infineon.com/dgdl/irs21867spbf.pdf?fileId=5546d462533600a4015356770e8327eb" H 7350 6100 50 0001 C CNN
+F 4 "C52290" H 7350 6100 50 0001 C CNN "LCSC"
+F 5 "Infineon" H 7350 6100 50 0001 C CNN "Mfg"
+F 6 "IRS21867STRPBF" H 7350 6100 50 0001 C CNN "PN"
+ 1 7350 6100
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 7650 6300 7750 6300
+Wire Wire Line
+ 7750 6300 7750 6200
+Wire Wire Line
+ 7750 6000 7750 5800
+Connection ~ 7750 5800
+Wire Wire Line
+ 7750 6300 8850 6300
+Connection ~ 7750 6300
+Connection ~ 8850 6300
+Wire Wire Line
+ 8850 6300 8850 6400
+$Comp
+L driver-rescue:C_Small-Device C24
+U 1 1 5C60D685
+P 12000 5350
+F 0 "C24" H 12092 5396 50 0000 L CNN
+F 1 "1u/25V" H 12100 5300 50 0000 L CNN
+F 2 "Capacitors_SMD:C_0603_HandSoldering" H 12000 5350 50 0001 C CNN
+F 3 "~" H 12000 5350 50 0001 C CNN
+F 4 "yes" H 12000 5350 50 0001 C CNN "Stock"
+ 1 12000 5350
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:IRS21867S-Driver_FET U4
+U 1 1 5E9861E0
+P 11650 6100
+F 0 "U4" H 11500 6550 50 0000 C CNN
+F 1 "IRS21867S" H 11200 6300 50 0000 C CNN
+F 2 "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" H 11650 6100 50 0001 C CIN
+F 3 "http://www.infineon.com/dgdl/irs21867spbf.pdf?fileId=5546d462533600a4015356770e8327eb" H 11650 6100 50 0001 C CNN
+F 4 "C52290" H 11650 6100 50 0001 C CNN "LCSC"
+F 5 "Infineon" H 11650 6100 50 0001 C CNN "Mfg"
+F 6 "IRS21867STRPBF" H 11650 6100 50 0001 C CNN "PN"
+ 1 11650 6100
+ -1 0 0 -1
+$EndComp
+Wire Wire Line
+ 12400 6200 12400 7250
+Wire Wire Line
+ 11950 6200 12400 6200
+Wire Wire Line
+ 10900 6400 11350 6400
+Wire Wire Line
+ 10900 5900 11350 5900
+$Comp
+L Device:D_Small_ALT D3
+U 1 1 5EAB007A
+P 11250 5500
+F 0 "D3" V 11296 5432 50 0000 R CNN
+F 1 "SS510" V 11205 5432 50 0000 R CNN
+F 2 "Diode_SMD:D_SMA" V 11250 5500 50 0001 C CNN
+F 3 "~" V 11250 5500 50 0001 C CNN
+F 4 "C65010" H 11250 5500 50 0001 C CNN "LCSC"
+F 5 "MDD" H 11250 5500 50 0001 C CNN "Mfg"
+F 6 "SS510" H 11250 5500 50 0001 C CNN "PN"
+F 7 "?" H 11250 5500 50 0001 C CNN "Stock"
+ 1 11250 5500
+ 0 -1 -1 0
+$EndComp
+$Comp
+L driver-rescue:R_Small-Device R19
+U 1 1 5EAB0084
+P 11250 5300
+F 0 "R19" V 11450 5300 50 0000 C CNN
+F 1 "0R" V 11350 5300 50 0000 C CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 11250 5300 50 0001 C CNN
+F 3 "~" H 11250 5300 50 0001 C CNN
+F 4 "yes" H 11250 5300 50 0001 C CNN "Stock"
+ 1 11250 5300
+ -1 0 0 1
+$EndComp
+Wire Wire Line
+ 11250 5600 11250 5800
+Wire Wire Line
+ 11250 5800 11350 5800
+$Comp
+L driver-rescue:C_Small-Device C10
+U 1 1 5EB07457
+P 11250 6100
+F 0 "C10" H 11342 6146 50 0000 L CNN
+F 1 "470n/60V" H 11350 6050 50 0000 L CNN
+F 2 "Capacitor_SMD:C_1206_3216Metric_Pad1.42x1.75mm_HandSolder" H 11250 6100 50 0001 C CNN
+F 3 "~" H 11250 6100 50 0001 C CNN
+F 4 "C107182" H 11250 6100 50 0001 C CNN "LCSC"
+F 5 "Yageo" H 11250 6100 50 0001 C CNN "Mfg"
+F 6 "CC1206KKX7R0BB474" H 11250 6100 50 0001 C CNN "PN"
+ 1 11250 6100
+ -1 0 0 -1
+$EndComp
+Wire Wire Line
+ 9850 6300 11250 6300
+Connection ~ 9850 6300
+Wire Wire Line
+ 9850 6300 9850 6400
+Wire Wire Line
+ 11250 6300 11250 6200
+Connection ~ 11250 6300
+Wire Wire Line
+ 11250 6300 11350 6300
+Wire Wire Line
+ 11250 6000 11250 5800
+Connection ~ 11250 5800
+$Comp
+L driver-rescue:C_Small-Device C2
+U 1 1 5EC07EAB
+P 6950 5300
+F 0 "C2" H 7042 5346 50 0000 L CNN
+F 1 "1u/25V" H 7050 5250 50 0000 L CNN
+F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 6950 5300 50 0001 C CNN
+F 3 "~" H 6950 5300 50 0001 C CNN
+F 4 "yes" H 6950 5300 50 0001 C CNN "Stock"
+ 1 6950 5300
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:GND-power #PWR0104
+U 1 1 5EC08C00
+P 6950 5400
+F 0 "#PWR0104" H 6950 5150 50 0001 C CNN
+F 1 "GND" H 6955 5227 50 0000 C CNN
+F 2 "" H 6950 5400 50 0001 C CNN
+F 3 "" H 6950 5400 50 0001 C CNN
+ 1 6950 5400
+ -1 0 0 -1
+$EndComp
+Wire Wire Line
+ 6950 5200 6950 5050
+Wire Wire Line
+ 6950 5050 7350 5050
+Connection ~ 7350 5050
+Wire Wire Line
+ 12000 5250 12000 5100
+Wire Wire Line
+ 12000 5100 11650 5100
+Wire Wire Line
+ 11250 5100 11250 5200
+Wire Wire Line
+ 11650 5600 11650 5100
+Connection ~ 11650 5100
+Wire Wire Line
+ 11650 5100 11250 5100
+$Comp
+L driver-rescue:GND-power #PWR0106
+U 1 1 5EC8EEE4
+P 12000 5450
+F 0 "#PWR0106" H 12000 5200 50 0001 C CNN
+F 1 "GND" H 12005 5277 50 0000 C CNN
+F 2 "" H 12000 5450 50 0001 C CNN
+F 3 "" H 12000 5450 50 0001 C CNN
+ 1 12000 5450
+ -1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:R-Device-center-rescue R1
+U 1 1 5EDD5D41
+P 7350 1550
+F 0 "R1" V 7143 1550 50 0000 C CNN
+F 1 "30mR 1/4W" V 7234 1550 50 0000 C CNN
+F 2 "Resistor_SMD:R_1210_3225Metric" V 7280 1550 50 0001 C CNN
+F 3 "~" H 7350 1550 50 0001 C CNN
+F 4 "Ralec" V 7350 1550 50 0001 C CNN "Mfg"
+F 5 "RTT12R033FTP" V 7350 1550 50 0001 C CNN "PN"
+F 6 "C159305" V 7350 1550 50 0001 C CNN "LCSC"
+ 1 7350 1550
+ 0 1 1 0
+$EndComp
+Wire Wire Line
+ 7600 1550 7500 1550
+Wire Wire Line
+ 7200 1550 7100 1550
+Text Notes 7200 1700 0 50 ~ 0
+Ilim=2A
+Wire Wire Line
+ 10900 2600 10900 1550
+$Comp
+L driver-rescue:CP_Small-Device-center-rescue C7
+U 1 1 5EDD5D4E
+P 10900 1450
+F 0 "C7" H 10750 1600 50 0000 L CNN
+F 1 "2200uF/25V/2A" V 10950 550 50 0000 L CNN
+F 2 "Capacitor_THT:CP_Radial_D13.0mm_P5.00mm" H 10900 1450 50 0001 C CNN
+F 3 "~" H 10900 1450 50 0001 C CNN
+F 4 "CapXon" V 10850 550 50 0000 L CNN "Mfg"
+F 5 "63YXF220MFFCT810X20" V 11050 550 50 0000 L CNN "PN"
+F 6 "C59339" H 10900 1450 50 0001 C CNN "LCSC"
+ 1 10900 1450
+ 1 0 0 -1
+$EndComp
+Connection ~ 10900 2600
+$Comp
+L driver-rescue:L-Device-center-rescue L1
+U 1 1 5EDD5D5E
+P 9550 1300
+F 0 "L1" H 9602 1346 50 0000 L CNN
+F 1 "47uH/2A" H 9602 1255 50 0000 L CNN
+F 2 "Inductor_SMD:L_Taiyo-Yuden_NR-40xx_HandSoldering" H 9550 1300 50 0001 C CNN
+F 3 "~" H 9550 1300 50 0001 C CNN
+F 4 "SXN" H 9550 1300 50 0001 C CNN "Mfg"
+F 5 "SMNR4020-470MT" H 9550 1300 50 0001 C CNN "PN"
+F 6 "" H 9550 1300 50 0001 C CNN "Reichelt"
+F 7 "C467067" H 9550 1300 50 0001 C CNN "LCSC"
+ 1 9550 1300
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 9550 1150 9550 900
+Connection ~ 9550 900
+Wire Wire Line
+ 9550 1450 9550 1550
+Wire Wire Line
+ 9550 2350 9550 2600
+Connection ~ 9550 2600
+Wire Wire Line
+ 9550 2600 10200 2600
+Wire Wire Line
+ 8100 2450 8100 2600
+Wire Wire Line
+ 8100 2600 9550 2600
+Connection ~ 9550 1550
+Wire Wire Line
+ 9550 1550 9550 2050
+$Comp
+L driver-rescue:R-Device-center-rescue R5
+U 1 1 5EDD5D6F
+P 9300 1300
+F 0 "R5" H 9370 1391 50 0000 L CNN
+F 1 "1k" H 9370 1300 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 9230 1300 50 0001 C CNN
+F 3 "~" H 9300 1300 50 0001 C CNN
+F 4 "DNP" H 9370 1209 50 0000 L CNN "DNP"
+F 5 "yes" H 9300 1300 50 0001 C CNN "Stock"
+ 1 9300 1300
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 9300 1450 9300 1550
+Connection ~ 9300 1550
+Wire Wire Line
+ 9300 1550 9550 1550
+Wire Wire Line
+ 9300 900 9300 1150
+Wire Wire Line
+ 9300 900 9550 900
+$Comp
+L driver-rescue:GND-power-center-rescue #PWR0110
+U 1 1 5EDD5D7A
+P 13950 2600
+F 0 "#PWR0110" H 13950 2350 50 0001 C CNN
+F 1 "GND" V 13955 2472 50 0000 R CNN
+F 2 "" H 13950 2600 50 0001 C CNN
+F 3 "" H 13950 2600 50 0001 C CNN
+ 1 13950 2600
+ 0 -1 -1 0
+$EndComp
+$Comp
+L driver-rescue:R-Device-center-rescue R7
+U 1 1 5EDD5D81
+P 10550 1500
+F 0 "R7" H 10620 1546 50 0000 L CNN
+F 1 "300k" H 10620 1455 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 10480 1500 50 0001 C CNN
+F 3 "~" H 10550 1500 50 0001 C CNN
+F 4 "yes" H 10550 1500 50 0001 C CNN "Stock"
+ 1 10550 1500
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:R-Device-center-rescue R18
+U 1 1 5EDD5D87
+P 10550 2000
+F 0 "R18" H 10620 2046 50 0000 L CNN
+F 1 "21k4/22k" H 10620 1955 50 0000 L CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 10480 2000 50 0001 C CNN
+F 3 "~" H 10550 2000 50 0001 C CNN
+F 4 "yes" H 10550 2000 50 0001 C CNN "Stock"
+ 1 10550 2000
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 10550 1650 10550 1750
+Wire Wire Line
+ 10550 2150 10550 2600
+Connection ~ 10550 2600
+Wire Wire Line
+ 10550 2600 10900 2600
+Wire Wire Line
+ 10550 1350 10550 900
+Wire Wire Line
+ 9550 900 10550 900
+Connection ~ 10550 900
+Wire Wire Line
+ 10550 1750 10200 1750
+Connection ~ 10550 1750
+Wire Wire Line
+ 10550 1750 10550 1850
+$Comp
+L driver-rescue:C_Small-Device-center-rescue C5
+U 1 1 5EDD5D97
+P 10200 2000
+F 0 "C5" H 10292 2046 50 0000 L CNN
+F 1 "12p" H 10292 1955 50 0000 L CNN
+F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 10200 2000 50 0001 C CNN
+F 3 "~" H 10200 2000 50 0001 C CNN
+F 4 "C38523" H 10200 2000 50 0001 C CNN "LCSC"
+F 5 "Samsung" H 10200 2000 50 0001 C CNN "Mfg"
+F 6 "CL10C120JB8NNNC" H 10200 2000 50 0001 C CNN "PN"
+ 1 10200 2000
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 10200 1900 10200 1750
+Connection ~ 10200 1750
+Wire Wire Line
+ 10200 1750 8600 1750
+Wire Wire Line
+ 10200 2100 10200 2600
+Connection ~ 10200 2600
+Wire Wire Line
+ 10200 2600 10550 2600
+$Comp
+L driver-rescue:CP_Small-Device-center-rescue C1
+U 1 1 5EDD5DA6
+P 6500 1650
+F 0 "C1" H 6600 1700 50 0000 L CNN
+F 1 "220u/63V" H 6600 1600 50 0000 L CNN
+F 2 "Capacitor_THT:CP_Radial_D10.0mm_P5.00mm" H 6500 1650 50 0001 C CNN
+F 3 "~" H 6500 1650 50 0001 C CNN
+F 4 "Rubycon" H 6600 1500 50 0000 L CNN "Mfg"
+F 5 "C324050" H 6500 1650 50 0001 C CNN "LCSC"
+F 6 "63YXF220MFFCT810X20" H 6600 1400 50 0000 L CNN "PN"
+ 1 6500 1650
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 6500 1550 6500 900
+Connection ~ 6500 900
+Wire Wire Line
+ 6500 1750 6500 2600
+$Comp
+L driver-rescue:C_Small-Device-center-rescue C3
+U 1 1 5EDD5DB0
+P 7100 2100
+F 0 "C3" H 7192 2146 50 0000 L CNN
+F 1 "100n/60V" H 7192 2055 50 0000 L CNN
+F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 7100 2100 50 0001 C CNN
+F 3 "~" H 7100 2100 50 0001 C CNN
+F 4 "C408909" H 7100 2100 50 0001 C CNN "LCSC"
+F 5 "Yageo" H 7100 2100 50 0001 C CNN "Mfg"
+F 6 "AC0603KRX7R0BB104" H 7100 2100 50 0001 C CNN "PN"
+ 1 7100 2100
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:C_Small-Device-center-rescue C4
+U 1 1 5EDD5DB6
+P 9050 1450
+F 0 "C4" H 9142 1496 50 0000 L CNN
+F 1 "100n/60V" H 9142 1405 50 0000 L CNN
+F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 9050 1450 50 0001 C CNN
+F 3 "~" H 9050 1450 50 0001 C CNN
+F 4 "C408909" H 9050 1450 50 0001 C CNN "LCSC"
+F 5 "Yageo" H 9050 1450 50 0001 C CNN "Mfg"
+F 6 "AC0603KRX7R0BB104" H 9050 1450 50 0001 C CNN "PN"
+ 1 9050 1450
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:+12V-power-center-rescue #PWR0111
+U 1 1 5EDD5DBC
+P 13950 900
+F 0 "#PWR0111" H 13950 750 50 0001 C CNN
+F 1 "+12V" V 13965 1028 50 0000 L CNN
+F 2 "" H 13950 900 50 0001 C CNN
+F 3 "" H 13950 900 50 0001 C CNN
+ 1 13950 900
+ 0 1 1 0
+$EndComp
+Wire Wire Line
+ 10550 900 10900 900
+Connection ~ 10900 900
+Connection ~ 13600 2600
+Wire Wire Line
+ 13950 2600 13600 2600
+Connection ~ 13600 1450
+Wire Wire Line
+ 13950 1450 13600 1450
+$Comp
+L driver-rescue:+3.3V-power-center-rescue #PWR0114
+U 1 1 5EDD5DC8
+P 13950 1450
+F 0 "#PWR0114" H 13950 1300 50 0001 C CNN
+F 1 "+3.3V" V 13965 1578 50 0000 L CNN
+F 2 "" H 13950 1450 50 0001 C CNN
+F 3 "" H 13950 1450 50 0001 C CNN
+ 1 13950 1450
+ 0 1 1 0
+$EndComp
+Wire Wire Line
+ 11350 2600 10900 2600
+Connection ~ 11350 2600
+Wire Wire Line
+ 11350 2100 11350 2600
+Wire Wire Line
+ 11350 1450 11350 1900
+Wire Wire Line
+ 11700 1450 11350 1450
+Connection ~ 11700 1450
+Wire Wire Line
+ 11700 1900 11700 1450
+Wire Wire Line
+ 11700 2600 11350 2600
+Connection ~ 11700 2600
+Wire Wire Line
+ 11700 2600 11700 2100
+Connection ~ 12800 2600
+Connection ~ 13250 2600
+Wire Wire Line
+ 13250 2600 12800 2600
+Wire Wire Line
+ 13250 2600 13250 2100
+Wire Wire Line
+ 13600 2600 13400 2600
+Wire Wire Line
+ 13600 2100 13600 2600
+Wire Wire Line
+ 13600 1450 13250 1450
+Wire Wire Line
+ 13600 1450 13600 1900
+Wire Wire Line
+ 13250 1450 12800 1450
+Connection ~ 13250 1450
+Wire Wire Line
+ 13250 1450 13250 1900
+$Comp
+L driver-rescue:C_Small-Device-center-rescue C19
+U 1 1 5EDD5DE3
+P 13600 2000
+F 0 "C19" H 13692 2046 50 0000 L CNN
+F 1 "100n" H 13692 1955 50 0000 L CNN
+F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 13600 2000 50 0000 C CNN
+F 3 "~" H 13600 2000 50 0001 C CNN
+F 4 "yes" H 13600 2000 50 0001 C CNN "Stock"
+ 1 13600 2000
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:C_Small-Device-center-rescue C18
+U 1 1 5EDD5DE9
+P 13250 2000
+F 0 "C18" H 13342 2046 50 0000 L CNN
+F 1 "10u/6V" H 13342 1955 50 0000 L CNN
+F 2 "Capacitor_SMD:C_1206_3216Metric_Pad1.42x1.75mm_HandSolder" H 13250 2000 50 0001 C CNN
+F 3 "~" H 13250 2000 50 0001 C CNN
+F 4 "C92831" H 13250 2000 50 0001 C CNN "LCSC"
+F 5 "Taiyo Yuden" H 13250 2000 50 0001 C CNN "Mfg"
+F 6 "TMK316B7106KL-TD" H 13250 2000 50 0001 C CNN "PN"
+ 1 13250 2000
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:C_Small-Device-center-rescue C16
+U 1 1 5EDD5DEF
+P 11700 2000
+F 0 "C16" H 11792 2046 50 0000 L CNN
+F 1 "100n" H 11792 1955 50 0000 L CNN
+F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 11700 2000 50 0001 C CNN
+F 3 "~" H 11700 2000 50 0001 C CNN
+F 4 "yes" H 11700 2000 50 0001 C CNN "Stock"
+ 1 11700 2000
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:C_Small-Device-center-rescue C15
+U 1 1 5EDD5DF5
+P 11350 2000
+F 0 "C15" H 11442 2046 50 0000 L CNN
+F 1 "10u/16V" H 11442 1955 50 0000 L CNN
+F 2 "Capacitor_SMD:C_1206_3216Metric_Pad1.42x1.75mm_HandSolder" H 11350 2000 50 0001 C CNN
+F 3 "~" H 11350 2000 50 0001 C CNN
+F 4 "C92831" H 11350 2000 50 0001 C CNN "LCSC"
+F 5 "Taiyo Yuden" H 11350 2000 50 0001 C CNN "Mfg"
+F 6 "TMK316B7106KL-TD" H 11350 2000 50 0001 C CNN "PN"
+ 1 11350 2000
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 10900 1350 10900 900
+Wire Wire Line
+ 12250 2600 11700 2600
+Wire Wire Line
+ 12250 2600 12250 1750
+Connection ~ 12250 2600
+Wire Wire Line
+ 12800 2600 12250 2600
+Wire Wire Line
+ 12800 2100 12800 2600
+Wire Wire Line
+ 12800 1450 12550 1450
+Connection ~ 12800 1450
+Wire Wire Line
+ 12800 1450 12800 1900
+$Comp
+L driver-rescue:CP_Small-Device-center-rescue C17
+U 1 1 5EDD5E07
+P 12800 2000
+F 0 "C17" H 12888 2046 50 0000 L CNN
+F 1 "47u" H 12888 1955 50 0000 L CNN
+F 2 "Capacitor_THT:CP_Radial_D5.0mm_P2.00mm" H 12800 2000 50 0001 C CNN
+F 3 "~" H 12800 2000 50 0001 C CNN
+F 4 "25YXF47MFFC5X11" H 12800 2000 50 0001 C CNN "PN"
+F 5 "C216203" H 12800 2000 50 0001 C CNN "LCSC"
+F 6 "Rubycon" H 12800 2000 50 0001 C CNN "Mfg"
+ 1 12800 2000
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 11950 1450 11700 1450
+Wire Wire Line
+ 10900 900 11350 900
+Wire Wire Line
+ 11350 900 11350 1450
+Connection ~ 11350 1450
+Wire Wire Line
+ 11350 900 13600 900
+Connection ~ 11350 900
+$Comp
+L driver-rescue:D_Schottky_ALT-Device-center-rescue D2
+U 1 1 5EDD5E14
+P 9550 2200
+F 0 "D2" V 9504 2121 50 0000 R CNN
+F 1 "SS510" V 9595 2121 50 0000 R CNN
+F 2 "Diode_SMD:D_SMA" H 9550 2200 50 0001 C CNN
+F 3 "~" H 9550 2200 50 0001 C CNN
+F 4 "C65010" V 9550 2200 50 0001 C CNN "LCSC"
+F 5 "MDD" H 9550 2200 50 0001 C CNN "Mfg"
+F 6 "SS510" H 9550 2200 50 0001 C CNN "PN"
+F 7 "?" H 9550 2200 50 0001 C CNN "Stock"
+ 1 9550 2200
+ 0 -1 1 0
+$EndComp
+Connection ~ 8100 2600
+Connection ~ 9050 1550
+Wire Wire Line
+ 9050 1550 9300 1550
+Wire Wire Line
+ 8600 1550 9050 1550
+Wire Wire Line
+ 8900 1350 9050 1350
+$Comp
+L driver-rescue:R-Device-center-rescue R4
+U 1 1 5EDD5E1F
+P 8750 1350
+F 0 "R4" V 8543 1350 50 0000 C CNN
+F 1 "5R1" V 8634 1350 50 0000 C CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 8680 1350 50 0001 C CNN
+F 3 "~" H 8750 1350 50 0001 C CNN
+F 4 "yes" H 8750 1350 50 0001 C CNN "Stock"
+ 1 8750 1350
+ 0 1 1 0
+$EndComp
+Wire Wire Line
+ 7600 1650 7600 1550
+Text Label 7550 1550 0 50 ~ 0
+ILIM
+$Comp
+L driver-rescue:AP1117-33-Regulator_Linear-center-rescue U5
+U 1 1 5EDD5E28
+P 12250 1450
+F 0 "U5" H 12250 1692 50 0000 C CNN
+F 1 "AP1117-33" H 12250 1601 50 0000 C CNN
+F 2 "Package_TO_SOT_SMD:SOT-223" H 12250 1650 50 0001 C CNN
+F 3 "http://www.diodes.com/datasheets/AP1117.pdf" H 12350 1200 50 0001 C CNN
+F 4 "C426566" H 12250 1450 50 0001 C CNN "LCSC"
+F 5 "Slkor" H 12250 1450 50 0001 C CNN "Mfg"
+F 6 "AMS1117-3.3" H 12250 1450 50 0001 C CNN "PN"
+F 7 "yes" H 12250 1450 50 0001 C CNN "Stock"
+ 1 12250 1450
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 12550 1550 12700 1550
+Text Label 12700 1550 2 50 ~ 0
+PAD
+Wire Wire Line
+ 7100 2000 7100 1550
+Connection ~ 7100 1550
+Wire Wire Line
+ 7100 2200 7100 2600
+Connection ~ 7100 2600
+Wire Wire Line
+ 7100 2600 8100 2600
+Wire Wire Line
+ 7100 1350 7600 1350
+Wire Wire Line
+ 7100 1350 7100 1550
+Connection ~ 6500 2600
+Wire Wire Line
+ 6500 2600 7100 2600
+Wire Wire Line
+ 6500 900 7100 900
+Wire Wire Line
+ 7100 1350 7100 900
+Connection ~ 7100 1350
+$Comp
+L driver-rescue:TestPoint_Alt-Connector TP2
+U 1 1 5EFC40F8
+P 13600 900
+F 0 "TP2" H 13658 1018 50 0000 L CNN
+F 1 "+12V" H 13658 927 50 0000 L CNN
+F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 13800 900 50 0001 C CNN
+F 3 "~" H 13800 900 50 0001 C CNN
+F 4 "" H 13600 900 50 0001 C CNN "Stock"
+F 5 "yes" H 13600 900 50 0001 C CNN "BOM ignore"
+ 1 13600 900
+ 1 0 0 -1
+$EndComp
+Connection ~ 13600 900
+Wire Wire Line
+ 13600 900 13950 900
+Connection ~ 13400 2600
+Wire Wire Line
+ 13400 2600 13250 2600
+$Comp
+L driver-rescue:+3V3-power #PWR0115
+U 1 1 5F0ABDDB
+P 16500 4800
+F 0 "#PWR0115" H 16500 4650 50 0001 C CNN
+F 1 "+3V3" H 16515 4973 50 0000 C CNN
+F 2 "" H 16500 4800 50 0001 C CNN
+F 3 "" H 16500 4800 50 0001 C CNN
+ 1 16500 4800
+ 1 0 0 -1
+$EndComp
+Connection ~ 16500 4800
+Wire Wire Line
+ 16500 4800 16450 4800
+Wire Wire Line
+ 5500 900 5500 1650
+Wire Wire Line
+ 5500 900 6500 900
+Connection ~ 5500 900
+Wire Wire Line
+ 5250 2600 5500 2600
+Wire Wire Line
+ 5500 2250 5500 2600
+Connection ~ 5500 2600
+Wire Wire Line
+ 5500 2600 6500 2600
+$Comp
+L driver-rescue:+12V-power-center-rescue #PWR0116
+U 1 1 5F2B36E5
+P 15350 1100
+F 0 "#PWR0116" H 15350 950 50 0001 C CNN
+F 1 "+12V" V 15365 1228 50 0000 L CNN
+F 2 "" H 15350 1100 50 0001 C CNN
+F 3 "" H 15350 1100 50 0001 C CNN
+ 1 15350 1100
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 20300 5200 20700 5200
+Wire Wire Line
+ 20700 5200 20700 5700
+Wire Wire Line
+ 20500 5700 20500 5250
+Wire Wire Line
+ 20500 5250 20200 5250
+Wire Wire Line
+ 20200 5250 20200 5200
+Wire Wire Line
+ 20100 5200 20100 5300
+Wire Wire Line
+ 20100 5300 20300 5300
+Wire Wire Line
+ 20300 5300 20300 5700
+Wire Wire Line
+ 20100 5700 20100 5400
+Wire Wire Line
+ 20100 5400 20000 5400
+Wire Wire Line
+ 20000 5400 20000 5200
+Wire Wire Line
+ 7050 6200 6550 6200
+Wire Wire Line
+ 6550 6200 6550 7150
+Wire Wire Line
+ 6550 7150 12500 7150
+Text Label 14150 7150 2 50 ~ 0
+CTRL_B
+Wire Wire Line
+ 12500 7150 12500 6100
+Wire Wire Line
+ 12500 6100 11950 6100
+Connection ~ 12500 7150
+Wire Wire Line
+ 12500 7150 13000 7150
+Text Label 12250 6100 2 50 ~ 0
+CTRL_B
+Text Label 6550 6200 0 50 ~ 0
+CTRL_B
+$Comp
+L driver-rescue:GND-power #PWR0120
+U 1 1 5F51AA69
+P 13600 7650
+F 0 "#PWR0120" H 13600 7400 50 0001 C CNN
+F 1 "GND" H 13605 7477 50 0000 C CNN
+F 2 "" H 13600 7650 50 0001 C CNN
+F 3 "" H 13600 7650 50 0001 C CNN
+ 1 13600 7650
+ 1 0 0 -1
+$EndComp
+$Comp
+L driver-rescue:R_Small-Device R20
+U 1 1 5F51AA73
+P 13600 7450
+F 0 "R20" V 13550 7300 50 0000 C CNN
+F 1 "1k" V 13500 7450 50 0000 C CNN
+F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 13600 7450 50 0001 C CNN
+F 3 "~" H 13600 7450 50 0001 C CNN
+F 4 "yes" H 13600 7450 50 0001 C CNN "Stock"
+ 1 13600 7450
+ -1 0 0 -1
+$EndComp
+Wire Wire Line
+ 13600 7550 13600 7650
+Wire Wire Line
+ 13600 7350 13600 7150
+Connection ~ 13600 7150
+Wire Wire Line
+ 13600 7150 14150 7150
+$Comp
+L driver-rescue:TestPoint_Alt-Connector TP1
+U 1 1 5F579CFA
+P 13000 7150
+F 0 "TP1" H 12942 7176 50 0000 R CNN
+F 1 "CTRL_B" H 12942 7267 50 0000 R CNN
+F 2 "TestPoint:TestPoint_Pad_D1.5mm" H 13200 7150 50 0001 C CNN
+F 3 "~" H 13200 7150 50 0001 C CNN
+F 4 "" H 13000 7150 50 0001 C CNN "Stock"
+F 5 "yes" H 13000 7150 50 0001 C CNN "BOM ignore"
+ 1 13000 7150
+ 1 0 0 -1
+$EndComp
+Connection ~ 13000 7150
+Wire Wire Line
+ 13000 7150 13600 7150
+Wire Wire Line
+ 9550 10500 10400 10500
+Wire Wire Line
+ 9550 10100 10150 10100
+Wire Wire Line
+ 10150 5650 10900 5650
+Wire Wire Line
+ 10150 6600 10900 6600
+Wire Wire Line
+ 8050 6600 8550 6600
+Wire Wire Line
+ 8050 5650 8550 5650
+Connection ~ 7600 1550
+Wire Wire Line
+ 10400 10000 10250 10000
+Wire Wire Line
+ 10250 3450 6200 3450
+Wire Wire Line
+ 6200 3450 6200 1900
+Wire Wire Line
+ 10250 3450 10250 10000
+Wire Wire Line
+ 6350 1900 6200 1900
+Connection ~ 6200 1900
+$Comp
+L tx4138:TX4138 U3
+U 1 1 5EDD5D38
+P 8100 1850
+F 0 "U3" H 8100 2615 50 0000 C CNN
+F 1 "TX4138" H 8100 2524 50 0000 C CNN
+F 2 "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" H 8100 1850 50 0001 C CNN
+F 3 "" H 8100 1850 50 0001 C CNN
+F 4 "C329267" H 8100 1850 50 0001 C CNN "LCSC"
+F 5 "XDS" H 8100 1850 50 0001 C CNN "Mfg"
+F 6 "TX4138" H 8100 1850 50 0001 C CNN "PN"
+ 1 8100 1850
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 4750 900 4750 4100
+Wire Wire Line
+ 4750 4100 6200 4100
+Wire Wire Line
+ 4750 900 5500 900
+Connection ~ 6200 4100
+Wire Wire Line
+ 11450 9400 11450 9600
+Wire Wire Line
+ 11450 8750 11450 9200
+Text Label 7750 5800 0 50 ~ 0
+VB1
+Text Label 11250 5800 2 50 ~ 0
+VB2
+Text Notes 18800 15200 0 197 ~ 0
+8seg driver rev 5
+$Comp
+L driver-rescue:+12V-power-center-rescue #PWR?
+U 1 1 5EB1CB24
+P 6950 5050
+F 0 "#PWR?" H 6950 4900 50 0001 C CNN
+F 1 "+12V" V 6965 5178 50 0000 L CNN
+F 2 "" H 6950 5050 50 0001 C CNN
+F 3 "" H 6950 5050 50 0001 C CNN
+ 1 6950 5050
+ 1 0 0 -1
+$EndComp
+Connection ~ 6950 5050
+$Comp
+L driver-rescue:+12V-power-center-rescue #PWR?
+U 1 1 5EB1D82C
+P 12000 5100
+F 0 "#PWR?" H 12000 4950 50 0001 C CNN
+F 1 "+12V" V 12015 5228 50 0000 L CNN
+F 2 "" H 12000 5100 50 0001 C CNN
+F 3 "" H 12000 5100 50 0001 C CNN
+ 1 12000 5100
+ 1 0 0 -1
+$EndComp
+Connection ~ 12000 5100
+$EndSCHEMATC
diff --git a/driver/driver.xml b/driver/driver.xml
index 57c59a9..b90f144 100644
--- a/driver/driver.xml
+++ b/driver/driver.xml
@@ -1,9 +1,9 @@
<?xml version="1.0" encoding="UTF-8"?>
<export version="D">
<design>
- <source>/home/user/toys/led_tape_display/driver/driver.sch</source>
- <date>Wed Jan 23 11:49:36 2019</date>
- <tool>Eeschema (5.0.1)</tool>
+ <source>C:\Users\jaseg\shared\driver\driver.sch</source>
+ <date>3/1/2020 11:58:16 PM</date>
+ <tool>Eeschema (5.1.5)-3</tool>
<sheet number="1" name="/" tstamps="/">
<title_block>
<title/>
@@ -20,42 +20,67 @@
</design>
<components>
<comp ref="R28">
- <value>5mR 1W 2512</value>
+ <value>25mR 1W 2512</value>
<footprint>Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="LCSC">C159173</field>
+ <field name="Mfg">Ralec</field>
+ <field name="PN">RTT25R025FTE</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
<tstamp>5C3D5DD5</tstamp>
</comp>
<comp ref="R29">
- <value>5mR 1W</value>
+ <value>25mR 1W 2512</value>
<footprint>Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="LCSC">C159173</field>
+ <field name="Mfg">Ralec</field>
+ <field name="PN">RTT25R025FTE</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
<tstamp>5C3D5E81</tstamp>
</comp>
<comp ref="R30">
- <value>5mR 1W</value>
+ <value>25mR 1W 2512</value>
<footprint>Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="LCSC">C159173</field>
+ <field name="Mfg">Ralec</field>
+ <field name="PN">RTT25R025FTE</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
<tstamp>5C3D5FC2</tstamp>
</comp>
<comp ref="R31">
- <value>5mR 1W</value>
+ <value>25mR 1W 2512</value>
<footprint>Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="LCSC">C159173</field>
+ <field name="Mfg">Ralec</field>
+ <field name="PN">RTT25R025FTE</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
<tstamp>5C3D600E</tstamp>
</comp>
<comp ref="R32">
- <value>5mR 1W</value>
+ <value>25mR 1W 2512</value>
<footprint>Resistor_SMD:R_2512_6332Metric_Pad1.52x3.35mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="LCSC">C159173</field>
+ <field name="Mfg">Ralec</field>
+ <field name="PN">RTT25R025FTE</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
<tstamp>5C3D603D</tstamp>
</comp>
@@ -63,938 +88,1382 @@
<value>INA226</value>
<footprint>Package_SO:MSOP-10_3x3mm_P0.5mm</footprint>
<datasheet>http://www.ti.com/lit/ds/symlink/ina226.pdf</datasheet>
- <libsource lib="Analog_ADC" part="INA226" description="High-Side or Low-Side Measurement, Bi-Directional Current and Power Monitor (0-36V) with I2C Compatible Interface, MSOP-10"/>
+ <fields>
+ <field name="DNP">?</field>
+ <field name="LCSC">C49851</field>
+ <field name="Mfg">TI</field>
+ <field name="PN">INA226AIDGSR</field>
+ </fields>
+ <libsource lib="driver-rescue" part="INA226-Analog_ADC" description=""/>
<sheetpath names="/" tstamps="/"/>
<tstamp>5C3D6130</tstamp>
</comp>
<comp ref="Q3">
- <value>TPHR6503PL</value>
+ <value>NCEP60T15G</value>
<footprint>footprints:Toshiba SOP Advance</footprint>
<datasheet>https://www.infineon.com/dgdl/Infineon-IRF7480M-DS-v01_02-EN.pdf?fileId=5546d462533600a4015355ff8fa41c30</datasheet>
- <libsource lib="Transistor_FET" part="IRF7480M" description="330A Id, 40V Vds, 1.2mOhm Rds, N-Channel MOSFET, DirectFET ME"/>
+ <fields>
+ <field name="LCSC">C216776</field>
+ <field name="Mfg">Wuxi NCE</field>
+ <field name="PN">NCEP60T15G</field>
+ </fields>
+ <libsource lib="driver-rescue" part="IRF7480M-Transistor_FET" description=""/>
<sheetpath names="/" tstamps="/"/>
<tstamp>5C3D9DBF</tstamp>
</comp>
<comp ref="Q1">
- <value>TPHR6503PL</value>
+ <value>NCEP60T15G</value>
<footprint>footprints:Toshiba SOP Advance</footprint>
<datasheet>https://www.infineon.com/dgdl/Infineon-IRF7480M-DS-v01_02-EN.pdf?fileId=5546d462533600a4015355ff8fa41c30</datasheet>
- <libsource lib="Transistor_FET" part="IRF7480M" description="330A Id, 40V Vds, 1.2mOhm Rds, N-Channel MOSFET, DirectFET ME"/>
+ <fields>
+ <field name="LCSC">C216776</field>
+ <field name="Mfg">Wuxi NCE</field>
+ <field name="PN">NCEP60T15G</field>
+ </fields>
+ <libsource lib="driver-rescue" part="IRF7480M-Transistor_FET" description=""/>
<sheetpath names="/" tstamps="/"/>
<tstamp>5C3DA5D0</tstamp>
</comp>
<comp ref="Q2">
- <value>TPHR6503PL</value>
+ <value>NCEP60T15G</value>
<footprint>footprints:Toshiba SOP Advance</footprint>
<datasheet>https://www.infineon.com/dgdl/Infineon-IRF7480M-DS-v01_02-EN.pdf?fileId=5546d462533600a4015355ff8fa41c30</datasheet>
- <libsource lib="Transistor_FET" part="IRF7480M" description="330A Id, 40V Vds, 1.2mOhm Rds, N-Channel MOSFET, DirectFET ME"/>
+ <fields>
+ <field name="LCSC">C216776</field>
+ <field name="Mfg">Wuxi NCE</field>
+ <field name="PN">NCEP60T15G</field>
+ </fields>
+ <libsource lib="driver-rescue" part="IRF7480M-Transistor_FET" description=""/>
<sheetpath names="/" tstamps="/"/>
<tstamp>5C3DAAC4</tstamp>
</comp>
<comp ref="Q4">
- <value>TPHR6503PL</value>
+ <value>NCEP60T15G</value>
<footprint>footprints:Toshiba SOP Advance</footprint>
<datasheet>https://www.infineon.com/dgdl/Infineon-IRF7480M-DS-v01_02-EN.pdf?fileId=5546d462533600a4015355ff8fa41c30</datasheet>
- <libsource lib="Transistor_FET" part="IRF7480M" description="330A Id, 40V Vds, 1.2mOhm Rds, N-Channel MOSFET, DirectFET ME"/>
+ <fields>
+ <field name="LCSC">C216776</field>
+ <field name="Mfg">Wuxi NCE</field>
+ <field name="PN">NCEP60T15G</field>
+ </fields>
+ <libsource lib="driver-rescue" part="IRF7480M-Transistor_FET" description=""/>
<sheetpath names="/" tstamps="/"/>
<tstamp>5C3DB5E6</tstamp>
</comp>
- <comp ref="R19">
- <value>0R</value>
+ <comp ref="R8">
+ <value>47k</value>
<footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C3DB9A3</tstamp>
+ <tstamp>5C4AAEC7</tstamp>
</comp>
- <comp ref="R21">
- <value>0R</value>
+ <comp ref="R9">
+ <value>10k</value>
<footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
- <sheetpath names="/" tstamps="/"/>
- <tstamp>5C405205</tstamp>
- </comp>
- <comp ref="U4">
- <value>TC4427</value>
- <footprint>Package_SO:SOIC-8_3.9x4.9mm_P1.27mm</footprint>
- <libsource lib="components" part="TC4427" description=""/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C406E47</tstamp>
+ <tstamp>5C4AAECD</tstamp>
</comp>
- <comp ref="C25">
- <value>0</value>
+ <comp ref="C21">
+ <value>100n</value>
<footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="C_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C40F6B4</tstamp>
+ <tstamp>5C4AAEDB</tstamp>
</comp>
- <comp ref="C27">
- <value>0</value>
+ <comp ref="C11">
+ <value>100n</value>
<footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="C_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C40F928</tstamp>
+ <tstamp>5C5BBF20</tstamp>
</comp>
- <comp ref="R20">
- <value>0R</value>
- <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
+ <comp ref="C12">
+ <value>100n</value>
+ <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="C_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C41E378</tstamp>
+ <tstamp>5C5BD76D</tstamp>
</comp>
- <comp ref="R22">
- <value>0R</value>
- <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
+ <comp ref="C13">
+ <value>100n</value>
+ <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
- <sheetpath names="/" tstamps="/"/>
- <tstamp>5C41E39D</tstamp>
- </comp>
- <comp ref="U5">
- <value>TC4427</value>
- <footprint>Package_SO:SOIC-8_3.9x4.9mm_P1.27mm</footprint>
- <libsource lib="components" part="TC4427" description=""/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="C_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C41E3A6</tstamp>
+ <tstamp>5C5C8D7A</tstamp>
</comp>
- <comp ref="C26">
- <value>0</value>
+ <comp ref="C14">
+ <value>100n</value>
<footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="C_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C41E3B0</tstamp>
+ <tstamp>5C5C9980</tstamp>
</comp>
- <comp ref="C28">
- <value>0</value>
+ <comp ref="C29">
+ <value>100n</value>
<footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="C_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C41E3B6</tstamp>
+ <tstamp>5C5F270F</tstamp>
</comp>
- <comp ref="U3">
- <value>AMS1117-3.3</value>
- <footprint>Package_TO_SOT_SMD:SOT-223-3_TabPin2</footprint>
- <datasheet>http://www.advanced-monolithic.com/pdf/ds1117.pdf</datasheet>
- <libsource lib="Regulator_Linear" part="AMS1117-3.3" description="1A Low Dropout regulator, positive, 3.3V fixed output, SOT-223"/>
+ <comp ref="C23">
+ <value>470n/60V</value>
+ <footprint>Capacitor_SMD:C_1206_3216Metric_Pad1.42x1.75mm_HandSolder</footprint>
+ <datasheet>~</datasheet>
+ <fields>
+ <field name="LCSC">C107182</field>
+ <field name="Mfg">Yageo</field>
+ <field name="PN">CC1206KKX7R0BB474</field>
+ </fields>
+ <libsource lib="driver-rescue" part="C_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C45B743</tstamp>
+ <tstamp>5C61DEFC</tstamp>
</comp>
- <comp ref="C17">
- <value>1u</value>
- <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
+ <comp ref="Y1">
+ <value>8MHz</value>
+ <footprint>Crystal:Crystal_SMD_3225-4Pin_3.2x2.5mm_HandSoldering</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <fields>
+ <field name="LCSC">C400090</field>
+ <field name="Mfg">TAE</field>
+ <field name="PN">TAXM8M4RDBCCT2T</field>
+ </fields>
+ <libsource lib="driver-rescue" part="Crystal_GND24-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C460459</tstamp>
+ <tstamp>5C62F3E9</tstamp>
</comp>
- <comp ref="C16">
- <value>1u</value>
+ <comp ref="C22">
+ <value>12p</value>
<footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <fields>
+ <field name="LCSC">C38523</field>
+ <field name="Mfg">Samsung</field>
+ <field name="PN">CL10C120JB8NNNC</field>
+ </fields>
+ <libsource lib="driver-rescue" part="C_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C46605E</tstamp>
+ <tstamp>5C6343C3</tstamp>
</comp>
- <comp ref="C15">
- <value>100u</value>
- <footprint>Capacitor_THT:CP_Radial_D10.0mm_P5.00mm</footprint>
+ <comp ref="C20">
+ <value>12p</value>
+ <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="CP_Small" description="Polarized capacitor, small symbol"/>
+ <fields>
+ <field name="LCSC">C38523</field>
+ <field name="Mfg">Samsung</field>
+ <field name="PN">CL10C120JB8NNNC</field>
+ </fields>
+ <libsource lib="driver-rescue" part="C_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C46BEDF</tstamp>
+ <tstamp>5C645E08</tstamp>
</comp>
- <comp ref="R7">
- <value>10R .5W</value>
- <footprint>Resistor_SMD:R_1206_3216Metric_Pad1.42x1.75mm_HandSolder</footprint>
+ <comp ref="R10">
+ <value>10k</value>
+ <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C47C915</tstamp>
+ <tstamp>5C6C27C5</tstamp>
</comp>
- <comp ref="C19">
- <value>470u</value>
- <footprint>Capacitor_THT:CP_Radial_D10.0mm_P5.00mm</footprint>
+ <comp ref="J6">
+ <value>1602 I2C LCD</value>
+ <footprint>Connectors_Molex:Molex_KK-6410-04_04x2.54mm_Straight</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="CP_Small" description="Polarized capacitor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="Conn_01x04-Connector_Generic" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C48FE5D</tstamp>
+ <tstamp>5CDBF041</tstamp>
</comp>
- <comp ref="C18">
- <value>10u</value>
- <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
+ <comp ref="R26">
+ <value>1k5</value>
+ <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C49E930</tstamp>
+ <tstamp>5CE0D5AA</tstamp>
</comp>
- <comp ref="U6">
- <value>STM32F103C8Tx</value>
- <footprint>Package_QFP:LQFP-48_7x7mm_P0.5mm</footprint>
- <datasheet>http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/CD00161566.pdf</datasheet>
- <libsource lib="MCU_ST_STM32F1" part="STM32F103C8Tx" description="ARM Cortex-M3 MCU, 64KB flash, 20KB RAM, 72MHz, 2-3.6V, 37 GPIO, LQFP-48"/>
+ <comp ref="R27">
+ <value>1k5</value>
+ <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
+ <datasheet>~</datasheet>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C4C16F6</tstamp>
+ <tstamp>5CE10E6A</tstamp>
</comp>
- <comp ref="U1">
- <value>MT3608</value>
- <footprint>Package_TO_SOT_SMD:SOT-23-6_Handsoldering</footprint>
- <libsource lib="components" part="MT3608" description=""/>
+ <comp ref="D4">
+ <value>cyan</value>
+ <footprint>LED_SMD:LED_PLCC_2835_Handsoldering</footprint>
+ <datasheet>~</datasheet>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="LED_Small_ALT-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C3DB03B</tstamp>
+ <tstamp>5D120495</tstamp>
</comp>
- <comp ref="L1">
- <value>10u</value>
- <footprint>Inductor_SMD:L_Taiyo-Yuden_NR-50xx_HandSoldering</footprint>
- <libsource lib="components" part="L_EU_small" description=""/>
+ <comp ref="D5">
+ <value>cyan</value>
+ <footprint>LED_SMD:LED_PLCC_2835_Handsoldering</footprint>
+ <datasheet>~</datasheet>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="LED_Small_ALT-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C3E1491</tstamp>
+ <tstamp>5D120F6F</tstamp>
</comp>
- <comp ref="D1">
- <value>2A schottky</value>
- <footprint>Diode_SMD:D_SMB_Handsoldering</footprint>
+ <comp ref="D6">
+ <value>pink</value>
+ <footprint>LED_SMD:LED_PLCC_2835_Handsoldering</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="D_Schottky_Small_ALT" description="Schottky diode, small symbol, filled shape"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="LED_Small_ALT-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C3F3E87</tstamp>
+ <tstamp>5D12221B</tstamp>
</comp>
- <comp ref="C1">
- <value>10u</value>
- <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
+ <comp ref="D7">
+ <value>pink</value>
+ <footprint>LED_SMD:LED_PLCC_2835_Handsoldering</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="LED_Small_ALT-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C3F99AD</tstamp>
+ <tstamp>5D12562A</tstamp>
</comp>
- <comp ref="C2">
- <value>470u</value>
- <footprint>Capacitor_THT:CP_Radial_D10.0mm_P5.00mm</footprint>
+ <comp ref="R13">
+ <value>150</value>
+ <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="CP_Small" description="Polarized capacitor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C3FB1E5</tstamp>
+ <tstamp>5D18F534</tstamp>
</comp>
- <comp ref="R2">
- <value>100k</value>
+ <comp ref="R14">
+ <value>150</value>
<footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C40F95F</tstamp>
+ <tstamp>5D18F810</tstamp>
</comp>
- <comp ref="R4">
- <value>3k3</value>
+ <comp ref="R15">
+ <value>150</value>
<footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C417AAA</tstamp>
+ <tstamp>5D190038</tstamp>
</comp>
- <comp ref="R3">
- <value>47k</value>
+ <comp ref="R16">
+ <value>150</value>
<footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C45B966</tstamp>
+ <tstamp>5D1904ED</tstamp>
</comp>
- <comp ref="R5">
- <value>10k</value>
- <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
+ <comp ref="U8">
+ <value>MCP9804_MSOP</value>
+ <footprint>Package_SO:MSOP-8_3x3mm_P0.65mm</footprint>
+ <datasheet>http://ww1.microchip.com/downloads/en/DeviceDoc/22203b.pdf</datasheet>
+ <fields>
+ <field name="LCSC">C94847</field>
+ <field name="Mfg">Microchip</field>
+ <field name="PN">MCP9808-E/MS</field>
+ </fields>
+ <libsource lib="driver-rescue" part="MCP9804_MSOP-Sensor_Temperature" description=""/>
+ <sheetpath names="/" tstamps="/"/>
+ <tstamp>5D7751AD</tstamp>
+ </comp>
+ <comp ref="J7">
+ <value>IN_VCC</value>
+ <footprint>TerminalBlock_Phoenix:TerminalBlock_Phoenix_MKDS-1,5-3_1x03_P5.00mm_Horizontal</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="LCSC">C424697</field>
+ <field name="Mfg">DIBO</field>
+ <field name="PN">DB128V-5.0-3P-OG</field>
+ </fields>
+ <libsource lib="driver-rescue" part="Screw_Terminal_01x03-Connector" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C45D762</tstamp>
+ <tstamp>5C557B2A</tstamp>
</comp>
- <comp ref="C5">
- <value>100n</value>
- <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
+ <comp ref="J8">
+ <value>IN_GND</value>
+ <footprint>TerminalBlock_Phoenix:TerminalBlock_Phoenix_MKDS-1,5-3_1x03_P5.00mm_Horizontal</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <fields>
+ <field name="LCSC">C424695</field>
+ <field name="Mfg">DIBO</field>
+ <field name="PN">DB128V-5.0-3P-BK </field>
+ </fields>
+ <libsource lib="driver-rescue" part="Screw_Terminal_01x03-Connector" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C48B1CF</tstamp>
+ <tstamp>5C558772</tstamp>
</comp>
- <comp ref="R8">
- <value>47k</value>
- <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
+ <comp ref="J2">
+ <value>OUT_B</value>
+ <footprint>TerminalBlock_Phoenix:TerminalBlock_Phoenix_MKDS-1,5-3_1x03_P5.00mm_Horizontal</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="LCSC">C424696</field>
+ <field name="Mfg">DIBO</field>
+ <field name="PN">DB128V-5.0-3P-GY</field>
+ </fields>
+ <libsource lib="driver-rescue" part="Screw_Terminal_01x03-Connector" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C4AAEC7</tstamp>
+ <tstamp>5C5D9205</tstamp>
</comp>
- <comp ref="R9">
- <value>10k</value>
- <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
+ <comp ref="J1">
+ <value>OUT_A</value>
+ <footprint>TerminalBlock_Phoenix:TerminalBlock_Phoenix_MKDS-1,5-3_1x03_P5.00mm_Horizontal</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="LCSC">C424696</field>
+ <field name="Mfg">DIBO</field>
+ <field name="PN">DB128V-5.0-3P-GY</field>
+ </fields>
+ <libsource lib="driver-rescue" part="Screw_Terminal_01x03-Connector" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C4AAECD</tstamp>
+ <tstamp>5C5DA183</tstamp>
</comp>
- <comp ref="C21">
- <value>100n</value>
- <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
+ <comp ref="H1">
+ <value>MountingHole</value>
+ <footprint>MountingHole:MountingHole_3.2mm_M3</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <fields>
+ <field name="BOM ignore">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="MountingHole-Mechanical" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C4AAEDB</tstamp>
+ <tstamp>5C68E1B2</tstamp>
</comp>
- <comp ref="C3">
- <value>470u</value>
- <footprint>Capacitor_THT:CP_Radial_D10.0mm_P5.00mm</footprint>
+ <comp ref="H2">
+ <value>MountingHole</value>
+ <footprint>MountingHole:MountingHole_3.2mm_M3</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="CP_Small" description="Polarized capacitor, small symbol"/>
+ <fields>
+ <field name="BOM ignore">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="MountingHole-Mechanical" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C4E826F</tstamp>
+ <tstamp>5C68F0B2</tstamp>
</comp>
- <comp ref="C4">
- <value>10u</value>
- <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
+ <comp ref="H3">
+ <value>MountingHole</value>
+ <footprint>MountingHole:MountingHole_3.2mm_M3</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <fields>
+ <field name="BOM ignore">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="MountingHole-Mechanical" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C4E8B95</tstamp>
+ <tstamp>5C6913F3</tstamp>
</comp>
- <comp ref="R1">
- <value>10R .5W</value>
- <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
+ <comp ref="H4">
+ <value>MountingHole</value>
+ <footprint>MountingHole:MountingHole_3.2mm_M3</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="BOM ignore">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="MountingHole-Mechanical" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C503EA9</tstamp>
+ <tstamp>5C6916D1</tstamp>
</comp>
- <comp ref="C11">
- <value>100n</value>
- <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
+ <comp ref="J9">
+ <value>SWD</value>
+ <footprint>Pin_Headers:Pin_Header_Straight_1x04_Pitch2.54mm</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="Conn_01x04-Connector_Generic" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C5BBF20</tstamp>
+ <tstamp>5CA7AF20</tstamp>
</comp>
- <comp ref="C12">
- <value>100n</value>
- <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
+ <comp ref="J10">
+ <value>FAN</value>
+ <footprint>Connectors_Molex:Molex_KK-6410-02_02x2.54mm_Straight</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="Conn_01x02-Connector_Generic" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C5BD76D</tstamp>
+ <tstamp>5C533914</tstamp>
</comp>
- <comp ref="C13">
- <value>100n</value>
- <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
+ <comp ref="U13">
+ <value>MAX485E</value>
+ <footprint>Package_SO:SOIC-8_3.9x4.9mm_P1.27mm</footprint>
+ <datasheet>https://datasheets.maximintegrated.com/en/ds/MAX1487E-MAX491E.pdf</datasheet>
+ <fields>
+ <field name="LCSC">C269866</field>
+ <field name="Mfg">Gatemode</field>
+ <field name="PN">YD3082E</field>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="MAX485E-Interface_UART" description=""/>
+ <sheetpath names="/" tstamps="/"/>
+ <tstamp>5C607F28</tstamp>
+ </comp>
+ <comp ref="J5">
+ <value>RJ45_LED_Shielded</value>
+ <footprint>Connector_RJ:RJ45_Amphenol_RJHSE538X</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <fields>
+ <field name="LCSC">C133529</field>
+ <field name="Mfg">Ckmtw</field>
+ <field name="PN">C133529</field>
+ </fields>
+ <libsource lib="driver-rescue" part="RJ45_LED_Shielded-Connector" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C5C8D7A</tstamp>
+ <tstamp>5C63EC4A</tstamp>
</comp>
- <comp ref="C14">
- <value>100n</value>
- <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
+ <comp ref="R23">
+ <value>10k</value>
+ <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C5C9980</tstamp>
+ <tstamp>5CC2B8EF</tstamp>
</comp>
- <comp ref="C29">
- <value>100n</value>
- <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
+ <comp ref="U6">
+ <value>STM32F030F4Px</value>
+ <footprint>Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm</footprint>
+ <datasheet>http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/DM00088500.pdf</datasheet>
+ <fields>
+ <field name="LCSC">C89040</field>
+ <field name="Mfg">ST</field>
+ <field name="PN">STM32F030F4P6TR</field>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="STM32F030F4Px-MCU_ST_STM32F0" description=""/>
+ <sheetpath names="/" tstamps="/"/>
+ <tstamp>5D52BF88</tstamp>
+ </comp>
+ <comp ref="U2">
+ <value>74HC595</value>
+ <footprint>Package_SO:SOIC-16_3.9x9.9mm_P1.27mm</footprint>
+ <datasheet>http://www.ti.com/lit/ds/symlink/sn74hc595.pdf</datasheet>
+ <fields>
+ <field name="LCSC">C7344</field>
+ <field name="Mfg">ON Semi</field>
+ <field name="PN">MC74HC595ADR2G</field>
+ <field name="Stock">?</field>
+ </fields>
+ <libsource lib="driver-rescue" part="74HC595-74xx" description=""/>
+ <sheetpath names="/" tstamps="/"/>
+ <tstamp>5D86E00F</tstamp>
+ </comp>
+ <comp ref="R6">
+ <value>150</value>
+ <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C5F270F</tstamp>
+ <tstamp>5DBC4555</tstamp>
</comp>
- <comp ref="C24">
- <value>100n</value>
- <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
+ <comp ref="R12">
+ <value>150</value>
+ <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C60D685</tstamp>
+ <tstamp>5DBC4A74</tstamp>
</comp>
- <comp ref="C23">
- <value>100n</value>
- <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
+ <comp ref="J4">
+ <value>RJ45_LED_Shielded</value>
+ <footprint>Connector_RJ:RJ45_Amphenol_RJHSE538X</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <fields>
+ <field name="LCSC">C133529</field>
+ <field name="Mfg">Ckmtw</field>
+ <field name="PN">C133529</field>
+ </fields>
+ <libsource lib="driver-rescue" part="RJ45_LED_Shielded-Connector" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C61DEFC</tstamp>
+ <tstamp>5DC186F5</tstamp>
</comp>
- <comp ref="Y1">
- <value>8MHz</value>
- <footprint>Crystal:Crystal_SMD_3225-4Pin_3.2x2.5mm_HandSoldering</footprint>
+ <comp ref="R17">
+ <value>150</value>
+ <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="Crystal_GND24" description="Four pin crystal, GND on pins 2 and 4"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C62F3E9</tstamp>
+ <tstamp>5E16DB9D</tstamp>
</comp>
- <comp ref="C22">
- <value>12p</value>
- <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
+ <comp ref="R11">
+ <value>150</value>
+ <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C6343C3</tstamp>
+ <tstamp>5E16F5AD</tstamp>
</comp>
- <comp ref="C20">
- <value>12p</value>
- <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
+ <comp ref="H5">
+ <value>MountingHole</value>
+ <footprint>MountingHole:MountingHole_3.2mm_M3</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <fields>
+ <field name="BOM ignore">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="MountingHole-Mechanical" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C645E08</tstamp>
+ <tstamp>5C580F0A</tstamp>
</comp>
- <comp ref="R10">
- <value>10k</value>
- <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
+ <comp ref="H6">
+ <value>MountingHole</value>
+ <footprint>MountingHole:MountingHole_3.2mm_M3</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="BOM ignore">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="MountingHole-Mechanical" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C6C27C5</tstamp>
+ <tstamp>5C580F10</tstamp>
</comp>
- <comp ref="J4">
- <value>USB_B_Micro</value>
- <footprint>footprints:microusb_ali_dovetail</footprint>
+ <comp ref="H7">
+ <value>MountingHole</value>
+ <footprint>MountingHole:MountingHole_3.2mm_M3</footprint>
<datasheet>~</datasheet>
- <libsource lib="Connector" part="USB_B_Micro" description="USB Micro Type B connector"/>
+ <fields>
+ <field name="BOM ignore">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="MountingHole-Mechanical" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C6FBF66</tstamp>
+ <tstamp>5C580F16</tstamp>
</comp>
- <comp ref="R25">
- <value>22</value>
- <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
+ <comp ref="H8">
+ <value>MountingHole</value>
+ <footprint>MountingHole:MountingHole_3.2mm_M3</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="BOM ignore">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="MountingHole-Mechanical" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C7B7EC7</tstamp>
+ <tstamp>5C580F1C</tstamp>
</comp>
<comp ref="R24">
- <value>22</value>
+ <value>1k</value>
<footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C7BFCCC</tstamp>
+ <tstamp>5D0524F4</tstamp>
</comp>
- <comp ref="R23">
- <value>1k5</value>
+ <comp ref="R39">
+ <value>10k</value>
<footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C7F9F7E</tstamp>
+ <tstamp>5D13A776</tstamp>
</comp>
- <comp ref="J3">
- <value>UART_DEBUG</value>
- <footprint>Pin_Headers:Pin_Header_Straight_1x04_Pitch2.54mm</footprint>
+ <comp ref="TP6">
+ <value>+3V3</value>
+ <footprint>TestPoint:TestPoint_Pad_D1.5mm</footprint>
<datasheet>~</datasheet>
- <libsource lib="Connector_Generic" part="Conn_01x04" description="Generic connector, single row, 01x04, script generated (kicad-library-utils/schlib/autogen/connector/)"/>
+ <fields>
+ <field name="BOM ignore">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="TestPoint_Alt-Connector" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C86BAB6</tstamp>
+ <tstamp>5D1812FD</tstamp>
</comp>
- <comp ref="U10">
- <value>PC817</value>
- <footprint>Package_DIP:DIP-4_W7.62mm</footprint>
- <datasheet>http://www.soselectronic.cz/a_info/resource/d/pc817.pdf</datasheet>
- <libsource lib="Isolator" part="PC817" description="DC Optocoupler, Vce 35V, CTR 50-300%, DIP4"/>
+ <comp ref="TP4">
+ <value>Vin</value>
+ <footprint>TestPoint:TestPoint_Pad_D1.5mm</footprint>
+ <datasheet>~</datasheet>
+ <fields>
+ <field name="BOM ignore">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="TestPoint_Alt-Connector" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C90990C</tstamp>
+ <tstamp>5D181B83</tstamp>
</comp>
- <comp ref="R39">
- <value>680</value>
- <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
+ <comp ref="TP8">
+ <value>CTRL_A</value>
+ <footprint>TestPoint:TestPoint_Pad_D1.5mm</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="BOM ignore">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="TestPoint_Alt-Connector" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C90D04A</tstamp>
+ <tstamp>5D199BAC</tstamp>
</comp>
- <comp ref="U9">
- <value>PC817</value>
- <footprint>Package_DIP:DIP-4_W7.62mm</footprint>
- <datasheet>http://www.soselectronic.cz/a_info/resource/d/pc817.pdf</datasheet>
- <libsource lib="Isolator" part="PC817" description="DC Optocoupler, Vce 35V, CTR 50-300%, DIP4"/>
+ <comp ref="TP10">
+ <value>SCL</value>
+ <footprint>TestPoint:TestPoint_Pad_D1.5mm</footprint>
+ <datasheet>~</datasheet>
+ <fields>
+ <field name="BOM ignore">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="TestPoint_Alt-Connector" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C912594</tstamp>
+ <tstamp>5D19D2DC</tstamp>
</comp>
- <comp ref="R36">
- <value>10k</value>
- <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
+ <comp ref="TP11">
+ <value>SDA</value>
+ <footprint>TestPoint:TestPoint_Pad_D1.5mm</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="BOM ignore">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="TestPoint_Alt-Connector" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C991BA6</tstamp>
+ <tstamp>5D19DB61</tstamp>
</comp>
- <comp ref="R33">
- <value>390</value>
- <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
+ <comp ref="TP12">
+ <value>⏚</value>
+ <footprint>TestPoint:TestPoint_Pad_D1.5mm</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="BOM ignore">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="TestPoint_Alt-Connector" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C9F015A</tstamp>
+ <tstamp>5D19E9F0</tstamp>
</comp>
- <comp ref="R35">
- <value>390</value>
- <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
+ <comp ref="TP3">
+ <value>⏚</value>
+ <footprint>TestPoint:TestPoint_Pad_D1.5mm</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="BOM ignore">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="TestPoint_Alt-Connector" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5CA7557C</tstamp>
+ <tstamp>5D1C82A7</tstamp>
</comp>
- <comp ref="R34">
- <value>720</value>
- <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
+ <comp ref="TP9">
+ <value>⏚</value>
+ <footprint>TestPoint:TestPoint_Pad_D1.5mm</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="BOM ignore">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="TestPoint_Alt-Connector" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5CA78FA9</tstamp>
+ <tstamp>5D1ED8BA</tstamp>
</comp>
- <comp ref="J5">
- <value>CH340E module</value>
- <footprint>Pin_Headers:Pin_Header_Straight_1x06_Pitch2.54mm</footprint>
- <datasheet>~</datasheet>
- <libsource lib="Connector_Generic" part="Conn_01x06" description="Generic connector, single row, 01x06, script generated (kicad-library-utils/schlib/autogen/connector/)"/>
+ <comp ref="U9">
+ <value>NCS325</value>
+ <footprint>Package_TO_SOT_SMD:SOT-23-5</footprint>
+ <datasheet>http://www.onsemi.com/pub/Collateral/NCS325-D.PDF</datasheet>
+ <fields>
+ <field name="DNP">?</field>
+ <field name="LCSC">C73479</field>
+ <field name="Mfg">TI</field>
+ <field name="PN">OPA340NA/3K </field>
+ </fields>
+ <libsource lib="driver-rescue" part="NCS325-Amplifier_Operational" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5CAC750B</tstamp>
+ <tstamp>5D38FF36</tstamp>
</comp>
- <comp ref="J6">
- <value>1602 I2C LCD</value>
- <footprint>Connectors_Molex:Molex_KK-6410-04_04x2.54mm_Straight</footprint>
+ <comp ref="R36">
+ <value>10k</value>
+ <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Connector_Generic" part="Conn_01x04" description="Generic connector, single row, 01x04, script generated (kicad-library-utils/schlib/autogen/connector/)"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5CDBF041</tstamp>
+ <tstamp>5D45B7FF</tstamp>
</comp>
- <comp ref="R26">
- <value>1k5</value>
+ <comp ref="R33">
+ <value>150k</value>
<footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5CE0D5AA</tstamp>
+ <tstamp>5D4C9192</tstamp>
</comp>
- <comp ref="R27">
- <value>1k5</value>
+ <comp ref="R34">
+ <value>100</value>
<footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5CE10E6A</tstamp>
+ <tstamp>5D4CA283</tstamp>
</comp>
- <comp ref="R38">
- <value>1k5</value>
+ <comp ref="R25">
+ <value>10k</value>
<footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5CE11315</tstamp>
+ <tstamp>5D81B8C1</tstamp>
</comp>
- <comp ref="R37">
- <value>1k5</value>
+ <comp ref="R35">
+ <value>3k6</value>
<footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5CE12890</tstamp>
+ <tstamp>5D8E3C01</tstamp>
</comp>
- <comp ref="U2">
- <value>AMS1117-5.0</value>
- <footprint>Package_TO_SOT_SMD:SOT-223-3_TabPin2</footprint>
- <datasheet>http://www.advanced-monolithic.com/pdf/ds1117.pdf</datasheet>
- <libsource lib="Regulator_Linear" part="AMS1117-3.3" description="1A Low Dropout regulator, positive, 3.3V fixed output, SOT-223"/>
+ <comp ref="Q5">
+ <value>AO3400</value>
+ <footprint>Package_TO_SOT_SMD:SOT-23</footprint>
+ <datasheet>https://www.fairchildsemi.com/datasheets/BS/BSS138.pdf</datasheet>
+ <fields>
+ <field name="LCSC">C181090</field>
+ <field name="Mfg">Hottech</field>
+ <field name="PN">AO3400</field>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="BSS138-Transistor_FET" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5D0CB15D</tstamp>
+ <tstamp>5DC08AF7</tstamp>
</comp>
- <comp ref="C10">
- <value>22u</value>
- <footprint>Capacitor_THT:CP_Radial_D5.0mm_P2.00mm</footprint>
+ <comp ref="C8">
+ <value>100n</value>
+ <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
+ <datasheet>~</datasheet>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="C_Small-Device" description=""/>
+ <sheetpath names="/" tstamps="/"/>
+ <tstamp>5CC58C3C</tstamp>
+ </comp>
+ <comp ref="C6">
+ <value>100n</value>
+ <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="CP_Small" description="Polarized capacitor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="C_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5D0CB198</tstamp>
+ <tstamp>5CFC5CF2</tstamp>
</comp>
<comp ref="C9">
- <value>10u</value>
+ <value>100n</value>
<footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="C_Small" description="Unpolarized capacitor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="C_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5D0CB1A5</tstamp>
+ <tstamp>5CC5518C</tstamp>
</comp>
- <comp ref="D2">
- <value>cyan</value>
- <footprint>LED_SMD:LED_PLCC_2835_Handsoldering</footprint>
+ <comp ref="TP15">
+ <value>MOSI</value>
+ <footprint>TestPoint:TestPoint_Pad_D1.5mm</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="LED_Small_ALT" description="Light emitting diode, small symbol, filled shape"/>
+ <fields>
+ <field name="BOM ignore">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="TestPoint_Alt-Connector" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5D1171A8</tstamp>
+ <tstamp>5CD2DBD6</tstamp>
</comp>
- <comp ref="D3">
- <value>cyan</value>
- <footprint>LED_SMD:LED_PLCC_2835_Handsoldering</footprint>
+ <comp ref="TP14">
+ <value>SCK</value>
+ <footprint>TestPoint:TestPoint_Pad_D1.5mm</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="LED_Small_ALT" description="Light emitting diode, small symbol, filled shape"/>
+ <fields>
+ <field name="BOM ignore">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="TestPoint_Alt-Connector" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5D1201FD</tstamp>
+ <tstamp>5CD38882</tstamp>
</comp>
- <comp ref="D4">
- <value>cyan</value>
- <footprint>LED_SMD:LED_PLCC_2835_Handsoldering</footprint>
+ <comp ref="TP13">
+ <value>LATCH</value>
+ <footprint>TestPoint:TestPoint_Pad_D1.5mm</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="LED_Small_ALT" description="Light emitting diode, small symbol, filled shape"/>
+ <fields>
+ <field name="BOM ignore">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="TestPoint_Alt-Connector" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5D120495</tstamp>
+ <tstamp>5CD3B4C9</tstamp>
</comp>
- <comp ref="D5">
- <value>cyan</value>
- <footprint>LED_SMD:LED_PLCC_2835_Handsoldering</footprint>
+ <comp ref="R2">
+ <value>0R</value>
+ <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="LED_Small_ALT" description="Light emitting diode, small symbol, filled shape"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5D120F6F</tstamp>
+ <tstamp>5E78DC98</tstamp>
</comp>
- <comp ref="D6">
- <value>pink</value>
- <footprint>LED_SMD:LED_PLCC_2835_Handsoldering</footprint>
+ <comp ref="D1">
+ <value>SS510</value>
+ <footprint>Diode_SMD:D_SMA</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="LED_Small_ALT" description="Light emitting diode, small symbol, filled shape"/>
+ <fields>
+ <field name="LCSC">C65010</field>
+ <field name="Mfg">MDD</field>
+ <field name="PN">SS510</field>
+ <field name="Stock">?</field>
+ </fields>
+ <libsource lib="Device" part="D_Small_ALT" description="Diode, small symbol, filled shape"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5D12221B</tstamp>
+ <tstamp>5E7E19E7</tstamp>
</comp>
- <comp ref="D7">
- <value>pink</value>
- <footprint>LED_SMD:LED_PLCC_2835_Handsoldering</footprint>
+ <comp ref="R3">
+ <value>0R</value>
+ <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="LED_Small_ALT" description="Light emitting diode, small symbol, filled shape"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5D12562A</tstamp>
+ <tstamp>5E7E35E4</tstamp>
</comp>
- <comp ref="D8">
- <value>pink</value>
- <footprint>LED_SMD:LED_PLCC_2835_Handsoldering</footprint>
+ <comp ref="U1">
+ <value>IRS21867S</value>
+ <footprint>Package_SO:SOIC-8_3.9x4.9mm_P1.27mm</footprint>
+ <datasheet>http://www.infineon.com/dgdl/irs21867spbf.pdf?fileId=5546d462533600a4015356770e8327eb</datasheet>
+ <fields>
+ <field name="LCSC">C52290</field>
+ <field name="Mfg">Infineon</field>
+ <field name="PN">IRS21867STRPBF</field>
+ </fields>
+ <libsource lib="Driver_FET" part="IRS21867S" description="High and Low Side Driver, 600V, 4.0/4.0A, SOIC-8"/>
+ <sheetpath names="/" tstamps="/"/>
+ <tstamp>5E88678E</tstamp>
+ </comp>
+ <comp ref="C24">
+ <value>100n</value>
+ <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="LED_Small_ALT" description="Light emitting diode, small symbol, filled shape"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="C_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5D1365C9</tstamp>
+ <tstamp>5C60D685</tstamp>
</comp>
- <comp ref="D9">
- <value>pink</value>
- <footprint>LED_SMD:LED_PLCC_2835_Handsoldering</footprint>
+ <comp ref="U4">
+ <value>IRS21867S</value>
+ <footprint>Package_SO:SOIC-8_3.9x4.9mm_P1.27mm</footprint>
+ <datasheet>http://www.infineon.com/dgdl/irs21867spbf.pdf?fileId=5546d462533600a4015356770e8327eb</datasheet>
+ <fields>
+ <field name="LCSC">C52290</field>
+ <field name="Mfg">Infineon</field>
+ <field name="PN">IRS21867STRPBF</field>
+ </fields>
+ <libsource lib="Driver_FET" part="IRS21867S" description="High and Low Side Driver, 600V, 4.0/4.0A, SOIC-8"/>
+ <sheetpath names="/" tstamps="/"/>
+ <tstamp>5E9861E0</tstamp>
+ </comp>
+ <comp ref="D3">
+ <value>SS510</value>
+ <footprint>Diode_SMD:D_SMA</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="LED_Small_ALT" description="Light emitting diode, small symbol, filled shape"/>
+ <fields>
+ <field name="LCSC">C65010</field>
+ <field name="Mfg">MDD</field>
+ <field name="PN">SS510</field>
+ <field name="Stock">?</field>
+ </fields>
+ <libsource lib="Device" part="D_Small_ALT" description="Diode, small symbol, filled shape"/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5D138D62</tstamp>
+ <tstamp>5EAB007A</tstamp>
</comp>
- <comp ref="R11">
- <value>150</value>
+ <comp ref="R19">
+ <value>0R</value>
<footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5D184BFA</tstamp>
+ <tstamp>5EAB0084</tstamp>
</comp>
- <comp ref="R12">
- <value>150</value>
- <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
+ <comp ref="C10">
+ <value>470n/60V</value>
+ <footprint>Capacitor_SMD:C_1206_3216Metric_Pad1.42x1.75mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="LCSC">C107182</field>
+ <field name="Mfg">Yageo</field>
+ <field name="PN">CC1206KKX7R0BB474</field>
+ </fields>
+ <libsource lib="driver-rescue" part="C_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5D18C65D</tstamp>
+ <tstamp>5EB07457</tstamp>
</comp>
- <comp ref="R13">
- <value>150</value>
- <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
+ <comp ref="C2">
+ <value>100n</value>
+ <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="C_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5D18F534</tstamp>
+ <tstamp>5EC07EAB</tstamp>
</comp>
- <comp ref="R14">
- <value>150</value>
- <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
+ <comp ref="U3">
+ <value>TX4138</value>
+ <footprint>Package_SO:SOIC-8-1EP_3.9x4.9mm_P1.27mm_EP2.29x3mm</footprint>
+ <fields>
+ <field name="LCSC">C329267</field>
+ <field name="Mfg">XDS</field>
+ <field name="PN">TX4138</field>
+ </fields>
+ <libsource lib="tx4138" part="TX4138" description=""/>
+ <sheetpath names="/" tstamps="/"/>
+ <tstamp>5EDD5D38</tstamp>
+ </comp>
+ <comp ref="R1">
+ <value>30mR 1/4W</value>
+ <footprint>Resistor_SMD:R_1210_3225Metric</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="LCSC">C159305</field>
+ <field name="Mfg">Ralec</field>
+ <field name="PN">RTT12R033FTP</field>
+ </fields>
+ <libsource lib="center-rescue" part="R-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5D18F810</tstamp>
+ <tstamp>5EDD5D41</tstamp>
</comp>
- <comp ref="R15">
- <value>150</value>
- <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
+ <comp ref="C7">
+ <value>2200uF/25V/2A</value>
+ <footprint>Capacitor_THT:CP_Radial_D13.0mm_P5.00mm</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="LCSC">C59339</field>
+ <field name="Mfg">CapXon</field>
+ <field name="PN">63YXF220MFFCT810X20</field>
+ </fields>
+ <libsource lib="center-rescue" part="CP_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5D190038</tstamp>
+ <tstamp>5EDD5D4E</tstamp>
</comp>
- <comp ref="R16">
- <value>150</value>
+ <comp ref="L1">
+ <value>47uH/2A</value>
+ <footprint>Inductor_SMD:L_Taiyo-Yuden_NR-40xx_HandSoldering</footprint>
+ <datasheet>~</datasheet>
+ <fields>
+ <field name="LCSC">C467067</field>
+ <field name="Mfg">SXN</field>
+ <field name="PN">SMNR4020-470MT</field>
+ </fields>
+ <libsource lib="center-rescue" part="L-Device" description=""/>
+ <sheetpath names="/" tstamps="/"/>
+ <tstamp>5EDD5D5E</tstamp>
+ </comp>
+ <comp ref="R5">
+ <value>1k</value>
<footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="DNP">DNP</field>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="center-rescue" part="R-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5D1904ED</tstamp>
+ <tstamp>5EDD5D6F</tstamp>
</comp>
- <comp ref="R17">
- <value>150</value>
+ <comp ref="R7">
+ <value>?</value>
<footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="center-rescue" part="R-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5D190F6F</tstamp>
+ <tstamp>5EDD5D81</tstamp>
</comp>
<comp ref="R18">
- <value>150</value>
+ <value>?</value>
<footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Device" part="R_Small" description="Resistor, small symbol"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="center-rescue" part="R-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5D191497</tstamp>
+ <tstamp>5EDD5D87</tstamp>
</comp>
- <comp ref="SW1">
- <value>SW_Push</value>
- <footprint>Button_Switch_THT:SW_PUSH_6mm</footprint>
- <libsource lib="Switch" part="SW_Push" description="Push button switch, generic, two pins"/>
+ <comp ref="C5">
+ <value>12p</value>
+ <footprint>Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
+ <datasheet>~</datasheet>
+ <fields>
+ <field name="LCSC">C38523</field>
+ <field name="Mfg">Samsung</field>
+ <field name="PN">CL10C120JB8NNNC</field>
+ </fields>
+ <libsource lib="center-rescue" part="C_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5D5D8224</tstamp>
+ <tstamp>5EDD5D97</tstamp>
</comp>
- <comp ref="SW2">
- <value>SW_Push</value>
- <footprint>Button_Switch_THT:SW_PUSH_6mm</footprint>
- <libsource lib="Switch" part="SW_Push" description="Push button switch, generic, two pins"/>
+ <comp ref="C1">
+ <value>220u/63V</value>
+ <footprint>Capacitor_THT:CP_Radial_D10.0mm_P5.00mm</footprint>
+ <datasheet>~</datasheet>
+ <fields>
+ <field name="LCSC">C324050</field>
+ <field name="Mfg">Rubycon</field>
+ <field name="PN">63YXF220MFFCT810X20</field>
+ </fields>
+ <libsource lib="center-rescue" part="CP_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5D6024EE</tstamp>
+ <tstamp>5EDD5DA6</tstamp>
</comp>
- <comp ref="U8">
- <value>MCP9804_MSOP</value>
- <footprint>Package_SO:SOIC-8_3.9x4.9mm_P1.27mm</footprint>
- <datasheet>http://ww1.microchip.com/downloads/en/DeviceDoc/22203b.pdf</datasheet>
- <libsource lib="Sensor_Temperature" part="MCP9804_MSOP" description="Microchip Technology Digital Temperature Sensor MSOP"/>
+ <comp ref="C3">
+ <value>100n/60V</value>
+ <footprint>Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
+ <datasheet>~</datasheet>
+ <fields>
+ <field name="LCSC">C408909</field>
+ <field name="Mfg">Yageo</field>
+ <field name="PN">AC0603KRX7R0BB104</field>
+ </fields>
+ <libsource lib="center-rescue" part="C_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5D7751AD</tstamp>
+ <tstamp>5EDD5DB0</tstamp>
</comp>
- <comp ref="J7">
- <value>IN_VCC</value>
- <footprint>TerminalBlock_Phoenix:TerminalBlock_Phoenix_MKDS-1,5-3-5.08_1x03_P5.08mm_Horizontal</footprint>
+ <comp ref="C4">
+ <value>100n/60V</value>
+ <footprint>Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Connector" part="Screw_Terminal_01x03" description="Generic screw terminal, single row, 01x03, script generated (kicad-library-utils/schlib/autogen/connector/)"/>
+ <fields>
+ <field name="LCSC">C408909</field>
+ <field name="Mfg">Yageo</field>
+ <field name="PN">AC0603KRX7R0BB104</field>
+ </fields>
+ <libsource lib="center-rescue" part="C_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C557B2A</tstamp>
+ <tstamp>5EDD5DB6</tstamp>
</comp>
- <comp ref="J8">
- <value>IN_GND</value>
- <footprint>TerminalBlock_Phoenix:TerminalBlock_Phoenix_MKDS-1,5-3-5.08_1x03_P5.08mm_Horizontal</footprint>
+ <comp ref="C19">
+ <value>100n</value>
+ <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
<datasheet>~</datasheet>
- <libsource lib="Connector" part="Screw_Terminal_01x03" description="Generic screw terminal, single row, 01x03, script generated (kicad-library-utils/schlib/autogen/connector/)"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="center-rescue" part="C_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C558772</tstamp>
+ <tstamp>5EDD5DE3</tstamp>
</comp>
- <comp ref="J2">
- <value>OUT_B</value>
- <footprint>TerminalBlock_Phoenix:TerminalBlock_Phoenix_MKDS-1,5-3-5.08_1x03_P5.08mm_Horizontal</footprint>
+ <comp ref="C18">
+ <value>10u/6V</value>
+ <footprint>Capacitor_SMD:C_1206_3216Metric_Pad1.42x1.75mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Connector" part="Screw_Terminal_01x03" description="Generic screw terminal, single row, 01x03, script generated (kicad-library-utils/schlib/autogen/connector/)"/>
+ <fields>
+ <field name="LCSC">C92831</field>
+ <field name="Mfg">Taiyo Yuden</field>
+ <field name="PN">TMK316B7106KL-TD</field>
+ </fields>
+ <libsource lib="center-rescue" part="C_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C5D9205</tstamp>
+ <tstamp>5EDD5DE9</tstamp>
</comp>
- <comp ref="J1">
- <value>OUT_A</value>
- <footprint>TerminalBlock_Phoenix:TerminalBlock_Phoenix_MKDS-1,5-3-5.08_1x03_P5.08mm_Horizontal</footprint>
+ <comp ref="C16">
+ <value>100n</value>
+ <footprint>Capacitors_SMD:C_0603_HandSoldering</footprint>
<datasheet>~</datasheet>
- <libsource lib="Connector" part="Screw_Terminal_01x03" description="Generic screw terminal, single row, 01x03, script generated (kicad-library-utils/schlib/autogen/connector/)"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="center-rescue" part="C_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C5DA183</tstamp>
+ <tstamp>5EDD5DEF</tstamp>
</comp>
- <comp ref="H1">
- <value>MountingHole</value>
- <footprint>MountingHole:MountingHole_3.2mm_M3</footprint>
+ <comp ref="C15">
+ <value>10u/16V</value>
+ <footprint>Capacitor_SMD:C_1206_3216Metric_Pad1.42x1.75mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Mechanical" part="MountingHole" description="Mounting Hole without connection"/>
+ <fields>
+ <field name="LCSC">C92831</field>
+ <field name="Mfg">Taiyo Yuden</field>
+ <field name="PN">TMK316B7106KL-TD</field>
+ </fields>
+ <libsource lib="center-rescue" part="C_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C68E1B2</tstamp>
+ <tstamp>5EDD5DF5</tstamp>
</comp>
- <comp ref="H2">
- <value>MountingHole</value>
- <footprint>MountingHole:MountingHole_3.2mm_M3</footprint>
+ <comp ref="C17">
+ <value>47u</value>
+ <footprint>Capacitor_THT:CP_Radial_D5.0mm_P2.00mm</footprint>
<datasheet>~</datasheet>
- <libsource lib="Mechanical" part="MountingHole" description="Mounting Hole without connection"/>
+ <fields>
+ <field name="LCSC">C216203</field>
+ <field name="Mfg">Rubycon</field>
+ <field name="PN">25YXF47MFFC5X11</field>
+ </fields>
+ <libsource lib="center-rescue" part="CP_Small-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C68F0B2</tstamp>
+ <tstamp>5EDD5E07</tstamp>
</comp>
- <comp ref="H3">
- <value>MountingHole</value>
- <footprint>MountingHole:MountingHole_3.2mm_M3</footprint>
+ <comp ref="D2">
+ <value>SS510</value>
+ <footprint>Diode_SMD:D_SMA</footprint>
<datasheet>~</datasheet>
- <libsource lib="Mechanical" part="MountingHole" description="Mounting Hole without connection"/>
+ <fields>
+ <field name="LCSC">C65010</field>
+ <field name="Mfg">MDD</field>
+ <field name="PN">SS510</field>
+ <field name="Stock">?</field>
+ </fields>
+ <libsource lib="center-rescue" part="D_Schottky_ALT-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C6913F3</tstamp>
+ <tstamp>5EDD5E14</tstamp>
</comp>
- <comp ref="H4">
- <value>MountingHole</value>
- <footprint>MountingHole:MountingHole_3.2mm_M3</footprint>
+ <comp ref="R4">
+ <value>5R1</value>
+ <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
<datasheet>~</datasheet>
- <libsource lib="Mechanical" part="MountingHole" description="Mounting Hole without connection"/>
+ <fields>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="center-rescue" part="R-Device" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5C6916D1</tstamp>
+ <tstamp>5EDD5E1F</tstamp>
</comp>
- <comp ref="J9">
- <value>SWD</value>
- <footprint>Pin_Headers:Pin_Header_Straight_1x04_Pitch2.54mm</footprint>
+ <comp ref="U5">
+ <value>AP1117-33</value>
+ <footprint>Package_TO_SOT_SMD:SOT-223</footprint>
+ <datasheet>http://www.diodes.com/datasheets/AP1117.pdf</datasheet>
+ <fields>
+ <field name="LCSC">C426566</field>
+ <field name="Mfg">Slkor</field>
+ <field name="PN">AMS1117-3.3</field>
+ <field name="Stock">yes</field>
+ </fields>
+ <libsource lib="center-rescue" part="AP1117-33-Regulator_Linear" description=""/>
+ <sheetpath names="/" tstamps="/"/>
+ <tstamp>5EDD5E28</tstamp>
+ </comp>
+ <comp ref="TP2">
+ <value>+12V</value>
+ <footprint>TestPoint:TestPoint_Pad_D1.5mm</footprint>
<datasheet>~</datasheet>
- <libsource lib="Connector_Generic" part="Conn_01x04" description="Generic connector, single row, 01x04, script generated (kicad-library-utils/schlib/autogen/connector/)"/>
+ <fields>
+ <field name="BOM ignore">yes</field>
+ </fields>
+ <libsource lib="driver-rescue" part="TestPoint_Alt-Connector" description=""/>
<sheetpath names="/" tstamps="/"/>
- <tstamp>5CA7AF20</tstamp>
+ <tstamp>5EFC40F8</tstamp>
</comp>
- </components>
- <libparts>
- <libpart lib="Analog_ADC" part="INA226">
- <description>High-Side or Low-Side Measurement, Bi-Directional Current and Power Monitor (0-36V) with I2C Compatible Interface, MSOP-10</description>
- <docs>http://www.ti.com/lit/ds/symlink/ina226.pdf</docs>
- <footprints>
- <fp>MSOP*3x3mm*P0.5mm*</fp>
- </footprints>
+ <comp ref="R20">
+ <value>1k</value>
+ <footprint>Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder</footprint>
+ <datasheet>~</datasheet>
<fields>
- <field name="Reference">U</field>
- <field name="Value">INA226</field>
- <field name="Footprint">Package_SO:MSOP-10_3x3mm_P0.5mm</field>
+ <field name="Stock">yes</field>
</fields>
- <pins>
- <pin num="1" name="A1" type="passive"/>
- <pin num="2" name="A0" type="passive"/>
- <pin num="3" name="~Alert~" type="openCol"/>
- <pin num="4" name="SDA" type="BiDi"/>
- <pin num="5" name="SCL" type="input"/>
- <pin num="6" name="Vs" type="power_in"/>
- <pin num="7" name="GND" type="power_in"/>
- <pin num="8" name="Vbus" type="input"/>
- <pin num="9" name="Vin-" type="input"/>
- <pin num="10" name="Vin+" type="input"/>
- </pins>
- </libpart>
- <libpart lib="Connector" part="Screw_Terminal_01x03">
- <description>Generic screw terminal, single row, 01x03, script generated (kicad-library-utils/schlib/autogen/connector/)</description>
- <docs>~</docs>
- <footprints>
- <fp>TerminalBlock*:*</fp>
- </footprints>
+ <libsource lib="driver-rescue" part="R_Small-Device" description=""/>
+ <sheetpath names="/" tstamps="/"/>
+ <tstamp>5F51AA73</tstamp>
+ </comp>
+ <comp ref="TP1">
+ <value>CTRL_B</value>
+ <footprint>TestPoint:TestPoint_Pad_D1.5mm</footprint>
+ <datasheet>~</datasheet>
<fields>
- <field name="Reference">J</field>
- <field name="Value">Screw_Terminal_01x03</field>
+ <field name="BOM ignore">yes</field>
</fields>
- <pins>
- <pin num="1" name="Pin_1" type="passive"/>
- <pin num="2" name="Pin_2" type="passive"/>
- <pin num="3" name="Pin_3" type="passive"/>
- </pins>
- </libpart>
- <libpart lib="Connector" part="USB_B_Micro">
- <aliases>
- <alias>USB_B_Mini</alias>
- </aliases>
- <description>USB Micro Type B connector</description>
+ <libsource lib="driver-rescue" part="TestPoint_Alt-Connector" description=""/>
+ <sheetpath names="/" tstamps="/"/>
+ <tstamp>5F579CFA</tstamp>
+ </comp>
+ </components>
+ <libparts>
+ <libpart lib="Device" part="D_Small_ALT">
+ <description>Diode, small symbol, filled shape</description>
<docs>~</docs>
<footprints>
- <fp>USB*</fp>
+ <fp>TO-???*</fp>
+ <fp>*_Diode_*</fp>
+ <fp>*SingleDiode*</fp>
+ <fp>D_*</fp>
</footprints>
<fields>
- <field name="Reference">J</field>
- <field name="Value">USB_B_Micro</field>
+ <field name="Reference">D</field>
+ <field name="Value">D_Small_ALT</field>
</fields>
<pins>
- <pin num="1" name="VBUS" type="power_out"/>
- <pin num="2" name="D-" type="passive"/>
- <pin num="3" name="D+" type="passive"/>
- <pin num="4" name="ID" type="passive"/>
- <pin num="5" name="GND" type="power_out"/>
- <pin num="6" name="Shield" type="passive"/>
+ <pin num="1" name="K" type="passive"/>
+ <pin num="2" name="A" type="passive"/>
</pins>
</libpart>
- <libpart lib="Connector_Generic" part="Conn_01x04">
- <description>Generic connector, single row, 01x04, script generated (kicad-library-utils/schlib/autogen/connector/)</description>
- <docs>~</docs>
+ <libpart lib="Driver_FET" part="IR25604S">
+ <aliases>
+ <alias>IR7106S</alias>
+ <alias>IRS2005S</alias>
+ <alias>IRS21867S</alias>
+ <alias>IRS2301S</alias>
+ <alias>IRS25606S</alias>
+ </aliases>
+ <description>High and Low Side Driver, 600V, 200/350mA, SOIC-8</description>
+ <docs>https://www.infineon.com/dgdl/ir25604.pdf?fileId=5546d462533600a4015355c9f1ca16f1</docs>
<footprints>
- <fp>Connector*:*_1x??_*</fp>
+ <fp>SOIC*3.9x4.9mm*P1.27mm*</fp>
</footprints>
<fields>
- <field name="Reference">J</field>
- <field name="Value">Conn_01x04</field>
+ <field name="Reference">U</field>
+ <field name="Value">IR25604S</field>
+ <field name="Footprint">Package_SO:SOIC-8_3.9x4.9mm_P1.27mm</field>
</fields>
<pins>
- <pin num="1" name="Pin_1" type="passive"/>
- <pin num="2" name="Pin_2" type="passive"/>
- <pin num="3" name="Pin_3" type="passive"/>
- <pin num="4" name="Pin_4" type="passive"/>
+ <pin num="1" name="VCC" type="power_in"/>
+ <pin num="2" name="HIN" type="input"/>
+ <pin num="3" name="LIN" type="input"/>
+ <pin num="4" name="COM" type="power_in"/>
+ <pin num="5" name="LO" type="output"/>
+ <pin num="6" name="VS" type="passive"/>
+ <pin num="7" name="HO" type="output"/>
+ <pin num="8" name="VB" type="passive"/>
</pins>
</libpart>
- <libpart lib="Connector_Generic" part="Conn_01x06">
- <description>Generic connector, single row, 01x06, script generated (kicad-library-utils/schlib/autogen/connector/)</description>
- <docs>~</docs>
+ <libpart lib="center-rescue" part="AP1117-33-Regulator_Linear">
<footprints>
- <fp>Connector*:*_1x??_*</fp>
+ <fp>SOT?223*TabPin2*</fp>
</footprints>
<fields>
- <field name="Reference">J</field>
- <field name="Value">Conn_01x06</field>
+ <field name="Reference">U</field>
+ <field name="Value">AP1117-33-Regulator_Linear</field>
+ <field name="Footprint">Package_TO_SOT_SMD:SOT-223-3_TabPin2</field>
+ <field name="Datasheet">http://www.diodes.com/datasheets/AP1117.pdf</field>
</fields>
<pins>
- <pin num="1" name="Pin_1" type="passive"/>
- <pin num="2" name="Pin_2" type="passive"/>
- <pin num="3" name="Pin_3" type="passive"/>
- <pin num="4" name="Pin_4" type="passive"/>
- <pin num="5" name="Pin_5" type="passive"/>
- <pin num="6" name="Pin_6" type="passive"/>
+ <pin num="1" name="GND" type="power_in"/>
+ <pin num="2" name="VO" type="power_out"/>
+ <pin num="3" name="VI" type="power_in"/>
+ <pin num="4" name="PAD" type="power_out"/>
</pins>
</libpart>
- <libpart lib="Device" part="CP_Small">
- <description>Polarized capacitor, small symbol</description>
- <docs>~</docs>
+ <libpart lib="center-rescue" part="CP_Small-Device">
<footprints>
<fp>CP_*</fp>
</footprints>
<fields>
<field name="Reference">C</field>
- <field name="Value">CP_Small</field>
+ <field name="Value">CP_Small-Device</field>
</fields>
<pins>
<pin num="1" name="~" type="passive"/>
<pin num="2" name="~" type="passive"/>
</pins>
</libpart>
- <libpart lib="Device" part="C_Small">
- <description>Unpolarized capacitor, small symbol</description>
- <docs>~</docs>
+ <libpart lib="center-rescue" part="C_Small-Device">
<footprints>
<fp>C_*</fp>
</footprints>
<fields>
<field name="Reference">C</field>
- <field name="Value">C_Small</field>
+ <field name="Value">C_Small-Device</field>
</fields>
<pins>
<pin num="1" name="~" type="passive"/>
<pin num="2" name="~" type="passive"/>
</pins>
</libpart>
- <libpart lib="Device" part="Crystal_GND24">
- <description>Four pin crystal, GND on pins 2 and 4</description>
- <docs>~</docs>
- <footprints>
- <fp>Crystal*</fp>
- </footprints>
- <fields>
- <field name="Reference">Y</field>
- <field name="Value">Crystal_GND24</field>
- </fields>
- <pins>
- <pin num="1" name="1" type="passive"/>
- <pin num="2" name="2" type="passive"/>
- <pin num="3" name="3" type="passive"/>
- <pin num="4" name="4" type="passive"/>
- </pins>
- </libpart>
- <libpart lib="Device" part="D_Schottky_Small_ALT">
- <description>Schottky diode, small symbol, filled shape</description>
- <docs>~</docs>
+ <libpart lib="center-rescue" part="D_Schottky_ALT-Device">
<footprints>
<fp>TO-???*</fp>
<fp>*_Diode_*</fp>
@@ -1003,190 +1472,223 @@
</footprints>
<fields>
<field name="Reference">D</field>
- <field name="Value">D_Schottky_Small_ALT</field>
+ <field name="Value">D_Schottky_ALT-Device</field>
</fields>
<pins>
<pin num="1" name="K" type="passive"/>
<pin num="2" name="A" type="passive"/>
</pins>
</libpart>
- <libpart lib="Device" part="LED_Small_ALT">
- <description>Light emitting diode, small symbol, filled shape</description>
- <docs>~</docs>
+ <libpart lib="center-rescue" part="L-Device">
<footprints>
- <fp>LED*</fp>
- <fp>LED_SMD:*</fp>
- <fp>LED_THT:*</fp>
+ <fp>Choke_*</fp>
+ <fp>*Coil*</fp>
+ <fp>Inductor_*</fp>
+ <fp>L_*</fp>
</footprints>
<fields>
- <field name="Reference">D</field>
- <field name="Value">LED_Small_ALT</field>
+ <field name="Reference">L</field>
+ <field name="Value">L-Device</field>
</fields>
<pins>
- <pin num="1" name="K" type="passive"/>
- <pin num="2" name="A" type="passive"/>
+ <pin num="1" name="1" type="passive"/>
+ <pin num="2" name="2" type="passive"/>
</pins>
</libpart>
- <libpart lib="Device" part="R_Small">
- <description>Resistor, small symbol</description>
- <docs>~</docs>
+ <libpart lib="center-rescue" part="R-Device">
<footprints>
<fp>R_*</fp>
</footprints>
<fields>
<field name="Reference">R</field>
- <field name="Value">R_Small</field>
+ <field name="Value">R-Device</field>
</fields>
<pins>
<pin num="1" name="~" type="passive"/>
<pin num="2" name="~" type="passive"/>
</pins>
</libpart>
- <libpart lib="Isolator" part="PC817">
- <description>DC Optocoupler, Vce 35V, CTR 50-300%, DIP4</description>
- <docs>http://www.soselectronic.cz/a_info/resource/d/pc817.pdf</docs>
+ <libpart lib="driver-rescue" part="74HC595-74xx">
<footprints>
<fp>DIP*W7.62mm*</fp>
+ <fp>SOIC*3.9x9.9mm*P1.27mm*</fp>
+ <fp>TSSOP*4.4x5mm*P0.65mm*</fp>
+ <fp>SOIC*5.3x10.2mm*P1.27mm*</fp>
+ <fp>SOIC*7.5x10.3mm*P1.27mm*</fp>
</footprints>
<fields>
<field name="Reference">U</field>
- <field name="Value">PC817</field>
- <field name="Footprint">Package_DIP:DIP-4_W7.62mm</field>
+ <field name="Value">74HC595-74xx</field>
+ </fields>
+ <pins>
+ <pin num="1" name="QB" type="3state"/>
+ <pin num="2" name="QC" type="3state"/>
+ <pin num="3" name="QD" type="3state"/>
+ <pin num="4" name="QE" type="3state"/>
+ <pin num="5" name="QF" type="3state"/>
+ <pin num="6" name="QG" type="3state"/>
+ <pin num="7" name="QH" type="3state"/>
+ <pin num="8" name="GND" type="power_in"/>
+ <pin num="9" name="QH'" type="output"/>
+ <pin num="10" name="~SRCLR" type="input"/>
+ <pin num="11" name="SRCLK" type="input"/>
+ <pin num="12" name="RCLK" type="input"/>
+ <pin num="13" name="~OE" type="input"/>
+ <pin num="14" name="SER" type="input"/>
+ <pin num="15" name="QA" type="3state"/>
+ <pin num="16" name="VCC" type="power_in"/>
+ </pins>
+ </libpart>
+ <libpart lib="driver-rescue" part="BSS138-Transistor_FET">
+ <footprints>
+ <fp>SOT?23*</fp>
+ </footprints>
+ <fields>
+ <field name="Reference">Q</field>
+ <field name="Value">BSS138-Transistor_FET</field>
+ <field name="Footprint">Package_TO_SOT_SMD:SOT-23</field>
+ </fields>
+ <pins>
+ <pin num="1" name="G" type="input"/>
+ <pin num="2" name="S" type="passive"/>
+ <pin num="3" name="D" type="passive"/>
+ </pins>
+ </libpart>
+ <libpart lib="driver-rescue" part="C_Small-Device">
+ <footprints>
+ <fp>C_*</fp>
+ </footprints>
+ <fields>
+ <field name="Reference">C</field>
+ <field name="Value">C_Small-Device</field>
</fields>
<pins>
<pin num="1" name="~" type="passive"/>
<pin num="2" name="~" type="passive"/>
- <pin num="3" name="~" type="passive"/>
- <pin num="4" name="~" type="passive"/>
</pins>
</libpart>
- <libpart lib="MCU_ST_STM32F1" part="STM32F103C8Tx">
- <aliases>
- <alias>STM32F103CBTx</alias>
- </aliases>
- <description>ARM Cortex-M3 MCU, 64KB flash, 20KB RAM, 72MHz, 2-3.6V, 37 GPIO, LQFP-48</description>
- <docs>http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/CD00161566.pdf</docs>
+ <libpart lib="driver-rescue" part="Conn_01x02-Connector_Generic">
+ <footprints>
+ <fp>Connector*:*_1x??_*</fp>
+ </footprints>
+ <fields>
+ <field name="Reference">J</field>
+ <field name="Value">Conn_01x02-Connector_Generic</field>
+ </fields>
+ <pins>
+ <pin num="1" name="Pin_1" type="passive"/>
+ <pin num="2" name="Pin_2" type="passive"/>
+ </pins>
+ </libpart>
+ <libpart lib="driver-rescue" part="Conn_01x04-Connector_Generic">
+ <footprints>
+ <fp>Connector*:*_1x??_*</fp>
+ </footprints>
+ <fields>
+ <field name="Reference">J</field>
+ <field name="Value">Conn_01x04-Connector_Generic</field>
+ </fields>
+ <pins>
+ <pin num="1" name="Pin_1" type="passive"/>
+ <pin num="2" name="Pin_2" type="passive"/>
+ <pin num="3" name="Pin_3" type="passive"/>
+ <pin num="4" name="Pin_4" type="passive"/>
+ </pins>
+ </libpart>
+ <libpart lib="driver-rescue" part="Crystal_GND24-Device">
+ <footprints>
+ <fp>Crystal*</fp>
+ </footprints>
+ <fields>
+ <field name="Reference">Y</field>
+ <field name="Value">Crystal_GND24-Device</field>
+ </fields>
+ <pins>
+ <pin num="1" name="1" type="passive"/>
+ <pin num="2" name="2" type="passive"/>
+ <pin num="3" name="3" type="passive"/>
+ <pin num="4" name="4" type="passive"/>
+ </pins>
+ </libpart>
+ <libpart lib="driver-rescue" part="INA226-Analog_ADC">
<footprints>
- <fp>LQFP*7x7mm*P0.5mm*</fp>
+ <fp>MSOP*3x3mm*P0.5mm*</fp>
</footprints>
<fields>
<field name="Reference">U</field>
- <field name="Value">STM32F103C8Tx</field>
- <field name="Footprint">Package_QFP:LQFP-48_7x7mm_P0.5mm</field>
+ <field name="Value">INA226-Analog_ADC</field>
+ <field name="Footprint">Package_SO:MSOP-10_3x3mm_P0.5mm</field>
</fields>
<pins>
- <pin num="1" name="VBAT" type="power_in"/>
- <pin num="2" name="PC13" type="BiDi"/>
- <pin num="3" name="PC14" type="BiDi"/>
- <pin num="4" name="PC15" type="BiDi"/>
- <pin num="5" name="PD0" type="input"/>
- <pin num="6" name="PD1" type="input"/>
- <pin num="7" name="NRST" type="input"/>
- <pin num="8" name="VSSA" type="power_in"/>
- <pin num="9" name="VDDA" type="power_in"/>
- <pin num="10" name="PA0" type="BiDi"/>
- <pin num="11" name="PA1" type="BiDi"/>
- <pin num="12" name="PA2" type="BiDi"/>
- <pin num="13" name="PA3" type="BiDi"/>
- <pin num="14" name="PA4" type="BiDi"/>
- <pin num="15" name="PA5" type="BiDi"/>
- <pin num="16" name="PA6" type="BiDi"/>
- <pin num="17" name="PA7" type="BiDi"/>
- <pin num="18" name="PB0" type="BiDi"/>
- <pin num="19" name="PB1" type="BiDi"/>
- <pin num="20" name="PB2" type="BiDi"/>
- <pin num="21" name="PB10" type="BiDi"/>
- <pin num="22" name="PB11" type="BiDi"/>
- <pin num="23" name="VSS" type="power_in"/>
- <pin num="24" name="VDD" type="power_in"/>
- <pin num="25" name="PB12" type="BiDi"/>
- <pin num="26" name="PB13" type="BiDi"/>
- <pin num="27" name="PB14" type="BiDi"/>
- <pin num="28" name="PB15" type="BiDi"/>
- <pin num="29" name="PA8" type="BiDi"/>
- <pin num="30" name="PA9" type="BiDi"/>
- <pin num="31" name="PA10" type="BiDi"/>
- <pin num="32" name="PA11" type="BiDi"/>
- <pin num="33" name="PA12" type="BiDi"/>
- <pin num="34" name="PA13" type="BiDi"/>
- <pin num="35" name="VSS" type="power_in"/>
- <pin num="36" name="VDD" type="power_in"/>
- <pin num="37" name="PA14" type="BiDi"/>
- <pin num="38" name="PA15" type="BiDi"/>
- <pin num="39" name="PB3" type="BiDi"/>
- <pin num="40" name="PB4" type="BiDi"/>
- <pin num="41" name="PB5" type="BiDi"/>
- <pin num="42" name="PB6" type="BiDi"/>
- <pin num="43" name="PB7" type="BiDi"/>
- <pin num="44" name="BOOT0" type="input"/>
- <pin num="45" name="PB8" type="BiDi"/>
- <pin num="46" name="PB9" type="BiDi"/>
- <pin num="47" name="VSS" type="power_in"/>
- <pin num="48" name="VDD" type="power_in"/>
+ <pin num="1" name="A1" type="passive"/>
+ <pin num="2" name="A0" type="passive"/>
+ <pin num="3" name="~Alert~" type="openCol"/>
+ <pin num="4" name="SDA" type="BiDi"/>
+ <pin num="5" name="SCL" type="input"/>
+ <pin num="6" name="Vs" type="power_in"/>
+ <pin num="7" name="GND" type="power_in"/>
+ <pin num="8" name="Vbus" type="input"/>
+ <pin num="9" name="Vin-" type="input"/>
+ <pin num="10" name="Vin+" type="input"/>
</pins>
</libpart>
- <libpart lib="Mechanical" part="MountingHole">
- <description>Mounting Hole without connection</description>
- <docs>~</docs>
+ <libpart lib="driver-rescue" part="IRF7480M-Transistor_FET">
<footprints>
- <fp>MountingHole*</fp>
+ <fp>DirectFET*ME*</fp>
</footprints>
<fields>
- <field name="Reference">H</field>
- <field name="Value">MountingHole</field>
+ <field name="Reference">Q</field>
+ <field name="Value">IRF7480M-Transistor_FET</field>
+ <field name="Footprint">Package_DirectFET:DirectFET_ME</field>
</fields>
+ <pins>
+ <pin num="1" name="D" type="passive"/>
+ <pin num="2" name="G" type="input"/>
+ <pin num="3" name="S" type="passive"/>
+ </pins>
</libpart>
- <libpart lib="Regulator_Linear" part="AP1117-15">
- <aliases>
- <alias>AP1117-18</alias>
- <alias>AP1117-25</alias>
- <alias>AP1117-33</alias>
- <alias>AP1117-50</alias>
- <alias>LD1117S33TR_SOT223</alias>
- <alias>LD1117S12TR_SOT223</alias>
- <alias>LD1117S18TR_SOT223</alias>
- <alias>LD1117S25TR_SOT223</alias>
- <alias>LD1117S50TR_SOT223</alias>
- <alias>NCP1117-12_SOT223</alias>
- <alias>NCP1117-1.5_SOT223</alias>
- <alias>NCP1117-1.8_SOT223</alias>
- <alias>NCP1117-2.0_SOT223</alias>
- <alias>NCP1117-2.5_SOT223</alias>
- <alias>NCP1117-2.85_SOT223</alias>
- <alias>NCP1117-3.3_SOT223</alias>
- <alias>NCP1117-5.0_SOT223</alias>
- <alias>AMS1117-1.5</alias>
- <alias>AMS1117-1.8</alias>
- <alias>AMS1117-2.5</alias>
- <alias>AMS1117-2.85</alias>
- <alias>AMS1117-3.3</alias>
- <alias>AMS1117-5.0</alias>
- </aliases>
- <description>1A Low Dropout regulator, positive, 1.5V fixed output, SOT-223</description>
- <docs>http://www.diodes.com/datasheets/AP1117.pdf</docs>
+ <libpart lib="driver-rescue" part="LED_Small_ALT-Device">
<footprints>
- <fp>SOT?223*TabPin2*</fp>
+ <fp>LED*</fp>
+ <fp>LED_SMD:*</fp>
+ <fp>LED_THT:*</fp>
+ </footprints>
+ <fields>
+ <field name="Reference">D</field>
+ <field name="Value">LED_Small_ALT-Device</field>
+ </fields>
+ <pins>
+ <pin num="1" name="K" type="passive"/>
+ <pin num="2" name="A" type="passive"/>
+ </pins>
+ </libpart>
+ <libpart lib="driver-rescue" part="MAX485E-Interface_UART">
+ <footprints>
+ <fp>DIP*W7.62mm*</fp>
+ <fp>SOIC*3.9x4.9mm*P1.27mm*</fp>
</footprints>
<fields>
<field name="Reference">U</field>
- <field name="Value">AP1117-15</field>
- <field name="Footprint">Package_TO_SOT_SMD:SOT-223-3_TabPin2</field>
+ <field name="Value">MAX485E-Interface_UART</field>
</fields>
<pins>
- <pin num="1" name="GND" type="power_in"/>
- <pin num="2" name="VO" type="power_out"/>
- <pin num="3" name="VI" type="power_in"/>
+ <pin num="1" name="RO" type="output"/>
+ <pin num="2" name="~RE" type="input"/>
+ <pin num="3" name="DE" type="input"/>
+ <pin num="4" name="DI" type="input"/>
+ <pin num="5" name="GND" type="power_in"/>
+ <pin num="6" name="A" type="BiDi"/>
+ <pin num="7" name="B" type="BiDi"/>
+ <pin num="8" name="VCC" type="power_in"/>
</pins>
</libpart>
- <libpart lib="Sensor_Temperature" part="MCP9804_MSOP">
- <description>Microchip Technology Digital Temperature Sensor MSOP</description>
- <docs>http://ww1.microchip.com/downloads/en/DeviceDoc/22203b.pdf</docs>
+ <libpart lib="driver-rescue" part="MCP9804_MSOP-Sensor_Temperature">
<footprints>
<fp>MSOP*3x3mm*P0.65mm*</fp>
</footprints>
<fields>
<field name="Reference">U</field>
- <field name="Value">MCP9804_MSOP</field>
+ <field name="Value">MCP9804_MSOP-Sensor_Temperature</field>
<field name="Footprint">Package_SO:MSOP-8_3x3mm_P0.65mm</field>
</fields>
<pins>
@@ -1200,579 +1702,624 @@
<pin num="8" name="VDD" type="power_in"/>
</pins>
</libpart>
- <libpart lib="Switch" part="SW_Push">
- <description>Push button switch, generic, two pins</description>
+ <libpart lib="driver-rescue" part="MountingHole-Mechanical">
+ <footprints>
+ <fp>MountingHole*</fp>
+ </footprints>
+ <fields>
+ <field name="Reference">H</field>
+ <field name="Value">MountingHole-Mechanical</field>
+ </fields>
+ </libpart>
+ <libpart lib="driver-rescue" part="NCS325-Amplifier_Operational">
+ <footprints>
+ <fp>SOT?23*</fp>
+ </footprints>
<fields>
- <field name="Reference">SW</field>
- <field name="Value">SW_Push</field>
+ <field name="Reference">U</field>
+ <field name="Value">NCS325-Amplifier_Operational</field>
+ <field name="Footprint">Package_TO_SOT_SMD:SOT-23-5</field>
</fields>
<pins>
- <pin num="1" name="1" type="passive"/>
- <pin num="2" name="2" type="passive"/>
+ <pin num="1" name="~" type="output"/>
+ <pin num="2" name="V-" type="power_in"/>
+ <pin num="3" name="+" type="input"/>
+ <pin num="4" name="-" type="input"/>
+ <pin num="5" name="V+" type="power_in"/>
</pins>
</libpart>
- <libpart lib="Transistor_FET" part="IRF60DM206">
- <aliases>
- <alias>IRF7480M</alias>
- <alias>IRF7486M</alias>
- <alias>IRF7580M</alias>
- <alias>IRF7780M</alias>
- </aliases>
- <description>130A Id, 60V Vds, 2.9mOhm Rds, N-Channel MOSFET, DirectFET ME</description>
- <docs>https://www.infineon.com/dgdl/irf60dm206.pdf?fileId=5546d462533600a4015355e433aa19ca</docs>
+ <libpart lib="driver-rescue" part="RJ45_LED_Shielded-Connector">
<footprints>
- <fp>DirectFET*ME*</fp>
+ <fp>8P8C*</fp>
+ <fp>RJ45*</fp>
</footprints>
<fields>
- <field name="Reference">Q</field>
- <field name="Value">IRF60DM206</field>
- <field name="Footprint">Package_DirectFET:DirectFET_ME</field>
+ <field name="Reference">J</field>
+ <field name="Value">RJ45_LED_Shielded-Connector</field>
</fields>
<pins>
- <pin num="1" name="D" type="passive"/>
- <pin num="2" name="G" type="input"/>
- <pin num="3" name="S" type="passive"/>
+ <pin num="1" name="~" type="passive"/>
+ <pin num="2" name="~" type="passive"/>
+ <pin num="3" name="~" type="passive"/>
+ <pin num="4" name="~" type="passive"/>
+ <pin num="5" name="~" type="passive"/>
+ <pin num="6" name="~" type="passive"/>
+ <pin num="7" name="~" type="passive"/>
+ <pin num="8" name="~" type="passive"/>
+ <pin num="9" name="~" type="passive"/>
+ <pin num="10" name="~" type="passive"/>
+ <pin num="11" name="~" type="passive"/>
+ <pin num="12" name="~" type="passive"/>
+ <pin num="SH" name="~" type="passive"/>
</pins>
</libpart>
- <libpart lib="components" part="L_EU_small">
+ <libpart lib="driver-rescue" part="R_Small-Device">
+ <footprints>
+ <fp>R_*</fp>
+ </footprints>
<fields>
- <field name="Reference">L</field>
- <field name="Value">L_EU_small</field>
+ <field name="Reference">R</field>
+ <field name="Value">R_Small-Device</field>
</fields>
<pins>
- <pin num="1" name="1" type="unspc"/>
- <pin num="2" name="2" type="unspc"/>
+ <pin num="1" name="~" type="passive"/>
+ <pin num="2" name="~" type="passive"/>
</pins>
</libpart>
- <libpart lib="components" part="MT3608">
+ <libpart lib="driver-rescue" part="STM32F030F4Px-MCU_ST_STM32F0">
+ <footprints>
+ <fp>TSSOP*4.4x6.5mm*P0.65mm*</fp>
+ </footprints>
<fields>
<field name="Reference">U</field>
- <field name="Value">MT3608</field>
+ <field name="Value">STM32F030F4Px-MCU_ST_STM32F0</field>
+ <field name="Footprint">Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm</field>
+ </fields>
+ <pins>
+ <pin num="1" name="BOOT0" type="input"/>
+ <pin num="2" name="PF0" type="input"/>
+ <pin num="3" name="PF1" type="input"/>
+ <pin num="4" name="NRST" type="input"/>
+ <pin num="5" name="VDDA" type="power_in"/>
+ <pin num="6" name="PA0" type="BiDi"/>
+ <pin num="7" name="PA1" type="BiDi"/>
+ <pin num="8" name="PA2" type="BiDi"/>
+ <pin num="9" name="PA3" type="BiDi"/>
+ <pin num="10" name="PA4" type="BiDi"/>
+ <pin num="11" name="PA5" type="BiDi"/>
+ <pin num="12" name="PA6" type="BiDi"/>
+ <pin num="13" name="PA7" type="BiDi"/>
+ <pin num="14" name="PB1" type="BiDi"/>
+ <pin num="15" name="VSS" type="power_in"/>
+ <pin num="16" name="VDD" type="power_in"/>
+ <pin num="17" name="PA9" type="BiDi"/>
+ <pin num="18" name="PA10" type="BiDi"/>
+ <pin num="19" name="PA13" type="BiDi"/>
+ <pin num="20" name="PA14" type="BiDi"/>
+ </pins>
+ </libpart>
+ <libpart lib="driver-rescue" part="Screw_Terminal_01x03-Connector">
+ <footprints>
+ <fp>TerminalBlock*:*</fp>
+ </footprints>
+ <fields>
+ <field name="Reference">J</field>
+ <field name="Value">Screw_Terminal_01x03-Connector</field>
+ </fields>
+ <pins>
+ <pin num="1" name="Pin_1" type="passive"/>
+ <pin num="2" name="Pin_2" type="passive"/>
+ <pin num="3" name="Pin_3" type="passive"/>
+ </pins>
+ </libpart>
+ <libpart lib="driver-rescue" part="TestPoint_Alt-Connector">
+ <footprints>
+ <fp>Pin*</fp>
+ <fp>Test*</fp>
+ </footprints>
+ <fields>
+ <field name="Reference">TP</field>
+ <field name="Value">TestPoint_Alt-Connector</field>
</fields>
<pins>
- <pin num="1" name="SW" type="output"/>
- <pin num="2" name="GND" type="power_in"/>
- <pin num="3" name="FB" type="input"/>
- <pin num="4" name="EN" type="input"/>
- <pin num="5" name="IN" type="power_in"/>
+ <pin num="1" name="1" type="passive"/>
</pins>
</libpart>
- <libpart lib="components" part="TC4427">
+ <libpart lib="tx4138" part="TX4138">
<fields>
<field name="Reference">U</field>
- <field name="Value">TC4427</field>
+ <field name="Value">TX4138</field>
</fields>
<pins>
- <pin num="2" name="IN_A" type="input"/>
- <pin num="3" name="GND" type="power_in"/>
- <pin num="4" name="IN_B" type="input"/>
- <pin num="5" name="OUT_B" type="output"/>
- <pin num="6" name="VDD" type="power_in"/>
- <pin num="7" name="OUT_A" type="output"/>
+ <pin num="1" name="SW" type="input"/>
+ <pin num="2" name="ILIM" type="input"/>
+ <pin num="3" name="VIN" type="input"/>
+ <pin num="4" name="GND" type="input"/>
+ <pin num="5" name="FB" type="input"/>
+ <pin num="6" name="EN" type="input"/>
+ <pin num="7" name="POK" type="input"/>
+ <pin num="8" name="BS" type="input"/>
+ <pin num="9" name="ILIM" type="input"/>
</pins>
</libpart>
</libparts>
<libraries>
- <library logical="Analog_ADC">
- <uri>/home/user/ref/kicad-symbols/Analog_ADC.lib</uri>
- </library>
- <library logical="Connector">
- <uri>/home/user/ref/kicad-symbols/Connector.lib</uri>
- </library>
- <library logical="Connector_Generic">
- <uri>/home/user/ref/kicad-symbols/Connector_Generic.lib</uri>
- </library>
<library logical="Device">
- <uri>/home/user/ref/kicad-symbols/Device.lib</uri>
- </library>
- <library logical="Isolator">
- <uri>/home/user/ref/kicad-symbols/Isolator.lib</uri>
+ <uri>C:\Program Files\KiCad\share\kicad\library/Device.lib</uri>
</library>
- <library logical="MCU_ST_STM32F1">
- <uri>/home/user/ref/kicad-symbols/MCU_ST_STM32F1.lib</uri>
+ <library logical="Driver_FET">
+ <uri>C:\Program Files\KiCad\share\kicad\library/Driver_FET.lib</uri>
</library>
- <library logical="Mechanical">
- <uri>/home/user/ref/kicad-symbols/Mechanical.lib</uri>
+ <library logical="center-rescue">
+ <uri>C:/Users/jaseg/shared/center/center-rescue.lib</uri>
</library>
- <library logical="Regulator_Linear">
- <uri>/home/user/ref/kicad-symbols/Regulator_Linear.lib</uri>
+ <library logical="driver-rescue">
+ <uri>C:\Users\jaseg\shared\driver/driver-rescue.lib</uri>
</library>
- <library logical="Sensor_Temperature">
- <uri>/home/user/ref/kicad-symbols/Sensor_Temperature.lib</uri>
- </library>
- <library logical="Switch">
- <uri>/home/user/ref/kicad-symbols/Switch.lib</uri>
- </library>
- <library logical="Transistor_FET">
- <uri>/home/user/ref/kicad-symbols/Transistor_FET.lib</uri>
- </library>
- <library logical="components">
- <uri>/home/user/toys/led_tape_display/driver/components.lib</uri>
+ <library logical="tx4138">
+ <uri>C:\Users\jaseg\shared\driver/tx4138.lib</uri>
</library>
</libraries>
<nets>
- <net code="1" name="Net-(J5-Pad4)">
- <node ref="R35" pin="2"/>
- <node ref="J5" pin="4"/>
- </net>
- <net code="2" name="Net-(J5-Pad1)">
- <node ref="J5" pin="1"/>
- </net>
- <net code="3" name="Net-(J5-Pad2)">
- <node ref="J5" pin="2"/>
- </net>
- <net code="4" name="/VBOOT_MON">
- <node ref="R3" pin="2"/>
- <node ref="R5" pin="2"/>
- <node ref="U6" pin="10"/>
- <node ref="C5" pin="1"/>
- </net>
- <net code="5" name="/VIN_MON">
+ <net code="1" name="/SCK">
+ <node ref="R25" pin="2"/>
<node ref="U6" pin="11"/>
- <node ref="R8" pin="2"/>
- <node ref="R9" pin="2"/>
- <node ref="C21" pin="1"/>
+ <node ref="TP14" pin="1"/>
+ <node ref="U2" pin="11"/>
</net>
- <net code="6" name="/CTRL_A">
- <node ref="U6" pin="29"/>
- <node ref="U5" pin="4"/>
- <node ref="U4" pin="2"/>
+ <net code="2" name="/MOSI">
+ <node ref="TP15" pin="1"/>
+ <node ref="U2" pin="14"/>
+ <node ref="Q5" pin="1"/>
+ <node ref="U6" pin="13"/>
</net>
- <net code="7" name="Net-(R33-Pad2)">
- <node ref="U9" pin="1"/>
- <node ref="R33" pin="2"/>
+ <net code="3" name="Net-(Q5-Pad3)">
+ <node ref="Q5" pin="3"/>
+ <node ref="R35" pin="1"/>
+ <node ref="R34" pin="2"/>
</net>
- <net code="8" name="GND">
- <node ref="C14" pin="2"/>
- <node ref="C11" pin="2"/>
- <node ref="C12" pin="2"/>
- <node ref="C16" pin="2"/>
+ <net code="4" name="GND">
<node ref="C13" pin="2"/>
+ <node ref="C14" pin="2"/>
<node ref="C17" pin="2"/>
- <node ref="U3" pin="1"/>
- <node ref="U1" pin="2"/>
- <node ref="C9" pin="2"/>
- <node ref="C10" pin="2"/>
- <node ref="U2" pin="1"/>
- <node ref="D9" pin="1"/>
- <node ref="D8" pin="1"/>
- <node ref="D7" pin="1"/>
- <node ref="D6" pin="1"/>
- <node ref="D5" pin="1"/>
- <node ref="U6" pin="23"/>
- <node ref="U6" pin="35"/>
- <node ref="U6" pin="47"/>
- <node ref="U6" pin="8"/>
- <node ref="J9" pin="3"/>
- <node ref="SW2" pin="1"/>
- <node ref="SW1" pin="1"/>
- <node ref="C5" pin="2"/>
- <node ref="R5" pin="1"/>
- <node ref="D4" pin="1"/>
- <node ref="D3" pin="1"/>
- <node ref="D2" pin="1"/>
- <node ref="U7" pin="9"/>
- <node ref="U7" pin="7"/>
- <node ref="U7" pin="2"/>
- <node ref="U7" pin="1"/>
- <node ref="R32" pin="2"/>
- <node ref="R31" pin="2"/>
- <node ref="R30" pin="2"/>
- <node ref="R29" pin="2"/>
- <node ref="R28" pin="2"/>
- <node ref="R9" pin="1"/>
- <node ref="C21" pin="2"/>
- <node ref="C27" pin="1"/>
- <node ref="C25" pin="2"/>
- <node ref="U4" pin="3"/>
- <node ref="C15" pin="2"/>
- <node ref="C4" pin="2"/>
+ <node ref="R18" pin="2"/>
+ <node ref="D2" pin="2"/>
+ <node ref="Y1" pin="2"/>
+ <node ref="R39" pin="1"/>
+ <node ref="R24" pin="2"/>
+ <node ref="J6" pin="4"/>
+ <node ref="TP9" pin="1"/>
+ <node ref="TP3" pin="1"/>
+ <node ref="TP12" pin="1"/>
<node ref="C29" pin="2"/>
- <node ref="C24" pin="2"/>
- <node ref="C23" pin="2"/>
- <node ref="U6" pin="44"/>
+ <node ref="C1" pin="2"/>
+ <node ref="C2" pin="2"/>
+ <node ref="C15" pin="2"/>
<node ref="C3" pin="2"/>
- <node ref="C28" pin="1"/>
- <node ref="C26" pin="2"/>
- <node ref="Y1" pin="2"/>
+ <node ref="R20" pin="2"/>
+ <node ref="C16" pin="2"/>
+ <node ref="U3" pin="4"/>
+ <node ref="C7" pin="2"/>
+ <node ref="U5" pin="1"/>
<node ref="Y1" pin="4"/>
<node ref="C22" pin="2"/>
<node ref="C20" pin="1"/>
+ <node ref="C5" pin="2"/>
<node ref="C18" pin="2"/>
<node ref="C19" pin="2"/>
- <node ref="U5" pin="3"/>
- <node ref="R39" pin="1"/>
- <node ref="U9" pin="2"/>
- <node ref="J3" pin="4"/>
- <node ref="J6" pin="4"/>
- <node ref="J4" pin="6"/>
- <node ref="J4" pin="5"/>
- <node ref="R4" pin="1"/>
- <node ref="C2" pin="2"/>
- <node ref="C1" pin="2"/>
- <node ref="J8" pin="1"/>
<node ref="J8" pin="2"/>
+ <node ref="U2" pin="13"/>
+ <node ref="C21" pin="2"/>
+ <node ref="U2" pin="8"/>
+ <node ref="R9" pin="1"/>
+ <node ref="R2" pin="1"/>
+ <node ref="J8" pin="1"/>
+ <node ref="C9" pin="2"/>
<node ref="J8" pin="3"/>
+ <node ref="C8" pin="2"/>
+ <node ref="J10" pin="2"/>
+ <node ref="U6" pin="15"/>
+ <node ref="U6" pin="1"/>
+ <node ref="R23" pin="2"/>
+ <node ref="C24" pin="2"/>
+ <node ref="U4" pin="4"/>
+ <node ref="C12" pin="2"/>
+ <node ref="J4" pin="9"/>
+ <node ref="C11" pin="2"/>
+ <node ref="J4" pin="11"/>
+ <node ref="R30" pin="2"/>
+ <node ref="R29" pin="2"/>
+ <node ref="J5" pin="11"/>
+ <node ref="R28" pin="2"/>
+ <node ref="J5" pin="9"/>
+ <node ref="Q5" pin="2"/>
+ <node ref="J9" pin="3"/>
+ <node ref="R31" pin="2"/>
+ <node ref="R35" pin="2"/>
+ <node ref="U7" pin="9"/>
+ <node ref="U7" pin="7"/>
+ <node ref="U7" pin="2"/>
+ <node ref="U7" pin="1"/>
+ <node ref="U13" pin="5"/>
+ <node ref="R32" pin="2"/>
+ <node ref="U9" pin="2"/>
</net>
- <net code="9" name="Net-(R35-Pad1)">
- <node ref="U10" pin="1"/>
- <node ref="R35" pin="1"/>
- </net>
- <net code="10" name="/ISO_GND">
- <node ref="J5" pin="6"/>
- <node ref="R34" pin="2"/>
- <node ref="U10" pin="2"/>
- </net>
- <net code="11" name="/ISO_5V">
- <node ref="U9" pin="4"/>
- <node ref="J5" pin="5"/>
- </net>
- <net code="12" name="Net-(J5-Pad3)">
+ <net code="5" name="Net-(R36-Pad1)">
+ <node ref="R36" pin="1"/>
<node ref="U9" pin="3"/>
- <node ref="R34" pin="1"/>
- <node ref="J5" pin="3"/>
</net>
- <net code="13" name="+5V">
- <node ref="C10" pin="1"/>
- <node ref="C9" pin="1"/>
- <node ref="U2" pin="2"/>
+ <net code="6" name="+3V3">
+ <node ref="D7" pin="2"/>
+ <node ref="D6" pin="2"/>
+ <node ref="D5" pin="2"/>
+ <node ref="U13" pin="8"/>
+ <node ref="R27" pin="1"/>
+ <node ref="R26" pin="1"/>
+ <node ref="D4" pin="2"/>
<node ref="J6" pin="3"/>
- <node ref="R38" pin="2"/>
- <node ref="R37" pin="2"/>
- </net>
- <net code="14" name="+3V3">
- <node ref="U8" pin="8"/>
- <node ref="U10" pin="4"/>
- <node ref="U3" pin="2"/>
+ <node ref="U7" pin="6"/>
+ <node ref="C13" pin="1"/>
+ <node ref="J9" pin="1"/>
<node ref="R10" pin="2"/>
<node ref="C14" pin="1"/>
+ <node ref="U2" pin="16"/>
+ <node ref="U2" pin="10"/>
<node ref="C11" pin="1"/>
<node ref="C12" pin="1"/>
+ <node ref="U6" pin="16"/>
+ <node ref="C29" pin="1"/>
+ <node ref="U8" pin="8"/>
+ <node ref="U6" pin="5"/>
<node ref="C18" pin="1"/>
- <node ref="C17" pin="1"/>
- <node ref="C13" pin="1"/>
+ <node ref="C9" pin="1"/>
+ <node ref="U5" pin="2"/>
<node ref="C19" pin="1"/>
- <node ref="U6" pin="36"/>
- <node ref="U7" pin="6"/>
- <node ref="J9" pin="1"/>
- <node ref="R26" pin="1"/>
- <node ref="R27" pin="1"/>
- <node ref="U6" pin="1"/>
- <node ref="U6" pin="24"/>
- <node ref="U6" pin="9"/>
- <node ref="R23" pin="2"/>
- <node ref="C29" pin="1"/>
- <node ref="U6" pin="48"/>
- <node ref="J3" pin="1"/>
+ <node ref="U9" pin="5"/>
+ <node ref="C8" pin="1"/>
+ <node ref="TP6" pin="1"/>
+ <node ref="C17" pin="1"/>
+ <node ref="C6" pin="1"/>
</net>
- <net code="15" name="/SCL_INA">
- <node ref="U6" pin="42"/>
- <node ref="R27" pin="2"/>
- <node ref="U8" pin="2"/>
- <node ref="U7" pin="5"/>
+ <net code="7" name="Net-(R33-Pad2)">
+ <node ref="R34" pin="1"/>
+ <node ref="U9" pin="4"/>
+ <node ref="R33" pin="2"/>
</net>
- <net code="16" name="/SCL_LCD">
- <node ref="R38" pin="1"/>
- <node ref="J6" pin="1"/>
- <node ref="U6" pin="21"/>
+ <net code="8" name="/VIsense">
+ <node ref="R33" pin="1"/>
+ <node ref="R25" pin="1"/>
+ <node ref="U9" pin="1"/>
</net>
- <net code="17" name="/SDA_LCD">
- <node ref="R37" pin="1"/>
- <node ref="J6" pin="2"/>
- <node ref="U6" pin="22"/>
+ <net code="9" name="Net-(D1-Pad2)">
+ <node ref="R3" pin="1"/>
+ <node ref="D1" pin="2"/>
</net>
- <net code="18" name="/CTRL_B">
- <node ref="U4" pin="4"/>
- <node ref="U5" pin="2"/>
- <node ref="U6" pin="26"/>
+ <net code="10" name="Net-(C23-Pad1)">
+ <node ref="C23" pin="1"/>
+ <node ref="U1" pin="8"/>
+ <node ref="D1" pin="1"/>
</net>
- <net code="19" name="/USB_DM">
- <node ref="U6" pin="32"/>
- <node ref="R25" pin="1"/>
+ <net code="11" name="Net-(R2-Pad2)">
+ <node ref="U1" pin="4"/>
+ <node ref="R2" pin="2"/>
</net>
- <net code="20" name="Net-(J4-Pad2)">
- <node ref="J4" pin="2"/>
- <node ref="R25" pin="2"/>
+ <net code="12" name="Net-(U7-Pad3)">
+ <node ref="U7" pin="3"/>
</net>
- <net code="21" name="Net-(R10-Pad1)">
- <node ref="U6" pin="7"/>
- <node ref="R10" pin="1"/>
+ <net code="13" name="/GND_MEAS">
+ <node ref="U8" pin="5"/>
+ <node ref="U8" pin="6"/>
+ <node ref="C6" pin="2"/>
+ <node ref="Q3" pin="3"/>
+ <node ref="U8" pin="4"/>
+ <node ref="R30" pin="1"/>
+ <node ref="U7" pin="10"/>
+ <node ref="R32" pin="1"/>
+ <node ref="R31" pin="1"/>
+ <node ref="R28" pin="1"/>
+ <node ref="U8" pin="7"/>
+ <node ref="Q4" pin="3"/>
+ <node ref="R29" pin="1"/>
+ <node ref="R36" pin="2"/>
</net>
- <net code="22" name="/USB_DP">
- <node ref="R24" pin="2"/>
- <node ref="U6" pin="33"/>
+ <net code="14" name="Net-(R17-Pad1)">
+ <node ref="U2" pin="6"/>
+ <node ref="R17" pin="1"/>
</net>
- <net code="23" name="Net-(J4-Pad1)">
- <node ref="J4" pin="1"/>
+ <net code="15" name="Net-(R11-Pad1)">
+ <node ref="U2" pin="7"/>
+ <node ref="R11" pin="1"/>
</net>
- <net code="24" name="Net-(J4-Pad4)">
- <node ref="J4" pin="4"/>
+ <net code="16" name="Net-(U2-Pad9)">
+ <node ref="U2" pin="9"/>
</net>
- <net code="25" name="Net-(R36-Pad1)">
- <node ref="R36" pin="1"/>
- <node ref="U10" pin="3"/>
- <node ref="R39" pin="2"/>
+ <net code="17" name="/VIN_MON">
+ <node ref="U2" pin="12"/>
+ <node ref="U6" pin="10"/>
+ <node ref="C21" pin="1"/>
+ <node ref="R8" pin="2"/>
+ <node ref="TP13" pin="1"/>
+ <node ref="R9" pin="2"/>
</net>
- <net code="26" name="Net-(J4-Pad3)">
- <node ref="R24" pin="1"/>
- <node ref="J4" pin="3"/>
- <node ref="R23" pin="1"/>
+ <net code="18" name="/CLED2">
+ <node ref="R17" pin="2"/>
+ <node ref="J4" pin="10"/>
</net>
- <net code="27" name="/UART_TX">
- <node ref="U6" pin="30"/>
- <node ref="R33" pin="1"/>
- <node ref="J3" pin="2"/>
+ <net code="19" name="/CLED3">
+ <node ref="J5" pin="12"/>
+ <node ref="R6" pin="2"/>
</net>
- <net code="28" name="/UART_RX">
- <node ref="J3" pin="3"/>
- <node ref="U6" pin="31"/>
- <node ref="R36" pin="2"/>
+ <net code="20" name="/CLED4">
+ <node ref="J5" pin="10"/>
+ <node ref="R12" pin="2"/>
</net>
- <net code="29" name="Net-(U8-Pad3)">
- <node ref="U8" pin="3"/>
+ <net code="21" name="/CLED1">
+ <node ref="R11" pin="2"/>
+ <node ref="J4" pin="12"/>
</net>
- <net code="30" name="/SW1">
- <node ref="U6" pin="28"/>
- <node ref="SW2" pin="2"/>
+ <net code="22" name="/BUS_VCC">
+ <node ref="J5" pin="2"/>
+ <node ref="J4" pin="2"/>
+ <node ref="J4" pin="1"/>
+ <node ref="J5" pin="1"/>
</net>
- <net code="31" name="/SW0">
- <node ref="U6" pin="27"/>
- <node ref="SW1" pin="2"/>
+ <net code="23" name="Net-(C4-Pad1)">
+ <node ref="C4" pin="1"/>
+ <node ref="R4" pin="1"/>
</net>
- <net code="32" name="/SDA_INA">
- <node ref="R26" pin="2"/>
- <node ref="U6" pin="43"/>
- <node ref="U7" pin="4"/>
- <node ref="U8" pin="1"/>
+ <net code="24" name="Net-(R4-Pad2)">
+ <node ref="U3" pin="8"/>
+ <node ref="R4" pin="2"/>
</net>
- <net code="33" name="/LED3">
- <node ref="U6" pin="13"/>
- <node ref="R14" pin="1"/>
+ <net code="25" name="/PAD">
+ <node ref="U5" pin="4"/>
</net>
- <net code="34" name="/SWDIO">
- <node ref="U6" pin="34"/>
- <node ref="J9" pin="4"/>
+ <net code="26" name="/SLED1">
+ <node ref="R13" pin="1"/>
+ <node ref="U2" pin="3"/>
</net>
- <net code="35" name="/SWCLK">
- <node ref="J9" pin="2"/>
- <node ref="U6" pin="37"/>
+ <net code="27" name="/CTRL_B">
+ <node ref="U6" pin="14"/>
+ <node ref="U1" pin="3"/>
+ <node ref="U4" pin="2"/>
+ <node ref="R20" pin="1"/>
+ <node ref="TP1" pin="1"/>
</net>
- <net code="36" name="/LED6">
- <node ref="R17" pin="1"/>
- <node ref="U6" pin="2"/>
+ <net code="28" name="+12V">
+ <node ref="L1" pin="1"/>
+ <node ref="U5" pin="3"/>
+ <node ref="C7" pin="1"/>
+ <node ref="J10" pin="1"/>
+ <node ref="R5" pin="1"/>
+ <node ref="R7" pin="1"/>
+ <node ref="C16" pin="1"/>
+ <node ref="C15" pin="1"/>
+ <node ref="TP2" pin="1"/>
</net>
- <net code="37" name="/LED0">
- <node ref="U6" pin="3"/>
- <node ref="R11" pin="1"/>
+ <net code="29" name="Net-(C24-Pad1)">
+ <node ref="R19" pin="2"/>
+ <node ref="C24" pin="1"/>
+ <node ref="U4" pin="1"/>
</net>
- <net code="38" name="/DRV4F">
- <node ref="R22" pin="1"/>
- <node ref="C28" pin="2"/>
- <node ref="Q1" pin="2"/>
+ <net code="30" name="Net-(U3-Pad6)">
+ <node ref="U3" pin="6"/>
</net>
- <net code="39" name="/DRV1F">
- <node ref="C25" pin="1"/>
- <node ref="Q4" pin="2"/>
- <node ref="R19" pin="1"/>
+ <net code="31" name="Net-(U3-Pad7)">
+ <node ref="U3" pin="7"/>
</net>
- <net code="40" name="/DRV3F">
- <node ref="R21" pin="1"/>
- <node ref="Q3" pin="2"/>
- <node ref="C27" pin="2"/>
+ <net code="32" name="/ILIM">
+ <node ref="U3" pin="9"/>
+ <node ref="U3" pin="2"/>
+ <node ref="R1" pin="1"/>
</net>
- <net code="41" name="/DRV2F">
- <node ref="R20" pin="1"/>
- <node ref="C26" pin="1"/>
- <node ref="Q2" pin="2"/>
+ <net code="33" name="Net-(D3-Pad2)">
+ <node ref="D3" pin="2"/>
+ <node ref="R19" pin="1"/>
</net>
- <net code="42" name="Net-(D7-Pad2)">
- <node ref="D7" pin="2"/>
- <node ref="R13" pin="2"/>
+ <net code="34" name="Net-(C10-Pad1)">
+ <node ref="C10" pin="1"/>
+ <node ref="D3" pin="1"/>
+ <node ref="U4" pin="8"/>
</net>
- <net code="43" name="Net-(D5-Pad2)">
- <node ref="R14" pin="2"/>
- <node ref="D5" pin="2"/>
+ <net code="35" name="Net-(C2-Pad1)">
+ <node ref="U1" pin="1"/>
+ <node ref="C2" pin="1"/>
+ <node ref="R3" pin="2"/>
</net>
- <net code="44" name="Net-(D6-Pad2)">
- <node ref="D6" pin="2"/>
- <node ref="R15" pin="2"/>
+ <net code="36" name="+VSW">
+ <node ref="U3" pin="3"/>
+ <node ref="C1" pin="1"/>
+ <node ref="R1" pin="2"/>
+ <node ref="R8" pin="1"/>
+ <node ref="C3" pin="1"/>
</net>
- <net code="45" name="Net-(D4-Pad2)">
- <node ref="D4" pin="2"/>
- <node ref="R16" pin="2"/>
+ <net code="37" name="Net-(C4-Pad2)">
+ <node ref="L1" pin="2"/>
+ <node ref="D2" pin="1"/>
+ <node ref="C4" pin="2"/>
+ <node ref="U3" pin="1"/>
+ <node ref="R5" pin="2"/>
</net>
- <net code="46" name="Net-(D9-Pad2)">
- <node ref="D9" pin="2"/>
- <node ref="R17" pin="2"/>
+ <net code="38" name="Net-(C5-Pad1)">
+ <node ref="R7" pin="2"/>
+ <node ref="U3" pin="5"/>
+ <node ref="C5" pin="1"/>
+ <node ref="R18" pin="1"/>
</net>
- <net code="47" name="Net-(D8-Pad2)">
- <node ref="R18" pin="2"/>
- <node ref="D8" pin="2"/>
+ <net code="39" name="/XT1">
+ <node ref="U6" pin="3"/>
+ <node ref="Y1" pin="3"/>
+ <node ref="C20" pin="2"/>
</net>
- <net code="48" name="Net-(D2-Pad2)">
- <node ref="D2" pin="2"/>
- <node ref="R11" pin="2"/>
+ <net code="40" name="/XT2">
+ <node ref="U6" pin="2"/>
+ <node ref="Y1" pin="1"/>
+ <node ref="C22" pin="1"/>
</net>
- <net code="49" name="Net-(D3-Pad2)">
- <node ref="D3" pin="2"/>
- <node ref="R12" pin="2"/>
+ <net code="41" name="/RST">
+ <node ref="U6" pin="4"/>
+ <node ref="R10" pin="1"/>
</net>
- <net code="50" name="/LED7">
- <node ref="R18" pin="1"/>
+ <net code="42" name="/SCL">
<node ref="U6" pin="17"/>
+ <node ref="TP10" pin="1"/>
+ <node ref="U7" pin="5"/>
+ <node ref="U8" pin="2"/>
+ <node ref="J6" pin="1"/>
+ <node ref="R27" pin="2"/>
</net>
- <net code="51" name="/LED1">
- <node ref="R12" pin="1"/>
- <node ref="U6" pin="19"/>
- </net>
- <net code="52" name="/LED2">
- <node ref="R13" pin="1"/>
- <node ref="U6" pin="15"/>
- </net>
- <net code="53" name="/LED4">
- <node ref="U6" pin="14"/>
- <node ref="R15" pin="1"/>
+ <net code="43" name="/SDA">
+ <node ref="U7" pin="4"/>
+ <node ref="U8" pin="1"/>
+ <node ref="U6" pin="18"/>
+ <node ref="R26" pin="2"/>
+ <node ref="J6" pin="2"/>
+ <node ref="TP11" pin="1"/>
</net>
- <net code="54" name="/LED5">
- <node ref="R16" pin="1"/>
- <node ref="U6" pin="16"/>
+ <net code="44" name="Net-(D7-Pad1)">
+ <node ref="R13" pin="2"/>
+ <node ref="D7" pin="1"/>
</net>
- <net code="55" name="/DRV4">
- <node ref="R22" pin="2"/>
- <node ref="U5" pin="5"/>
+ <net code="45" name="Net-(D5-Pad1)">
+ <node ref="D5" pin="1"/>
+ <node ref="R14" pin="2"/>
</net>
- <net code="56" name="/DRV2">
- <node ref="U5" pin="7"/>
- <node ref="R20" pin="2"/>
+ <net code="46" name="Net-(D6-Pad1)">
+ <node ref="D6" pin="1"/>
+ <node ref="R15" pin="2"/>
</net>
- <net code="57" name="Net-(U6-Pad38)">
- <node ref="U6" pin="38"/>
+ <net code="47" name="Net-(D4-Pad1)">
+ <node ref="D4" pin="1"/>
+ <node ref="R16" pin="2"/>
</net>
- <net code="58" name="Net-(U6-Pad12)">
+ <net code="48" name="/CTRL_A">
+ <node ref="U1" pin="2"/>
+ <node ref="R24" pin="1"/>
+ <node ref="TP8" pin="1"/>
+ <node ref="U4" pin="3"/>
<node ref="U6" pin="12"/>
</net>
- <net code="59" name="Net-(U6-Pad18)">
- <node ref="U6" pin="18"/>
+ <net code="49" name="/VBOOT_MON">
+ <node ref="U6" pin="6"/>
</net>
- <net code="60" name="Net-(C15-Pad1)">
- <node ref="R7" pin="2"/>
- <node ref="C15" pin="1"/>
- <node ref="C16" pin="1"/>
- <node ref="U2" pin="3"/>
- <node ref="U3" pin="3"/>
+ <net code="50" name="/DE">
+ <node ref="R23" pin="1"/>
+ <node ref="U13" pin="2"/>
+ <node ref="U6" pin="7"/>
+ <node ref="U13" pin="3"/>
</net>
- <net code="61" name="/OUT_A">
- <node ref="J1" pin="3"/>
- <node ref="J1" pin="2"/>
- <node ref="Q3" pin="1"/>
- <node ref="J1" pin="1"/>
- <node ref="Q1" pin="3"/>
+ <net code="51" name="/TX">
+ <node ref="U6" pin="8"/>
+ <node ref="U13" pin="4"/>
</net>
- <net code="62" name="/GND_MEAS">
- <node ref="U8" pin="7"/>
- <node ref="U8" pin="6"/>
- <node ref="U8" pin="5"/>
- <node ref="U8" pin="4"/>
- <node ref="Q4" pin="3"/>
- <node ref="R28" pin="1"/>
- <node ref="Q3" pin="3"/>
- <node ref="R29" pin="1"/>
- <node ref="U7" pin="10"/>
- <node ref="R32" pin="1"/>
- <node ref="R31" pin="1"/>
- <node ref="R30" pin="1"/>
+ <net code="52" name="/RX">
+ <node ref="U13" pin="1"/>
+ <node ref="U6" pin="9"/>
</net>
- <net code="63" name="Net-(U7-Pad3)">
- <node ref="U7" pin="3"/>
+ <net code="53" name="/RX485_A">
+ <node ref="J4" pin="5"/>
+ <node ref="U13" pin="6"/>
+ <node ref="J5" pin="5"/>
</net>
- <net code="64" name="/DRV3">
- <node ref="U4" pin="5"/>
- <node ref="R21" pin="2"/>
+ <net code="54" name="Net-(R12-Pad1)">
+ <node ref="U2" pin="4"/>
+ <node ref="R12" pin="1"/>
</net>
- <net code="65" name="/OUT_B">
- <node ref="J2" pin="1"/>
- <node ref="J2" pin="2"/>
- <node ref="J2" pin="3"/>
- <node ref="Q2" pin="3"/>
- <node ref="Q4" pin="1"/>
+ <net code="55" name="Net-(R6-Pad1)">
+ <node ref="R6" pin="1"/>
+ <node ref="U2" pin="5"/>
</net>
- <net code="66" name="/DRV1">
- <node ref="R19" pin="2"/>
- <node ref="U4" pin="7"/>
+ <net code="56" name="/GND_RS485">
+ <node ref="J5" pin="6"/>
+ <node ref="R39" pin="2"/>
+ <node ref="J5" pin="SH"/>
+ <node ref="J5" pin="3"/>
+ <node ref="J4" pin="3"/>
+ <node ref="J4" pin="6"/>
+ <node ref="J4" pin="SH"/>
</net>
- <net code="67" name="Net-(U6-Pad25)">
- <node ref="U6" pin="25"/>
+ <net code="57" name="/RS485_B">
+ <node ref="U13" pin="7"/>
+ <node ref="J4" pin="4"/>
+ <node ref="J5" pin="4"/>
</net>
- <net code="68" name="/VIN">
- <node ref="R8" pin="1"/>
- <node ref="J7" pin="3"/>
- <node ref="J7" pin="2"/>
- <node ref="J7" pin="1"/>
- <node ref="Q1" pin="1"/>
- <node ref="Q2" pin="1"/>
- <node ref="U7" pin="8"/>
- <node ref="R1" pin="1"/>
- <node ref="R7" pin="1"/>
+ <net code="58" name="Net-(J4-Pad7)">
+ <node ref="J4" pin="7"/>
</net>
- <net code="69" name="Net-(C22-Pad1)">
- <node ref="Y1" pin="1"/>
- <node ref="C22" pin="1"/>
- <node ref="U6" pin="6"/>
+ <net code="59" name="Net-(J4-Pad8)">
+ <node ref="J4" pin="8"/>
</net>
- <net code="70" name="Net-(C20-Pad2)">
- <node ref="Y1" pin="3"/>
- <node ref="U6" pin="5"/>
- <node ref="C20" pin="2"/>
+ <net code="60" name="Net-(U8-Pad3)">
+ <node ref="U8" pin="3"/>
</net>
- <net code="71" name="Net-(C3-Pad1)">
- <node ref="L1" pin="1"/>
- <node ref="U1" pin="5"/>
- <node ref="C3" pin="1"/>
- <node ref="C4" pin="1"/>
- <node ref="R1" pin="2"/>
- <node ref="U1" pin="4"/>
+ <net code="61" name="/SLED2">
+ <node ref="U2" pin="2"/>
+ <node ref="R14" pin="1"/>
</net>
- <net code="72" name="Net-(R2-Pad1)">
- <node ref="R2" pin="1"/>
- <node ref="R4" pin="2"/>
- <node ref="U1" pin="3"/>
+ <net code="62" name="/SLED3">
+ <node ref="R15" pin="1"/>
+ <node ref="U2" pin="1"/>
+ </net>
+ <net code="63" name="/SLED4">
+ <node ref="U2" pin="15"/>
+ <node ref="R16" pin="1"/>
</net>
- <net code="73" name="Net-(U6-Pad20)">
+ <net code="64" name="/SWCLK">
<node ref="U6" pin="20"/>
+ <node ref="J9" pin="2"/>
</net>
- <net code="74" name="Net-(U6-Pad39)">
- <node ref="U6" pin="39"/>
+ <net code="65" name="/SWDIO">
+ <node ref="J9" pin="4"/>
+ <node ref="U6" pin="19"/>
</net>
- <net code="75" name="Net-(U6-Pad40)">
- <node ref="U6" pin="40"/>
+ <net code="66" name="Net-(J5-Pad7)">
+ <node ref="J5" pin="7"/>
</net>
- <net code="76" name="Net-(U6-Pad41)">
- <node ref="U6" pin="41"/>
+ <net code="67" name="Net-(J5-Pad8)">
+ <node ref="J5" pin="8"/>
</net>
- <net code="77" name="Net-(U6-Pad45)">
- <node ref="U6" pin="45"/>
+ <net code="68" name="/OUT_A">
+ <node ref="C23" pin="2"/>
+ <node ref="Q3" pin="1"/>
+ <node ref="Q1" pin="3"/>
+ <node ref="U1" pin="6"/>
+ <node ref="J1" pin="1"/>
+ <node ref="J1" pin="2"/>
+ <node ref="J1" pin="3"/>
</net>
- <net code="78" name="Net-(U6-Pad46)">
- <node ref="U6" pin="46"/>
+ <net code="69" name="/OUT_B">
+ <node ref="J2" pin="1"/>
+ <node ref="U4" pin="6"/>
+ <node ref="Q4" pin="1"/>
+ <node ref="Q2" pin="3"/>
+ <node ref="J2" pin="2"/>
+ <node ref="J2" pin="3"/>
+ <node ref="C10" pin="2"/>
</net>
- <net code="79" name="Net-(U6-Pad4)">
- <node ref="U6" pin="4"/>
+ <net code="70" name="/DRV1">
+ <node ref="Q1" pin="2"/>
+ <node ref="U1" pin="7"/>
</net>
- <net code="80" name="Net-(D1-Pad2)">
- <node ref="D1" pin="2"/>
- <node ref="U1" pin="1"/>
- <node ref="L1" pin="2"/>
+ <net code="71" name="/DRV3">
+ <node ref="U1" pin="5"/>
+ <node ref="Q3" pin="2"/>
</net>
- <net code="81" name="+VSW">
- <node ref="R2" pin="2"/>
- <node ref="C2" pin="1"/>
- <node ref="C24" pin="1"/>
- <node ref="C23" pin="1"/>
- <node ref="U5" pin="6"/>
- <node ref="U4" pin="6"/>
- <node ref="D1" pin="1"/>
- <node ref="C1" pin="1"/>
- <node ref="R3" pin="1"/>
+ <net code="72" name="/DRV4">
+ <node ref="U4" pin="5"/>
+ <node ref="Q4" pin="2"/>
+ </net>
+ <net code="73" name="/DRV2">
+ <node ref="Q2" pin="2"/>
+ <node ref="U4" pin="7"/>
+ </net>
+ <net code="74" name="/VIN">
+ <node ref="TP4" pin="1"/>
+ <node ref="Q2" pin="1"/>
+ <node ref="J7" pin="3"/>
+ <node ref="J7" pin="2"/>
+ <node ref="J7" pin="1"/>
+ <node ref="U7" pin="8"/>
+ <node ref="Q1" pin="1"/>
</net>
</nets>
</export>
diff --git a/driver/fp-info-cache b/driver/fp-info-cache
index b01f5a4..082f43b 100644
--- a/driver/fp-info-cache
+++ b/driver/fp-info-cache
@@ -1,393 +1,400 @@
-86372609568938
-TestPoint
-TestPoint_2Pads_Pitch2.54mm_Drill0.8mm
-Test{space}point{space}with{space}2{space}pins,{space}pitch{space}2.54mm,{space}drill{space}diameter{space}0.8mm
-CONN{space}DEV
-0
-2
-2
-TestPoint
-TestPoint_Bridge_Pitch2.0mm_Drill0.7mm
-wire{space}loop{space}as{space}test{space}point,{space}pitch{space}2.0mm,{space}hole{space}diameter{space}0.7mm,{space}wire{space}diameter{space}0.5mm
-test{space}point{space}wire{space}loop
-0
-2
-1
-TestPoint
-TestPoint_Bridge_Pitch2.54mm_Drill0.7mm
-wire{space}loop{space}as{space}test{space}point,{space}pitch{space}2.0mm,{space}hole{space}diameter{space}0.7mm,{space}wire{space}diameter{space}0.5mm
-test{space}point{space}wire{space}loop
-0
-2
-1
-TestPoint
-TestPoint_Bridge_Pitch2.54mm_Drill1.0mm
-wire{space}loop{space}as{space}test{space}point,{space}pitch{space}2.54mm,{space}hole{space}diameter{space}1.0mm,{space}wire{space}diameter{space}0.8mm
-test{space}point{space}wire{space}loop
-0
-2
-1
-TestPoint
-TestPoint_Bridge_Pitch2.54mm_Drill1.3mm
-wire{space}loop{space}as{space}test{space}point,{space}pitch{space}2.54mm,{space}hole{space}diameter{space}1.3mm,{space}wire{space}diameter{space}1.0mm
-test{space}point{space}wire{space}loop
-0
-2
-1
-TestPoint
-TestPoint_Bridge_Pitch3.81mm_Drill1.3mm
-wire{space}loop{space}as{space}test{space}point,{space}pitch{space}3.81mm,{space}hole{space}diameter{space}1.3mm,{space}wire{space}diameter{space}1.0mm
-test{space}point{space}wire{space}loop
-0
-2
-1
-TestPoint
-TestPoint_Bridge_Pitch5.08mm_Drill0.7mm
-wire{space}loop{space}as{space}test{space}point,{space}pitch{space}5.08mm,{space}hole{space}diameter{space}0.7mm,{space}wire{space}diameter{space}1.0mm
-test{space}point{space}wire{space}loop
-0
-2
-1
-TestPoint
-TestPoint_Bridge_Pitch5.08mm_Drill1.3mm
-wire{space}loop{space}as{space}test{space}point,{space}pitch{space}5.08mm,{space}hole{space}diameter{space}1.3mm,{space}wire{space}diameter{space}1.0mm
-test{space}point{space}wire{space}loop
-0
-2
-1
-TestPoint
-TestPoint_Bridge_Pitch6.35mm_Drill1.3mm
-wire{space}loop{space}as{space}test{space}point,{space}pitch{space}6.35mm,{space}hole{space}diameter{space}1.3mm,{space}wire{space}diameter{space}1.0mm
-test{space}point{space}wire{space}loop
-0
-2
-1
-TestPoint
-TestPoint_Bridge_Pitch7.62mm_Drill1.3mm
-wire{space}loop{space}as{space}test{space}point,{space}pitch{space}7.62mm,{space}hole{space}diameter{space}1.3mm,{space}wire{space}diameter{space}1.0mm
-test{space}point{space}wire{space}loop
-0
-2
-1
-TestPoint
-TestPoint_Keystone_5000-5004_Miniature
-Keystone{space}Miniature{space}THM{space}Test{space}Point{space}5000-5004,{space}http://www.keyelco.com/product-pdf.cfm?p=1309
-Through{space}Hole{space}Mount{space}Test{space}Points
-0
-1
-1
-TestPoint
-TestPoint_Keystone_5005-5009_Compact
-Keystone{space}Miniature{space}THM{space}Test{space}Point{space}5005-5009,{space}http://www.keyelco.com/product-pdf.cfm?p=1314
-Through{space}Hole{space}Mount{space}Test{space}Points
-0
-1
-1
-TestPoint
-TestPoint_Keystone_5010-5014_Multipurpose
-Keystone{space}Miniature{space}THM{space}Test{space}Point{space}5010-5014,{space}http://www.keyelco.com/product-pdf.cfm?p=1319
-Through{space}Hole{space}Mount{space}Test{space}Points
-0
-1
-1
-TestPoint
-TestPoint_Keystone_5015_Micro-Minature
-SMT{space}Test{space}Point-{space}Micro{space}Miniature{space}5015,{space}http://www.keyelco.com/product-pdf.cfm?p=1353
-Test{space}Point
-0
-1
-1
-TestPoint
-TestPoint_Keystone_5019_Minature
-SMT{space}Test{space}Point-{space}Micro{space}Miniature{space}5019,{space}http://www.keyelco.com/product-pdf.cfm?p=1357
-Test{space}Point
-0
-1
-1
-TestPoint
-TestPoint_Loop_D1.80mm_Drill1.0mm_Beaded
-wire{space}loop{space}with{space}bead{space}as{space}test{space}point,{space}loop{space}diameter{space}1.8mm,{space}hole{space}diameter{space}1.0mm
-test{space}point{space}wire{space}loop{space}bead
-0
-1
-1
-TestPoint
-TestPoint_Loop_D2.50mm_Drill1.0mm
-wire{space}loop{space}as{space}test{space}point,{space}loop{space}diameter{space}2.5mm,{space}hole{space}diameter{space}1.0mm
-test{space}point{space}wire{space}loop{space}bead
-0
-1
-1
-TestPoint
-TestPoint_Loop_D2.50mm_Drill1.0mm_LowProfile
-low{space}profile{space}wire{space}loop{space}as{space}test{space}point,{space}loop{space}diameter{space}2.5mm,{space}hole{space}diameter{space}1.0mm
-test{space}point{space}wire{space}loop{space}bead
-0
-1
-1
-TestPoint
-TestPoint_Loop_D2.50mm_Drill1.85mm
-wire{space}loop{space}as{space}test{space}point,{space}loop{space}diameter{space}2.5mm,{space}hole{space}diameter{space}1.85mm
-test{space}point{space}wire{space}loop{space}bead
-0
-1
-1
-TestPoint
-TestPoint_Loop_D2.54mm_Drill1.5mm_Beaded
-wire{space}loop{space}with{space}bead{space}as{space}test{space}point,{space}loop{space}diameter2.548mm,{space}hole{space}diameter{space}1.5mm
-test{space}point{space}wire{space}loop{space}bead
-0
-1
-1
-TestPoint
-TestPoint_Loop_D2.60mm_Drill0.9mm_Beaded
-wire{space}loop{space}with{space}bead{space}as{space}test{space}point,{space}loop{space}diameter2.6mm,{space}hole{space}diameter{space}0.9mm
-test{space}point{space}wire{space}loop{space}bead
-0
-1
-1
-TestPoint
-TestPoint_Loop_D2.60mm_Drill1.4mm_Beaded
-wire{space}loop{space}with{space}bead{space}as{space}test{space}point,{space}loop{space}diameter2.6mm,{space}hole{space}diameter{space}1.4mm
-test{space}point{space}wire{space}loop{space}bead
-0
-1
-1
-TestPoint
-TestPoint_Loop_D2.60mm_Drill1.6mm_Beaded
-wire{space}loop{space}with{space}bead{space}as{space}test{space}point,{space}loop{space}diameter2.6mm,{space}hole{space}diameter{space}1.6mm
-test{space}point{space}wire{space}loop{space}bead
-0
-1
-1
-TestPoint
-TestPoint_Loop_D3.50mm_Drill0.9mm_Beaded
-wire{space}loop{space}with{space}bead{space}as{space}test{space}point,{space}loop{space}diameter2.6mm,{space}hole{space}diameter{space}0.9mm
-test{space}point{space}wire{space}loop{space}bead
-0
-1
-1
-TestPoint
-TestPoint_Loop_D3.50mm_Drill1.4mm_Beaded
-wire{space}loop{space}with{space}bead{space}as{space}test{space}point,{space}loop{space}diameter{space}3.5mm,{space}hole{space}diameter{space}1.4mm
-test{space}point{space}wire{space}loop{space}bead
-0
-1
-1
-TestPoint
-TestPoint_Loop_D3.80mm_Drill2.0mm
-wire{space}loop{space}as{space}test{space}point,{space}loop{space}diameter{space}3.8mm,{space}hole{space}diameter{space}2.0mm
-test{space}point{space}wire{space}loop{space}bead
-0
-1
-1
-TestPoint
-TestPoint_Loop_D3.80mm_Drill2.5mm
-wire{space}loop{space}as{space}test{space}point,{space}loop{space}diameter{space}3.8mm,{space}hole{space}diameter{space}2.5mm
-test{space}point{space}wire{space}loop{space}bead
-0
-1
-1
-TestPoint
-TestPoint_Loop_D3.80mm_Drill2.8mm
-wire{space}loop{space}as{space}test{space}point,{space}loop{space}diameter{space}3.8mm,{space}hole{space}diameter{space}2.8mm
-test{space}point{space}wire{space}loop{space}bead
-0
-1
-1
-TestPoint
-TestPoint_Pad_1.0x1.0mm
-SMD{space}rectangular{space}pad{space}as{space}test{space}Point,{space}square{space}1.0mm{space}side{space}length
-test{space}point{space}SMD{space}pad{space}rectangle{space}square
-0
-1
-1
-TestPoint
-TestPoint_Pad_1.5x1.5mm
-SMD{space}rectangular{space}pad{space}as{space}test{space}Point,{space}square{space}1.5mm{space}side{space}length
-test{space}point{space}SMD{space}pad{space}rectangle{space}square
-0
-1
-1
-TestPoint
-TestPoint_Pad_2.0x2.0mm
-SMD{space}rectangular{space}pad{space}as{space}test{space}Point,{space}square{space}2.0mm{space}side{space}length
-test{space}point{space}SMD{space}pad{space}rectangle{space}square
-0
-1
-1
-TestPoint
-TestPoint_Pad_2.5x2.5mm
-SMD{space}rectangular{space}pad{space}as{space}test{space}Point,{space}square{space}2.5mm{space}side{space}length
-test{space}point{space}SMD{space}pad{space}rectangle{space}square
-0
-1
-1
-TestPoint
-TestPoint_Pad_3.0x3.0mm
-SMD{space}rectangular{space}pad{space}as{space}test{space}Point,{space}square{space}3.0mm{space}side{space}length
-test{space}point{space}SMD{space}pad{space}rectangle{space}square
-0
-1
-1
-TestPoint
-TestPoint_Pad_4.0x4.0mm
-SMD{space}rectangular{space}pad{space}as{space}test{space}Point,{space}square{space}4.0mm{space}side{space}length
-test{space}point{space}SMD{space}pad{space}rectangle{space}square
-0
-1
-1
-TestPoint
-TestPoint_Pad_D1.0mm
-SMD{space}pad{space}as{space}test{space}Point,{space}diameter{space}1.0mm
-test{space}point{space}SMD{space}pad
-0
-1
-1
-TestPoint
-TestPoint_Pad_D1.5mm
-SMD{space}pad{space}as{space}test{space}Point,{space}diameter{space}1.5mm
-test{space}point{space}SMD{space}pad
-0
-1
-1
-TestPoint
-TestPoint_Pad_D2.0mm
-SMD{space}pad{space}as{space}test{space}Point,{space}diameter{space}2.0mm
-test{space}point{space}SMD{space}pad
-0
-1
-1
-TestPoint
-TestPoint_Pad_D2.5mm
-SMD{space}pad{space}as{space}test{space}Point,{space}diameter{space}2.5mm
-test{space}point{space}SMD{space}pad
-0
-1
-1
-TestPoint
-TestPoint_Pad_D3.0mm
-SMD{space}pad{space}as{space}test{space}Point,{space}diameter{space}3.0mm
-test{space}point{space}SMD{space}pad
-0
-1
-1
-TestPoint
-TestPoint_Pad_D4.0mm
-SMD{space}pad{space}as{space}test{space}Point,{space}diameter{space}4.0mm
-test{space}point{space}SMD{space}pad
-0
-1
-1
-TestPoint
-TestPoint_Plated_Hole_D2.0mm
-Plated{space}Hole{space}as{space}test{space}Point,{space}diameter{space}2.0mm
-test{space}point{space}plated{space}hole
-0
-1
-1
-TestPoint
-TestPoint_Plated_Hole_D3.0mm
-Plated{space}Hole{space}as{space}test{space}Point,{space}diameter{space}3.0mm
-test{space}point{space}plated{space}hole
-0
-1
-1
-TestPoint
-TestPoint_Plated_Hole_D4.0mm
-Plated{space}Hole{space}as{space}test{space}Point,{space}diameter{space}4.0mm
-test{space}point{space}plated{space}hole
-0
-1
-1
-TestPoint
-TestPoint_Plated_Hole_D5.0mm
-Plated{space}Hole{space}as{space}test{space}Point,{space}diameter{space}5.0mm
-test{space}point{space}plated{space}hole
-0
-1
-1
-TestPoint
-TestPoint_THTPad_1.0x1.0mm_Drill0.5mm
-THT{space}rectangular{space}pad{space}as{space}test{space}Point,{space}square{space}1.0mm{space}side{space}length,{space}hole{space}diameter{space}0.5mm
-test{space}point{space}THT{space}pad{space}rectangle{space}square
-0
-1
-1
-TestPoint
-TestPoint_THTPad_1.5x1.5mm_Drill0.7mm
-THT{space}rectangular{space}pad{space}as{space}test{space}Point,{space}square{space}1.5mm{space}side{space}length,{space}hole{space}diameter{space}0.7mm
-test{space}point{space}THT{space}pad{space}rectangle{space}square
-0
-1
-1
-TestPoint
-TestPoint_THTPad_2.0x2.0mm_Drill1.0mm
-THT{space}rectangular{space}pad{space}as{space}test{space}Point,{space}square{space}2.0mm_Drill1.0mm{space}{space}side{space}length,{space}hole{space}diameter{space}1.0mm
-test{space}point{space}THT{space}pad{space}rectangle{space}square
-0
-1
-1
-TestPoint
-TestPoint_THTPad_2.5x2.5mm_Drill1.2mm
-THT{space}rectangular{space}pad{space}as{space}test{space}Point,{space}square{space}2.5mm{space}side{space}length,{space}hole{space}diameter{space}1.2mm
-test{space}point{space}THT{space}pad{space}rectangle{space}square
-0
-1
-1
-TestPoint
-TestPoint_THTPad_3.0x3.0mm_Drill1.5mm
-THT{space}rectangular{space}pad{space}as{space}test{space}Point,{space}square{space}3.0mm{space}side{space}length,{space}hole{space}diameter{space}1.5mm
-test{space}point{space}THT{space}pad{space}rectangle{space}square
-0
-1
-1
-TestPoint
-TestPoint_THTPad_4.0x4.0mm_Drill2.0mm
-THT{space}rectangular{space}pad{space}as{space}test{space}Point,{space}square{space}4.0mm{space}{space}side{space}length,{space}hole{space}diameter{space}2.0mm
-test{space}point{space}THT{space}pad{space}rectangle{space}square
-0
-1
-1
-TestPoint
-TestPoint_THTPad_D1.0mm_Drill0.5mm
-THT{space}pad{space}as{space}test{space}Point,{space}diameter{space}1.0mm,{space}hole{space}diameter{space}0.5mm
-test{space}point{space}THT{space}pad
-0
-1
-1
-TestPoint
-TestPoint_THTPad_D1.5mm_Drill0.7mm
-THT{space}pad{space}as{space}test{space}Point,{space}diameter{space}1.5mm,{space}hole{space}diameter{space}0.7mm
-test{space}point{space}THT{space}pad
-0
-1
-1
-TestPoint
-TestPoint_THTPad_D2.0mm_Drill1.0mm
-THT{space}pad{space}as{space}test{space}Point,{space}diameter{space}2.0mm,{space}hole{space}diameter{space}1.0mm
-test{space}point{space}THT{space}pad
-0
-1
-1
-TestPoint
-TestPoint_THTPad_D2.5mm_Drill1.2mm
-THT{space}pad{space}as{space}test{space}Point,{space}diameter{space}2.5mm,{space}hole{space}diameter{space}1.2mm{space}
-test{space}point{space}THT{space}pad
-0
-1
-1
-TestPoint
-TestPoint_THTPad_D3.0mm_Drill1.5mm
-THT{space}pad{space}as{space}test{space}Point,{space}diameter{space}3.0mm,{space}hole{space}diameter{space}1.5mm
-test{space}point{space}THT{space}pad
-0
-1
-1
-TestPoint
-TestPoint_THTPad_D4.0mm_Drill2.0mm
-THT{space}pad{space}as{space}test{space}Point,{space}diameter{space}4.0mm,{space}hole{space}diameter{space}2.0mm
-test{space}point{space}THT{space}pad
-0
-1
-1
+89801888496938
+TestPoint
+TestPoint_2Pads_Pitch2.54mm_Drill0.8mm
+Test point with 2 pins, pitch 2.54mm, drill diameter 0.8mm
+CONN DEV
+0
+2
+2
+TestPoint
+TestPoint_2Pads_Pitch5.08mm_Drill1.3mm
+Test point with 2 pads, pitch 5.08mm, hole diameter 1.3mm, wire diameter 1.0mm
+CONN DEV
+0
+2
+2
+TestPoint
+TestPoint_Bridge_Pitch2.0mm_Drill0.7mm
+wire loop as test point, pitch 2.0mm, hole diameter 0.7mm, wire diameter 0.5mm
+test point wire loop
+0
+2
+1
+TestPoint
+TestPoint_Bridge_Pitch2.54mm_Drill0.7mm
+wire loop as test point, pitch 2.0mm, hole diameter 0.7mm, wire diameter 0.5mm
+test point wire loop
+0
+2
+1
+TestPoint
+TestPoint_Bridge_Pitch2.54mm_Drill1.0mm
+wire loop as test point, pitch 2.54mm, hole diameter 1.0mm, wire diameter 0.8mm
+test point wire loop
+0
+2
+1
+TestPoint
+TestPoint_Bridge_Pitch2.54mm_Drill1.3mm
+wire loop as test point, pitch 2.54mm, hole diameter 1.3mm, wire diameter 1.0mm
+test point wire loop
+0
+2
+1
+TestPoint
+TestPoint_Bridge_Pitch3.81mm_Drill1.3mm
+wire loop as test point, pitch 3.81mm, hole diameter 1.3mm, wire diameter 1.0mm
+test point wire loop
+0
+2
+1
+TestPoint
+TestPoint_Bridge_Pitch5.08mm_Drill0.7mm
+wire loop as test point, pitch 5.08mm, hole diameter 0.7mm, wire diameter 1.0mm
+test point wire loop
+0
+2
+1
+TestPoint
+TestPoint_Bridge_Pitch5.08mm_Drill1.3mm
+wire loop as test point, pitch 5.08mm, hole diameter 1.3mm, wire diameter 1.0mm
+test point wire loop
+0
+2
+1
+TestPoint
+TestPoint_Bridge_Pitch6.35mm_Drill1.3mm
+wire loop as test point, pitch 6.35mm, hole diameter 1.3mm, wire diameter 1.0mm
+test point wire loop
+0
+2
+1
+TestPoint
+TestPoint_Bridge_Pitch7.62mm_Drill1.3mm
+wire loop as test point, pitch 7.62mm, hole diameter 1.3mm, wire diameter 1.0mm
+test point wire loop
+0
+2
+1
+TestPoint
+TestPoint_Keystone_5000-5004_Miniature
+Keystone Miniature THM Test Point 5000-5004, http://www.keyelco.com/product-pdf.cfm?p=1309
+Through Hole Mount Test Points
+0
+1
+1
+TestPoint
+TestPoint_Keystone_5005-5009_Compact
+Keystone Miniature THM Test Point 5005-5009, http://www.keyelco.com/product-pdf.cfm?p=1314
+Through Hole Mount Test Points
+0
+1
+1
+TestPoint
+TestPoint_Keystone_5010-5014_Multipurpose
+Keystone Miniature THM Test Point 5010-5014, http://www.keyelco.com/product-pdf.cfm?p=1319
+Through Hole Mount Test Points
+0
+1
+1
+TestPoint
+TestPoint_Keystone_5015_Micro-Minature
+SMT Test Point- Micro Miniature 5015, http://www.keyelco.com/product-pdf.cfm?p=1353
+Test Point
+0
+1
+1
+TestPoint
+TestPoint_Keystone_5019_Minature
+SMT Test Point- Micro Miniature 5019, http://www.keyelco.com/product-pdf.cfm?p=1357
+Test Point
+0
+1
+1
+TestPoint
+TestPoint_Loop_D1.80mm_Drill1.0mm_Beaded
+wire loop with bead as test point, loop diameter 1.8mm, hole diameter 1.0mm
+test point wire loop bead
+0
+1
+1
+TestPoint
+TestPoint_Loop_D2.50mm_Drill1.0mm
+wire loop as test point, loop diameter 2.5mm, hole diameter 1.0mm
+test point wire loop bead
+0
+1
+1
+TestPoint
+TestPoint_Loop_D2.50mm_Drill1.0mm_LowProfile
+low profile wire loop as test point, loop diameter 2.5mm, hole diameter 1.0mm
+test point wire loop bead
+0
+1
+1
+TestPoint
+TestPoint_Loop_D2.50mm_Drill1.85mm
+wire loop as test point, loop diameter 2.5mm, hole diameter 1.85mm
+test point wire loop bead
+0
+1
+1
+TestPoint
+TestPoint_Loop_D2.54mm_Drill1.5mm_Beaded
+wire loop with bead as test point, loop diameter2.548mm, hole diameter 1.5mm
+test point wire loop bead
+0
+1
+1
+TestPoint
+TestPoint_Loop_D2.60mm_Drill0.9mm_Beaded
+wire loop with bead as test point, loop diameter2.6mm, hole diameter 0.9mm
+test point wire loop bead
+0
+1
+1
+TestPoint
+TestPoint_Loop_D2.60mm_Drill1.4mm_Beaded
+wire loop with bead as test point, loop diameter2.6mm, hole diameter 1.4mm
+test point wire loop bead
+0
+1
+1
+TestPoint
+TestPoint_Loop_D2.60mm_Drill1.6mm_Beaded
+wire loop with bead as test point, loop diameter2.6mm, hole diameter 1.6mm
+test point wire loop bead
+0
+1
+1
+TestPoint
+TestPoint_Loop_D3.50mm_Drill0.9mm_Beaded
+wire loop with bead as test point, loop diameter2.6mm, hole diameter 0.9mm
+test point wire loop bead
+0
+1
+1
+TestPoint
+TestPoint_Loop_D3.50mm_Drill1.4mm_Beaded
+wire loop with bead as test point, loop diameter 3.5mm, hole diameter 1.4mm
+test point wire loop bead
+0
+1
+1
+TestPoint
+TestPoint_Loop_D3.80mm_Drill2.0mm
+wire loop as test point, loop diameter 3.8mm, hole diameter 2.0mm
+test point wire loop bead
+0
+1
+1
+TestPoint
+TestPoint_Loop_D3.80mm_Drill2.5mm
+wire loop as test point, loop diameter 3.8mm, hole diameter 2.5mm
+test point wire loop bead
+0
+1
+1
+TestPoint
+TestPoint_Loop_D3.80mm_Drill2.8mm
+wire loop as test point, loop diameter 3.8mm, hole diameter 2.8mm
+test point wire loop bead
+0
+1
+1
+TestPoint
+TestPoint_Pad_1.0x1.0mm
+SMD rectangular pad as test Point, square 1.0mm side length
+test point SMD pad rectangle square
+0
+1
+1
+TestPoint
+TestPoint_Pad_1.5x1.5mm
+SMD rectangular pad as test Point, square 1.5mm side length
+test point SMD pad rectangle square
+0
+1
+1
+TestPoint
+TestPoint_Pad_2.0x2.0mm
+SMD rectangular pad as test Point, square 2.0mm side length
+test point SMD pad rectangle square
+0
+1
+1
+TestPoint
+TestPoint_Pad_2.5x2.5mm
+SMD rectangular pad as test Point, square 2.5mm side length
+test point SMD pad rectangle square
+0
+1
+1
+TestPoint
+TestPoint_Pad_3.0x3.0mm
+SMD rectangular pad as test Point, square 3.0mm side length
+test point SMD pad rectangle square
+0
+1
+1
+TestPoint
+TestPoint_Pad_4.0x4.0mm
+SMD rectangular pad as test Point, square 4.0mm side length
+test point SMD pad rectangle square
+0
+1
+1
+TestPoint
+TestPoint_Pad_D1.0mm
+SMD pad as test Point, diameter 1.0mm
+test point SMD pad
+0
+1
+1
+TestPoint
+TestPoint_Pad_D1.5mm
+SMD pad as test Point, diameter 1.5mm
+test point SMD pad
+0
+1
+1
+TestPoint
+TestPoint_Pad_D2.0mm
+SMD pad as test Point, diameter 2.0mm
+test point SMD pad
+0
+1
+1
+TestPoint
+TestPoint_Pad_D2.5mm
+SMD pad as test Point, diameter 2.5mm
+test point SMD pad
+0
+1
+1
+TestPoint
+TestPoint_Pad_D3.0mm
+SMD pad as test Point, diameter 3.0mm
+test point SMD pad
+0
+1
+1
+TestPoint
+TestPoint_Pad_D4.0mm
+SMD pad as test Point, diameter 4.0mm
+test point SMD pad
+0
+1
+1
+TestPoint
+TestPoint_Plated_Hole_D2.0mm
+Plated Hole as test Point, diameter 2.0mm
+test point plated hole
+0
+1
+1
+TestPoint
+TestPoint_Plated_Hole_D3.0mm
+Plated Hole as test Point, diameter 3.0mm
+test point plated hole
+0
+1
+1
+TestPoint
+TestPoint_Plated_Hole_D4.0mm
+Plated Hole as test Point, diameter 4.0mm
+test point plated hole
+0
+1
+1
+TestPoint
+TestPoint_Plated_Hole_D5.0mm
+Plated Hole as test Point, diameter 5.0mm
+test point plated hole
+0
+1
+1
+TestPoint
+TestPoint_THTPad_1.0x1.0mm_Drill0.5mm
+THT rectangular pad as test Point, square 1.0mm side length, hole diameter 0.5mm
+test point THT pad rectangle square
+0
+1
+1
+TestPoint
+TestPoint_THTPad_1.5x1.5mm_Drill0.7mm
+THT rectangular pad as test Point, square 1.5mm side length, hole diameter 0.7mm
+test point THT pad rectangle square
+0
+1
+1
+TestPoint
+TestPoint_THTPad_2.0x2.0mm_Drill1.0mm
+THT rectangular pad as test Point, square 2.0mm_Drill1.0mm side length, hole diameter 1.0mm
+test point THT pad rectangle square
+0
+1
+1
+TestPoint
+TestPoint_THTPad_2.5x2.5mm_Drill1.2mm
+THT rectangular pad as test Point, square 2.5mm side length, hole diameter 1.2mm
+test point THT pad rectangle square
+0
+1
+1
+TestPoint
+TestPoint_THTPad_3.0x3.0mm_Drill1.5mm
+THT rectangular pad as test Point, square 3.0mm side length, hole diameter 1.5mm
+test point THT pad rectangle square
+0
+1
+1
+TestPoint
+TestPoint_THTPad_4.0x4.0mm_Drill2.0mm
+THT rectangular pad as test Point, square 4.0mm side length, hole diameter 2.0mm
+test point THT pad rectangle square
+0
+1
+1
+TestPoint
+TestPoint_THTPad_D1.0mm_Drill0.5mm
+THT pad as test Point, diameter 1.0mm, hole diameter 0.5mm
+test point THT pad
+0
+1
+1
+TestPoint
+TestPoint_THTPad_D1.5mm_Drill0.7mm
+THT pad as test Point, diameter 1.5mm, hole diameter 0.7mm
+test point THT pad
+0
+1
+1
+TestPoint
+TestPoint_THTPad_D2.0mm_Drill1.0mm
+THT pad as test Point, diameter 2.0mm, hole diameter 1.0mm
+test point THT pad
+0
+1
+1
+TestPoint
+TestPoint_THTPad_D2.5mm_Drill1.2mm
+THT pad as test Point, diameter 2.5mm, hole diameter 1.2mm
+test point THT pad
+0
+1
+1
+TestPoint
+TestPoint_THTPad_D3.0mm_Drill1.5mm
+THT pad as test Point, diameter 3.0mm, hole diameter 1.5mm
+test point THT pad
+0
+1
+1
+TestPoint
+TestPoint_THTPad_D4.0mm_Drill2.0mm
+THT pad as test Point, diameter 4.0mm, hole diameter 2.0mm
+test point THT pad
+0
+1
+1
diff --git a/driver/gerber/driver-B_Cu.gbr b/driver/gerber/driver-B_Cu.gbr
index adb8db6..061fe67 100644
--- a/driver/gerber/driver-B_Cu.gbr
+++ b/driver/gerber/driver-B_Cu.gbr
@@ -1,7418 +1,8035 @@
-G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.0-344-gd281f051e)*
-G04 #@! TF.CreationDate,2019-04-25T22:00:11+09:00*
-G04 #@! TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,rev?*
-G04 #@! TF.SameCoordinates,Original*
-G04 #@! TF.FileFunction,Copper,L2,Bot*
-G04 #@! TF.FilePolarity,Positive*
-%FSLAX46Y46*%
-G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW (5.1.0-344-gd281f051e)) date 2019-04-25 22:00:11*
-%MOMM*%
-%LPD*%
-G04 APERTURE LIST*
-%ADD10O,2.000000X2.600000*%
-%ADD11R,2.000000X2.600000*%
-%ADD12R,0.750000X1.200000*%
-%ADD13R,2.600000X2.600000*%
-%ADD14C,2.600000*%
-%ADD15C,8.000000*%
-%ADD16C,2.000000*%
-%ADD17R,2.000000X2.000000*%
-%ADD18R,1.700000X1.700000*%
-%ADD19O,1.700000X1.700000*%
-%ADD20R,2.600000X2.000000*%
-%ADD21O,2.600000X2.000000*%
-%ADD22C,1.500000*%
-%ADD23R,1.500000X1.500000*%
-%ADD24C,0.800000*%
-%ADD25C,0.500000*%
-%ADD26C,1.200000*%
-%ADD27C,0.800000*%
-%ADD28C,0.250000*%
-%ADD29C,0.254000*%
-G04 APERTURE END LIST*
-D10*
-X155780000Y-77200000D03*
-X158320000Y-77200000D03*
-X160860000Y-77200000D03*
-D11*
-X163400000Y-77200000D03*
-D12*
-X117600000Y-75850000D03*
-X117600000Y-77750000D03*
-D13*
-X165000000Y-104840000D03*
-D14*
-X165000000Y-109920000D03*
-X165000000Y-115000000D03*
-X165000000Y-135080000D03*
-X165000000Y-130000000D03*
-D13*
-X165000000Y-124920000D03*
-D14*
-X75000000Y-124920000D03*
-X75000000Y-130000000D03*
-D13*
-X75000000Y-135080000D03*
-X75000000Y-115080000D03*
-D14*
-X75000000Y-110000000D03*
-X75000000Y-104920000D03*
-D15*
-X75000000Y-60000000D03*
-X165000000Y-60000000D03*
-X75000000Y-150000000D03*
-X165000000Y-150000000D03*
-D16*
-X107800000Y-58400000D03*
-D17*
-X107800000Y-63400000D03*
-X96100000Y-63400000D03*
-D16*
-X96100000Y-58400000D03*
-X73800000Y-88100000D03*
-D17*
-X78800000Y-88100000D03*
-X78800000Y-75900000D03*
-D16*
-X73800000Y-75900000D03*
-D18*
-X116550000Y-66590000D03*
-D19*
-X116550000Y-64050000D03*
-X116550000Y-61510000D03*
-X116550000Y-58970000D03*
-D20*
-X85000000Y-62500000D03*
-D21*
-X85000000Y-59960000D03*
-D22*
-X155220000Y-69300000D03*
-X152930000Y-69300000D03*
-X143790000Y-69300000D03*
-X141500000Y-69300000D03*
-D16*
-X140230000Y-63590000D03*
-X156490000Y-63590000D03*
-D22*
-X151940000Y-64480000D03*
-X149900000Y-64480000D03*
-X147860000Y-64480000D03*
-X145820000Y-64480000D03*
-X150920000Y-62700000D03*
-X148880000Y-62700000D03*
-X146840000Y-62700000D03*
-D23*
-X144800000Y-62700000D03*
-X124950000Y-62700000D03*
-D22*
-X126990000Y-62700000D03*
-X129030000Y-62700000D03*
-X131070000Y-62700000D03*
-X125970000Y-64480000D03*
-X128010000Y-64480000D03*
-X130050000Y-64480000D03*
-X132090000Y-64480000D03*
-D16*
-X136640000Y-63590000D03*
-X120380000Y-63590000D03*
-D22*
-X121650000Y-69300000D03*
-X123940000Y-69300000D03*
-X133080000Y-69300000D03*
-X135370000Y-69300000D03*
-D24*
-X142500000Y-93750000D03*
-X125500000Y-93025010D03*
-D16*
-X89500000Y-61000000D03*
-X102000000Y-60750000D03*
-X94500000Y-69500000D03*
-X100000000Y-65750000D03*
-X77750000Y-82000000D03*
-X89000000Y-98000000D03*
-X89000000Y-103000000D03*
-X89000000Y-100500000D03*
-X89000000Y-105500000D03*
-X89000000Y-108000000D03*
-X89000000Y-110500000D03*
-X89000000Y-113000000D03*
-X89000000Y-115500000D03*
-X89000000Y-118000000D03*
-X89000000Y-120500000D03*
-X91500000Y-145500000D03*
-X86500000Y-74750000D03*
-X86750000Y-82750000D03*
-X139500000Y-90000000D03*
-X134100000Y-87600000D03*
-D24*
-X99500000Y-69400000D03*
-X99500000Y-68200000D03*
-X100600000Y-68200000D03*
-X100600000Y-69200000D03*
-X80400000Y-79500000D03*
-X79600000Y-80800000D03*
-X86000000Y-77100000D03*
-X81400000Y-76000000D03*
-X83600000Y-74600000D03*
-X95300000Y-81200000D03*
-X91000000Y-89600000D03*
-X128600000Y-87500000D03*
-X143800000Y-88700000D03*
-X120100000Y-90800000D03*
-X118300000Y-74300000D03*
-X117100000Y-74300000D03*
-X117100000Y-73100000D03*
-X165500000Y-83500000D03*
-X164400000Y-82600000D03*
-D16*
-X115000000Y-85000000D03*
-X101300000Y-76900000D03*
-X101300000Y-79200000D03*
-X105700000Y-77800000D03*
-X105700000Y-80100000D03*
-D24*
-X123700000Y-87000000D03*
-D16*
-X109700000Y-65500000D03*
-X108700000Y-71100000D03*
-X97100000Y-76100000D03*
-X97100000Y-78200000D03*
-X97100000Y-80300000D03*
-X107500000Y-74900000D03*
-D24*
-X110400000Y-77600000D03*
-X111600000Y-79300000D03*
-X121300000Y-76200000D03*
-X122100000Y-76200000D03*
-X121300000Y-77000000D03*
-X122100000Y-77000000D03*
-X123600000Y-79100000D03*
-X121900000Y-83100000D03*
-X122700000Y-83100000D03*
-X122600000Y-86600000D03*
-X157100000Y-89300000D03*
-X165500000Y-82600000D03*
-X120100000Y-89900000D03*
-X124600000Y-88500000D03*
-X150700000Y-90100000D03*
-X140300000Y-149900000D03*
-X122712660Y-79112660D03*
-X131000000Y-70750000D03*
-X157750000Y-66250000D03*
-X160750000Y-65500000D03*
-X129486456Y-79149988D03*
-X159800000Y-79800000D03*
-X161200000Y-91400000D03*
-D16*
-X81506378Y-66756378D03*
-D24*
-X96000000Y-85900000D03*
-X96900000Y-86600000D03*
-X98500000Y-88600000D03*
-X98500000Y-87700000D03*
-X102600000Y-91000000D03*
-X146300000Y-152900000D03*
-X148300000Y-153700000D03*
-X134200000Y-149700000D03*
-X92000000Y-88100000D03*
-X156000000Y-89300000D03*
-X105766518Y-92733482D03*
-X105766518Y-93700000D03*
-D16*
-X105700000Y-82400000D03*
-X106700000Y-85000000D03*
-X116389257Y-89984482D03*
-X73800000Y-67600000D03*
-D24*
-X117200000Y-79000000D03*
-X129600000Y-77300000D03*
-X88087339Y-150112659D03*
-X127250000Y-79750000D03*
-X157200000Y-144624979D03*
-D16*
-X78800000Y-68850000D03*
-D24*
-X147462653Y-148362653D03*
-X119300000Y-82900000D03*
-X125187343Y-82612663D03*
-X112000000Y-90599967D03*
-X111927814Y-88699945D03*
-X128000000Y-130000000D03*
-X133519082Y-95522671D03*
-X132200000Y-136600000D03*
-X147800000Y-94600000D03*
-X127700000Y-116800000D03*
-X132400002Y-95500000D03*
-X131500000Y-113500000D03*
-X130500000Y-114500000D03*
-X131500000Y-114500000D03*
-X130500000Y-113500000D03*
-X130500000Y-112500000D03*
-X131500000Y-112500000D03*
-X131500000Y-115500000D03*
-X130500000Y-115500000D03*
-X129500000Y-115500000D03*
-X129500000Y-114500000D03*
-X129500000Y-113500000D03*
-X129500000Y-112500000D03*
-X129500000Y-111500000D03*
-X130500000Y-111500000D03*
-X131500000Y-111500000D03*
-D16*
-X130000000Y-109000000D03*
-X133000000Y-109000000D03*
-X136000000Y-109000000D03*
-X136000000Y-109000000D03*
-X136000000Y-109000000D03*
-X139000000Y-109000000D03*
-X136000000Y-112000000D03*
-X136000000Y-115000000D03*
-X136000000Y-118000000D03*
-X133000000Y-118000000D03*
-X139000000Y-118000000D03*
-X139000000Y-115000000D03*
-X139000000Y-112000000D03*
-X142000000Y-115000000D03*
-X142000000Y-118000000D03*
-X142000000Y-109000000D03*
-X142000000Y-112000000D03*
-D24*
-X131000000Y-126500000D03*
-X131000000Y-127500000D03*
-X130000000Y-127500000D03*
-X130000000Y-126500000D03*
-X130000000Y-125500000D03*
-X131000000Y-125500000D03*
-X132000000Y-126500000D03*
-X132000000Y-125500000D03*
-X132000000Y-124500000D03*
-X132000000Y-127500000D03*
-X129000000Y-124500000D03*
-X129000000Y-125500000D03*
-X129000000Y-126500000D03*
-X129000000Y-127500000D03*
-X129000000Y-128500000D03*
-X130000000Y-128500000D03*
-X131000000Y-128500000D03*
-X132000000Y-128500000D03*
-D16*
-X130000000Y-131000000D03*
-X133000000Y-131000000D03*
-X136000000Y-131000000D03*
-X139000000Y-131000000D03*
-X142000000Y-131000000D03*
-X142000000Y-128000000D03*
-X142000000Y-125000000D03*
-X142000000Y-122000000D03*
-X139000000Y-122000000D03*
-X136000000Y-122000000D03*
-X133000000Y-122000000D03*
-X136000000Y-125000000D03*
-X136000000Y-128000000D03*
-X139000000Y-128000000D03*
-X139000000Y-125000000D03*
-D24*
-X131000000Y-124500000D03*
-X130000000Y-124500000D03*
-X130000000Y-140000000D03*
-X130000000Y-141000000D03*
-X129000000Y-141000000D03*
-X129000000Y-140000000D03*
-X129000000Y-139000000D03*
-X129000000Y-138000000D03*
-X130000000Y-138000000D03*
-X131000000Y-138000000D03*
-X131000000Y-140000000D03*
-X131000000Y-141000000D03*
-X131000000Y-142000000D03*
-X130000000Y-142000000D03*
-X129000000Y-142000000D03*
-X128000000Y-142000000D03*
-X128000000Y-141000000D03*
-X128000000Y-140000000D03*
-X128000000Y-139000000D03*
-X128000000Y-138000000D03*
-X130000000Y-100000000D03*
-X130000000Y-101000000D03*
-X129000000Y-101000000D03*
-X129000000Y-100000000D03*
-X129000000Y-99000000D03*
-X130000000Y-99000000D03*
-X131000000Y-99000000D03*
-X131000000Y-100000000D03*
-X131000000Y-101000000D03*
-X131000000Y-102000000D03*
-X130000000Y-102000000D03*
-X129000000Y-102000000D03*
-X128000000Y-102000000D03*
-X128000000Y-101000000D03*
-X128000000Y-100000000D03*
-X128000000Y-99000000D03*
-X128000000Y-98000000D03*
-X129000000Y-98000000D03*
-X130000000Y-98000000D03*
-X131000000Y-98000000D03*
-D16*
-X130000000Y-96500000D03*
-X127000000Y-96500000D03*
-X124000000Y-96500000D03*
-X121000000Y-96500000D03*
-X118000000Y-96500000D03*
-X118000000Y-99000000D03*
-X118000000Y-102000000D03*
-X118000000Y-105000000D03*
-X121000000Y-105000000D03*
-X124000000Y-105000000D03*
-X127000000Y-105000000D03*
-X130000000Y-105000000D03*
-X121000000Y-102000000D03*
-X121000000Y-99000000D03*
-X124000000Y-99000000D03*
-X124000000Y-102000000D03*
-X130000000Y-136000000D03*
-X127000000Y-136000000D03*
-X124000000Y-136000000D03*
-X121000000Y-136000000D03*
-X118000000Y-136000000D03*
-X121000000Y-139000000D03*
-X118000000Y-139000000D03*
-X118000000Y-142000000D03*
-X121000000Y-142000000D03*
-X121000000Y-145000000D03*
-X118000000Y-145000000D03*
-X124000000Y-145000000D03*
-X127000000Y-145000000D03*
-X130000000Y-145000000D03*
-X115000000Y-136000000D03*
-X115000000Y-139000000D03*
-X115000000Y-142000000D03*
-X115000000Y-145000000D03*
-X99000000Y-129000000D03*
-X102000000Y-128000000D03*
-X97000000Y-127000000D03*
-X104000000Y-130000000D03*
-X96000000Y-130000000D03*
-X98000000Y-132000000D03*
-X94000000Y-128000000D03*
-X111000000Y-133000000D03*
-X107000000Y-129000000D03*
-X108000000Y-134000000D03*
-X100000000Y-126000000D03*
-X103000000Y-133000000D03*
-X101000000Y-131000000D03*
-X112000000Y-130000000D03*
-X114000000Y-132000000D03*
-X109000000Y-131000000D03*
-X106000000Y-132000000D03*
-X105000000Y-135000000D03*
-X102000000Y-136000000D03*
-X100000000Y-134000000D03*
-D24*
-X130000000Y-139000000D03*
-X131000000Y-139000000D03*
-X93750000Y-93250000D03*
-X135300000Y-91100000D03*
-X123362335Y-92237665D03*
-X135253882Y-92098948D03*
-X124449990Y-92300000D03*
-X116900000Y-81000000D03*
-X129399998Y-82200011D03*
-X117700000Y-82400000D03*
-X128226684Y-82229531D03*
-X135500000Y-65000000D03*
-X138500000Y-69000000D03*
-X135000000Y-66000000D03*
-X137500000Y-69000000D03*
-X131100000Y-120400000D03*
-X162600000Y-93200000D03*
-X108900000Y-90300000D03*
-X166500000Y-94800000D03*
-X162725010Y-92200000D03*
-X109900000Y-90374989D03*
-X108712653Y-89087347D03*
-X117187342Y-80012661D03*
-X129400000Y-81200002D03*
-X106837347Y-89262653D03*
-X154200000Y-91475000D03*
-X157000000Y-90300000D03*
-X117200000Y-91391621D03*
-X168750000Y-91050000D03*
-X126800000Y-152200000D03*
-X87900000Y-152300000D03*
-X146280875Y-150685242D03*
-X82300000Y-149075000D03*
-X144830864Y-150830865D03*
-X167400000Y-93600000D03*
-X127600000Y-151400000D03*
-D25*
-X125500000Y-93650000D02*
-X125400000Y-93750000D01*
-X125500000Y-93025010D02*
-X125500000Y-93650000D01*
-D26*
-X142500000Y-93750000D02*
-X125400000Y-93750000D01*
-X125024967Y-94125033D02*
-X125400000Y-93750000D01*
-X103800000Y-89069892D02*
-X108855141Y-94125033D01*
-X108855141Y-94125033D02*
-X125024967Y-94125033D01*
-X107800000Y-63400000D02*
-X107800000Y-65600000D01*
-X103800000Y-69600000D02*
-X103800000Y-89069892D01*
-X107800000Y-65600000D02*
-X103800000Y-69600000D01*
-X71700000Y-119300000D02*
-X71700000Y-143800000D01*
-X75000000Y-115080000D02*
-X75000000Y-116000000D01*
-X75000000Y-116000000D02*
-X71700000Y-119300000D01*
-D25*
-X117600000Y-78600000D02*
-X117200000Y-79000000D01*
-X117600000Y-77750000D02*
-X117600000Y-78600000D01*
-D27*
-X117765685Y-79000000D02*
-X117200000Y-79000000D01*
-X118890686Y-80125001D02*
-X117765685Y-79000000D01*
-X126774999Y-80125001D02*
-X118890686Y-80125001D01*
-X129600000Y-77300000D02*
-X126774999Y-80125001D01*
-D28*
-X91924713Y-153950033D02*
-X142784698Y-153950033D01*
-X88087339Y-150112659D02*
-X91924713Y-153950033D01*
-X142784698Y-153950033D02*
-X145555865Y-151178866D01*
-X145555865Y-151178866D02*
-X145555865Y-149844135D01*
-D27*
-X78800000Y-75900000D02*
-X78800000Y-69200000D01*
-X78800000Y-69200000D02*
-X79000000Y-69000000D01*
-D28*
-X147400000Y-148300000D02*
-X147462653Y-148362653D01*
-X147400000Y-148000000D02*
-X147400000Y-148300000D01*
-X145555865Y-149844135D02*
-X147400000Y-148000000D01*
-X154724979Y-147100000D02*
-X157200000Y-144624979D01*
-X148300000Y-147100000D02*
-X154724979Y-147100000D01*
-X147400000Y-148000000D02*
-X148300000Y-147100000D01*
-X119987336Y-82212664D02*
-X124787344Y-82212664D01*
-X124787344Y-82212664D02*
-X125187343Y-82612663D01*
-X119300000Y-82900000D02*
-X119987336Y-82212664D01*
-X112000000Y-90599967D02*
-X112000000Y-88772131D01*
-X112000000Y-88772131D02*
-X111927814Y-88699945D01*
-X133000000Y-106836410D02*
-X132936410Y-106900000D01*
-X133500000Y-95541753D02*
-X133519082Y-95522671D01*
-X133000000Y-106836410D02*
-X133500000Y-106336410D01*
-X133500000Y-106336410D02*
-X133500000Y-95541753D01*
-X128686400Y-107050010D02*
-X132786400Y-107050010D01*
-X128000000Y-107736410D02*
-X128686400Y-107050010D01*
-X128000000Y-130000000D02*
-X127600001Y-129600001D01*
-X127600001Y-118073001D02*
-X128425001Y-117248001D01*
-X132786400Y-107050010D02*
-X133000000Y-106836410D01*
-X128425001Y-117248001D02*
-X128425001Y-116451999D01*
-X128425001Y-116451999D02*
-X128000000Y-116026998D01*
-X127600001Y-129600001D02*
-X127600001Y-118073001D01*
-X128000000Y-116026998D02*
-X128000000Y-107736410D01*
-X128450010Y-111600000D02*
-X128450010Y-111349990D01*
-X128450010Y-115750010D02*
-X128450010Y-111600000D01*
-X128875011Y-117434401D02*
-X128875011Y-116175011D01*
-X128050011Y-118259401D02*
-X128875011Y-117434401D01*
-X128050011Y-128977009D02*
-X128050011Y-118259401D01*
-X128725001Y-129651999D02*
-X128050011Y-128977009D01*
-X128725001Y-130348001D02*
-X128725001Y-129651999D01*
-X128400000Y-130673002D02*
-X128725001Y-130348001D01*
-X131200000Y-133000000D02*
-X128900000Y-133000000D01*
-X128400000Y-132500000D02*
-X128400000Y-130673002D01*
-X128875011Y-116175011D02*
-X128450010Y-115750010D01*
-X132200000Y-134000000D02*
-X131200000Y-133000000D01*
-X132200000Y-136600000D02*
-X132200000Y-134000000D01*
-X128900000Y-133000000D02*
-X128400000Y-132500000D01*
-X128450010Y-108049990D02*
-X128450010Y-111600000D01*
-X128999980Y-107500020D02*
-X128450010Y-108049990D01*
-X147700000Y-94700000D02*
-X135400000Y-94700000D01*
-X147800000Y-94600000D02*
-X147700000Y-94700000D01*
-X135400000Y-94700000D02*
-X134000000Y-96100000D01*
-X134000000Y-96100000D02*
-X134000000Y-106472820D01*
-X134000000Y-106472820D02*
-X132972801Y-107500019D01*
-X132972801Y-107500019D02*
-X128999980Y-107500020D01*
-X133000000Y-96099998D02*
-X132400002Y-95500000D01*
-X127500000Y-116600000D02*
-X127500000Y-107600000D01*
-X127700000Y-116800000D02*
-X127500000Y-116600000D01*
-X128500000Y-106600000D02*
-X132600000Y-106600000D01*
-X127500000Y-107600000D02*
-X128500000Y-106600000D01*
-X132600000Y-106600000D02*
-X133000000Y-106200000D01*
-X133000000Y-106200000D02*
-X133000000Y-96099998D01*
-X93900000Y-124750000D02*
-X93900000Y-94500000D01*
-X93500000Y-124750000D02*
-X93900000Y-124750000D01*
-X93900000Y-94500000D02*
-X93900000Y-93400000D01*
-X93900000Y-93400000D02*
-X93750000Y-93250000D01*
-X123762334Y-91837666D02*
-X123362335Y-92237665D01*
-X124025001Y-91574999D02*
-X123762334Y-91837666D01*
-X134525001Y-91574999D02*
-X124025001Y-91574999D01*
-X135300000Y-91100000D02*
-X135000000Y-91100000D01*
-X135000000Y-91100000D02*
-X134525001Y-91574999D01*
-X135052830Y-92300000D02*
-X124449990Y-92300000D01*
-X135253882Y-92098948D02*
-X135052830Y-92300000D01*
-X117465685Y-81000000D02*
-X117765707Y-81300022D01*
-X117765707Y-81300022D02*
-X128427020Y-81300022D01*
-X116900000Y-81000000D02*
-X117465685Y-81000000D01*
-X128427020Y-81300022D02*
-X129327009Y-82200011D01*
-X129327009Y-82200011D02*
-X129399998Y-82200011D01*
-X127826685Y-81829532D02*
-X128226684Y-82229531D01*
-X117700000Y-82400000D02*
-X118349967Y-81750033D01*
-X127747186Y-81750033D02*
-X127826685Y-81829532D01*
-X118349967Y-81750033D02*
-X127747186Y-81750033D01*
-X138500000Y-68000000D02*
-X138500000Y-68434315D01*
-X138500000Y-68434315D02*
-X138500000Y-69000000D01*
-X135500000Y-65000000D02*
-X138500000Y-68000000D01*
-X137500000Y-68000000D02*
-X137500000Y-69000000D01*
-X135000000Y-66000000D02*
-X135500000Y-66000000D01*
-X135500000Y-66000000D02*
-X137500000Y-68000000D01*
-D25*
-X124950000Y-63460000D02*
-X125970000Y-64480000D01*
-X124950000Y-62700000D02*
-X124950000Y-63460000D01*
-X144800000Y-63460000D02*
-X145820000Y-64480000D01*
-X144800000Y-62700000D02*
-X144800000Y-63460000D01*
-X126150001Y-61499999D02*
-X124950000Y-62700000D01*
-X131646001Y-61499999D02*
-X126150001Y-61499999D01*
-X132381003Y-62235001D02*
-X131646001Y-61499999D01*
-X135856001Y-62235001D02*
-X132381003Y-62235001D01*
-X135951003Y-62139999D02*
-X135856001Y-62235001D01*
-X140926001Y-62139999D02*
-X135951003Y-62139999D01*
-X141486002Y-62700000D02*
-X140926001Y-62139999D01*
-X144800000Y-62700000D02*
-X141486002Y-62700000D01*
-D28*
-X167000000Y-120400000D02*
-X167700000Y-119700000D01*
-X131100000Y-120400000D02*
-X167000000Y-120400000D01*
-X167700000Y-119700000D02*
-X167700000Y-97200000D01*
-X163700000Y-93200000D02*
-X162600000Y-93200000D01*
-X164650000Y-94150000D02*
-X163700000Y-93200000D01*
-X167700000Y-97200000D02*
-X164650000Y-94150000D01*
-X108900000Y-90865685D02*
-X111234337Y-93200022D01*
-X134700002Y-90375000D02*
-X135648002Y-90375000D01*
-X108900000Y-90300000D02*
-X108900000Y-90865685D01*
-X134024992Y-91050009D02*
-X134700002Y-90375000D01*
-X153582115Y-92800022D02*
-X153732126Y-92650011D01*
-X123426998Y-91100000D02*
-X133974999Y-91100000D01*
-X162200001Y-92800001D02*
-X162600000Y-93200000D01*
-X135648002Y-90375000D02*
-X138073023Y-92800022D01*
-X162050011Y-92650011D02*
-X162200001Y-92800001D01*
-X138073023Y-92800022D02*
-X153582115Y-92800022D01*
-X121326976Y-93200022D02*
-X123426998Y-91100000D01*
-X133974999Y-91100000D02*
-X134024992Y-91050009D01*
-X111234337Y-93200022D02*
-X121326976Y-93200022D01*
-X153732126Y-92650011D02*
-X162050011Y-92650011D01*
-X166500000Y-94800000D02*
-X163900000Y-92200000D01*
-X163900000Y-92200000D02*
-X163600000Y-92200000D01*
-X163600000Y-92200000D02*
-X162200000Y-92200000D01*
-X162200000Y-92200000D02*
-X162725010Y-92200000D01*
-X109900000Y-90274694D02*
-X109900000Y-90374989D01*
-X108712653Y-89087347D02*
-X109900000Y-90274694D01*
-X109900000Y-90374989D02*
-X112275022Y-92750011D01*
-X123036412Y-90599999D02*
-X133838591Y-90599999D01*
-X153545726Y-92200000D02*
-X161670726Y-92200000D01*
-X133838591Y-90599999D02*
-X134513602Y-89924989D01*
-X112275022Y-92750011D02*
-X120886400Y-92750011D01*
-X120886400Y-92750011D02*
-X123036412Y-90599999D01*
-X134513602Y-89924989D02*
-X135834402Y-89924989D01*
-X161670726Y-92200000D02*
-X162200000Y-92200000D01*
-X153395715Y-92350011D02*
-X153545726Y-92200000D01*
-X138259423Y-92350011D02*
-X153395715Y-92350011D01*
-X135834402Y-89924989D02*
-X138259423Y-92350011D01*
-X118024692Y-80850011D02*
-X129050009Y-80850011D01*
-X129050009Y-80850011D02*
-X129400000Y-81200002D01*
-X117187342Y-80012661D02*
-X118024692Y-80850011D01*
-X107237346Y-88862654D02*
-X106837347Y-89262653D01*
-X107737654Y-88362346D02*
-X107237346Y-88862654D01*
-X110625001Y-89926693D02*
-X109060654Y-88362346D01*
-X110625001Y-90425001D02*
-X110625001Y-89926693D01*
-X112500000Y-92300000D02*
-X110625001Y-90425001D01*
-X122850009Y-90149991D02*
-X120700000Y-92300000D01*
-X133586420Y-90149991D02*
-X122850009Y-90149991D01*
-X154200000Y-91475000D02*
-X153634315Y-91475000D01*
-X153634315Y-91475000D02*
-X153209315Y-91900000D01*
-X138445823Y-91900000D02*
-X136020801Y-89474978D01*
-X134261433Y-89474978D02*
-X133586420Y-90149991D01*
-X109060654Y-88362346D02*
-X107737654Y-88362346D01*
-X120700000Y-92300000D02*
-X112500000Y-92300000D01*
-X153209315Y-91900000D02*
-X138445823Y-91900000D01*
-X136020801Y-89474978D02*
-X134261433Y-89474978D01*
-X133400020Y-89699980D02*
-X122600020Y-89699980D01*
-X152550010Y-91449990D02*
-X138649990Y-91449990D01*
-X117599999Y-91791620D02*
-X117200000Y-91391621D01*
-X134174999Y-88925001D02*
-X133400020Y-89699980D01*
-X120508380Y-91791620D02*
-X117599999Y-91791620D01*
-X136125001Y-88925001D02*
-X134174999Y-88925001D01*
-X157000000Y-90300000D02*
-X153700000Y-90300000D01*
-X138649990Y-91449990D02*
-X136125001Y-88925001D01*
-X153700000Y-90300000D02*
-X152550010Y-91449990D01*
-X122600020Y-89699980D02*
-X120508380Y-91791620D01*
-X148036412Y-146600000D02*
-X141586400Y-153050011D01*
-X153850000Y-146600000D02*
-X148036412Y-146600000D01*
-X127650011Y-153050011D02*
-X126800000Y-152200000D01*
-X141586400Y-153050011D02*
-X127650011Y-153050011D01*
-X156550023Y-143899977D02*
-X153850000Y-146600000D01*
-X168750000Y-142636410D02*
-X168750000Y-142450000D01*
-X167486435Y-143899975D02*
-X168750000Y-142636410D01*
-X157100023Y-143899977D02*
-X167486435Y-143899975D01*
-X157100023Y-143899977D02*
-X156550023Y-143899977D01*
-X168750000Y-91050000D02*
-X168750000Y-142450000D01*
-X90000044Y-154400044D02*
-X143131758Y-154400044D01*
-X143131758Y-154400044D02*
-X146280875Y-151250927D01*
-X87900000Y-152300000D02*
-X90000044Y-154400044D01*
-X146280875Y-151250927D02*
-X146280875Y-150685242D01*
-X92500022Y-153500022D02*
-X88075000Y-149075000D01*
-X88075000Y-149075000D02*
-X82300000Y-149075000D01*
-X142161707Y-153500022D02*
-X92500022Y-153500022D01*
-X144830864Y-150830865D02*
-X142161707Y-153500022D01*
-X168249989Y-94449989D02*
-X167799999Y-93999999D01*
-X168249989Y-142500011D02*
-X168249989Y-94449989D01*
-X167300034Y-143449966D02*
-X168249989Y-142500011D01*
-X153663600Y-146149990D02*
-X156363624Y-143449966D01*
-X147850012Y-146149990D02*
-X153663600Y-146149990D01*
-X167799999Y-93999999D02*
-X167400000Y-93600000D01*
-X141400000Y-152600000D02*
-X147850012Y-146149990D01*
-X156363624Y-143449966D02*
-X167300034Y-143449966D01*
-X128800000Y-152600000D02*
-X141400000Y-152600000D01*
-X127600000Y-151400000D02*
-X128800000Y-152600000D01*
-D29*
-G36*
-X152063539Y-95248005D02*
-G01*
-X151739736Y-95464364D01*
-X151464364Y-95739736D01*
-X151248005Y-96063539D01*
-X151098975Y-96423330D01*
-X151023000Y-96805282D01*
-X151023000Y-97194718D01*
-X151098975Y-97576670D01*
-X151248005Y-97936461D01*
-X151464364Y-98260264D01*
-X151739736Y-98535636D01*
-X152063539Y-98751995D01*
-X152423330Y-98901025D01*
-X152805282Y-98977000D01*
-X153194718Y-98977000D01*
-X153576670Y-98901025D01*
-X153936461Y-98751995D01*
-X154260264Y-98535636D01*
-X154535636Y-98260264D01*
-X154751995Y-97936461D01*
-X154901025Y-97576670D01*
-X154977000Y-97194718D01*
-X154977000Y-96805282D01*
-X154901025Y-96423330D01*
-X154751995Y-96063539D01*
-X154535636Y-95739736D01*
-X154260264Y-95464364D01*
-X153936461Y-95248005D01*
-X153644328Y-95127000D01*
-X164917066Y-95127000D01*
-X167198001Y-97407936D01*
-X167198000Y-119492065D01*
-X167067065Y-119623000D01*
-X128552011Y-119623000D01*
-X128552011Y-118467335D01*
-X129212549Y-117806798D01*
-X129231695Y-117791085D01*
-X129294428Y-117714646D01*
-X129341042Y-117627437D01*
-X129369747Y-117532810D01*
-X129377011Y-117459054D01*
-X129377011Y-117459045D01*
-X129379438Y-117434402D01*
-X129377011Y-117409759D01*
-X129377011Y-116199653D01*
-X129379438Y-116175010D01*
-X129377011Y-116150367D01*
-X129377011Y-116150358D01*
-X129369747Y-116076602D01*
-X129341042Y-115981975D01*
-X129294428Y-115894766D01*
-X129278750Y-115875663D01*
-X129247410Y-115837475D01*
-X129247408Y-115837473D01*
-X129231695Y-115818327D01*
-X129212549Y-115802614D01*
-X128952010Y-115542076D01*
-X128952010Y-108257924D01*
-X129207915Y-108002020D01*
-X132948148Y-108002018D01*
-X132972801Y-108004446D01*
-X133071210Y-107994754D01*
-X133165837Y-107966049D01*
-X133253046Y-107919435D01*
-X133310336Y-107872418D01*
-X133310339Y-107872415D01*
-X133329485Y-107856702D01*
-X133345198Y-107837556D01*
-X134337543Y-106845213D01*
-X134356684Y-106829504D01*
-X134372392Y-106810364D01*
-X134372400Y-106810356D01*
-X134419417Y-106753066D01*
-X134459748Y-106677611D01*
-X134466031Y-106665856D01*
-X134494736Y-106571229D01*
-X134502000Y-106497473D01*
-X134502000Y-106497463D01*
-X134504427Y-106472820D01*
-X134502000Y-106448177D01*
-X134502000Y-96307934D01*
-X135607935Y-95202000D01*
-X147303155Y-95202000D01*
-X147304691Y-95203536D01*
-X147431952Y-95288569D01*
-X147573357Y-95347141D01*
-X147723472Y-95377000D01*
-X147876528Y-95377000D01*
-X148026643Y-95347141D01*
-X148168048Y-95288569D01*
-X148295309Y-95203536D01*
-X148371845Y-95127000D01*
-X152355672Y-95127000D01*
-X152063539Y-95248005D01*
-X152063539Y-95248005D01*
-G37*
-X152063539Y-95248005D02*
-X151739736Y-95464364D01*
-X151464364Y-95739736D01*
-X151248005Y-96063539D01*
-X151098975Y-96423330D01*
-X151023000Y-96805282D01*
-X151023000Y-97194718D01*
-X151098975Y-97576670D01*
-X151248005Y-97936461D01*
-X151464364Y-98260264D01*
-X151739736Y-98535636D01*
-X152063539Y-98751995D01*
-X152423330Y-98901025D01*
-X152805282Y-98977000D01*
-X153194718Y-98977000D01*
-X153576670Y-98901025D01*
-X153936461Y-98751995D01*
-X154260264Y-98535636D01*
-X154535636Y-98260264D01*
-X154751995Y-97936461D01*
-X154901025Y-97576670D01*
-X154977000Y-97194718D01*
-X154977000Y-96805282D01*
-X154901025Y-96423330D01*
-X154751995Y-96063539D01*
-X154535636Y-95739736D01*
-X154260264Y-95464364D01*
-X153936461Y-95248005D01*
-X153644328Y-95127000D01*
-X164917066Y-95127000D01*
-X167198001Y-97407936D01*
-X167198000Y-119492065D01*
-X167067065Y-119623000D01*
-X128552011Y-119623000D01*
-X128552011Y-118467335D01*
-X129212549Y-117806798D01*
-X129231695Y-117791085D01*
-X129294428Y-117714646D01*
-X129341042Y-117627437D01*
-X129369747Y-117532810D01*
-X129377011Y-117459054D01*
-X129377011Y-117459045D01*
-X129379438Y-117434402D01*
-X129377011Y-117409759D01*
-X129377011Y-116199653D01*
-X129379438Y-116175010D01*
-X129377011Y-116150367D01*
-X129377011Y-116150358D01*
-X129369747Y-116076602D01*
-X129341042Y-115981975D01*
-X129294428Y-115894766D01*
-X129278750Y-115875663D01*
-X129247410Y-115837475D01*
-X129247408Y-115837473D01*
-X129231695Y-115818327D01*
-X129212549Y-115802614D01*
-X128952010Y-115542076D01*
-X128952010Y-108257924D01*
-X129207915Y-108002020D01*
-X132948148Y-108002018D01*
-X132972801Y-108004446D01*
-X133071210Y-107994754D01*
-X133165837Y-107966049D01*
-X133253046Y-107919435D01*
-X133310336Y-107872418D01*
-X133310339Y-107872415D01*
-X133329485Y-107856702D01*
-X133345198Y-107837556D01*
-X134337543Y-106845213D01*
-X134356684Y-106829504D01*
-X134372392Y-106810364D01*
-X134372400Y-106810356D01*
-X134419417Y-106753066D01*
-X134459748Y-106677611D01*
-X134466031Y-106665856D01*
-X134494736Y-106571229D01*
-X134502000Y-106497473D01*
-X134502000Y-106497463D01*
-X134504427Y-106472820D01*
-X134502000Y-106448177D01*
-X134502000Y-96307934D01*
-X135607935Y-95202000D01*
-X147303155Y-95202000D01*
-X147304691Y-95203536D01*
-X147431952Y-95288569D01*
-X147573357Y-95347141D01*
-X147723472Y-95377000D01*
-X147876528Y-95377000D01*
-X148026643Y-95347141D01*
-X148168048Y-95288569D01*
-X148295309Y-95203536D01*
-X148371845Y-95127000D01*
-X152355672Y-95127000D01*
-X152063539Y-95248005D01*
-G36*
-X92873000Y-123873000D02*
-G01*
-X87052606Y-123873000D01*
-X83089803Y-119910197D01*
-X83070557Y-119894403D01*
-X83048601Y-119882667D01*
-X83024776Y-119875440D01*
-X83000000Y-119873000D01*
-X70377000Y-119873000D01*
-X70377000Y-95127000D01*
-X92873000Y-95127000D01*
-X92873000Y-123873000D01*
-X92873000Y-123873000D01*
-G37*
-X92873000Y-123873000D02*
-X87052606Y-123873000D01*
-X83089803Y-119910197D01*
-X83070557Y-119894403D01*
-X83048601Y-119882667D01*
-X83024776Y-119875440D01*
-X83000000Y-119873000D01*
-X70377000Y-119873000D01*
-X70377000Y-95127000D01*
-X92873000Y-95127000D01*
-X92873000Y-123873000D01*
-G36*
-X86733421Y-124266579D02*
-G01*
-X86790550Y-124313464D01*
-X86855728Y-124348303D01*
-X86926451Y-124369756D01*
-X87000000Y-124377000D01*
-X92873000Y-124377000D01*
-X92873000Y-130000000D01*
-X92875440Y-130024776D01*
-X92882667Y-130048601D01*
-X92894403Y-130070557D01*
-X92910197Y-130089803D01*
-X109910197Y-147089803D01*
-X109929443Y-147105597D01*
-X109951399Y-147117333D01*
-X109975224Y-147124560D01*
-X110000000Y-147127000D01*
-X131693394Y-147127000D01*
-X129947394Y-148873000D01*
-X111624680Y-148873000D01*
-X111535636Y-148739736D01*
-X111260264Y-148464364D01*
-X110936461Y-148248005D01*
-X110576670Y-148098975D01*
-X110194718Y-148023000D01*
-X109805282Y-148023000D01*
-X109423330Y-148098975D01*
-X109063539Y-148248005D01*
-X108739736Y-148464364D01*
-X108464364Y-148739736D01*
-X108375320Y-148873000D01*
-X99052606Y-148873000D01*
-X93089803Y-142910197D01*
-X93070557Y-142894403D01*
-X93048601Y-142882667D01*
-X93024776Y-142875440D01*
-X93000000Y-142873000D01*
-X72677000Y-142873000D01*
-X72677000Y-120377000D01*
-X82843842Y-120377000D01*
-X86733421Y-124266579D01*
-X86733421Y-124266579D01*
-G37*
-X86733421Y-124266579D02*
-X86790550Y-124313464D01*
-X86855728Y-124348303D01*
-X86926451Y-124369756D01*
-X87000000Y-124377000D01*
-X92873000Y-124377000D01*
-X92873000Y-130000000D01*
-X92875440Y-130024776D01*
-X92882667Y-130048601D01*
-X92894403Y-130070557D01*
-X92910197Y-130089803D01*
-X109910197Y-147089803D01*
-X109929443Y-147105597D01*
-X109951399Y-147117333D01*
-X109975224Y-147124560D01*
-X110000000Y-147127000D01*
-X131693394Y-147127000D01*
-X129947394Y-148873000D01*
-X111624680Y-148873000D01*
-X111535636Y-148739736D01*
-X111260264Y-148464364D01*
-X110936461Y-148248005D01*
-X110576670Y-148098975D01*
-X110194718Y-148023000D01*
-X109805282Y-148023000D01*
-X109423330Y-148098975D01*
-X109063539Y-148248005D01*
-X108739736Y-148464364D01*
-X108464364Y-148739736D01*
-X108375320Y-148873000D01*
-X99052606Y-148873000D01*
-X93089803Y-142910197D01*
-X93070557Y-142894403D01*
-X93048601Y-142882667D01*
-X93024776Y-142875440D01*
-X93000000Y-142873000D01*
-X72677000Y-142873000D01*
-X72677000Y-120377000D01*
-X82843842Y-120377000D01*
-X86733421Y-124266579D01*
-G36*
-X169623000Y-144873000D02*
-G01*
-X160000000Y-144873000D01*
-X159975224Y-144875440D01*
-X159951399Y-144882667D01*
-X159929443Y-144894403D01*
-X159910197Y-144910197D01*
-X159894403Y-144929443D01*
-X159882667Y-144951399D01*
-X159875440Y-144975224D01*
-X159873000Y-145000000D01*
-X159873000Y-154623000D01*
-X143618736Y-154623000D01*
-X146618413Y-151623324D01*
-X146637559Y-151607611D01*
-X146700292Y-151531172D01*
-X146746906Y-151443963D01*
-X146775611Y-151349336D01*
-X146782164Y-151282798D01*
-X146884411Y-151180551D01*
-X146969444Y-151053290D01*
-X147028016Y-150911885D01*
-X147057875Y-150761770D01*
-X147057875Y-150608714D01*
-X147028016Y-150458599D01*
-X146969444Y-150317194D01*
-X146884411Y-150189933D01*
-X146776184Y-150081706D01*
-X146648923Y-149996673D01*
-X146507518Y-149938101D01*
-X146357403Y-149908242D01*
-X146204347Y-149908242D01*
-X146201033Y-149908901D01*
-X146304652Y-149805282D01*
-X151023000Y-149805282D01*
-X151023000Y-150194718D01*
-X151098975Y-150576670D01*
-X151248005Y-150936461D01*
-X151464364Y-151260264D01*
-X151739736Y-151535636D01*
-X152063539Y-151751995D01*
-X152423330Y-151901025D01*
-X152805282Y-151977000D01*
-X153194718Y-151977000D01*
-X153576670Y-151901025D01*
-X153936461Y-151751995D01*
-X154260264Y-151535636D01*
-X154535636Y-151260264D01*
-X154751995Y-150936461D01*
-X154901025Y-150576670D01*
-X154977000Y-150194718D01*
-X154977000Y-149805282D01*
-X154901025Y-149423330D01*
-X154751995Y-149063539D01*
-X154535636Y-148739736D01*
-X154260264Y-148464364D01*
-X153936461Y-148248005D01*
-X153576670Y-148098975D01*
-X153194718Y-148023000D01*
-X152805282Y-148023000D01*
-X152423330Y-148098975D01*
-X152063539Y-148248005D01*
-X151739736Y-148464364D01*
-X151464364Y-148739736D01*
-X151248005Y-149063539D01*
-X151098975Y-149423330D01*
-X151023000Y-149805282D01*
-X146304652Y-149805282D01*
-X147073089Y-149036846D01*
-X147094605Y-149051222D01*
-X147236010Y-149109794D01*
-X147386125Y-149139653D01*
-X147539181Y-149139653D01*
-X147689296Y-149109794D01*
-X147830701Y-149051222D01*
-X147957962Y-148966189D01*
-X148066189Y-148857962D01*
-X148151222Y-148730701D01*
-X148209794Y-148589296D01*
-X148239653Y-148439181D01*
-X148239653Y-148286125D01*
-X148209794Y-148136010D01*
-X148151222Y-147994605D01*
-X148136845Y-147973089D01*
-X148507935Y-147602000D01*
-X154700336Y-147602000D01*
-X154724979Y-147604427D01*
-X154749622Y-147602000D01*
-X154749632Y-147602000D01*
-X154823388Y-147594736D01*
-X154918015Y-147566031D01*
-X155005224Y-147519417D01*
-X155081663Y-147456684D01*
-X155097381Y-147437532D01*
-X157132935Y-145401979D01*
-X157276528Y-145401979D01*
-X157426643Y-145372120D01*
-X157568048Y-145313548D01*
-X157695309Y-145228515D01*
-X157803536Y-145120288D01*
-X157888569Y-144993027D01*
-X157947141Y-144851622D01*
-X157977000Y-144701507D01*
-X157977000Y-144548451D01*
-X157947865Y-144401977D01*
-X167461782Y-144401974D01*
-X167486435Y-144404402D01*
-X167584844Y-144394710D01*
-X167679471Y-144366005D01*
-X167766680Y-144319391D01*
-X167823970Y-144272374D01*
-X167823973Y-144272371D01*
-X167843119Y-144256658D01*
-X167858832Y-144237512D01*
-X168719345Y-143377000D01*
-X169623000Y-143377000D01*
-X169623000Y-144873000D01*
-X169623000Y-144873000D01*
-G37*
-X169623000Y-144873000D02*
-X160000000Y-144873000D01*
-X159975224Y-144875440D01*
-X159951399Y-144882667D01*
-X159929443Y-144894403D01*
-X159910197Y-144910197D01*
-X159894403Y-144929443D01*
-X159882667Y-144951399D01*
-X159875440Y-144975224D01*
-X159873000Y-145000000D01*
-X159873000Y-154623000D01*
-X143618736Y-154623000D01*
-X146618413Y-151623324D01*
-X146637559Y-151607611D01*
-X146700292Y-151531172D01*
-X146746906Y-151443963D01*
-X146775611Y-151349336D01*
-X146782164Y-151282798D01*
-X146884411Y-151180551D01*
-X146969444Y-151053290D01*
-X147028016Y-150911885D01*
-X147057875Y-150761770D01*
-X147057875Y-150608714D01*
-X147028016Y-150458599D01*
-X146969444Y-150317194D01*
-X146884411Y-150189933D01*
-X146776184Y-150081706D01*
-X146648923Y-149996673D01*
-X146507518Y-149938101D01*
-X146357403Y-149908242D01*
-X146204347Y-149908242D01*
-X146201033Y-149908901D01*
-X146304652Y-149805282D01*
-X151023000Y-149805282D01*
-X151023000Y-150194718D01*
-X151098975Y-150576670D01*
-X151248005Y-150936461D01*
-X151464364Y-151260264D01*
-X151739736Y-151535636D01*
-X152063539Y-151751995D01*
-X152423330Y-151901025D01*
-X152805282Y-151977000D01*
-X153194718Y-151977000D01*
-X153576670Y-151901025D01*
-X153936461Y-151751995D01*
-X154260264Y-151535636D01*
-X154535636Y-151260264D01*
-X154751995Y-150936461D01*
-X154901025Y-150576670D01*
-X154977000Y-150194718D01*
-X154977000Y-149805282D01*
-X154901025Y-149423330D01*
-X154751995Y-149063539D01*
-X154535636Y-148739736D01*
-X154260264Y-148464364D01*
-X153936461Y-148248005D01*
-X153576670Y-148098975D01*
-X153194718Y-148023000D01*
-X152805282Y-148023000D01*
-X152423330Y-148098975D01*
-X152063539Y-148248005D01*
-X151739736Y-148464364D01*
-X151464364Y-148739736D01*
-X151248005Y-149063539D01*
-X151098975Y-149423330D01*
-X151023000Y-149805282D01*
-X146304652Y-149805282D01*
-X147073089Y-149036846D01*
-X147094605Y-149051222D01*
-X147236010Y-149109794D01*
-X147386125Y-149139653D01*
-X147539181Y-149139653D01*
-X147689296Y-149109794D01*
-X147830701Y-149051222D01*
-X147957962Y-148966189D01*
-X148066189Y-148857962D01*
-X148151222Y-148730701D01*
-X148209794Y-148589296D01*
-X148239653Y-148439181D01*
-X148239653Y-148286125D01*
-X148209794Y-148136010D01*
-X148151222Y-147994605D01*
-X148136845Y-147973089D01*
-X148507935Y-147602000D01*
-X154700336Y-147602000D01*
-X154724979Y-147604427D01*
-X154749622Y-147602000D01*
-X154749632Y-147602000D01*
-X154823388Y-147594736D01*
-X154918015Y-147566031D01*
-X155005224Y-147519417D01*
-X155081663Y-147456684D01*
-X155097381Y-147437532D01*
-X157132935Y-145401979D01*
-X157276528Y-145401979D01*
-X157426643Y-145372120D01*
-X157568048Y-145313548D01*
-X157695309Y-145228515D01*
-X157803536Y-145120288D01*
-X157888569Y-144993027D01*
-X157947141Y-144851622D01*
-X157977000Y-144701507D01*
-X157977000Y-144548451D01*
-X157947865Y-144401977D01*
-X167461782Y-144401974D01*
-X167486435Y-144404402D01*
-X167584844Y-144394710D01*
-X167679471Y-144366005D01*
-X167766680Y-144319391D01*
-X167823970Y-144272374D01*
-X167823973Y-144272371D01*
-X167843119Y-144256658D01*
-X167858832Y-144237512D01*
-X168719345Y-143377000D01*
-X169623000Y-143377000D01*
-X169623000Y-144873000D01*
-G36*
-X98733421Y-149266579D02*
-G01*
-X98790550Y-149313464D01*
-X98855728Y-149348303D01*
-X98926451Y-149369756D01*
-X99000000Y-149377000D01*
-X108118165Y-149377000D01*
-X108098975Y-149423330D01*
-X108023000Y-149805282D01*
-X108023000Y-150194718D01*
-X108098975Y-150576670D01*
-X108248005Y-150936461D01*
-X108464364Y-151260264D01*
-X108739736Y-151535636D01*
-X109063539Y-151751995D01*
-X109423330Y-151901025D01*
-X109805282Y-151977000D01*
-X110194718Y-151977000D01*
-X110576670Y-151901025D01*
-X110936461Y-151751995D01*
-X111260264Y-151535636D01*
-X111535636Y-151260264D01*
-X111751995Y-150936461D01*
-X111901025Y-150576670D01*
-X111977000Y-150194718D01*
-X111977000Y-149805282D01*
-X111901025Y-149423330D01*
-X111881835Y-149377000D01*
-X130000000Y-149377000D01*
-X130073549Y-149369756D01*
-X130144272Y-149348303D01*
-X130209450Y-149313464D01*
-X130266579Y-149266579D01*
-X132156158Y-147377000D01*
-X145913067Y-147377000D01*
-X141192066Y-152098000D01*
-X129007935Y-152098000D01*
-X128377000Y-151467066D01*
-X128377000Y-151323472D01*
-X128347141Y-151173357D01*
-X128288569Y-151031952D01*
-X128203536Y-150904691D01*
-X128095309Y-150796464D01*
-X127968048Y-150711431D01*
-X127826643Y-150652859D01*
-X127676528Y-150623000D01*
-X127523472Y-150623000D01*
-X127373357Y-150652859D01*
-X127231952Y-150711431D01*
-X127104691Y-150796464D01*
-X126996464Y-150904691D01*
-X126911431Y-151031952D01*
-X126852859Y-151173357D01*
-X126823000Y-151323472D01*
-X126823000Y-151423000D01*
-X126723472Y-151423000D01*
-X126573357Y-151452859D01*
-X126431952Y-151511431D01*
-X126304691Y-151596464D01*
-X126196464Y-151704691D01*
-X126111431Y-151831952D01*
-X126052859Y-151973357D01*
-X126023000Y-152123472D01*
-X126023000Y-152276528D01*
-X126052859Y-152426643D01*
-X126111431Y-152568048D01*
-X126196464Y-152695309D01*
-X126304691Y-152803536D01*
-X126431952Y-152888569D01*
-X126573357Y-152947141D01*
-X126723472Y-152977000D01*
-X126867066Y-152977000D01*
-X126888087Y-152998022D01*
-X92707957Y-152998022D01*
-X88447402Y-148737468D01*
-X88431684Y-148718316D01*
-X88355245Y-148655583D01*
-X88268036Y-148608969D01*
-X88173409Y-148580264D01*
-X88099653Y-148573000D01*
-X88099643Y-148573000D01*
-X88075000Y-148570573D01*
-X88050357Y-148573000D01*
-X82896845Y-148573000D01*
-X82795309Y-148471464D01*
-X82668048Y-148386431D01*
-X82526643Y-148327859D01*
-X82376528Y-148298000D01*
-X82223472Y-148298000D01*
-X82073357Y-148327859D01*
-X81931952Y-148386431D01*
-X81804691Y-148471464D01*
-X81696464Y-148579691D01*
-X81611431Y-148706952D01*
-X81552859Y-148848357D01*
-X81523000Y-148998472D01*
-X81523000Y-149151528D01*
-X81552859Y-149301643D01*
-X81611431Y-149443048D01*
-X81696464Y-149570309D01*
-X81804691Y-149678536D01*
-X81931952Y-149763569D01*
-X82073357Y-149822141D01*
-X82223472Y-149852000D01*
-X82376528Y-149852000D01*
-X82526643Y-149822141D01*
-X82668048Y-149763569D01*
-X82795309Y-149678536D01*
-X82896845Y-149577000D01*
-X87524153Y-149577000D01*
-X87483803Y-149617350D01*
-X87398770Y-149744611D01*
-X87340198Y-149886016D01*
-X87310339Y-150036131D01*
-X87310339Y-150189187D01*
-X87340198Y-150339302D01*
-X87398770Y-150480707D01*
-X87483803Y-150607968D01*
-X87592030Y-150716195D01*
-X87719291Y-150801228D01*
-X87860696Y-150859800D01*
-X88010811Y-150889659D01*
-X88154405Y-150889659D01*
-X91162789Y-153898044D01*
-X90207980Y-153898044D01*
-X88677000Y-152367066D01*
-X88677000Y-152223472D01*
-X88647141Y-152073357D01*
-X88588569Y-151931952D01*
-X88503536Y-151804691D01*
-X88395309Y-151696464D01*
-X88268048Y-151611431D01*
-X88126643Y-151552859D01*
-X87976528Y-151523000D01*
-X87823472Y-151523000D01*
-X87673357Y-151552859D01*
-X87531952Y-151611431D01*
-X87404691Y-151696464D01*
-X87296464Y-151804691D01*
-X87211431Y-151931952D01*
-X87152859Y-152073357D01*
-X87123000Y-152223472D01*
-X87123000Y-152376528D01*
-X87152859Y-152526643D01*
-X87211431Y-152668048D01*
-X87296464Y-152795309D01*
-X87404691Y-152903536D01*
-X87531952Y-152988569D01*
-X87673357Y-153047141D01*
-X87823472Y-153077000D01*
-X87967066Y-153077000D01*
-X89513064Y-154623000D01*
-X80127000Y-154623000D01*
-X80127000Y-145000000D01*
-X80124560Y-144975224D01*
-X80117333Y-144951399D01*
-X80105597Y-144929443D01*
-X80089803Y-144910197D01*
-X80070557Y-144894403D01*
-X80048601Y-144882667D01*
-X80024776Y-144875440D01*
-X80000000Y-144873000D01*
-X70377000Y-144873000D01*
-X70377000Y-143377000D01*
-X92843842Y-143377000D01*
-X98733421Y-149266579D01*
-X98733421Y-149266579D01*
-G37*
-X98733421Y-149266579D02*
-X98790550Y-149313464D01*
-X98855728Y-149348303D01*
-X98926451Y-149369756D01*
-X99000000Y-149377000D01*
-X108118165Y-149377000D01*
-X108098975Y-149423330D01*
-X108023000Y-149805282D01*
-X108023000Y-150194718D01*
-X108098975Y-150576670D01*
-X108248005Y-150936461D01*
-X108464364Y-151260264D01*
-X108739736Y-151535636D01*
-X109063539Y-151751995D01*
-X109423330Y-151901025D01*
-X109805282Y-151977000D01*
-X110194718Y-151977000D01*
-X110576670Y-151901025D01*
-X110936461Y-151751995D01*
-X111260264Y-151535636D01*
-X111535636Y-151260264D01*
-X111751995Y-150936461D01*
-X111901025Y-150576670D01*
-X111977000Y-150194718D01*
-X111977000Y-149805282D01*
-X111901025Y-149423330D01*
-X111881835Y-149377000D01*
-X130000000Y-149377000D01*
-X130073549Y-149369756D01*
-X130144272Y-149348303D01*
-X130209450Y-149313464D01*
-X130266579Y-149266579D01*
-X132156158Y-147377000D01*
-X145913067Y-147377000D01*
-X141192066Y-152098000D01*
-X129007935Y-152098000D01*
-X128377000Y-151467066D01*
-X128377000Y-151323472D01*
-X128347141Y-151173357D01*
-X128288569Y-151031952D01*
-X128203536Y-150904691D01*
-X128095309Y-150796464D01*
-X127968048Y-150711431D01*
-X127826643Y-150652859D01*
-X127676528Y-150623000D01*
-X127523472Y-150623000D01*
-X127373357Y-150652859D01*
-X127231952Y-150711431D01*
-X127104691Y-150796464D01*
-X126996464Y-150904691D01*
-X126911431Y-151031952D01*
-X126852859Y-151173357D01*
-X126823000Y-151323472D01*
-X126823000Y-151423000D01*
-X126723472Y-151423000D01*
-X126573357Y-151452859D01*
-X126431952Y-151511431D01*
-X126304691Y-151596464D01*
-X126196464Y-151704691D01*
-X126111431Y-151831952D01*
-X126052859Y-151973357D01*
-X126023000Y-152123472D01*
-X126023000Y-152276528D01*
-X126052859Y-152426643D01*
-X126111431Y-152568048D01*
-X126196464Y-152695309D01*
-X126304691Y-152803536D01*
-X126431952Y-152888569D01*
-X126573357Y-152947141D01*
-X126723472Y-152977000D01*
-X126867066Y-152977000D01*
-X126888087Y-152998022D01*
-X92707957Y-152998022D01*
-X88447402Y-148737468D01*
-X88431684Y-148718316D01*
-X88355245Y-148655583D01*
-X88268036Y-148608969D01*
-X88173409Y-148580264D01*
-X88099653Y-148573000D01*
-X88099643Y-148573000D01*
-X88075000Y-148570573D01*
-X88050357Y-148573000D01*
-X82896845Y-148573000D01*
-X82795309Y-148471464D01*
-X82668048Y-148386431D01*
-X82526643Y-148327859D01*
-X82376528Y-148298000D01*
-X82223472Y-148298000D01*
-X82073357Y-148327859D01*
-X81931952Y-148386431D01*
-X81804691Y-148471464D01*
-X81696464Y-148579691D01*
-X81611431Y-148706952D01*
-X81552859Y-148848357D01*
-X81523000Y-148998472D01*
-X81523000Y-149151528D01*
-X81552859Y-149301643D01*
-X81611431Y-149443048D01*
-X81696464Y-149570309D01*
-X81804691Y-149678536D01*
-X81931952Y-149763569D01*
-X82073357Y-149822141D01*
-X82223472Y-149852000D01*
-X82376528Y-149852000D01*
-X82526643Y-149822141D01*
-X82668048Y-149763569D01*
-X82795309Y-149678536D01*
-X82896845Y-149577000D01*
-X87524153Y-149577000D01*
-X87483803Y-149617350D01*
-X87398770Y-149744611D01*
-X87340198Y-149886016D01*
-X87310339Y-150036131D01*
-X87310339Y-150189187D01*
-X87340198Y-150339302D01*
-X87398770Y-150480707D01*
-X87483803Y-150607968D01*
-X87592030Y-150716195D01*
-X87719291Y-150801228D01*
-X87860696Y-150859800D01*
-X88010811Y-150889659D01*
-X88154405Y-150889659D01*
-X91162789Y-153898044D01*
-X90207980Y-153898044D01*
-X88677000Y-152367066D01*
-X88677000Y-152223472D01*
-X88647141Y-152073357D01*
-X88588569Y-151931952D01*
-X88503536Y-151804691D01*
-X88395309Y-151696464D01*
-X88268048Y-151611431D01*
-X88126643Y-151552859D01*
-X87976528Y-151523000D01*
-X87823472Y-151523000D01*
-X87673357Y-151552859D01*
-X87531952Y-151611431D01*
-X87404691Y-151696464D01*
-X87296464Y-151804691D01*
-X87211431Y-151931952D01*
-X87152859Y-152073357D01*
-X87123000Y-152223472D01*
-X87123000Y-152376528D01*
-X87152859Y-152526643D01*
-X87211431Y-152668048D01*
-X87296464Y-152795309D01*
-X87404691Y-152903536D01*
-X87531952Y-152988569D01*
-X87673357Y-153047141D01*
-X87823472Y-153077000D01*
-X87967066Y-153077000D01*
-X89513064Y-154623000D01*
-X80127000Y-154623000D01*
-X80127000Y-145000000D01*
-X80124560Y-144975224D01*
-X80117333Y-144951399D01*
-X80105597Y-144929443D01*
-X80089803Y-144910197D01*
-X80070557Y-144894403D01*
-X80048601Y-144882667D01*
-X80024776Y-144875440D01*
-X80000000Y-144873000D01*
-X70377000Y-144873000D01*
-X70377000Y-143377000D01*
-X92843842Y-143377000D01*
-X98733421Y-149266579D01*
-G36*
-X118823000Y-67200000D02*
-G01*
-X118830244Y-67273549D01*
-X118851697Y-67344272D01*
-X118886536Y-67409450D01*
-X118933421Y-67466579D01*
-X118990550Y-67513464D01*
-X119055728Y-67548303D01*
-X119126451Y-67569756D01*
-X119200000Y-67577000D01*
-X136367065Y-67577000D01*
-X136998000Y-68207935D01*
-X136998000Y-68403155D01*
-X136896464Y-68504691D01*
-X136811431Y-68631952D01*
-X136752859Y-68773357D01*
-X136723000Y-68923472D01*
-X136723000Y-69076528D01*
-X136752859Y-69226643D01*
-X136811431Y-69368048D01*
-X136896464Y-69495309D01*
-X137004691Y-69603536D01*
-X137131952Y-69688569D01*
-X137273357Y-69747141D01*
-X137423472Y-69777000D01*
-X137576528Y-69777000D01*
-X137726643Y-69747141D01*
-X137868048Y-69688569D01*
-X137995309Y-69603536D01*
-X138000000Y-69598845D01*
-X138004691Y-69603536D01*
-X138131952Y-69688569D01*
-X138273357Y-69747141D01*
-X138423472Y-69777000D01*
-X138576528Y-69777000D01*
-X138726643Y-69747141D01*
-X138868048Y-69688569D01*
-X138995309Y-69603536D01*
-X139103536Y-69495309D01*
-X139178763Y-69382723D01*
-X140370572Y-69382723D01*
-X140408412Y-69601474D01*
-X140488202Y-69808640D01*
-X140514957Y-69858694D01*
-X140681590Y-69938805D01*
-X141320395Y-69300000D01*
-X141679605Y-69300000D01*
-X142318410Y-69938805D01*
-X142485043Y-69858694D01*
-X142575111Y-69655787D01*
-X142623864Y-69439207D01*
-X142629428Y-69217277D01*
-X142624537Y-69189000D01*
-X142663000Y-69189000D01*
-X142663000Y-69411000D01*
-X142706310Y-69628734D01*
-X142791266Y-69833835D01*
-X142914602Y-70018421D01*
-X143071579Y-70175398D01*
-X143256165Y-70298734D01*
-X143461266Y-70383690D01*
-X143679000Y-70427000D01*
-X143901000Y-70427000D01*
-X144118734Y-70383690D01*
-X144323835Y-70298734D01*
-X144508421Y-70175398D01*
-X144565409Y-70118410D01*
-X152291195Y-70118410D01*
-X152371306Y-70285043D01*
-X152574213Y-70375111D01*
-X152790793Y-70423864D01*
-X153012723Y-70429428D01*
-X153231474Y-70391588D01*
-X153438640Y-70311798D01*
-X153488694Y-70285043D01*
-X153568805Y-70118410D01*
-X152930000Y-69479605D01*
-X152291195Y-70118410D01*
-X144565409Y-70118410D01*
-X144665398Y-70018421D01*
-X144788734Y-69833835D01*
-X144873690Y-69628734D01*
-X144917000Y-69411000D01*
-X144917000Y-69382723D01*
-X151800572Y-69382723D01*
-X151838412Y-69601474D01*
-X151918202Y-69808640D01*
-X151944957Y-69858694D01*
-X152111590Y-69938805D01*
-X152750395Y-69300000D01*
-X153109605Y-69300000D01*
-X153748410Y-69938805D01*
-X153915043Y-69858694D01*
-X154005111Y-69655787D01*
-X154053864Y-69439207D01*
-X154059428Y-69217277D01*
-X154054537Y-69189000D01*
-X154093000Y-69189000D01*
-X154093000Y-69411000D01*
-X154136310Y-69628734D01*
-X154221266Y-69833835D01*
-X154344602Y-70018421D01*
-X154501579Y-70175398D01*
-X154686165Y-70298734D01*
-X154891266Y-70383690D01*
-X155109000Y-70427000D01*
-X155331000Y-70427000D01*
-X155548734Y-70383690D01*
-X155753835Y-70298734D01*
-X155938421Y-70175398D01*
-X156095398Y-70018421D01*
-X156218734Y-69833835D01*
-X156303690Y-69628734D01*
-X156347000Y-69411000D01*
-X156347000Y-69189000D01*
-X156303690Y-68971266D01*
-X156218734Y-68766165D01*
-X156095398Y-68581579D01*
-X155938421Y-68424602D01*
-X155753835Y-68301266D01*
-X155548734Y-68216310D01*
-X155331000Y-68173000D01*
-X155109000Y-68173000D01*
-X154891266Y-68216310D01*
-X154686165Y-68301266D01*
-X154501579Y-68424602D01*
-X154344602Y-68581579D01*
-X154221266Y-68766165D01*
-X154136310Y-68971266D01*
-X154093000Y-69189000D01*
-X154054537Y-69189000D01*
-X154021588Y-68998526D01*
-X153941798Y-68791360D01*
-X153915043Y-68741306D01*
-X153748410Y-68661195D01*
-X153109605Y-69300000D01*
-X152750395Y-69300000D01*
-X152111590Y-68661195D01*
-X151944957Y-68741306D01*
-X151854889Y-68944213D01*
-X151806136Y-69160793D01*
-X151800572Y-69382723D01*
-X144917000Y-69382723D01*
-X144917000Y-69189000D01*
-X144873690Y-68971266D01*
-X144788734Y-68766165D01*
-X144665398Y-68581579D01*
-X144565409Y-68481590D01*
-X152291195Y-68481590D01*
-X152930000Y-69120395D01*
-X153568805Y-68481590D01*
-X153488694Y-68314957D01*
-X153285787Y-68224889D01*
-X153069207Y-68176136D01*
-X152847277Y-68170572D01*
-X152628526Y-68208412D01*
-X152421360Y-68288202D01*
-X152371306Y-68314957D01*
-X152291195Y-68481590D01*
-X144565409Y-68481590D01*
-X144508421Y-68424602D01*
-X144323835Y-68301266D01*
-X144118734Y-68216310D01*
-X143901000Y-68173000D01*
-X143679000Y-68173000D01*
-X143461266Y-68216310D01*
-X143256165Y-68301266D01*
-X143071579Y-68424602D01*
-X142914602Y-68581579D01*
-X142791266Y-68766165D01*
-X142706310Y-68971266D01*
-X142663000Y-69189000D01*
-X142624537Y-69189000D01*
-X142591588Y-68998526D01*
-X142511798Y-68791360D01*
-X142485043Y-68741306D01*
-X142318410Y-68661195D01*
-X141679605Y-69300000D01*
-X141320395Y-69300000D01*
-X140681590Y-68661195D01*
-X140514957Y-68741306D01*
-X140424889Y-68944213D01*
-X140376136Y-69160793D01*
-X140370572Y-69382723D01*
-X139178763Y-69382723D01*
-X139188569Y-69368048D01*
-X139247141Y-69226643D01*
-X139277000Y-69076528D01*
-X139277000Y-68923472D01*
-X139247141Y-68773357D01*
-X139188569Y-68631952D01*
-X139103536Y-68504691D01*
-X139080435Y-68481590D01*
-X140861195Y-68481590D01*
-X141500000Y-69120395D01*
-X142138805Y-68481590D01*
-X142058694Y-68314957D01*
-X141855787Y-68224889D01*
-X141639207Y-68176136D01*
-X141417277Y-68170572D01*
-X141198526Y-68208412D01*
-X140991360Y-68288202D01*
-X140941306Y-68314957D01*
-X140861195Y-68481590D01*
-X139080435Y-68481590D01*
-X139002000Y-68403155D01*
-X139002000Y-68024643D01*
-X139004427Y-68000000D01*
-X139002000Y-67975357D01*
-X139002000Y-67975347D01*
-X138994736Y-67901591D01*
-X138966031Y-67806964D01*
-X138938246Y-67754981D01*
-X138919417Y-67719754D01*
-X138872400Y-67662464D01*
-X138872392Y-67662456D01*
-X138856684Y-67643316D01*
-X138837543Y-67627607D01*
-X138786936Y-67577000D01*
-X158000000Y-67577000D01*
-X158073549Y-67569756D01*
-X158144272Y-67548303D01*
-X158209450Y-67513464D01*
-X158266579Y-67466579D01*
-X158313464Y-67409450D01*
-X158348303Y-67344272D01*
-X158369756Y-67273549D01*
-X158377000Y-67200000D01*
-X158377000Y-55377000D01*
-X159873000Y-55377000D01*
-X159873000Y-63000000D01*
-X159875440Y-63024776D01*
-X159882667Y-63048601D01*
-X159894403Y-63070557D01*
-X159910197Y-63089803D01*
-X161910197Y-65089803D01*
-X161929443Y-65105597D01*
-X161951399Y-65117333D01*
-X161975224Y-65124560D01*
-X162000000Y-65127000D01*
-X169623001Y-65127000D01*
-X169623001Y-93873000D01*
-X169252000Y-93873000D01*
-X169252000Y-91646845D01*
-X169353536Y-91545309D01*
-X169438569Y-91418048D01*
-X169497141Y-91276643D01*
-X169527000Y-91126528D01*
-X169527000Y-90973472D01*
-X169497141Y-90823357D01*
-X169438569Y-90681952D01*
-X169353536Y-90554691D01*
-X169245309Y-90446464D01*
-X169118048Y-90361431D01*
-X168976643Y-90302859D01*
-X168826528Y-90273000D01*
-X168673472Y-90273000D01*
-X168523357Y-90302859D01*
-X168381952Y-90361431D01*
-X168254691Y-90446464D01*
-X168146464Y-90554691D01*
-X168061431Y-90681952D01*
-X168002859Y-90823357D01*
-X167973000Y-90973472D01*
-X167973000Y-91126528D01*
-X168002859Y-91276643D01*
-X168061431Y-91418048D01*
-X168146464Y-91545309D01*
-X168248000Y-91646845D01*
-X168248000Y-93738066D01*
-X168177000Y-93667066D01*
-X168177000Y-93523472D01*
-X168147141Y-93373357D01*
-X168088569Y-93231952D01*
-X168003536Y-93104691D01*
-X167895309Y-92996464D01*
-X167768048Y-92911431D01*
-X167626643Y-92852859D01*
-X167476528Y-92823000D01*
-X167323472Y-92823000D01*
-X167173357Y-92852859D01*
-X167031952Y-92911431D01*
-X166904691Y-92996464D01*
-X166796464Y-93104691D01*
-X166711431Y-93231952D01*
-X166652859Y-93373357D01*
-X166623000Y-93523472D01*
-X166623000Y-93676528D01*
-X166652859Y-93826643D01*
-X166672061Y-93873000D01*
-X166282935Y-93873000D01*
-X164272402Y-91862468D01*
-X164256684Y-91843316D01*
-X164180245Y-91780583D01*
-X164093036Y-91733969D01*
-X163998409Y-91705264D01*
-X163924653Y-91698000D01*
-X163924643Y-91698000D01*
-X163900000Y-91695573D01*
-X163875357Y-91698000D01*
-X163321855Y-91698000D01*
-X163220319Y-91596464D01*
-X163093058Y-91511431D01*
-X162951653Y-91452859D01*
-X162801538Y-91423000D01*
-X162648482Y-91423000D01*
-X162498367Y-91452859D01*
-X162356962Y-91511431D01*
-X162229701Y-91596464D01*
-X162128165Y-91698000D01*
-X154947866Y-91698000D01*
-X154977000Y-91551528D01*
-X154977000Y-91398472D01*
-X154947141Y-91248357D01*
-X154888569Y-91106952D01*
-X154803536Y-90979691D01*
-X154695309Y-90871464D01*
-X154591349Y-90802000D01*
-X156403155Y-90802000D01*
-X156504691Y-90903536D01*
-X156631952Y-90988569D01*
-X156773357Y-91047141D01*
-X156923472Y-91077000D01*
-X157076528Y-91077000D01*
-X157226643Y-91047141D01*
-X157368048Y-90988569D01*
-X157495309Y-90903536D01*
-X157603536Y-90795309D01*
-X157688569Y-90668048D01*
-X157747141Y-90526643D01*
-X157777000Y-90376528D01*
-X157777000Y-90223472D01*
-X157747141Y-90073357D01*
-X157688569Y-89931952D01*
-X157603536Y-89804691D01*
-X157495309Y-89696464D01*
-X157368048Y-89611431D01*
-X157226643Y-89552859D01*
-X157076528Y-89523000D01*
-X156923472Y-89523000D01*
-X156773357Y-89552859D01*
-X156631952Y-89611431D01*
-X156504691Y-89696464D01*
-X156403155Y-89798000D01*
-X153724642Y-89798000D01*
-X153699999Y-89795573D01*
-X153675356Y-89798000D01*
-X153675347Y-89798000D01*
-X153601591Y-89805264D01*
-X153506964Y-89833969D01*
-X153419755Y-89880583D01*
-X153419753Y-89880584D01*
-X153419754Y-89880584D01*
-X153363571Y-89926693D01*
-X153343316Y-89943316D01*
-X153327603Y-89962462D01*
-X152342076Y-90947990D01*
-X138857926Y-90947990D01*
-X136497402Y-88587468D01*
-X136481685Y-88568317D01*
-X136405246Y-88505584D01*
-X136318037Y-88458970D01*
-X136223410Y-88430265D01*
-X136149654Y-88423001D01*
-X136149644Y-88423001D01*
-X136125001Y-88420574D01*
-X136100358Y-88423001D01*
-X134199642Y-88423001D01*
-X134174999Y-88420574D01*
-X134150356Y-88423001D01*
-X134150346Y-88423001D01*
-X134076590Y-88430265D01*
-X133981963Y-88458970D01*
-X133894754Y-88505584D01*
-X133894752Y-88505585D01*
-X133894753Y-88505585D01*
-X133837463Y-88552601D01*
-X133837455Y-88552609D01*
-X133818315Y-88568317D01*
-X133802606Y-88587458D01*
-X133192086Y-89197980D01*
-X122624663Y-89197980D01*
-X122600020Y-89195553D01*
-X122575377Y-89197980D01*
-X122575367Y-89197980D01*
-X122501611Y-89205244D01*
-X122406984Y-89233949D01*
-X122366388Y-89255648D01*
-X122319774Y-89280563D01*
-X122262484Y-89327580D01*
-X122262476Y-89327588D01*
-X122243336Y-89343296D01*
-X122227627Y-89362437D01*
-X120300446Y-91289620D01*
-X117971933Y-91289620D01*
-X117947141Y-91164978D01*
-X117888569Y-91023573D01*
-X117803536Y-90896312D01*
-X117695309Y-90788085D01*
-X117568048Y-90703052D01*
-X117426643Y-90644480D01*
-X117276528Y-90614621D01*
-X117123472Y-90614621D01*
-X116973357Y-90644480D01*
-X116831952Y-90703052D01*
-X116704691Y-90788085D01*
-X116596464Y-90896312D01*
-X116511431Y-91023573D01*
-X116452859Y-91164978D01*
-X116423000Y-91315093D01*
-X116423000Y-91468149D01*
-X116452859Y-91618264D01*
-X116511431Y-91759669D01*
-X116537043Y-91798000D01*
-X112707935Y-91798000D01*
-X112248139Y-91338204D01*
-X112368048Y-91288536D01*
-X112495309Y-91203503D01*
-X112603536Y-91095276D01*
-X112688569Y-90968015D01*
-X112747141Y-90826610D01*
-X112777000Y-90676495D01*
-X112777000Y-90523439D01*
-X112747141Y-90373324D01*
-X112688569Y-90231919D01*
-X112603536Y-90104658D01*
-X112502000Y-90003122D01*
-X112502000Y-89224604D01*
-X112531350Y-89195254D01*
-X112616383Y-89067993D01*
-X112674955Y-88926588D01*
-X112704814Y-88776473D01*
-X112704814Y-88623417D01*
-X112674955Y-88473302D01*
-X112616383Y-88331897D01*
-X112531350Y-88204636D01*
-X112423123Y-88096409D01*
-X112295862Y-88011376D01*
-X112154457Y-87952804D01*
-X112004342Y-87922945D01*
-X111851286Y-87922945D01*
-X111701171Y-87952804D01*
-X111559766Y-88011376D01*
-X111432505Y-88096409D01*
-X111324278Y-88204636D01*
-X111239245Y-88331897D01*
-X111180673Y-88473302D01*
-X111150814Y-88623417D01*
-X111150814Y-88776473D01*
-X111180673Y-88926588D01*
-X111239245Y-89067993D01*
-X111324278Y-89195254D01*
-X111432505Y-89303481D01*
-X111498001Y-89347244D01*
-X111498000Y-90003122D01*
-X111396464Y-90104658D01*
-X111311431Y-90231919D01*
-X111261763Y-90351829D01*
-X111127001Y-90217067D01*
-X111127001Y-89951336D01*
-X111129428Y-89926693D01*
-X111127001Y-89902050D01*
-X111127001Y-89902041D01*
-X111119737Y-89828284D01*
-X111091032Y-89733657D01*
-X111055946Y-89668015D01*
-X111044418Y-89646447D01*
-X110997401Y-89589157D01*
-X110997393Y-89589149D01*
-X110981685Y-89570009D01*
-X110962544Y-89554300D01*
-X109433055Y-88024813D01*
-X109417338Y-88005662D01*
-X109340899Y-87942929D01*
-X109253690Y-87896315D01*
-X109159063Y-87867610D01*
-X109085307Y-87860346D01*
-X109085297Y-87860346D01*
-X109060654Y-87857919D01*
-X109036011Y-87860346D01*
-X107762296Y-87860346D01*
-X107737653Y-87857919D01*
-X107713010Y-87860346D01*
-X107713001Y-87860346D01*
-X107639245Y-87867610D01*
-X107544618Y-87896315D01*
-X107457409Y-87942929D01*
-X107380970Y-88005662D01*
-X107365257Y-88024808D01*
-X106904413Y-88485653D01*
-X106760819Y-88485653D01*
-X106610704Y-88515512D01*
-X106469299Y-88574084D01*
-X106342038Y-88659117D01*
-X106233811Y-88767344D01*
-X106148778Y-88894605D01*
-X106090206Y-89036010D01*
-X106060347Y-89186125D01*
-X106060347Y-89339181D01*
-X106090206Y-89489296D01*
-X106148778Y-89630701D01*
-X106233811Y-89757962D01*
-X106342038Y-89866189D01*
-X106469299Y-89951222D01*
-X106610704Y-90009794D01*
-X106760819Y-90039653D01*
-X106913875Y-90039653D01*
-X107063990Y-90009794D01*
-X107205395Y-89951222D01*
-X107332656Y-89866189D01*
-X107440883Y-89757962D01*
-X107525916Y-89630701D01*
-X107584488Y-89489296D01*
-X107614347Y-89339181D01*
-X107614347Y-89195587D01*
-X107945589Y-88864346D01*
-X107964788Y-88864346D01*
-X107935653Y-89010819D01*
-X107935653Y-89163875D01*
-X107965512Y-89313990D01*
-X108024084Y-89455395D01*
-X108109117Y-89582656D01*
-X108217344Y-89690883D01*
-X108332996Y-89768159D01*
-X108296464Y-89804691D01*
-X108211431Y-89931952D01*
-X108152859Y-90073357D01*
-X108123000Y-90223472D01*
-X108123000Y-90376528D01*
-X108152859Y-90526643D01*
-X108211431Y-90668048D01*
-X108296464Y-90795309D01*
-X108398712Y-90897557D01*
-X108405265Y-90964094D01*
-X108433970Y-91058721D01*
-X108480584Y-91145930D01*
-X108527601Y-91203220D01*
-X108527604Y-91203223D01*
-X108543317Y-91222369D01*
-X108562463Y-91238082D01*
-X110472413Y-93148033D01*
-X109259828Y-93148033D01*
-X104777000Y-88665206D01*
-X104777000Y-80923472D01*
-X116123000Y-80923472D01*
-X116123000Y-81076528D01*
-X116152859Y-81226643D01*
-X116211431Y-81368048D01*
-X116296464Y-81495309D01*
-X116404691Y-81603536D01*
-X116531952Y-81688569D01*
-X116673357Y-81747141D01*
-X116823472Y-81777000D01*
-X116976528Y-81777000D01*
-X117126643Y-81747141D01*
-X117268048Y-81688569D01*
-X117373715Y-81617964D01*
-X117393305Y-81637554D01*
-X117409023Y-81656706D01*
-X117427487Y-81671859D01*
-X117331952Y-81711431D01*
-X117204691Y-81796464D01*
-X117096464Y-81904691D01*
-X117011431Y-82031952D01*
-X116952859Y-82173357D01*
-X116923000Y-82323472D01*
-X116923000Y-82476528D01*
-X116952859Y-82626643D01*
-X117011431Y-82768048D01*
-X117096464Y-82895309D01*
-X117204691Y-83003536D01*
-X117331952Y-83088569D01*
-X117473357Y-83147141D01*
-X117623472Y-83177000D01*
-X117776528Y-83177000D01*
-X117926643Y-83147141D01*
-X118068048Y-83088569D01*
-X118195309Y-83003536D01*
-X118303536Y-82895309D01*
-X118388569Y-82768048D01*
-X118447141Y-82626643D01*
-X118477000Y-82476528D01*
-X118477000Y-82332935D01*
-X118557902Y-82252033D01*
-X118871187Y-82252033D01*
-X118804691Y-82296464D01*
-X118696464Y-82404691D01*
-X118611431Y-82531952D01*
-X118552859Y-82673357D01*
-X118523000Y-82823472D01*
-X118523000Y-82976528D01*
-X118552859Y-83126643D01*
-X118611431Y-83268048D01*
-X118696464Y-83395309D01*
-X118804691Y-83503536D01*
-X118931952Y-83588569D01*
-X119073357Y-83647141D01*
-X119223472Y-83677000D01*
-X119376528Y-83677000D01*
-X119526643Y-83647141D01*
-X119668048Y-83588569D01*
-X119795309Y-83503536D01*
-X119903536Y-83395309D01*
-X119988569Y-83268048D01*
-X120047141Y-83126643D01*
-X120077000Y-82976528D01*
-X120077000Y-82832935D01*
-X120195271Y-82714664D01*
-X124415410Y-82714664D01*
-X124440202Y-82839306D01*
-X124498774Y-82980711D01*
-X124583807Y-83107972D01*
-X124692034Y-83216199D01*
-X124819295Y-83301232D01*
-X124960700Y-83359804D01*
-X125110815Y-83389663D01*
-X125263871Y-83389663D01*
-X125413986Y-83359804D01*
-X125555391Y-83301232D01*
-X125682652Y-83216199D01*
-X125790879Y-83107972D01*
-X125875912Y-82980711D01*
-X125934484Y-82839306D01*
-X125964343Y-82689191D01*
-X125964343Y-82536135D01*
-X125934484Y-82386020D01*
-X125878985Y-82252033D01*
-X127449684Y-82252033D01*
-X127449684Y-82306059D01*
-X127479543Y-82456174D01*
-X127538115Y-82597579D01*
-X127623148Y-82724840D01*
-X127731375Y-82833067D01*
-X127858636Y-82918100D01*
-X128000041Y-82976672D01*
-X128150156Y-83006531D01*
-X128303212Y-83006531D01*
-X128453327Y-82976672D01*
-X128594732Y-82918100D01*
-X128721993Y-82833067D01*
-X128828101Y-82726959D01*
-X128904689Y-82803547D01*
-X129031950Y-82888580D01*
-X129173355Y-82947152D01*
-X129323470Y-82977011D01*
-X129476526Y-82977011D01*
-X129626641Y-82947152D01*
-X129768046Y-82888580D01*
-X129895307Y-82803547D01*
-X130003534Y-82695320D01*
-X130088567Y-82568059D01*
-X130147139Y-82426654D01*
-X130176998Y-82276539D01*
-X130176998Y-82123483D01*
-X130147139Y-81973368D01*
-X130088567Y-81831963D01*
-X130003534Y-81704702D01*
-X129998840Y-81700008D01*
-X130003536Y-81695311D01*
-X130088569Y-81568050D01*
-X130147141Y-81426645D01*
-X130177000Y-81276530D01*
-X130177000Y-81123474D01*
-X130147141Y-80973359D01*
-X130088569Y-80831954D01*
-X130003536Y-80704693D01*
-X129895309Y-80596466D01*
-X129768048Y-80511433D01*
-X129626643Y-80452861D01*
-X129476528Y-80423002D01*
-X129323472Y-80423002D01*
-X129318063Y-80424078D01*
-X129243045Y-80383980D01*
-X129148418Y-80355275D01*
-X129074662Y-80348011D01*
-X129074652Y-80348011D01*
-X129050009Y-80345584D01*
-X129025366Y-80348011D01*
-X127750834Y-80348011D01*
-X127853536Y-80245309D01*
-X127938569Y-80118048D01*
-X127979421Y-80019422D01*
-X130095306Y-77903538D01*
-X130095309Y-77903536D01*
-X130203536Y-77795309D01*
-X130224855Y-77763402D01*
-X130249177Y-77733766D01*
-X130267249Y-77699956D01*
-X130288569Y-77668048D01*
-X130303254Y-77632595D01*
-X130321327Y-77598783D01*
-X130332456Y-77562097D01*
-X130347141Y-77526643D01*
-X130354627Y-77489005D01*
-X130365756Y-77452319D01*
-X130369514Y-77414162D01*
-X130377000Y-77376528D01*
-X130377000Y-77338164D01*
-X130378099Y-77327000D01*
-X154403000Y-77327000D01*
-X154403000Y-77627000D01*
-X154454235Y-77893199D01*
-X154556419Y-78144288D01*
-X154705624Y-78370617D01*
-X154896117Y-78563489D01*
-X155120577Y-78715491D01*
-X155370378Y-78820783D01*
-X155440071Y-78834383D01*
-X155653000Y-78776448D01*
-X155653000Y-77327000D01*
-X154403000Y-77327000D01*
-X130378099Y-77327000D01*
-X130380759Y-77300000D01*
-X130377000Y-77261837D01*
-X130377000Y-77223472D01*
-X130369514Y-77185838D01*
-X130365756Y-77147682D01*
-X130354628Y-77110997D01*
-X130347141Y-77073357D01*
-X130332455Y-77037903D01*
-X130321327Y-77001217D01*
-X130303254Y-76967405D01*
-X130288569Y-76931952D01*
-X130267249Y-76900044D01*
-X130249177Y-76866234D01*
-X130224856Y-76836598D01*
-X130203536Y-76804691D01*
-X130176403Y-76777558D01*
-X130172663Y-76773000D01*
-X154403000Y-76773000D01*
-X154403000Y-77073000D01*
-X155653000Y-77073000D01*
-X155653000Y-75623552D01*
-X155907000Y-75623552D01*
-X155907000Y-77073000D01*
-X155927000Y-77073000D01*
-X155927000Y-77327000D01*
-X155907000Y-77327000D01*
-X155907000Y-78776448D01*
-X156119929Y-78834383D01*
-X156189622Y-78820783D01*
-X156439423Y-78715491D01*
-X156663883Y-78563489D01*
-X156854376Y-78370617D01*
-X157003581Y-78144288D01*
-X157046563Y-78038671D01*
-X157169527Y-78268720D01*
-X157341603Y-78478396D01*
-X157551279Y-78650473D01*
-X157790495Y-78778337D01*
-X158050061Y-78857075D01*
-X158320000Y-78883662D01*
-X158589938Y-78857075D01*
-X158849504Y-78778337D01*
-X159088720Y-78650473D01*
-X159298396Y-78478397D01*
-X159470473Y-78268721D01*
-X159590000Y-78045102D01*
-X159709527Y-78268720D01*
-X159881603Y-78478396D01*
-X160091279Y-78650473D01*
-X160330495Y-78778337D01*
-X160590061Y-78857075D01*
-X160860000Y-78883662D01*
-X161129938Y-78857075D01*
-X161389504Y-78778337D01*
-X161628720Y-78650473D01*
-X161838396Y-78478397D01*
-X162010473Y-78268721D01*
-X162021176Y-78248697D01*
-X162021176Y-78500000D01*
-X162028455Y-78573905D01*
-X162050012Y-78644970D01*
-X162085019Y-78710463D01*
-X162132131Y-78767869D01*
-X162189537Y-78814981D01*
-X162255030Y-78849988D01*
-X162326095Y-78871545D01*
-X162400000Y-78878824D01*
-X164400000Y-78878824D01*
-X164473905Y-78871545D01*
-X164544970Y-78849988D01*
-X164610463Y-78814981D01*
-X164667869Y-78767869D01*
-X164714981Y-78710463D01*
-X164749988Y-78644970D01*
-X164771545Y-78573905D01*
-X164778824Y-78500000D01*
-X164778824Y-75900000D01*
-X164771545Y-75826095D01*
-X164749988Y-75755030D01*
-X164714981Y-75689537D01*
-X164667869Y-75632131D01*
-X164610463Y-75585019D01*
-X164544970Y-75550012D01*
-X164473905Y-75528455D01*
-X164400000Y-75521176D01*
-X162400000Y-75521176D01*
-X162326095Y-75528455D01*
-X162255030Y-75550012D01*
-X162189537Y-75585019D01*
-X162132131Y-75632131D01*
-X162085019Y-75689537D01*
-X162050012Y-75755030D01*
-X162028455Y-75826095D01*
-X162021176Y-75900000D01*
-X162021176Y-76151303D01*
-X162010473Y-76131279D01*
-X161838397Y-75921603D01*
-X161628721Y-75749527D01*
-X161389505Y-75621663D01*
-X161129939Y-75542925D01*
-X160860000Y-75516338D01*
-X160590062Y-75542925D01*
-X160330496Y-75621663D01*
-X160091280Y-75749527D01*
-X159881604Y-75921603D01*
-X159709527Y-76131279D01*
-X159590000Y-76354898D01*
-X159470473Y-76131279D01*
-X159298397Y-75921603D01*
-X159088721Y-75749527D01*
-X158849505Y-75621663D01*
-X158589939Y-75542925D01*
-X158320000Y-75516338D01*
-X158050062Y-75542925D01*
-X157790496Y-75621663D01*
-X157551280Y-75749527D01*
-X157341604Y-75921603D01*
-X157169527Y-76131279D01*
-X157046563Y-76361328D01*
-X157003581Y-76255712D01*
-X156854376Y-76029383D01*
-X156663883Y-75836511D01*
-X156439423Y-75684509D01*
-X156189622Y-75579217D01*
-X156119929Y-75565617D01*
-X155907000Y-75623552D01*
-X155653000Y-75623552D01*
-X155440071Y-75565617D01*
-X155370378Y-75579217D01*
-X155120577Y-75684509D01*
-X154896117Y-75836511D01*
-X154705624Y-76029383D01*
-X154556419Y-76255712D01*
-X154454235Y-76506801D01*
-X154403000Y-76773000D01*
-X130172663Y-76773000D01*
-X130152080Y-76747920D01*
-X130122442Y-76723597D01*
-X130095309Y-76696464D01*
-X130063402Y-76675144D01*
-X130033766Y-76650823D01*
-X129999956Y-76632751D01*
-X129968048Y-76611431D01*
-X129932595Y-76596746D01*
-X129898783Y-76578673D01*
-X129862097Y-76567545D01*
-X129826643Y-76552859D01*
-X129789003Y-76545372D01*
-X129752318Y-76534244D01*
-X129714162Y-76530486D01*
-X129676528Y-76523000D01*
-X129638163Y-76523000D01*
-X129600000Y-76519241D01*
-X129561836Y-76523000D01*
-X129523472Y-76523000D01*
-X129485838Y-76530486D01*
-X129447681Y-76534244D01*
-X129410995Y-76545373D01*
-X129373357Y-76552859D01*
-X129337903Y-76567544D01*
-X129301217Y-76578673D01*
-X129267405Y-76596746D01*
-X129231952Y-76611431D01*
-X129200044Y-76632751D01*
-X129166234Y-76650823D01*
-X129136598Y-76675145D01*
-X129104691Y-76696464D01*
-X128996464Y-76804691D01*
-X128996462Y-76804694D01*
-X126453156Y-79348001D01*
-X119212530Y-79348001D01*
-X118342097Y-78477569D01*
-X118333459Y-78467044D01*
-X118346545Y-78423905D01*
-X118353824Y-78350000D01*
-X118353824Y-77150000D01*
-X118346545Y-77076095D01*
-X118324988Y-77005030D01*
-X118289981Y-76939537D01*
-X118242869Y-76882131D01*
-X118185463Y-76835019D01*
-X118119970Y-76800012D01*
-X118119930Y-76800000D01*
-X118119970Y-76799988D01*
-X118185463Y-76764981D01*
-X118242869Y-76717869D01*
-X118289981Y-76660463D01*
-X118324988Y-76594970D01*
-X118346545Y-76523905D01*
-X118353824Y-76450000D01*
-X118352000Y-76071250D01*
-X118257750Y-75977000D01*
-X117727000Y-75977000D01*
-X117727000Y-75997000D01*
-X117473000Y-75997000D01*
-X117473000Y-75977000D01*
-X116942250Y-75977000D01*
-X116848000Y-76071250D01*
-X116846176Y-76450000D01*
-X116853455Y-76523905D01*
-X116875012Y-76594970D01*
-X116910019Y-76660463D01*
-X116957131Y-76717869D01*
-X117014537Y-76764981D01*
-X117080030Y-76799988D01*
-X117080070Y-76800000D01*
-X117080030Y-76800012D01*
-X117014537Y-76835019D01*
-X116957131Y-76882131D01*
-X116910019Y-76939537D01*
-X116875012Y-77005030D01*
-X116853455Y-77076095D01*
-X116846176Y-77150000D01*
-X116846176Y-78305539D01*
-X116831952Y-78311431D01*
-X116800045Y-78332750D01*
-X116766234Y-78350823D01*
-X116736598Y-78375144D01*
-X116704691Y-78396464D01*
-X116677558Y-78423597D01*
-X116647920Y-78447920D01*
-X116623597Y-78477558D01*
-X116596464Y-78504691D01*
-X116575144Y-78536598D01*
-X116550823Y-78566234D01*
-X116532750Y-78600045D01*
-X116511431Y-78631952D01*
-X116496747Y-78667402D01*
-X116478673Y-78701216D01*
-X116467543Y-78737908D01*
-X116452859Y-78773357D01*
-X116445374Y-78810987D01*
-X116434243Y-78847681D01*
-X116430484Y-78885846D01*
-X116423000Y-78923472D01*
-X116423000Y-78961834D01*
-X116419241Y-79000000D01*
-X116423000Y-79038166D01*
-X116423000Y-79076528D01*
-X116430484Y-79114154D01*
-X116434243Y-79152319D01*
-X116445374Y-79189013D01*
-X116452859Y-79226643D01*
-X116467543Y-79262092D01*
-X116478673Y-79298784D01*
-X116496747Y-79332598D01*
-X116511431Y-79368048D01*
-X116532750Y-79399955D01*
-X116550823Y-79433766D01*
-X116575144Y-79463402D01*
-X116596464Y-79495309D01*
-X116601157Y-79500002D01*
-X116583806Y-79517352D01*
-X116498773Y-79644613D01*
-X116440201Y-79786018D01*
-X116410342Y-79936133D01*
-X116410342Y-80089189D01*
-X116440201Y-80239304D01*
-X116483490Y-80343812D01*
-X116404691Y-80396464D01*
-X116296464Y-80504691D01*
-X116211431Y-80631952D01*
-X116152859Y-80773357D01*
-X116123000Y-80923472D01*
-X104777000Y-80923472D01*
-X104777000Y-75250000D01*
-X116846176Y-75250000D01*
-X116848000Y-75628750D01*
-X116942250Y-75723000D01*
-X117473000Y-75723000D01*
-X117473000Y-74967250D01*
-X117727000Y-74967250D01*
-X117727000Y-75723000D01*
-X118257750Y-75723000D01*
-X118352000Y-75628750D01*
-X118353824Y-75250000D01*
-X118346545Y-75176095D01*
-X118324988Y-75105030D01*
-X118289981Y-75039537D01*
-X118242869Y-74982131D01*
-X118185463Y-74935019D01*
-X118119970Y-74900012D01*
-X118048905Y-74878455D01*
-X117975000Y-74871176D01*
-X117821250Y-74873000D01*
-X117727000Y-74967250D01*
-X117473000Y-74967250D01*
-X117378750Y-74873000D01*
-X117225000Y-74871176D01*
-X117151095Y-74878455D01*
-X117080030Y-74900012D01*
-X117014537Y-74935019D01*
-X116957131Y-74982131D01*
-X116910019Y-75039537D01*
-X116875012Y-75105030D01*
-X116853455Y-75176095D01*
-X116846176Y-75250000D01*
-X104777000Y-75250000D01*
-X104777000Y-70118410D01*
-X121011195Y-70118410D01*
-X121091306Y-70285043D01*
-X121294213Y-70375111D01*
-X121510793Y-70423864D01*
-X121732723Y-70429428D01*
-X121951474Y-70391588D01*
-X122158640Y-70311798D01*
-X122208694Y-70285043D01*
-X122288805Y-70118410D01*
-X121650000Y-69479605D01*
-X121011195Y-70118410D01*
-X104777000Y-70118410D01*
-X104777000Y-70004686D01*
-X105398963Y-69382723D01*
-X120520572Y-69382723D01*
-X120558412Y-69601474D01*
-X120638202Y-69808640D01*
-X120664957Y-69858694D01*
-X120831590Y-69938805D01*
-X121470395Y-69300000D01*
-X121829605Y-69300000D01*
-X122468410Y-69938805D01*
-X122635043Y-69858694D01*
-X122725111Y-69655787D01*
-X122773864Y-69439207D01*
-X122779428Y-69217277D01*
-X122774537Y-69189000D01*
-X122813000Y-69189000D01*
-X122813000Y-69411000D01*
-X122856310Y-69628734D01*
-X122941266Y-69833835D01*
-X123064602Y-70018421D01*
-X123221579Y-70175398D01*
-X123406165Y-70298734D01*
-X123611266Y-70383690D01*
-X123829000Y-70427000D01*
-X124051000Y-70427000D01*
-X124268734Y-70383690D01*
-X124473835Y-70298734D01*
-X124658421Y-70175398D01*
-X124715409Y-70118410D01*
-X132441195Y-70118410D01*
-X132521306Y-70285043D01*
-X132724213Y-70375111D01*
-X132940793Y-70423864D01*
-X133162723Y-70429428D01*
-X133381474Y-70391588D01*
-X133588640Y-70311798D01*
-X133638694Y-70285043D01*
-X133718805Y-70118410D01*
-X133080000Y-69479605D01*
-X132441195Y-70118410D01*
-X124715409Y-70118410D01*
-X124815398Y-70018421D01*
-X124938734Y-69833835D01*
-X125023690Y-69628734D01*
-X125067000Y-69411000D01*
-X125067000Y-69382723D01*
-X131950572Y-69382723D01*
-X131988412Y-69601474D01*
-X132068202Y-69808640D01*
-X132094957Y-69858694D01*
-X132261590Y-69938805D01*
-X132900395Y-69300000D01*
-X133259605Y-69300000D01*
-X133898410Y-69938805D01*
-X134065043Y-69858694D01*
-X134155111Y-69655787D01*
-X134203864Y-69439207D01*
-X134209428Y-69217277D01*
-X134204537Y-69189000D01*
-X134243000Y-69189000D01*
-X134243000Y-69411000D01*
-X134286310Y-69628734D01*
-X134371266Y-69833835D01*
-X134494602Y-70018421D01*
-X134651579Y-70175398D01*
-X134836165Y-70298734D01*
-X135041266Y-70383690D01*
-X135259000Y-70427000D01*
-X135481000Y-70427000D01*
-X135698734Y-70383690D01*
-X135903835Y-70298734D01*
-X136088421Y-70175398D01*
-X136145409Y-70118410D01*
-X140861195Y-70118410D01*
-X140941306Y-70285043D01*
-X141144213Y-70375111D01*
-X141360793Y-70423864D01*
-X141582723Y-70429428D01*
-X141801474Y-70391588D01*
-X142008640Y-70311798D01*
-X142058694Y-70285043D01*
-X142138805Y-70118410D01*
-X141500000Y-69479605D01*
-X140861195Y-70118410D01*
-X136145409Y-70118410D01*
-X136245398Y-70018421D01*
-X136368734Y-69833835D01*
-X136453690Y-69628734D01*
-X136497000Y-69411000D01*
-X136497000Y-69189000D01*
-X136453690Y-68971266D01*
-X136368734Y-68766165D01*
-X136245398Y-68581579D01*
-X136088421Y-68424602D01*
-X135903835Y-68301266D01*
-X135698734Y-68216310D01*
-X135481000Y-68173000D01*
-X135259000Y-68173000D01*
-X135041266Y-68216310D01*
-X134836165Y-68301266D01*
-X134651579Y-68424602D01*
-X134494602Y-68581579D01*
-X134371266Y-68766165D01*
-X134286310Y-68971266D01*
-X134243000Y-69189000D01*
-X134204537Y-69189000D01*
-X134171588Y-68998526D01*
-X134091798Y-68791360D01*
-X134065043Y-68741306D01*
-X133898410Y-68661195D01*
-X133259605Y-69300000D01*
-X132900395Y-69300000D01*
-X132261590Y-68661195D01*
-X132094957Y-68741306D01*
-X132004889Y-68944213D01*
-X131956136Y-69160793D01*
-X131950572Y-69382723D01*
-X125067000Y-69382723D01*
-X125067000Y-69189000D01*
-X125023690Y-68971266D01*
-X124938734Y-68766165D01*
-X124815398Y-68581579D01*
-X124715409Y-68481590D01*
-X132441195Y-68481590D01*
-X133080000Y-69120395D01*
-X133718805Y-68481590D01*
-X133638694Y-68314957D01*
-X133435787Y-68224889D01*
-X133219207Y-68176136D01*
-X132997277Y-68170572D01*
-X132778526Y-68208412D01*
-X132571360Y-68288202D01*
-X132521306Y-68314957D01*
-X132441195Y-68481590D01*
-X124715409Y-68481590D01*
-X124658421Y-68424602D01*
-X124473835Y-68301266D01*
-X124268734Y-68216310D01*
-X124051000Y-68173000D01*
-X123829000Y-68173000D01*
-X123611266Y-68216310D01*
-X123406165Y-68301266D01*
-X123221579Y-68424602D01*
-X123064602Y-68581579D01*
-X122941266Y-68766165D01*
-X122856310Y-68971266D01*
-X122813000Y-69189000D01*
-X122774537Y-69189000D01*
-X122741588Y-68998526D01*
-X122661798Y-68791360D01*
-X122635043Y-68741306D01*
-X122468410Y-68661195D01*
-X121829605Y-69300000D01*
-X121470395Y-69300000D01*
-X120831590Y-68661195D01*
-X120664957Y-68741306D01*
-X120574889Y-68944213D01*
-X120526136Y-69160793D01*
-X120520572Y-69382723D01*
-X105398963Y-69382723D01*
-X106300096Y-68481590D01*
-X121011195Y-68481590D01*
-X121650000Y-69120395D01*
-X122288805Y-68481590D01*
-X122208694Y-68314957D01*
-X122005787Y-68224889D01*
-X121789207Y-68176136D01*
-X121567277Y-68170572D01*
-X121348526Y-68208412D01*
-X121141360Y-68288202D01*
-X121091306Y-68314957D01*
-X121011195Y-68481590D01*
-X106300096Y-68481590D01*
-X108456907Y-66324780D01*
-X108494186Y-66294186D01*
-X108616276Y-66145418D01*
-X108706997Y-65975691D01*
-X108762863Y-65791525D01*
-X108767937Y-65740000D01*
-X115321176Y-65740000D01*
-X115321176Y-67440000D01*
-X115328455Y-67513905D01*
-X115350012Y-67584970D01*
-X115385019Y-67650463D01*
-X115432131Y-67707869D01*
-X115489537Y-67754981D01*
-X115555030Y-67789988D01*
-X115626095Y-67811545D01*
-X115700000Y-67818824D01*
-X117400000Y-67818824D01*
-X117473905Y-67811545D01*
-X117544970Y-67789988D01*
-X117610463Y-67754981D01*
-X117667869Y-67707869D01*
-X117714981Y-67650463D01*
-X117749988Y-67584970D01*
-X117771545Y-67513905D01*
-X117778824Y-67440000D01*
-X117778824Y-65740000D01*
-X117771545Y-65666095D01*
-X117749988Y-65595030D01*
-X117714981Y-65529537D01*
-X117667869Y-65472131D01*
-X117610463Y-65425019D01*
-X117544970Y-65390012D01*
-X117473905Y-65368455D01*
-X117400000Y-65361176D01*
-X115700000Y-65361176D01*
-X115626095Y-65368455D01*
-X115555030Y-65390012D01*
-X115489537Y-65425019D01*
-X115432131Y-65472131D01*
-X115385019Y-65529537D01*
-X115350012Y-65595030D01*
-X115328455Y-65666095D01*
-X115321176Y-65740000D01*
-X108767937Y-65740000D01*
-X108777000Y-65647993D01*
-X108777000Y-65647991D01*
-X108781727Y-65600001D01*
-X108777000Y-65552010D01*
-X108777000Y-64778824D01*
-X108800000Y-64778824D01*
-X108873905Y-64771545D01*
-X108944970Y-64749988D01*
-X109010463Y-64714981D01*
-X109067869Y-64667869D01*
-X109114981Y-64610463D01*
-X109149988Y-64544970D01*
-X109171545Y-64473905D01*
-X109178824Y-64400000D01*
-X109178824Y-64050000D01*
-X115317064Y-64050000D01*
-X115340755Y-64290534D01*
-X115410916Y-64521824D01*
-X115524851Y-64734983D01*
-X115678183Y-64921817D01*
-X115865017Y-65075149D01*
-X116078176Y-65189084D01*
-X116309466Y-65259245D01*
-X116489732Y-65277000D01*
-X116610268Y-65277000D01*
-X116790534Y-65259245D01*
-X117021824Y-65189084D01*
-X117234983Y-65075149D01*
-X117421817Y-64921817D01*
-X117575149Y-64734983D01*
-X117689084Y-64521824D01*
-X117759245Y-64290534D01*
-X117782936Y-64050000D01*
-X117759245Y-63809466D01*
-X117689084Y-63578176D01*
-X117575149Y-63365017D01*
-X117421817Y-63178183D01*
-X117234983Y-63024851D01*
-X117021824Y-62910916D01*
-X116790534Y-62840755D01*
-X116610268Y-62823000D01*
-X116489732Y-62823000D01*
-X116309466Y-62840755D01*
-X116078176Y-62910916D01*
-X115865017Y-63024851D01*
-X115678183Y-63178183D01*
-X115524851Y-63365017D01*
-X115410916Y-63578176D01*
-X115340755Y-63809466D01*
-X115317064Y-64050000D01*
-X109178824Y-64050000D01*
-X109178824Y-62400000D01*
-X109171545Y-62326095D01*
-X109149988Y-62255030D01*
-X109114981Y-62189537D01*
-X109067869Y-62132131D01*
-X109010463Y-62085019D01*
-X108944970Y-62050012D01*
-X108873905Y-62028455D01*
-X108800000Y-62021176D01*
-X106800000Y-62021176D01*
-X106726095Y-62028455D01*
-X106655030Y-62050012D01*
-X106589537Y-62085019D01*
-X106532131Y-62132131D01*
-X106485019Y-62189537D01*
-X106450012Y-62255030D01*
-X106428455Y-62326095D01*
-X106421176Y-62400000D01*
-X106421176Y-64400000D01*
-X106428455Y-64473905D01*
-X106450012Y-64544970D01*
-X106485019Y-64610463D01*
-X106532131Y-64667869D01*
-X106589537Y-64714981D01*
-X106655030Y-64749988D01*
-X106726095Y-64771545D01*
-X106800000Y-64778824D01*
-X106823001Y-64778824D01*
-X106823001Y-65195312D01*
-X103143098Y-68875216D01*
-X103105814Y-68905814D01*
-X102983724Y-69054582D01*
-X102909719Y-69193036D01*
-X102893003Y-69224310D01*
-X102837137Y-69408475D01*
-X102818273Y-69600000D01*
-X102823000Y-69647993D01*
-X102823001Y-89021889D01*
-X102818273Y-89069892D01*
-X102837137Y-89261417D01*
-X102893003Y-89445582D01*
-X102934384Y-89523000D01*
-X102983725Y-89615310D01*
-X103105815Y-89764078D01*
-X103143093Y-89794671D01*
-X107221422Y-93873000D01*
-X94402000Y-93873000D01*
-X94402000Y-93672777D01*
-X94438569Y-93618048D01*
-X94497141Y-93476643D01*
-X94527000Y-93326528D01*
-X94527000Y-93173472D01*
-X94497141Y-93023357D01*
-X94438569Y-92881952D01*
-X94353536Y-92754691D01*
-X94245309Y-92646464D01*
-X94118048Y-92561431D01*
-X93976643Y-92502859D01*
-X93826528Y-92473000D01*
-X93673472Y-92473000D01*
-X93523357Y-92502859D01*
-X93381952Y-92561431D01*
-X93254691Y-92646464D01*
-X93146464Y-92754691D01*
-X93061431Y-92881952D01*
-X93002859Y-93023357D01*
-X92973000Y-93173472D01*
-X92973000Y-93326528D01*
-X93002859Y-93476643D01*
-X93061431Y-93618048D01*
-X93146464Y-93745309D01*
-X93254691Y-93853536D01*
-X93283821Y-93873000D01*
-X70377000Y-93873000D01*
-X70377000Y-89097122D01*
-X72982483Y-89097122D01*
-X73092881Y-89289330D01*
-X73338495Y-89404430D01*
-X73601845Y-89469400D01*
-X73872809Y-89481745D01*
-X74140975Y-89440991D01*
-X74396038Y-89348703D01*
-X74507119Y-89289330D01*
-X74617517Y-89097122D01*
-X73800000Y-88279605D01*
-X72982483Y-89097122D01*
-X70377000Y-89097122D01*
-X70377000Y-88172809D01*
-X72418255Y-88172809D01*
-X72459009Y-88440975D01*
-X72551297Y-88696038D01*
-X72610670Y-88807119D01*
-X72802878Y-88917517D01*
-X73620395Y-88100000D01*
-X73979605Y-88100000D01*
-X74797122Y-88917517D01*
-X74989330Y-88807119D01*
-X75104430Y-88561505D01*
-X75169400Y-88298155D01*
-X75181745Y-88027191D01*
-X75140991Y-87759025D01*
-X75048703Y-87503962D01*
-X74989330Y-87392881D01*
-X74797122Y-87282483D01*
-X73979605Y-88100000D01*
-X73620395Y-88100000D01*
-X72802878Y-87282483D01*
-X72610670Y-87392881D01*
-X72495570Y-87638495D01*
-X72430600Y-87901845D01*
-X72418255Y-88172809D01*
-X70377000Y-88172809D01*
-X70377000Y-87102878D01*
-X72982483Y-87102878D01*
-X73800000Y-87920395D01*
-X74617517Y-87102878D01*
-X74615864Y-87100000D01*
-X77421176Y-87100000D01*
-X77421176Y-89100000D01*
-X77428455Y-89173905D01*
-X77450012Y-89244970D01*
-X77485019Y-89310463D01*
-X77532131Y-89367869D01*
-X77589537Y-89414981D01*
-X77655030Y-89449988D01*
-X77726095Y-89471545D01*
-X77800000Y-89478824D01*
-X79800000Y-89478824D01*
-X79873905Y-89471545D01*
-X79944970Y-89449988D01*
-X80010463Y-89414981D01*
-X80067869Y-89367869D01*
-X80114981Y-89310463D01*
-X80149988Y-89244970D01*
-X80171545Y-89173905D01*
-X80178824Y-89100000D01*
-X80178824Y-87100000D01*
-X80171545Y-87026095D01*
-X80149988Y-86955030D01*
-X80114981Y-86889537D01*
-X80067869Y-86832131D01*
-X80010463Y-86785019D01*
-X79944970Y-86750012D01*
-X79873905Y-86728455D01*
-X79800000Y-86721176D01*
-X77800000Y-86721176D01*
-X77726095Y-86728455D01*
-X77655030Y-86750012D01*
-X77589537Y-86785019D01*
-X77532131Y-86832131D01*
-X77485019Y-86889537D01*
-X77450012Y-86955030D01*
-X77428455Y-87026095D01*
-X77421176Y-87100000D01*
-X74615864Y-87100000D01*
-X74507119Y-86910670D01*
-X74261505Y-86795570D01*
-X73998155Y-86730600D01*
-X73727191Y-86718255D01*
-X73459025Y-86759009D01*
-X73203962Y-86851297D01*
-X73092881Y-86910670D01*
-X72982483Y-87102878D01*
-X70377000Y-87102878D01*
-X70377000Y-76897122D01*
-X72982483Y-76897122D01*
-X73092881Y-77089330D01*
-X73338495Y-77204430D01*
-X73601845Y-77269400D01*
-X73872809Y-77281745D01*
-X74140975Y-77240991D01*
-X74396038Y-77148703D01*
-X74507119Y-77089330D01*
-X74617517Y-76897122D01*
-X73800000Y-76079605D01*
-X72982483Y-76897122D01*
-X70377000Y-76897122D01*
-X70377000Y-75972809D01*
-X72418255Y-75972809D01*
-X72459009Y-76240975D01*
-X72551297Y-76496038D01*
-X72610670Y-76607119D01*
-X72802878Y-76717517D01*
-X73620395Y-75900000D01*
-X73979605Y-75900000D01*
-X74797122Y-76717517D01*
-X74989330Y-76607119D01*
-X75104430Y-76361505D01*
-X75169400Y-76098155D01*
-X75181745Y-75827191D01*
-X75140991Y-75559025D01*
-X75048703Y-75303962D01*
-X74989330Y-75192881D01*
-X74797122Y-75082483D01*
-X73979605Y-75900000D01*
-X73620395Y-75900000D01*
-X72802878Y-75082483D01*
-X72610670Y-75192881D01*
-X72495570Y-75438495D01*
-X72430600Y-75701845D01*
-X72418255Y-75972809D01*
-X70377000Y-75972809D01*
-X70377000Y-74902878D01*
-X72982483Y-74902878D01*
-X73800000Y-75720395D01*
-X74617517Y-74902878D01*
-X74615864Y-74900000D01*
-X77421176Y-74900000D01*
-X77421176Y-76900000D01*
-X77428455Y-76973905D01*
-X77450012Y-77044970D01*
-X77485019Y-77110463D01*
-X77532131Y-77167869D01*
-X77589537Y-77214981D01*
-X77655030Y-77249988D01*
-X77726095Y-77271545D01*
-X77800000Y-77278824D01*
-X79800000Y-77278824D01*
-X79873905Y-77271545D01*
-X79944970Y-77249988D01*
-X80010463Y-77214981D01*
-X80067869Y-77167869D01*
-X80114981Y-77110463D01*
-X80149988Y-77044970D01*
-X80171545Y-76973905D01*
-X80178824Y-76900000D01*
-X80178824Y-74900000D01*
-X80171545Y-74826095D01*
-X80149988Y-74755030D01*
-X80114981Y-74689537D01*
-X80067869Y-74632131D01*
-X80010463Y-74585019D01*
-X79944970Y-74550012D01*
-X79873905Y-74528455D01*
-X79800000Y-74521176D01*
-X79577000Y-74521176D01*
-X79577000Y-69986930D01*
-X79677787Y-69919586D01*
-X79869586Y-69727787D01*
-X80020282Y-69502254D01*
-X80124083Y-69251656D01*
-X80177000Y-68985623D01*
-X80177000Y-68714377D01*
-X80124083Y-68448344D01*
-X80020282Y-68197746D01*
-X79869586Y-67972213D01*
-X79677787Y-67780414D01*
-X79452254Y-67629718D01*
-X79201656Y-67525917D01*
-X78935623Y-67473000D01*
-X78664377Y-67473000D01*
-X78398344Y-67525917D01*
-X78147746Y-67629718D01*
-X77922213Y-67780414D01*
-X77730414Y-67972213D01*
-X77579718Y-68197746D01*
-X77475917Y-68448344D01*
-X77423000Y-68714377D01*
-X77423000Y-68985623D01*
-X77475917Y-69251656D01*
-X77579718Y-69502254D01*
-X77730414Y-69727787D01*
-X77922213Y-69919586D01*
-X78023001Y-69986930D01*
-X78023000Y-74521176D01*
-X77800000Y-74521176D01*
-X77726095Y-74528455D01*
-X77655030Y-74550012D01*
-X77589537Y-74585019D01*
-X77532131Y-74632131D01*
-X77485019Y-74689537D01*
-X77450012Y-74755030D01*
-X77428455Y-74826095D01*
-X77421176Y-74900000D01*
-X74615864Y-74900000D01*
-X74507119Y-74710670D01*
-X74261505Y-74595570D01*
-X73998155Y-74530600D01*
-X73727191Y-74518255D01*
-X73459025Y-74559009D01*
-X73203962Y-74651297D01*
-X73092881Y-74710670D01*
-X72982483Y-74902878D01*
-X70377000Y-74902878D01*
-X70377000Y-65127000D01*
-X80000000Y-65127000D01*
-X80024776Y-65124560D01*
-X80048601Y-65117333D01*
-X80070557Y-65105597D01*
-X80089803Y-65089803D01*
-X80105597Y-65070557D01*
-X80117333Y-65048601D01*
-X80124560Y-65024776D01*
-X80127000Y-65000000D01*
-X80127000Y-61500000D01*
-X83321176Y-61500000D01*
-X83321176Y-63500000D01*
-X83328455Y-63573905D01*
-X83350012Y-63644970D01*
-X83385019Y-63710463D01*
-X83432131Y-63767869D01*
-X83489537Y-63814981D01*
-X83555030Y-63849988D01*
-X83626095Y-63871545D01*
-X83700000Y-63878824D01*
-X86300000Y-63878824D01*
-X86373905Y-63871545D01*
-X86444970Y-63849988D01*
-X86510463Y-63814981D01*
-X86567869Y-63767869D01*
-X86614981Y-63710463D01*
-X86649988Y-63644970D01*
-X86671545Y-63573905D01*
-X86678824Y-63500000D01*
-X86678824Y-62400000D01*
-X94721176Y-62400000D01*
-X94721176Y-64400000D01*
-X94728455Y-64473905D01*
-X94750012Y-64544970D01*
-X94785019Y-64610463D01*
-X94832131Y-64667869D01*
-X94889537Y-64714981D01*
-X94955030Y-64749988D01*
-X95026095Y-64771545D01*
-X95100000Y-64778824D01*
-X97100000Y-64778824D01*
-X97173905Y-64771545D01*
-X97244970Y-64749988D01*
-X97310463Y-64714981D01*
-X97367869Y-64667869D01*
-X97414981Y-64610463D01*
-X97449988Y-64544970D01*
-X97471545Y-64473905D01*
-X97478824Y-64400000D01*
-X97478824Y-62400000D01*
-X97471545Y-62326095D01*
-X97449988Y-62255030D01*
-X97414981Y-62189537D01*
-X97367869Y-62132131D01*
-X97310463Y-62085019D01*
-X97244970Y-62050012D01*
-X97173905Y-62028455D01*
-X97100000Y-62021176D01*
-X95100000Y-62021176D01*
-X95026095Y-62028455D01*
-X94955030Y-62050012D01*
-X94889537Y-62085019D01*
-X94832131Y-62132131D01*
-X94785019Y-62189537D01*
-X94750012Y-62255030D01*
-X94728455Y-62326095D01*
-X94721176Y-62400000D01*
-X86678824Y-62400000D01*
-X86678824Y-61826351D01*
-X115364482Y-61826351D01*
-X115388518Y-61905605D01*
-X115488014Y-62124597D01*
-X115628322Y-62319971D01*
-X115804049Y-62484218D01*
-X116008443Y-62611026D01*
-X116233648Y-62695523D01*
-X116423000Y-62636166D01*
-X116423000Y-61637000D01*
-X116677000Y-61637000D01*
-X116677000Y-62636166D01*
-X116866352Y-62695523D01*
-X117091557Y-62611026D01*
-X117295951Y-62484218D01*
-X117471678Y-62319971D01*
-X117611986Y-62124597D01*
-X117711482Y-61905605D01*
-X117735518Y-61826351D01*
-X117675608Y-61637000D01*
-X116677000Y-61637000D01*
-X116423000Y-61637000D01*
-X115424392Y-61637000D01*
-X115364482Y-61826351D01*
-X86678824Y-61826351D01*
-X86678824Y-61500000D01*
-X86671545Y-61426095D01*
-X86649988Y-61355030D01*
-X86614981Y-61289537D01*
-X86567869Y-61232131D01*
-X86520979Y-61193649D01*
-X115364482Y-61193649D01*
-X115424392Y-61383000D01*
-X116423000Y-61383000D01*
-X116423000Y-60383834D01*
-X116677000Y-60383834D01*
-X116677000Y-61383000D01*
-X117675608Y-61383000D01*
-X117735518Y-61193649D01*
-X117711482Y-61114395D01*
-X117611986Y-60895403D01*
-X117471678Y-60700029D01*
-X117295951Y-60535782D01*
-X117091557Y-60408974D01*
-X116866352Y-60324477D01*
-X116677000Y-60383834D01*
-X116423000Y-60383834D01*
-X116233648Y-60324477D01*
-X116008443Y-60408974D01*
-X115804049Y-60535782D01*
-X115628322Y-60700029D01*
-X115488014Y-60895403D01*
-X115388518Y-61114395D01*
-X115364482Y-61193649D01*
-X86520979Y-61193649D01*
-X86510463Y-61185019D01*
-X86444970Y-61150012D01*
-X86373905Y-61128455D01*
-X86300000Y-61121176D01*
-X86038950Y-61121176D01*
-X86170617Y-61034376D01*
-X86363489Y-60843883D01*
-X86515491Y-60619423D01*
-X86620783Y-60369622D01*
-X86634383Y-60299929D01*
-X86576448Y-60087000D01*
-X85127000Y-60087000D01*
-X85127000Y-60107000D01*
-X84873000Y-60107000D01*
-X84873000Y-60087000D01*
-X83423552Y-60087000D01*
-X83365617Y-60299929D01*
-X83379217Y-60369622D01*
-X83484509Y-60619423D01*
-X83636511Y-60843883D01*
-X83829383Y-61034376D01*
-X83961050Y-61121176D01*
-X83700000Y-61121176D01*
-X83626095Y-61128455D01*
-X83555030Y-61150012D01*
-X83489537Y-61185019D01*
-X83432131Y-61232131D01*
-X83385019Y-61289537D01*
-X83350012Y-61355030D01*
-X83328455Y-61426095D01*
-X83321176Y-61500000D01*
-X80127000Y-61500000D01*
-X80127000Y-59620071D01*
-X83365617Y-59620071D01*
-X83423552Y-59833000D01*
-X84873000Y-59833000D01*
-X84873000Y-58583000D01*
-X85127000Y-58583000D01*
-X85127000Y-59833000D01*
-X86576448Y-59833000D01*
-X86634383Y-59620071D01*
-X86620783Y-59550378D01*
-X86556186Y-59397122D01*
-X95282483Y-59397122D01*
-X95392881Y-59589330D01*
-X95638495Y-59704430D01*
-X95901845Y-59769400D01*
-X96172809Y-59781745D01*
-X96440975Y-59740991D01*
-X96696038Y-59648703D01*
-X96807119Y-59589330D01*
-X96917517Y-59397122D01*
-X106982483Y-59397122D01*
-X107092881Y-59589330D01*
-X107338495Y-59704430D01*
-X107601845Y-59769400D01*
-X107872809Y-59781745D01*
-X108140975Y-59740991D01*
-X108396038Y-59648703D01*
-X108507119Y-59589330D01*
-X108617517Y-59397122D01*
-X107800000Y-58579605D01*
-X106982483Y-59397122D01*
-X96917517Y-59397122D01*
-X96100000Y-58579605D01*
-X95282483Y-59397122D01*
-X86556186Y-59397122D01*
-X86515491Y-59300577D01*
-X86363489Y-59076117D01*
-X86170617Y-58885624D01*
-X85944288Y-58736419D01*
-X85693199Y-58634235D01*
-X85427000Y-58583000D01*
-X85127000Y-58583000D01*
-X84873000Y-58583000D01*
-X84573000Y-58583000D01*
-X84306801Y-58634235D01*
-X84055712Y-58736419D01*
-X83829383Y-58885624D01*
-X83636511Y-59076117D01*
-X83484509Y-59300577D01*
-X83379217Y-59550378D01*
-X83365617Y-59620071D01*
-X80127000Y-59620071D01*
-X80127000Y-58472809D01*
-X94718255Y-58472809D01*
-X94759009Y-58740975D01*
-X94851297Y-58996038D01*
-X94910670Y-59107119D01*
-X95102878Y-59217517D01*
-X95920395Y-58400000D01*
-X96279605Y-58400000D01*
-X97097122Y-59217517D01*
-X97289330Y-59107119D01*
-X97404430Y-58861505D01*
-X97469400Y-58598155D01*
-X97475110Y-58472809D01*
-X106418255Y-58472809D01*
-X106459009Y-58740975D01*
-X106551297Y-58996038D01*
-X106610670Y-59107119D01*
-X106802878Y-59217517D01*
-X107620395Y-58400000D01*
-X107979605Y-58400000D01*
-X108797122Y-59217517D01*
-X108989330Y-59107119D01*
-X109053586Y-58970000D01*
-X115317064Y-58970000D01*
-X115340755Y-59210534D01*
-X115410916Y-59441824D01*
-X115524851Y-59654983D01*
-X115678183Y-59841817D01*
-X115865017Y-59995149D01*
-X116078176Y-60109084D01*
-X116309466Y-60179245D01*
-X116489732Y-60197000D01*
-X116610268Y-60197000D01*
-X116790534Y-60179245D01*
-X117021824Y-60109084D01*
-X117234983Y-59995149D01*
-X117421817Y-59841817D01*
-X117575149Y-59654983D01*
-X117689084Y-59441824D01*
-X117759245Y-59210534D01*
-X117782936Y-58970000D01*
-X117759245Y-58729466D01*
-X117689084Y-58498176D01*
-X117575149Y-58285017D01*
-X117421817Y-58098183D01*
-X117234983Y-57944851D01*
-X117021824Y-57830916D01*
-X116790534Y-57760755D01*
-X116610268Y-57743000D01*
-X116489732Y-57743000D01*
-X116309466Y-57760755D01*
-X116078176Y-57830916D01*
-X115865017Y-57944851D01*
-X115678183Y-58098183D01*
-X115524851Y-58285017D01*
-X115410916Y-58498176D01*
-X115340755Y-58729466D01*
-X115317064Y-58970000D01*
-X109053586Y-58970000D01*
-X109104430Y-58861505D01*
-X109169400Y-58598155D01*
-X109181745Y-58327191D01*
-X109140991Y-58059025D01*
-X109048703Y-57803962D01*
-X108989330Y-57692881D01*
-X108797122Y-57582483D01*
-X107979605Y-58400000D01*
-X107620395Y-58400000D01*
-X106802878Y-57582483D01*
-X106610670Y-57692881D01*
-X106495570Y-57938495D01*
-X106430600Y-58201845D01*
-X106418255Y-58472809D01*
-X97475110Y-58472809D01*
-X97481745Y-58327191D01*
-X97440991Y-58059025D01*
-X97348703Y-57803962D01*
-X97289330Y-57692881D01*
-X97097122Y-57582483D01*
-X96279605Y-58400000D01*
-X95920395Y-58400000D01*
-X95102878Y-57582483D01*
-X94910670Y-57692881D01*
-X94795570Y-57938495D01*
-X94730600Y-58201845D01*
-X94718255Y-58472809D01*
-X80127000Y-58472809D01*
-X80127000Y-57402878D01*
-X95282483Y-57402878D01*
-X96100000Y-58220395D01*
-X96917517Y-57402878D01*
-X106982483Y-57402878D01*
-X107800000Y-58220395D01*
-X108617517Y-57402878D01*
-X108507119Y-57210670D01*
-X108261505Y-57095570D01*
-X107998155Y-57030600D01*
-X107727191Y-57018255D01*
-X107459025Y-57059009D01*
-X107203962Y-57151297D01*
-X107092881Y-57210670D01*
-X106982483Y-57402878D01*
-X96917517Y-57402878D01*
-X96807119Y-57210670D01*
-X96561505Y-57095570D01*
-X96298155Y-57030600D01*
-X96027191Y-57018255D01*
-X95759025Y-57059009D01*
-X95503962Y-57151297D01*
-X95392881Y-57210670D01*
-X95282483Y-57402878D01*
-X80127000Y-57402878D01*
-X80127000Y-55377000D01*
-X118823000Y-55377000D01*
-X118823000Y-67200000D01*
-X118823000Y-67200000D01*
-G37*
-X118823000Y-67200000D02*
-X118830244Y-67273549D01*
-X118851697Y-67344272D01*
-X118886536Y-67409450D01*
-X118933421Y-67466579D01*
-X118990550Y-67513464D01*
-X119055728Y-67548303D01*
-X119126451Y-67569756D01*
-X119200000Y-67577000D01*
-X136367065Y-67577000D01*
-X136998000Y-68207935D01*
-X136998000Y-68403155D01*
-X136896464Y-68504691D01*
-X136811431Y-68631952D01*
-X136752859Y-68773357D01*
-X136723000Y-68923472D01*
-X136723000Y-69076528D01*
-X136752859Y-69226643D01*
-X136811431Y-69368048D01*
-X136896464Y-69495309D01*
-X137004691Y-69603536D01*
-X137131952Y-69688569D01*
-X137273357Y-69747141D01*
-X137423472Y-69777000D01*
-X137576528Y-69777000D01*
-X137726643Y-69747141D01*
-X137868048Y-69688569D01*
-X137995309Y-69603536D01*
-X138000000Y-69598845D01*
-X138004691Y-69603536D01*
-X138131952Y-69688569D01*
-X138273357Y-69747141D01*
-X138423472Y-69777000D01*
-X138576528Y-69777000D01*
-X138726643Y-69747141D01*
-X138868048Y-69688569D01*
-X138995309Y-69603536D01*
-X139103536Y-69495309D01*
-X139178763Y-69382723D01*
-X140370572Y-69382723D01*
-X140408412Y-69601474D01*
-X140488202Y-69808640D01*
-X140514957Y-69858694D01*
-X140681590Y-69938805D01*
-X141320395Y-69300000D01*
-X141679605Y-69300000D01*
-X142318410Y-69938805D01*
-X142485043Y-69858694D01*
-X142575111Y-69655787D01*
-X142623864Y-69439207D01*
-X142629428Y-69217277D01*
-X142624537Y-69189000D01*
-X142663000Y-69189000D01*
-X142663000Y-69411000D01*
-X142706310Y-69628734D01*
-X142791266Y-69833835D01*
-X142914602Y-70018421D01*
-X143071579Y-70175398D01*
-X143256165Y-70298734D01*
-X143461266Y-70383690D01*
-X143679000Y-70427000D01*
-X143901000Y-70427000D01*
-X144118734Y-70383690D01*
-X144323835Y-70298734D01*
-X144508421Y-70175398D01*
-X144565409Y-70118410D01*
-X152291195Y-70118410D01*
-X152371306Y-70285043D01*
-X152574213Y-70375111D01*
-X152790793Y-70423864D01*
-X153012723Y-70429428D01*
-X153231474Y-70391588D01*
-X153438640Y-70311798D01*
-X153488694Y-70285043D01*
-X153568805Y-70118410D01*
-X152930000Y-69479605D01*
-X152291195Y-70118410D01*
-X144565409Y-70118410D01*
-X144665398Y-70018421D01*
-X144788734Y-69833835D01*
-X144873690Y-69628734D01*
-X144917000Y-69411000D01*
-X144917000Y-69382723D01*
-X151800572Y-69382723D01*
-X151838412Y-69601474D01*
-X151918202Y-69808640D01*
-X151944957Y-69858694D01*
-X152111590Y-69938805D01*
-X152750395Y-69300000D01*
-X153109605Y-69300000D01*
-X153748410Y-69938805D01*
-X153915043Y-69858694D01*
-X154005111Y-69655787D01*
-X154053864Y-69439207D01*
-X154059428Y-69217277D01*
-X154054537Y-69189000D01*
-X154093000Y-69189000D01*
-X154093000Y-69411000D01*
-X154136310Y-69628734D01*
-X154221266Y-69833835D01*
-X154344602Y-70018421D01*
-X154501579Y-70175398D01*
-X154686165Y-70298734D01*
-X154891266Y-70383690D01*
-X155109000Y-70427000D01*
-X155331000Y-70427000D01*
-X155548734Y-70383690D01*
-X155753835Y-70298734D01*
-X155938421Y-70175398D01*
-X156095398Y-70018421D01*
-X156218734Y-69833835D01*
-X156303690Y-69628734D01*
-X156347000Y-69411000D01*
-X156347000Y-69189000D01*
-X156303690Y-68971266D01*
-X156218734Y-68766165D01*
-X156095398Y-68581579D01*
-X155938421Y-68424602D01*
-X155753835Y-68301266D01*
-X155548734Y-68216310D01*
-X155331000Y-68173000D01*
-X155109000Y-68173000D01*
-X154891266Y-68216310D01*
-X154686165Y-68301266D01*
-X154501579Y-68424602D01*
-X154344602Y-68581579D01*
-X154221266Y-68766165D01*
-X154136310Y-68971266D01*
-X154093000Y-69189000D01*
-X154054537Y-69189000D01*
-X154021588Y-68998526D01*
-X153941798Y-68791360D01*
-X153915043Y-68741306D01*
-X153748410Y-68661195D01*
-X153109605Y-69300000D01*
-X152750395Y-69300000D01*
-X152111590Y-68661195D01*
-X151944957Y-68741306D01*
-X151854889Y-68944213D01*
-X151806136Y-69160793D01*
-X151800572Y-69382723D01*
-X144917000Y-69382723D01*
-X144917000Y-69189000D01*
-X144873690Y-68971266D01*
-X144788734Y-68766165D01*
-X144665398Y-68581579D01*
-X144565409Y-68481590D01*
-X152291195Y-68481590D01*
-X152930000Y-69120395D01*
-X153568805Y-68481590D01*
-X153488694Y-68314957D01*
-X153285787Y-68224889D01*
-X153069207Y-68176136D01*
-X152847277Y-68170572D01*
-X152628526Y-68208412D01*
-X152421360Y-68288202D01*
-X152371306Y-68314957D01*
-X152291195Y-68481590D01*
-X144565409Y-68481590D01*
-X144508421Y-68424602D01*
-X144323835Y-68301266D01*
-X144118734Y-68216310D01*
-X143901000Y-68173000D01*
-X143679000Y-68173000D01*
-X143461266Y-68216310D01*
-X143256165Y-68301266D01*
-X143071579Y-68424602D01*
-X142914602Y-68581579D01*
-X142791266Y-68766165D01*
-X142706310Y-68971266D01*
-X142663000Y-69189000D01*
-X142624537Y-69189000D01*
-X142591588Y-68998526D01*
-X142511798Y-68791360D01*
-X142485043Y-68741306D01*
-X142318410Y-68661195D01*
-X141679605Y-69300000D01*
-X141320395Y-69300000D01*
-X140681590Y-68661195D01*
-X140514957Y-68741306D01*
-X140424889Y-68944213D01*
-X140376136Y-69160793D01*
-X140370572Y-69382723D01*
-X139178763Y-69382723D01*
-X139188569Y-69368048D01*
-X139247141Y-69226643D01*
-X139277000Y-69076528D01*
-X139277000Y-68923472D01*
-X139247141Y-68773357D01*
-X139188569Y-68631952D01*
-X139103536Y-68504691D01*
-X139080435Y-68481590D01*
-X140861195Y-68481590D01*
-X141500000Y-69120395D01*
-X142138805Y-68481590D01*
-X142058694Y-68314957D01*
-X141855787Y-68224889D01*
-X141639207Y-68176136D01*
-X141417277Y-68170572D01*
-X141198526Y-68208412D01*
-X140991360Y-68288202D01*
-X140941306Y-68314957D01*
-X140861195Y-68481590D01*
-X139080435Y-68481590D01*
-X139002000Y-68403155D01*
-X139002000Y-68024643D01*
-X139004427Y-68000000D01*
-X139002000Y-67975357D01*
-X139002000Y-67975347D01*
-X138994736Y-67901591D01*
-X138966031Y-67806964D01*
-X138938246Y-67754981D01*
-X138919417Y-67719754D01*
-X138872400Y-67662464D01*
-X138872392Y-67662456D01*
-X138856684Y-67643316D01*
-X138837543Y-67627607D01*
-X138786936Y-67577000D01*
-X158000000Y-67577000D01*
-X158073549Y-67569756D01*
-X158144272Y-67548303D01*
-X158209450Y-67513464D01*
-X158266579Y-67466579D01*
-X158313464Y-67409450D01*
-X158348303Y-67344272D01*
-X158369756Y-67273549D01*
-X158377000Y-67200000D01*
-X158377000Y-55377000D01*
-X159873000Y-55377000D01*
-X159873000Y-63000000D01*
-X159875440Y-63024776D01*
-X159882667Y-63048601D01*
-X159894403Y-63070557D01*
-X159910197Y-63089803D01*
-X161910197Y-65089803D01*
-X161929443Y-65105597D01*
-X161951399Y-65117333D01*
-X161975224Y-65124560D01*
-X162000000Y-65127000D01*
-X169623001Y-65127000D01*
-X169623001Y-93873000D01*
-X169252000Y-93873000D01*
-X169252000Y-91646845D01*
-X169353536Y-91545309D01*
-X169438569Y-91418048D01*
-X169497141Y-91276643D01*
-X169527000Y-91126528D01*
-X169527000Y-90973472D01*
-X169497141Y-90823357D01*
-X169438569Y-90681952D01*
-X169353536Y-90554691D01*
-X169245309Y-90446464D01*
-X169118048Y-90361431D01*
-X168976643Y-90302859D01*
-X168826528Y-90273000D01*
-X168673472Y-90273000D01*
-X168523357Y-90302859D01*
-X168381952Y-90361431D01*
-X168254691Y-90446464D01*
-X168146464Y-90554691D01*
-X168061431Y-90681952D01*
-X168002859Y-90823357D01*
-X167973000Y-90973472D01*
-X167973000Y-91126528D01*
-X168002859Y-91276643D01*
-X168061431Y-91418048D01*
-X168146464Y-91545309D01*
-X168248000Y-91646845D01*
-X168248000Y-93738066D01*
-X168177000Y-93667066D01*
-X168177000Y-93523472D01*
-X168147141Y-93373357D01*
-X168088569Y-93231952D01*
-X168003536Y-93104691D01*
-X167895309Y-92996464D01*
-X167768048Y-92911431D01*
-X167626643Y-92852859D01*
-X167476528Y-92823000D01*
-X167323472Y-92823000D01*
-X167173357Y-92852859D01*
-X167031952Y-92911431D01*
-X166904691Y-92996464D01*
-X166796464Y-93104691D01*
-X166711431Y-93231952D01*
-X166652859Y-93373357D01*
-X166623000Y-93523472D01*
-X166623000Y-93676528D01*
-X166652859Y-93826643D01*
-X166672061Y-93873000D01*
-X166282935Y-93873000D01*
-X164272402Y-91862468D01*
-X164256684Y-91843316D01*
-X164180245Y-91780583D01*
-X164093036Y-91733969D01*
-X163998409Y-91705264D01*
-X163924653Y-91698000D01*
-X163924643Y-91698000D01*
-X163900000Y-91695573D01*
-X163875357Y-91698000D01*
-X163321855Y-91698000D01*
-X163220319Y-91596464D01*
-X163093058Y-91511431D01*
-X162951653Y-91452859D01*
-X162801538Y-91423000D01*
-X162648482Y-91423000D01*
-X162498367Y-91452859D01*
-X162356962Y-91511431D01*
-X162229701Y-91596464D01*
-X162128165Y-91698000D01*
-X154947866Y-91698000D01*
-X154977000Y-91551528D01*
-X154977000Y-91398472D01*
-X154947141Y-91248357D01*
-X154888569Y-91106952D01*
-X154803536Y-90979691D01*
-X154695309Y-90871464D01*
-X154591349Y-90802000D01*
-X156403155Y-90802000D01*
-X156504691Y-90903536D01*
-X156631952Y-90988569D01*
-X156773357Y-91047141D01*
-X156923472Y-91077000D01*
-X157076528Y-91077000D01*
-X157226643Y-91047141D01*
-X157368048Y-90988569D01*
-X157495309Y-90903536D01*
-X157603536Y-90795309D01*
-X157688569Y-90668048D01*
-X157747141Y-90526643D01*
-X157777000Y-90376528D01*
-X157777000Y-90223472D01*
-X157747141Y-90073357D01*
-X157688569Y-89931952D01*
-X157603536Y-89804691D01*
-X157495309Y-89696464D01*
-X157368048Y-89611431D01*
-X157226643Y-89552859D01*
-X157076528Y-89523000D01*
-X156923472Y-89523000D01*
-X156773357Y-89552859D01*
-X156631952Y-89611431D01*
-X156504691Y-89696464D01*
-X156403155Y-89798000D01*
-X153724642Y-89798000D01*
-X153699999Y-89795573D01*
-X153675356Y-89798000D01*
-X153675347Y-89798000D01*
-X153601591Y-89805264D01*
-X153506964Y-89833969D01*
-X153419755Y-89880583D01*
-X153419753Y-89880584D01*
-X153419754Y-89880584D01*
-X153363571Y-89926693D01*
-X153343316Y-89943316D01*
-X153327603Y-89962462D01*
-X152342076Y-90947990D01*
-X138857926Y-90947990D01*
-X136497402Y-88587468D01*
-X136481685Y-88568317D01*
-X136405246Y-88505584D01*
-X136318037Y-88458970D01*
-X136223410Y-88430265D01*
-X136149654Y-88423001D01*
-X136149644Y-88423001D01*
-X136125001Y-88420574D01*
-X136100358Y-88423001D01*
-X134199642Y-88423001D01*
-X134174999Y-88420574D01*
-X134150356Y-88423001D01*
-X134150346Y-88423001D01*
-X134076590Y-88430265D01*
-X133981963Y-88458970D01*
-X133894754Y-88505584D01*
-X133894752Y-88505585D01*
-X133894753Y-88505585D01*
-X133837463Y-88552601D01*
-X133837455Y-88552609D01*
-X133818315Y-88568317D01*
-X133802606Y-88587458D01*
-X133192086Y-89197980D01*
-X122624663Y-89197980D01*
-X122600020Y-89195553D01*
-X122575377Y-89197980D01*
-X122575367Y-89197980D01*
-X122501611Y-89205244D01*
-X122406984Y-89233949D01*
-X122366388Y-89255648D01*
-X122319774Y-89280563D01*
-X122262484Y-89327580D01*
-X122262476Y-89327588D01*
-X122243336Y-89343296D01*
-X122227627Y-89362437D01*
-X120300446Y-91289620D01*
-X117971933Y-91289620D01*
-X117947141Y-91164978D01*
-X117888569Y-91023573D01*
-X117803536Y-90896312D01*
-X117695309Y-90788085D01*
-X117568048Y-90703052D01*
-X117426643Y-90644480D01*
-X117276528Y-90614621D01*
-X117123472Y-90614621D01*
-X116973357Y-90644480D01*
-X116831952Y-90703052D01*
-X116704691Y-90788085D01*
-X116596464Y-90896312D01*
-X116511431Y-91023573D01*
-X116452859Y-91164978D01*
-X116423000Y-91315093D01*
-X116423000Y-91468149D01*
-X116452859Y-91618264D01*
-X116511431Y-91759669D01*
-X116537043Y-91798000D01*
-X112707935Y-91798000D01*
-X112248139Y-91338204D01*
-X112368048Y-91288536D01*
-X112495309Y-91203503D01*
-X112603536Y-91095276D01*
-X112688569Y-90968015D01*
-X112747141Y-90826610D01*
-X112777000Y-90676495D01*
-X112777000Y-90523439D01*
-X112747141Y-90373324D01*
-X112688569Y-90231919D01*
-X112603536Y-90104658D01*
-X112502000Y-90003122D01*
-X112502000Y-89224604D01*
-X112531350Y-89195254D01*
-X112616383Y-89067993D01*
-X112674955Y-88926588D01*
-X112704814Y-88776473D01*
-X112704814Y-88623417D01*
-X112674955Y-88473302D01*
-X112616383Y-88331897D01*
-X112531350Y-88204636D01*
-X112423123Y-88096409D01*
-X112295862Y-88011376D01*
-X112154457Y-87952804D01*
-X112004342Y-87922945D01*
-X111851286Y-87922945D01*
-X111701171Y-87952804D01*
-X111559766Y-88011376D01*
-X111432505Y-88096409D01*
-X111324278Y-88204636D01*
-X111239245Y-88331897D01*
-X111180673Y-88473302D01*
-X111150814Y-88623417D01*
-X111150814Y-88776473D01*
-X111180673Y-88926588D01*
-X111239245Y-89067993D01*
-X111324278Y-89195254D01*
-X111432505Y-89303481D01*
-X111498001Y-89347244D01*
-X111498000Y-90003122D01*
-X111396464Y-90104658D01*
-X111311431Y-90231919D01*
-X111261763Y-90351829D01*
-X111127001Y-90217067D01*
-X111127001Y-89951336D01*
-X111129428Y-89926693D01*
-X111127001Y-89902050D01*
-X111127001Y-89902041D01*
-X111119737Y-89828284D01*
-X111091032Y-89733657D01*
-X111055946Y-89668015D01*
-X111044418Y-89646447D01*
-X110997401Y-89589157D01*
-X110997393Y-89589149D01*
-X110981685Y-89570009D01*
-X110962544Y-89554300D01*
-X109433055Y-88024813D01*
-X109417338Y-88005662D01*
-X109340899Y-87942929D01*
-X109253690Y-87896315D01*
-X109159063Y-87867610D01*
-X109085307Y-87860346D01*
-X109085297Y-87860346D01*
-X109060654Y-87857919D01*
-X109036011Y-87860346D01*
-X107762296Y-87860346D01*
-X107737653Y-87857919D01*
-X107713010Y-87860346D01*
-X107713001Y-87860346D01*
-X107639245Y-87867610D01*
-X107544618Y-87896315D01*
-X107457409Y-87942929D01*
-X107380970Y-88005662D01*
-X107365257Y-88024808D01*
-X106904413Y-88485653D01*
-X106760819Y-88485653D01*
-X106610704Y-88515512D01*
-X106469299Y-88574084D01*
-X106342038Y-88659117D01*
-X106233811Y-88767344D01*
-X106148778Y-88894605D01*
-X106090206Y-89036010D01*
-X106060347Y-89186125D01*
-X106060347Y-89339181D01*
-X106090206Y-89489296D01*
-X106148778Y-89630701D01*
-X106233811Y-89757962D01*
-X106342038Y-89866189D01*
-X106469299Y-89951222D01*
-X106610704Y-90009794D01*
-X106760819Y-90039653D01*
-X106913875Y-90039653D01*
-X107063990Y-90009794D01*
-X107205395Y-89951222D01*
-X107332656Y-89866189D01*
-X107440883Y-89757962D01*
-X107525916Y-89630701D01*
-X107584488Y-89489296D01*
-X107614347Y-89339181D01*
-X107614347Y-89195587D01*
-X107945589Y-88864346D01*
-X107964788Y-88864346D01*
-X107935653Y-89010819D01*
-X107935653Y-89163875D01*
-X107965512Y-89313990D01*
-X108024084Y-89455395D01*
-X108109117Y-89582656D01*
-X108217344Y-89690883D01*
-X108332996Y-89768159D01*
-X108296464Y-89804691D01*
-X108211431Y-89931952D01*
-X108152859Y-90073357D01*
-X108123000Y-90223472D01*
-X108123000Y-90376528D01*
-X108152859Y-90526643D01*
-X108211431Y-90668048D01*
-X108296464Y-90795309D01*
-X108398712Y-90897557D01*
-X108405265Y-90964094D01*
-X108433970Y-91058721D01*
-X108480584Y-91145930D01*
-X108527601Y-91203220D01*
-X108527604Y-91203223D01*
-X108543317Y-91222369D01*
-X108562463Y-91238082D01*
-X110472413Y-93148033D01*
-X109259828Y-93148033D01*
-X104777000Y-88665206D01*
-X104777000Y-80923472D01*
-X116123000Y-80923472D01*
-X116123000Y-81076528D01*
-X116152859Y-81226643D01*
-X116211431Y-81368048D01*
-X116296464Y-81495309D01*
-X116404691Y-81603536D01*
-X116531952Y-81688569D01*
-X116673357Y-81747141D01*
-X116823472Y-81777000D01*
-X116976528Y-81777000D01*
-X117126643Y-81747141D01*
-X117268048Y-81688569D01*
-X117373715Y-81617964D01*
-X117393305Y-81637554D01*
-X117409023Y-81656706D01*
-X117427487Y-81671859D01*
-X117331952Y-81711431D01*
-X117204691Y-81796464D01*
-X117096464Y-81904691D01*
-X117011431Y-82031952D01*
-X116952859Y-82173357D01*
-X116923000Y-82323472D01*
-X116923000Y-82476528D01*
-X116952859Y-82626643D01*
-X117011431Y-82768048D01*
-X117096464Y-82895309D01*
-X117204691Y-83003536D01*
-X117331952Y-83088569D01*
-X117473357Y-83147141D01*
-X117623472Y-83177000D01*
-X117776528Y-83177000D01*
-X117926643Y-83147141D01*
-X118068048Y-83088569D01*
-X118195309Y-83003536D01*
-X118303536Y-82895309D01*
-X118388569Y-82768048D01*
-X118447141Y-82626643D01*
-X118477000Y-82476528D01*
-X118477000Y-82332935D01*
-X118557902Y-82252033D01*
-X118871187Y-82252033D01*
-X118804691Y-82296464D01*
-X118696464Y-82404691D01*
-X118611431Y-82531952D01*
-X118552859Y-82673357D01*
-X118523000Y-82823472D01*
-X118523000Y-82976528D01*
-X118552859Y-83126643D01*
-X118611431Y-83268048D01*
-X118696464Y-83395309D01*
-X118804691Y-83503536D01*
-X118931952Y-83588569D01*
-X119073357Y-83647141D01*
-X119223472Y-83677000D01*
-X119376528Y-83677000D01*
-X119526643Y-83647141D01*
-X119668048Y-83588569D01*
-X119795309Y-83503536D01*
-X119903536Y-83395309D01*
-X119988569Y-83268048D01*
-X120047141Y-83126643D01*
-X120077000Y-82976528D01*
-X120077000Y-82832935D01*
-X120195271Y-82714664D01*
-X124415410Y-82714664D01*
-X124440202Y-82839306D01*
-X124498774Y-82980711D01*
-X124583807Y-83107972D01*
-X124692034Y-83216199D01*
-X124819295Y-83301232D01*
-X124960700Y-83359804D01*
-X125110815Y-83389663D01*
-X125263871Y-83389663D01*
-X125413986Y-83359804D01*
-X125555391Y-83301232D01*
-X125682652Y-83216199D01*
-X125790879Y-83107972D01*
-X125875912Y-82980711D01*
-X125934484Y-82839306D01*
-X125964343Y-82689191D01*
-X125964343Y-82536135D01*
-X125934484Y-82386020D01*
-X125878985Y-82252033D01*
-X127449684Y-82252033D01*
-X127449684Y-82306059D01*
-X127479543Y-82456174D01*
-X127538115Y-82597579D01*
-X127623148Y-82724840D01*
-X127731375Y-82833067D01*
-X127858636Y-82918100D01*
-X128000041Y-82976672D01*
-X128150156Y-83006531D01*
-X128303212Y-83006531D01*
-X128453327Y-82976672D01*
-X128594732Y-82918100D01*
-X128721993Y-82833067D01*
-X128828101Y-82726959D01*
-X128904689Y-82803547D01*
-X129031950Y-82888580D01*
-X129173355Y-82947152D01*
-X129323470Y-82977011D01*
-X129476526Y-82977011D01*
-X129626641Y-82947152D01*
-X129768046Y-82888580D01*
-X129895307Y-82803547D01*
-X130003534Y-82695320D01*
-X130088567Y-82568059D01*
-X130147139Y-82426654D01*
-X130176998Y-82276539D01*
-X130176998Y-82123483D01*
-X130147139Y-81973368D01*
-X130088567Y-81831963D01*
-X130003534Y-81704702D01*
-X129998840Y-81700008D01*
-X130003536Y-81695311D01*
-X130088569Y-81568050D01*
-X130147141Y-81426645D01*
-X130177000Y-81276530D01*
-X130177000Y-81123474D01*
-X130147141Y-80973359D01*
-X130088569Y-80831954D01*
-X130003536Y-80704693D01*
-X129895309Y-80596466D01*
-X129768048Y-80511433D01*
-X129626643Y-80452861D01*
-X129476528Y-80423002D01*
-X129323472Y-80423002D01*
-X129318063Y-80424078D01*
-X129243045Y-80383980D01*
-X129148418Y-80355275D01*
-X129074662Y-80348011D01*
-X129074652Y-80348011D01*
-X129050009Y-80345584D01*
-X129025366Y-80348011D01*
-X127750834Y-80348011D01*
-X127853536Y-80245309D01*
-X127938569Y-80118048D01*
-X127979421Y-80019422D01*
-X130095306Y-77903538D01*
-X130095309Y-77903536D01*
-X130203536Y-77795309D01*
-X130224855Y-77763402D01*
-X130249177Y-77733766D01*
-X130267249Y-77699956D01*
-X130288569Y-77668048D01*
-X130303254Y-77632595D01*
-X130321327Y-77598783D01*
-X130332456Y-77562097D01*
-X130347141Y-77526643D01*
-X130354627Y-77489005D01*
-X130365756Y-77452319D01*
-X130369514Y-77414162D01*
-X130377000Y-77376528D01*
-X130377000Y-77338164D01*
-X130378099Y-77327000D01*
-X154403000Y-77327000D01*
-X154403000Y-77627000D01*
-X154454235Y-77893199D01*
-X154556419Y-78144288D01*
-X154705624Y-78370617D01*
-X154896117Y-78563489D01*
-X155120577Y-78715491D01*
-X155370378Y-78820783D01*
-X155440071Y-78834383D01*
-X155653000Y-78776448D01*
-X155653000Y-77327000D01*
-X154403000Y-77327000D01*
-X130378099Y-77327000D01*
-X130380759Y-77300000D01*
-X130377000Y-77261837D01*
-X130377000Y-77223472D01*
-X130369514Y-77185838D01*
-X130365756Y-77147682D01*
-X130354628Y-77110997D01*
-X130347141Y-77073357D01*
-X130332455Y-77037903D01*
-X130321327Y-77001217D01*
-X130303254Y-76967405D01*
-X130288569Y-76931952D01*
-X130267249Y-76900044D01*
-X130249177Y-76866234D01*
-X130224856Y-76836598D01*
-X130203536Y-76804691D01*
-X130176403Y-76777558D01*
-X130172663Y-76773000D01*
-X154403000Y-76773000D01*
-X154403000Y-77073000D01*
-X155653000Y-77073000D01*
-X155653000Y-75623552D01*
-X155907000Y-75623552D01*
-X155907000Y-77073000D01*
-X155927000Y-77073000D01*
-X155927000Y-77327000D01*
-X155907000Y-77327000D01*
-X155907000Y-78776448D01*
-X156119929Y-78834383D01*
-X156189622Y-78820783D01*
-X156439423Y-78715491D01*
-X156663883Y-78563489D01*
-X156854376Y-78370617D01*
-X157003581Y-78144288D01*
-X157046563Y-78038671D01*
-X157169527Y-78268720D01*
-X157341603Y-78478396D01*
-X157551279Y-78650473D01*
-X157790495Y-78778337D01*
-X158050061Y-78857075D01*
-X158320000Y-78883662D01*
-X158589938Y-78857075D01*
-X158849504Y-78778337D01*
-X159088720Y-78650473D01*
-X159298396Y-78478397D01*
-X159470473Y-78268721D01*
-X159590000Y-78045102D01*
-X159709527Y-78268720D01*
-X159881603Y-78478396D01*
-X160091279Y-78650473D01*
-X160330495Y-78778337D01*
-X160590061Y-78857075D01*
-X160860000Y-78883662D01*
-X161129938Y-78857075D01*
-X161389504Y-78778337D01*
-X161628720Y-78650473D01*
-X161838396Y-78478397D01*
-X162010473Y-78268721D01*
-X162021176Y-78248697D01*
-X162021176Y-78500000D01*
-X162028455Y-78573905D01*
-X162050012Y-78644970D01*
-X162085019Y-78710463D01*
-X162132131Y-78767869D01*
-X162189537Y-78814981D01*
-X162255030Y-78849988D01*
-X162326095Y-78871545D01*
-X162400000Y-78878824D01*
-X164400000Y-78878824D01*
-X164473905Y-78871545D01*
-X164544970Y-78849988D01*
-X164610463Y-78814981D01*
-X164667869Y-78767869D01*
-X164714981Y-78710463D01*
-X164749988Y-78644970D01*
-X164771545Y-78573905D01*
-X164778824Y-78500000D01*
-X164778824Y-75900000D01*
-X164771545Y-75826095D01*
-X164749988Y-75755030D01*
-X164714981Y-75689537D01*
-X164667869Y-75632131D01*
-X164610463Y-75585019D01*
-X164544970Y-75550012D01*
-X164473905Y-75528455D01*
-X164400000Y-75521176D01*
-X162400000Y-75521176D01*
-X162326095Y-75528455D01*
-X162255030Y-75550012D01*
-X162189537Y-75585019D01*
-X162132131Y-75632131D01*
-X162085019Y-75689537D01*
-X162050012Y-75755030D01*
-X162028455Y-75826095D01*
-X162021176Y-75900000D01*
-X162021176Y-76151303D01*
-X162010473Y-76131279D01*
-X161838397Y-75921603D01*
-X161628721Y-75749527D01*
-X161389505Y-75621663D01*
-X161129939Y-75542925D01*
-X160860000Y-75516338D01*
-X160590062Y-75542925D01*
-X160330496Y-75621663D01*
-X160091280Y-75749527D01*
-X159881604Y-75921603D01*
-X159709527Y-76131279D01*
-X159590000Y-76354898D01*
-X159470473Y-76131279D01*
-X159298397Y-75921603D01*
-X159088721Y-75749527D01*
-X158849505Y-75621663D01*
-X158589939Y-75542925D01*
-X158320000Y-75516338D01*
-X158050062Y-75542925D01*
-X157790496Y-75621663D01*
-X157551280Y-75749527D01*
-X157341604Y-75921603D01*
-X157169527Y-76131279D01*
-X157046563Y-76361328D01*
-X157003581Y-76255712D01*
-X156854376Y-76029383D01*
-X156663883Y-75836511D01*
-X156439423Y-75684509D01*
-X156189622Y-75579217D01*
-X156119929Y-75565617D01*
-X155907000Y-75623552D01*
-X155653000Y-75623552D01*
-X155440071Y-75565617D01*
-X155370378Y-75579217D01*
-X155120577Y-75684509D01*
-X154896117Y-75836511D01*
-X154705624Y-76029383D01*
-X154556419Y-76255712D01*
-X154454235Y-76506801D01*
-X154403000Y-76773000D01*
-X130172663Y-76773000D01*
-X130152080Y-76747920D01*
-X130122442Y-76723597D01*
-X130095309Y-76696464D01*
-X130063402Y-76675144D01*
-X130033766Y-76650823D01*
-X129999956Y-76632751D01*
-X129968048Y-76611431D01*
-X129932595Y-76596746D01*
-X129898783Y-76578673D01*
-X129862097Y-76567545D01*
-X129826643Y-76552859D01*
-X129789003Y-76545372D01*
-X129752318Y-76534244D01*
-X129714162Y-76530486D01*
-X129676528Y-76523000D01*
-X129638163Y-76523000D01*
-X129600000Y-76519241D01*
-X129561836Y-76523000D01*
-X129523472Y-76523000D01*
-X129485838Y-76530486D01*
-X129447681Y-76534244D01*
-X129410995Y-76545373D01*
-X129373357Y-76552859D01*
-X129337903Y-76567544D01*
-X129301217Y-76578673D01*
-X129267405Y-76596746D01*
-X129231952Y-76611431D01*
-X129200044Y-76632751D01*
-X129166234Y-76650823D01*
-X129136598Y-76675145D01*
-X129104691Y-76696464D01*
-X128996464Y-76804691D01*
-X128996462Y-76804694D01*
-X126453156Y-79348001D01*
-X119212530Y-79348001D01*
-X118342097Y-78477569D01*
-X118333459Y-78467044D01*
-X118346545Y-78423905D01*
-X118353824Y-78350000D01*
-X118353824Y-77150000D01*
-X118346545Y-77076095D01*
-X118324988Y-77005030D01*
-X118289981Y-76939537D01*
-X118242869Y-76882131D01*
-X118185463Y-76835019D01*
-X118119970Y-76800012D01*
-X118119930Y-76800000D01*
-X118119970Y-76799988D01*
-X118185463Y-76764981D01*
-X118242869Y-76717869D01*
-X118289981Y-76660463D01*
-X118324988Y-76594970D01*
-X118346545Y-76523905D01*
-X118353824Y-76450000D01*
-X118352000Y-76071250D01*
-X118257750Y-75977000D01*
-X117727000Y-75977000D01*
-X117727000Y-75997000D01*
-X117473000Y-75997000D01*
-X117473000Y-75977000D01*
-X116942250Y-75977000D01*
-X116848000Y-76071250D01*
-X116846176Y-76450000D01*
-X116853455Y-76523905D01*
-X116875012Y-76594970D01*
-X116910019Y-76660463D01*
-X116957131Y-76717869D01*
-X117014537Y-76764981D01*
-X117080030Y-76799988D01*
-X117080070Y-76800000D01*
-X117080030Y-76800012D01*
-X117014537Y-76835019D01*
-X116957131Y-76882131D01*
-X116910019Y-76939537D01*
-X116875012Y-77005030D01*
-X116853455Y-77076095D01*
-X116846176Y-77150000D01*
-X116846176Y-78305539D01*
-X116831952Y-78311431D01*
-X116800045Y-78332750D01*
-X116766234Y-78350823D01*
-X116736598Y-78375144D01*
-X116704691Y-78396464D01*
-X116677558Y-78423597D01*
-X116647920Y-78447920D01*
-X116623597Y-78477558D01*
-X116596464Y-78504691D01*
-X116575144Y-78536598D01*
-X116550823Y-78566234D01*
-X116532750Y-78600045D01*
-X116511431Y-78631952D01*
-X116496747Y-78667402D01*
-X116478673Y-78701216D01*
-X116467543Y-78737908D01*
-X116452859Y-78773357D01*
-X116445374Y-78810987D01*
-X116434243Y-78847681D01*
-X116430484Y-78885846D01*
-X116423000Y-78923472D01*
-X116423000Y-78961834D01*
-X116419241Y-79000000D01*
-X116423000Y-79038166D01*
-X116423000Y-79076528D01*
-X116430484Y-79114154D01*
-X116434243Y-79152319D01*
-X116445374Y-79189013D01*
-X116452859Y-79226643D01*
-X116467543Y-79262092D01*
-X116478673Y-79298784D01*
-X116496747Y-79332598D01*
-X116511431Y-79368048D01*
-X116532750Y-79399955D01*
-X116550823Y-79433766D01*
-X116575144Y-79463402D01*
-X116596464Y-79495309D01*
-X116601157Y-79500002D01*
-X116583806Y-79517352D01*
-X116498773Y-79644613D01*
-X116440201Y-79786018D01*
-X116410342Y-79936133D01*
-X116410342Y-80089189D01*
-X116440201Y-80239304D01*
-X116483490Y-80343812D01*
-X116404691Y-80396464D01*
-X116296464Y-80504691D01*
-X116211431Y-80631952D01*
-X116152859Y-80773357D01*
-X116123000Y-80923472D01*
-X104777000Y-80923472D01*
-X104777000Y-75250000D01*
-X116846176Y-75250000D01*
-X116848000Y-75628750D01*
-X116942250Y-75723000D01*
-X117473000Y-75723000D01*
-X117473000Y-74967250D01*
-X117727000Y-74967250D01*
-X117727000Y-75723000D01*
-X118257750Y-75723000D01*
-X118352000Y-75628750D01*
-X118353824Y-75250000D01*
-X118346545Y-75176095D01*
-X118324988Y-75105030D01*
-X118289981Y-75039537D01*
-X118242869Y-74982131D01*
-X118185463Y-74935019D01*
-X118119970Y-74900012D01*
-X118048905Y-74878455D01*
-X117975000Y-74871176D01*
-X117821250Y-74873000D01*
-X117727000Y-74967250D01*
-X117473000Y-74967250D01*
-X117378750Y-74873000D01*
-X117225000Y-74871176D01*
-X117151095Y-74878455D01*
-X117080030Y-74900012D01*
-X117014537Y-74935019D01*
-X116957131Y-74982131D01*
-X116910019Y-75039537D01*
-X116875012Y-75105030D01*
-X116853455Y-75176095D01*
-X116846176Y-75250000D01*
-X104777000Y-75250000D01*
-X104777000Y-70118410D01*
-X121011195Y-70118410D01*
-X121091306Y-70285043D01*
-X121294213Y-70375111D01*
-X121510793Y-70423864D01*
-X121732723Y-70429428D01*
-X121951474Y-70391588D01*
-X122158640Y-70311798D01*
-X122208694Y-70285043D01*
-X122288805Y-70118410D01*
-X121650000Y-69479605D01*
-X121011195Y-70118410D01*
-X104777000Y-70118410D01*
-X104777000Y-70004686D01*
-X105398963Y-69382723D01*
-X120520572Y-69382723D01*
-X120558412Y-69601474D01*
-X120638202Y-69808640D01*
-X120664957Y-69858694D01*
-X120831590Y-69938805D01*
-X121470395Y-69300000D01*
-X121829605Y-69300000D01*
-X122468410Y-69938805D01*
-X122635043Y-69858694D01*
-X122725111Y-69655787D01*
-X122773864Y-69439207D01*
-X122779428Y-69217277D01*
-X122774537Y-69189000D01*
-X122813000Y-69189000D01*
-X122813000Y-69411000D01*
-X122856310Y-69628734D01*
-X122941266Y-69833835D01*
-X123064602Y-70018421D01*
-X123221579Y-70175398D01*
-X123406165Y-70298734D01*
-X123611266Y-70383690D01*
-X123829000Y-70427000D01*
-X124051000Y-70427000D01*
-X124268734Y-70383690D01*
-X124473835Y-70298734D01*
-X124658421Y-70175398D01*
-X124715409Y-70118410D01*
-X132441195Y-70118410D01*
-X132521306Y-70285043D01*
-X132724213Y-70375111D01*
-X132940793Y-70423864D01*
-X133162723Y-70429428D01*
-X133381474Y-70391588D01*
-X133588640Y-70311798D01*
-X133638694Y-70285043D01*
-X133718805Y-70118410D01*
-X133080000Y-69479605D01*
-X132441195Y-70118410D01*
-X124715409Y-70118410D01*
-X124815398Y-70018421D01*
-X124938734Y-69833835D01*
-X125023690Y-69628734D01*
-X125067000Y-69411000D01*
-X125067000Y-69382723D01*
-X131950572Y-69382723D01*
-X131988412Y-69601474D01*
-X132068202Y-69808640D01*
-X132094957Y-69858694D01*
-X132261590Y-69938805D01*
-X132900395Y-69300000D01*
-X133259605Y-69300000D01*
-X133898410Y-69938805D01*
-X134065043Y-69858694D01*
-X134155111Y-69655787D01*
-X134203864Y-69439207D01*
-X134209428Y-69217277D01*
-X134204537Y-69189000D01*
-X134243000Y-69189000D01*
-X134243000Y-69411000D01*
-X134286310Y-69628734D01*
-X134371266Y-69833835D01*
-X134494602Y-70018421D01*
-X134651579Y-70175398D01*
-X134836165Y-70298734D01*
-X135041266Y-70383690D01*
-X135259000Y-70427000D01*
-X135481000Y-70427000D01*
-X135698734Y-70383690D01*
-X135903835Y-70298734D01*
-X136088421Y-70175398D01*
-X136145409Y-70118410D01*
-X140861195Y-70118410D01*
-X140941306Y-70285043D01*
-X141144213Y-70375111D01*
-X141360793Y-70423864D01*
-X141582723Y-70429428D01*
-X141801474Y-70391588D01*
-X142008640Y-70311798D01*
-X142058694Y-70285043D01*
-X142138805Y-70118410D01*
-X141500000Y-69479605D01*
-X140861195Y-70118410D01*
-X136145409Y-70118410D01*
-X136245398Y-70018421D01*
-X136368734Y-69833835D01*
-X136453690Y-69628734D01*
-X136497000Y-69411000D01*
-X136497000Y-69189000D01*
-X136453690Y-68971266D01*
-X136368734Y-68766165D01*
-X136245398Y-68581579D01*
-X136088421Y-68424602D01*
-X135903835Y-68301266D01*
-X135698734Y-68216310D01*
-X135481000Y-68173000D01*
-X135259000Y-68173000D01*
-X135041266Y-68216310D01*
-X134836165Y-68301266D01*
-X134651579Y-68424602D01*
-X134494602Y-68581579D01*
-X134371266Y-68766165D01*
-X134286310Y-68971266D01*
-X134243000Y-69189000D01*
-X134204537Y-69189000D01*
-X134171588Y-68998526D01*
-X134091798Y-68791360D01*
-X134065043Y-68741306D01*
-X133898410Y-68661195D01*
-X133259605Y-69300000D01*
-X132900395Y-69300000D01*
-X132261590Y-68661195D01*
-X132094957Y-68741306D01*
-X132004889Y-68944213D01*
-X131956136Y-69160793D01*
-X131950572Y-69382723D01*
-X125067000Y-69382723D01*
-X125067000Y-69189000D01*
-X125023690Y-68971266D01*
-X124938734Y-68766165D01*
-X124815398Y-68581579D01*
-X124715409Y-68481590D01*
-X132441195Y-68481590D01*
-X133080000Y-69120395D01*
-X133718805Y-68481590D01*
-X133638694Y-68314957D01*
-X133435787Y-68224889D01*
-X133219207Y-68176136D01*
-X132997277Y-68170572D01*
-X132778526Y-68208412D01*
-X132571360Y-68288202D01*
-X132521306Y-68314957D01*
-X132441195Y-68481590D01*
-X124715409Y-68481590D01*
-X124658421Y-68424602D01*
-X124473835Y-68301266D01*
-X124268734Y-68216310D01*
-X124051000Y-68173000D01*
-X123829000Y-68173000D01*
-X123611266Y-68216310D01*
-X123406165Y-68301266D01*
-X123221579Y-68424602D01*
-X123064602Y-68581579D01*
-X122941266Y-68766165D01*
-X122856310Y-68971266D01*
-X122813000Y-69189000D01*
-X122774537Y-69189000D01*
-X122741588Y-68998526D01*
-X122661798Y-68791360D01*
-X122635043Y-68741306D01*
-X122468410Y-68661195D01*
-X121829605Y-69300000D01*
-X121470395Y-69300000D01*
-X120831590Y-68661195D01*
-X120664957Y-68741306D01*
-X120574889Y-68944213D01*
-X120526136Y-69160793D01*
-X120520572Y-69382723D01*
-X105398963Y-69382723D01*
-X106300096Y-68481590D01*
-X121011195Y-68481590D01*
-X121650000Y-69120395D01*
-X122288805Y-68481590D01*
-X122208694Y-68314957D01*
-X122005787Y-68224889D01*
-X121789207Y-68176136D01*
-X121567277Y-68170572D01*
-X121348526Y-68208412D01*
-X121141360Y-68288202D01*
-X121091306Y-68314957D01*
-X121011195Y-68481590D01*
-X106300096Y-68481590D01*
-X108456907Y-66324780D01*
-X108494186Y-66294186D01*
-X108616276Y-66145418D01*
-X108706997Y-65975691D01*
-X108762863Y-65791525D01*
-X108767937Y-65740000D01*
-X115321176Y-65740000D01*
-X115321176Y-67440000D01*
-X115328455Y-67513905D01*
-X115350012Y-67584970D01*
-X115385019Y-67650463D01*
-X115432131Y-67707869D01*
-X115489537Y-67754981D01*
-X115555030Y-67789988D01*
-X115626095Y-67811545D01*
-X115700000Y-67818824D01*
-X117400000Y-67818824D01*
-X117473905Y-67811545D01*
-X117544970Y-67789988D01*
-X117610463Y-67754981D01*
-X117667869Y-67707869D01*
-X117714981Y-67650463D01*
-X117749988Y-67584970D01*
-X117771545Y-67513905D01*
-X117778824Y-67440000D01*
-X117778824Y-65740000D01*
-X117771545Y-65666095D01*
-X117749988Y-65595030D01*
-X117714981Y-65529537D01*
-X117667869Y-65472131D01*
-X117610463Y-65425019D01*
-X117544970Y-65390012D01*
-X117473905Y-65368455D01*
-X117400000Y-65361176D01*
-X115700000Y-65361176D01*
-X115626095Y-65368455D01*
-X115555030Y-65390012D01*
-X115489537Y-65425019D01*
-X115432131Y-65472131D01*
-X115385019Y-65529537D01*
-X115350012Y-65595030D01*
-X115328455Y-65666095D01*
-X115321176Y-65740000D01*
-X108767937Y-65740000D01*
-X108777000Y-65647993D01*
-X108777000Y-65647991D01*
-X108781727Y-65600001D01*
-X108777000Y-65552010D01*
-X108777000Y-64778824D01*
-X108800000Y-64778824D01*
-X108873905Y-64771545D01*
-X108944970Y-64749988D01*
-X109010463Y-64714981D01*
-X109067869Y-64667869D01*
-X109114981Y-64610463D01*
-X109149988Y-64544970D01*
-X109171545Y-64473905D01*
-X109178824Y-64400000D01*
-X109178824Y-64050000D01*
-X115317064Y-64050000D01*
-X115340755Y-64290534D01*
-X115410916Y-64521824D01*
-X115524851Y-64734983D01*
-X115678183Y-64921817D01*
-X115865017Y-65075149D01*
-X116078176Y-65189084D01*
-X116309466Y-65259245D01*
-X116489732Y-65277000D01*
-X116610268Y-65277000D01*
-X116790534Y-65259245D01*
-X117021824Y-65189084D01*
-X117234983Y-65075149D01*
-X117421817Y-64921817D01*
-X117575149Y-64734983D01*
-X117689084Y-64521824D01*
-X117759245Y-64290534D01*
-X117782936Y-64050000D01*
-X117759245Y-63809466D01*
-X117689084Y-63578176D01*
-X117575149Y-63365017D01*
-X117421817Y-63178183D01*
-X117234983Y-63024851D01*
-X117021824Y-62910916D01*
-X116790534Y-62840755D01*
-X116610268Y-62823000D01*
-X116489732Y-62823000D01*
-X116309466Y-62840755D01*
-X116078176Y-62910916D01*
-X115865017Y-63024851D01*
-X115678183Y-63178183D01*
-X115524851Y-63365017D01*
-X115410916Y-63578176D01*
-X115340755Y-63809466D01*
-X115317064Y-64050000D01*
-X109178824Y-64050000D01*
-X109178824Y-62400000D01*
-X109171545Y-62326095D01*
-X109149988Y-62255030D01*
-X109114981Y-62189537D01*
-X109067869Y-62132131D01*
-X109010463Y-62085019D01*
-X108944970Y-62050012D01*
-X108873905Y-62028455D01*
-X108800000Y-62021176D01*
-X106800000Y-62021176D01*
-X106726095Y-62028455D01*
-X106655030Y-62050012D01*
-X106589537Y-62085019D01*
-X106532131Y-62132131D01*
-X106485019Y-62189537D01*
-X106450012Y-62255030D01*
-X106428455Y-62326095D01*
-X106421176Y-62400000D01*
-X106421176Y-64400000D01*
-X106428455Y-64473905D01*
-X106450012Y-64544970D01*
-X106485019Y-64610463D01*
-X106532131Y-64667869D01*
-X106589537Y-64714981D01*
-X106655030Y-64749988D01*
-X106726095Y-64771545D01*
-X106800000Y-64778824D01*
-X106823001Y-64778824D01*
-X106823001Y-65195312D01*
-X103143098Y-68875216D01*
-X103105814Y-68905814D01*
-X102983724Y-69054582D01*
-X102909719Y-69193036D01*
-X102893003Y-69224310D01*
-X102837137Y-69408475D01*
-X102818273Y-69600000D01*
-X102823000Y-69647993D01*
-X102823001Y-89021889D01*
-X102818273Y-89069892D01*
-X102837137Y-89261417D01*
-X102893003Y-89445582D01*
-X102934384Y-89523000D01*
-X102983725Y-89615310D01*
-X103105815Y-89764078D01*
-X103143093Y-89794671D01*
-X107221422Y-93873000D01*
-X94402000Y-93873000D01*
-X94402000Y-93672777D01*
-X94438569Y-93618048D01*
-X94497141Y-93476643D01*
-X94527000Y-93326528D01*
-X94527000Y-93173472D01*
-X94497141Y-93023357D01*
-X94438569Y-92881952D01*
-X94353536Y-92754691D01*
-X94245309Y-92646464D01*
-X94118048Y-92561431D01*
-X93976643Y-92502859D01*
-X93826528Y-92473000D01*
-X93673472Y-92473000D01*
-X93523357Y-92502859D01*
-X93381952Y-92561431D01*
-X93254691Y-92646464D01*
-X93146464Y-92754691D01*
-X93061431Y-92881952D01*
-X93002859Y-93023357D01*
-X92973000Y-93173472D01*
-X92973000Y-93326528D01*
-X93002859Y-93476643D01*
-X93061431Y-93618048D01*
-X93146464Y-93745309D01*
-X93254691Y-93853536D01*
-X93283821Y-93873000D01*
-X70377000Y-93873000D01*
-X70377000Y-89097122D01*
-X72982483Y-89097122D01*
-X73092881Y-89289330D01*
-X73338495Y-89404430D01*
-X73601845Y-89469400D01*
-X73872809Y-89481745D01*
-X74140975Y-89440991D01*
-X74396038Y-89348703D01*
-X74507119Y-89289330D01*
-X74617517Y-89097122D01*
-X73800000Y-88279605D01*
-X72982483Y-89097122D01*
-X70377000Y-89097122D01*
-X70377000Y-88172809D01*
-X72418255Y-88172809D01*
-X72459009Y-88440975D01*
-X72551297Y-88696038D01*
-X72610670Y-88807119D01*
-X72802878Y-88917517D01*
-X73620395Y-88100000D01*
-X73979605Y-88100000D01*
-X74797122Y-88917517D01*
-X74989330Y-88807119D01*
-X75104430Y-88561505D01*
-X75169400Y-88298155D01*
-X75181745Y-88027191D01*
-X75140991Y-87759025D01*
-X75048703Y-87503962D01*
-X74989330Y-87392881D01*
-X74797122Y-87282483D01*
-X73979605Y-88100000D01*
-X73620395Y-88100000D01*
-X72802878Y-87282483D01*
-X72610670Y-87392881D01*
-X72495570Y-87638495D01*
-X72430600Y-87901845D01*
-X72418255Y-88172809D01*
-X70377000Y-88172809D01*
-X70377000Y-87102878D01*
-X72982483Y-87102878D01*
-X73800000Y-87920395D01*
-X74617517Y-87102878D01*
-X74615864Y-87100000D01*
-X77421176Y-87100000D01*
-X77421176Y-89100000D01*
-X77428455Y-89173905D01*
-X77450012Y-89244970D01*
-X77485019Y-89310463D01*
-X77532131Y-89367869D01*
-X77589537Y-89414981D01*
-X77655030Y-89449988D01*
-X77726095Y-89471545D01*
-X77800000Y-89478824D01*
-X79800000Y-89478824D01*
-X79873905Y-89471545D01*
-X79944970Y-89449988D01*
-X80010463Y-89414981D01*
-X80067869Y-89367869D01*
-X80114981Y-89310463D01*
-X80149988Y-89244970D01*
-X80171545Y-89173905D01*
-X80178824Y-89100000D01*
-X80178824Y-87100000D01*
-X80171545Y-87026095D01*
-X80149988Y-86955030D01*
-X80114981Y-86889537D01*
-X80067869Y-86832131D01*
-X80010463Y-86785019D01*
-X79944970Y-86750012D01*
-X79873905Y-86728455D01*
-X79800000Y-86721176D01*
-X77800000Y-86721176D01*
-X77726095Y-86728455D01*
-X77655030Y-86750012D01*
-X77589537Y-86785019D01*
-X77532131Y-86832131D01*
-X77485019Y-86889537D01*
-X77450012Y-86955030D01*
-X77428455Y-87026095D01*
-X77421176Y-87100000D01*
-X74615864Y-87100000D01*
-X74507119Y-86910670D01*
-X74261505Y-86795570D01*
-X73998155Y-86730600D01*
-X73727191Y-86718255D01*
-X73459025Y-86759009D01*
-X73203962Y-86851297D01*
-X73092881Y-86910670D01*
-X72982483Y-87102878D01*
-X70377000Y-87102878D01*
-X70377000Y-76897122D01*
-X72982483Y-76897122D01*
-X73092881Y-77089330D01*
-X73338495Y-77204430D01*
-X73601845Y-77269400D01*
-X73872809Y-77281745D01*
-X74140975Y-77240991D01*
-X74396038Y-77148703D01*
-X74507119Y-77089330D01*
-X74617517Y-76897122D01*
-X73800000Y-76079605D01*
-X72982483Y-76897122D01*
-X70377000Y-76897122D01*
-X70377000Y-75972809D01*
-X72418255Y-75972809D01*
-X72459009Y-76240975D01*
-X72551297Y-76496038D01*
-X72610670Y-76607119D01*
-X72802878Y-76717517D01*
-X73620395Y-75900000D01*
-X73979605Y-75900000D01*
-X74797122Y-76717517D01*
-X74989330Y-76607119D01*
-X75104430Y-76361505D01*
-X75169400Y-76098155D01*
-X75181745Y-75827191D01*
-X75140991Y-75559025D01*
-X75048703Y-75303962D01*
-X74989330Y-75192881D01*
-X74797122Y-75082483D01*
-X73979605Y-75900000D01*
-X73620395Y-75900000D01*
-X72802878Y-75082483D01*
-X72610670Y-75192881D01*
-X72495570Y-75438495D01*
-X72430600Y-75701845D01*
-X72418255Y-75972809D01*
-X70377000Y-75972809D01*
-X70377000Y-74902878D01*
-X72982483Y-74902878D01*
-X73800000Y-75720395D01*
-X74617517Y-74902878D01*
-X74615864Y-74900000D01*
-X77421176Y-74900000D01*
-X77421176Y-76900000D01*
-X77428455Y-76973905D01*
-X77450012Y-77044970D01*
-X77485019Y-77110463D01*
-X77532131Y-77167869D01*
-X77589537Y-77214981D01*
-X77655030Y-77249988D01*
-X77726095Y-77271545D01*
-X77800000Y-77278824D01*
-X79800000Y-77278824D01*
-X79873905Y-77271545D01*
-X79944970Y-77249988D01*
-X80010463Y-77214981D01*
-X80067869Y-77167869D01*
-X80114981Y-77110463D01*
-X80149988Y-77044970D01*
-X80171545Y-76973905D01*
-X80178824Y-76900000D01*
-X80178824Y-74900000D01*
-X80171545Y-74826095D01*
-X80149988Y-74755030D01*
-X80114981Y-74689537D01*
-X80067869Y-74632131D01*
-X80010463Y-74585019D01*
-X79944970Y-74550012D01*
-X79873905Y-74528455D01*
-X79800000Y-74521176D01*
-X79577000Y-74521176D01*
-X79577000Y-69986930D01*
-X79677787Y-69919586D01*
-X79869586Y-69727787D01*
-X80020282Y-69502254D01*
-X80124083Y-69251656D01*
-X80177000Y-68985623D01*
-X80177000Y-68714377D01*
-X80124083Y-68448344D01*
-X80020282Y-68197746D01*
-X79869586Y-67972213D01*
-X79677787Y-67780414D01*
-X79452254Y-67629718D01*
-X79201656Y-67525917D01*
-X78935623Y-67473000D01*
-X78664377Y-67473000D01*
-X78398344Y-67525917D01*
-X78147746Y-67629718D01*
-X77922213Y-67780414D01*
-X77730414Y-67972213D01*
-X77579718Y-68197746D01*
-X77475917Y-68448344D01*
-X77423000Y-68714377D01*
-X77423000Y-68985623D01*
-X77475917Y-69251656D01*
-X77579718Y-69502254D01*
-X77730414Y-69727787D01*
-X77922213Y-69919586D01*
-X78023001Y-69986930D01*
-X78023000Y-74521176D01*
-X77800000Y-74521176D01*
-X77726095Y-74528455D01*
-X77655030Y-74550012D01*
-X77589537Y-74585019D01*
-X77532131Y-74632131D01*
-X77485019Y-74689537D01*
-X77450012Y-74755030D01*
-X77428455Y-74826095D01*
-X77421176Y-74900000D01*
-X74615864Y-74900000D01*
-X74507119Y-74710670D01*
-X74261505Y-74595570D01*
-X73998155Y-74530600D01*
-X73727191Y-74518255D01*
-X73459025Y-74559009D01*
-X73203962Y-74651297D01*
-X73092881Y-74710670D01*
-X72982483Y-74902878D01*
-X70377000Y-74902878D01*
-X70377000Y-65127000D01*
-X80000000Y-65127000D01*
-X80024776Y-65124560D01*
-X80048601Y-65117333D01*
-X80070557Y-65105597D01*
-X80089803Y-65089803D01*
-X80105597Y-65070557D01*
-X80117333Y-65048601D01*
-X80124560Y-65024776D01*
-X80127000Y-65000000D01*
-X80127000Y-61500000D01*
-X83321176Y-61500000D01*
-X83321176Y-63500000D01*
-X83328455Y-63573905D01*
-X83350012Y-63644970D01*
-X83385019Y-63710463D01*
-X83432131Y-63767869D01*
-X83489537Y-63814981D01*
-X83555030Y-63849988D01*
-X83626095Y-63871545D01*
-X83700000Y-63878824D01*
-X86300000Y-63878824D01*
-X86373905Y-63871545D01*
-X86444970Y-63849988D01*
-X86510463Y-63814981D01*
-X86567869Y-63767869D01*
-X86614981Y-63710463D01*
-X86649988Y-63644970D01*
-X86671545Y-63573905D01*
-X86678824Y-63500000D01*
-X86678824Y-62400000D01*
-X94721176Y-62400000D01*
-X94721176Y-64400000D01*
-X94728455Y-64473905D01*
-X94750012Y-64544970D01*
-X94785019Y-64610463D01*
-X94832131Y-64667869D01*
-X94889537Y-64714981D01*
-X94955030Y-64749988D01*
-X95026095Y-64771545D01*
-X95100000Y-64778824D01*
-X97100000Y-64778824D01*
-X97173905Y-64771545D01*
-X97244970Y-64749988D01*
-X97310463Y-64714981D01*
-X97367869Y-64667869D01*
-X97414981Y-64610463D01*
-X97449988Y-64544970D01*
-X97471545Y-64473905D01*
-X97478824Y-64400000D01*
-X97478824Y-62400000D01*
-X97471545Y-62326095D01*
-X97449988Y-62255030D01*
-X97414981Y-62189537D01*
-X97367869Y-62132131D01*
-X97310463Y-62085019D01*
-X97244970Y-62050012D01*
-X97173905Y-62028455D01*
-X97100000Y-62021176D01*
-X95100000Y-62021176D01*
-X95026095Y-62028455D01*
-X94955030Y-62050012D01*
-X94889537Y-62085019D01*
-X94832131Y-62132131D01*
-X94785019Y-62189537D01*
-X94750012Y-62255030D01*
-X94728455Y-62326095D01*
-X94721176Y-62400000D01*
-X86678824Y-62400000D01*
-X86678824Y-61826351D01*
-X115364482Y-61826351D01*
-X115388518Y-61905605D01*
-X115488014Y-62124597D01*
-X115628322Y-62319971D01*
-X115804049Y-62484218D01*
-X116008443Y-62611026D01*
-X116233648Y-62695523D01*
-X116423000Y-62636166D01*
-X116423000Y-61637000D01*
-X116677000Y-61637000D01*
-X116677000Y-62636166D01*
-X116866352Y-62695523D01*
-X117091557Y-62611026D01*
-X117295951Y-62484218D01*
-X117471678Y-62319971D01*
-X117611986Y-62124597D01*
-X117711482Y-61905605D01*
-X117735518Y-61826351D01*
-X117675608Y-61637000D01*
-X116677000Y-61637000D01*
-X116423000Y-61637000D01*
-X115424392Y-61637000D01*
-X115364482Y-61826351D01*
-X86678824Y-61826351D01*
-X86678824Y-61500000D01*
-X86671545Y-61426095D01*
-X86649988Y-61355030D01*
-X86614981Y-61289537D01*
-X86567869Y-61232131D01*
-X86520979Y-61193649D01*
-X115364482Y-61193649D01*
-X115424392Y-61383000D01*
-X116423000Y-61383000D01*
-X116423000Y-60383834D01*
-X116677000Y-60383834D01*
-X116677000Y-61383000D01*
-X117675608Y-61383000D01*
-X117735518Y-61193649D01*
-X117711482Y-61114395D01*
-X117611986Y-60895403D01*
-X117471678Y-60700029D01*
-X117295951Y-60535782D01*
-X117091557Y-60408974D01*
-X116866352Y-60324477D01*
-X116677000Y-60383834D01*
-X116423000Y-60383834D01*
-X116233648Y-60324477D01*
-X116008443Y-60408974D01*
-X115804049Y-60535782D01*
-X115628322Y-60700029D01*
-X115488014Y-60895403D01*
-X115388518Y-61114395D01*
-X115364482Y-61193649D01*
-X86520979Y-61193649D01*
-X86510463Y-61185019D01*
-X86444970Y-61150012D01*
-X86373905Y-61128455D01*
-X86300000Y-61121176D01*
-X86038950Y-61121176D01*
-X86170617Y-61034376D01*
-X86363489Y-60843883D01*
-X86515491Y-60619423D01*
-X86620783Y-60369622D01*
-X86634383Y-60299929D01*
-X86576448Y-60087000D01*
-X85127000Y-60087000D01*
-X85127000Y-60107000D01*
-X84873000Y-60107000D01*
-X84873000Y-60087000D01*
-X83423552Y-60087000D01*
-X83365617Y-60299929D01*
-X83379217Y-60369622D01*
-X83484509Y-60619423D01*
-X83636511Y-60843883D01*
-X83829383Y-61034376D01*
-X83961050Y-61121176D01*
-X83700000Y-61121176D01*
-X83626095Y-61128455D01*
-X83555030Y-61150012D01*
-X83489537Y-61185019D01*
-X83432131Y-61232131D01*
-X83385019Y-61289537D01*
-X83350012Y-61355030D01*
-X83328455Y-61426095D01*
-X83321176Y-61500000D01*
-X80127000Y-61500000D01*
-X80127000Y-59620071D01*
-X83365617Y-59620071D01*
-X83423552Y-59833000D01*
-X84873000Y-59833000D01*
-X84873000Y-58583000D01*
-X85127000Y-58583000D01*
-X85127000Y-59833000D01*
-X86576448Y-59833000D01*
-X86634383Y-59620071D01*
-X86620783Y-59550378D01*
-X86556186Y-59397122D01*
-X95282483Y-59397122D01*
-X95392881Y-59589330D01*
-X95638495Y-59704430D01*
-X95901845Y-59769400D01*
-X96172809Y-59781745D01*
-X96440975Y-59740991D01*
-X96696038Y-59648703D01*
-X96807119Y-59589330D01*
-X96917517Y-59397122D01*
-X106982483Y-59397122D01*
-X107092881Y-59589330D01*
-X107338495Y-59704430D01*
-X107601845Y-59769400D01*
-X107872809Y-59781745D01*
-X108140975Y-59740991D01*
-X108396038Y-59648703D01*
-X108507119Y-59589330D01*
-X108617517Y-59397122D01*
-X107800000Y-58579605D01*
-X106982483Y-59397122D01*
-X96917517Y-59397122D01*
-X96100000Y-58579605D01*
-X95282483Y-59397122D01*
-X86556186Y-59397122D01*
-X86515491Y-59300577D01*
-X86363489Y-59076117D01*
-X86170617Y-58885624D01*
-X85944288Y-58736419D01*
-X85693199Y-58634235D01*
-X85427000Y-58583000D01*
-X85127000Y-58583000D01*
-X84873000Y-58583000D01*
-X84573000Y-58583000D01*
-X84306801Y-58634235D01*
-X84055712Y-58736419D01*
-X83829383Y-58885624D01*
-X83636511Y-59076117D01*
-X83484509Y-59300577D01*
-X83379217Y-59550378D01*
-X83365617Y-59620071D01*
-X80127000Y-59620071D01*
-X80127000Y-58472809D01*
-X94718255Y-58472809D01*
-X94759009Y-58740975D01*
-X94851297Y-58996038D01*
-X94910670Y-59107119D01*
-X95102878Y-59217517D01*
-X95920395Y-58400000D01*
-X96279605Y-58400000D01*
-X97097122Y-59217517D01*
-X97289330Y-59107119D01*
-X97404430Y-58861505D01*
-X97469400Y-58598155D01*
-X97475110Y-58472809D01*
-X106418255Y-58472809D01*
-X106459009Y-58740975D01*
-X106551297Y-58996038D01*
-X106610670Y-59107119D01*
-X106802878Y-59217517D01*
-X107620395Y-58400000D01*
-X107979605Y-58400000D01*
-X108797122Y-59217517D01*
-X108989330Y-59107119D01*
-X109053586Y-58970000D01*
-X115317064Y-58970000D01*
-X115340755Y-59210534D01*
-X115410916Y-59441824D01*
-X115524851Y-59654983D01*
-X115678183Y-59841817D01*
-X115865017Y-59995149D01*
-X116078176Y-60109084D01*
-X116309466Y-60179245D01*
-X116489732Y-60197000D01*
-X116610268Y-60197000D01*
-X116790534Y-60179245D01*
-X117021824Y-60109084D01*
-X117234983Y-59995149D01*
-X117421817Y-59841817D01*
-X117575149Y-59654983D01*
-X117689084Y-59441824D01*
-X117759245Y-59210534D01*
-X117782936Y-58970000D01*
-X117759245Y-58729466D01*
-X117689084Y-58498176D01*
-X117575149Y-58285017D01*
-X117421817Y-58098183D01*
-X117234983Y-57944851D01*
-X117021824Y-57830916D01*
-X116790534Y-57760755D01*
-X116610268Y-57743000D01*
-X116489732Y-57743000D01*
-X116309466Y-57760755D01*
-X116078176Y-57830916D01*
-X115865017Y-57944851D01*
-X115678183Y-58098183D01*
-X115524851Y-58285017D01*
-X115410916Y-58498176D01*
-X115340755Y-58729466D01*
-X115317064Y-58970000D01*
-X109053586Y-58970000D01*
-X109104430Y-58861505D01*
-X109169400Y-58598155D01*
-X109181745Y-58327191D01*
-X109140991Y-58059025D01*
-X109048703Y-57803962D01*
-X108989330Y-57692881D01*
-X108797122Y-57582483D01*
-X107979605Y-58400000D01*
-X107620395Y-58400000D01*
-X106802878Y-57582483D01*
-X106610670Y-57692881D01*
-X106495570Y-57938495D01*
-X106430600Y-58201845D01*
-X106418255Y-58472809D01*
-X97475110Y-58472809D01*
-X97481745Y-58327191D01*
-X97440991Y-58059025D01*
-X97348703Y-57803962D01*
-X97289330Y-57692881D01*
-X97097122Y-57582483D01*
-X96279605Y-58400000D01*
-X95920395Y-58400000D01*
-X95102878Y-57582483D01*
-X94910670Y-57692881D01*
-X94795570Y-57938495D01*
-X94730600Y-58201845D01*
-X94718255Y-58472809D01*
-X80127000Y-58472809D01*
-X80127000Y-57402878D01*
-X95282483Y-57402878D01*
-X96100000Y-58220395D01*
-X96917517Y-57402878D01*
-X106982483Y-57402878D01*
-X107800000Y-58220395D01*
-X108617517Y-57402878D01*
-X108507119Y-57210670D01*
-X108261505Y-57095570D01*
-X107998155Y-57030600D01*
-X107727191Y-57018255D01*
-X107459025Y-57059009D01*
-X107203962Y-57151297D01*
-X107092881Y-57210670D01*
-X106982483Y-57402878D01*
-X96917517Y-57402878D01*
-X96807119Y-57210670D01*
-X96561505Y-57095570D01*
-X96298155Y-57030600D01*
-X96027191Y-57018255D01*
-X95759025Y-57059009D01*
-X95503962Y-57151297D01*
-X95392881Y-57210670D01*
-X95282483Y-57402878D01*
-X80127000Y-57402878D01*
-X80127000Y-55377000D01*
-X118823000Y-55377000D01*
-X118823000Y-67200000D01*
-G36*
-X157873000Y-65482244D02*
-G01*
-X157826528Y-65473000D01*
-X157673472Y-65473000D01*
-X157523357Y-65502859D01*
-X157381952Y-65561431D01*
-X157254691Y-65646464D01*
-X157146464Y-65754691D01*
-X157061431Y-65881952D01*
-X157002859Y-66023357D01*
-X156973000Y-66173472D01*
-X156973000Y-66326528D01*
-X157002859Y-66476643D01*
-X157061431Y-66618048D01*
-X157146464Y-66745309D01*
-X157254691Y-66853536D01*
-X157381952Y-66938569D01*
-X157523357Y-66997141D01*
-X157673472Y-67027000D01*
-X157826528Y-67027000D01*
-X157873000Y-67017756D01*
-X157873000Y-67073000D01*
-X138282936Y-67073000D01*
-X136277000Y-65067066D01*
-X136277000Y-64923472D01*
-X136276008Y-64918487D01*
-X136441845Y-64959400D01*
-X136712809Y-64971745D01*
-X136980975Y-64930991D01*
-X137236038Y-64838703D01*
-X137347119Y-64779330D01*
-X137457517Y-64587122D01*
-X139412483Y-64587122D01*
-X139522881Y-64779330D01*
-X139768495Y-64894430D01*
-X140031845Y-64959400D01*
-X140302809Y-64971745D01*
-X140570975Y-64930991D01*
-X140826038Y-64838703D01*
-X140937119Y-64779330D01*
-X141047517Y-64587122D01*
-X140230000Y-63769605D01*
-X139412483Y-64587122D01*
-X137457517Y-64587122D01*
-X136640000Y-63769605D01*
-X136625858Y-63783748D01*
-X136446253Y-63604143D01*
-X136460395Y-63590000D01*
-X136446253Y-63575858D01*
-X136625858Y-63396253D01*
-X136640000Y-63410395D01*
-X136654143Y-63396253D01*
-X136833748Y-63575858D01*
-X136819605Y-63590000D01*
-X137637122Y-64407517D01*
-X137829330Y-64297119D01*
-X137944430Y-64051505D01*
-X138009400Y-63788155D01*
-X138021745Y-63517191D01*
-X137980991Y-63249025D01*
-X137888703Y-62993962D01*
-X137829330Y-62882881D01*
-X137637124Y-62772484D01*
-X137642609Y-62766999D01*
-X139227391Y-62766999D01*
-X139232876Y-62772484D01*
-X139040670Y-62882881D01*
-X138925570Y-63128495D01*
-X138860600Y-63391845D01*
-X138848255Y-63662809D01*
-X138889009Y-63930975D01*
-X138981297Y-64186038D01*
-X139040670Y-64297119D01*
-X139232878Y-64407517D01*
-X140050395Y-63590000D01*
-X140036253Y-63575858D01*
-X140215858Y-63396253D01*
-X140230000Y-63410395D01*
-X140244143Y-63396253D01*
-X140423748Y-63575858D01*
-X140409605Y-63590000D01*
-X141227122Y-64407517D01*
-X141419330Y-64297119D01*
-X141534430Y-64051505D01*
-X141599400Y-63788155D01*
-X141611745Y-63517191D01*
-X141582841Y-63327000D01*
-X143671176Y-63327000D01*
-X143671176Y-63450000D01*
-X143678455Y-63523905D01*
-X143700012Y-63594970D01*
-X143735019Y-63660463D01*
-X143782131Y-63717869D01*
-X143839537Y-63764981D01*
-X143905030Y-63799988D01*
-X143976095Y-63821545D01*
-X144050000Y-63828824D01*
-X144291573Y-63828824D01*
-X144354500Y-63905501D01*
-X144378422Y-63925133D01*
-X144714450Y-64261162D01*
-X144693000Y-64369000D01*
-X144693000Y-64591000D01*
-X144736310Y-64808734D01*
-X144821266Y-65013835D01*
-X144944602Y-65198421D01*
-X145101579Y-65355398D01*
-X145286165Y-65478734D01*
-X145491266Y-65563690D01*
-X145709000Y-65607000D01*
-X145931000Y-65607000D01*
-X146148734Y-65563690D01*
-X146353835Y-65478734D01*
-X146538421Y-65355398D01*
-X146695398Y-65198421D01*
-X146818734Y-65013835D01*
-X146840000Y-64962495D01*
-X146861266Y-65013835D01*
-X146984602Y-65198421D01*
-X147141579Y-65355398D01*
-X147326165Y-65478734D01*
-X147531266Y-65563690D01*
-X147749000Y-65607000D01*
-X147971000Y-65607000D01*
-X148188734Y-65563690D01*
-X148393835Y-65478734D01*
-X148578421Y-65355398D01*
-X148635409Y-65298410D01*
-X149261195Y-65298410D01*
-X149341306Y-65465043D01*
-X149544213Y-65555111D01*
-X149760793Y-65603864D01*
-X149982723Y-65609428D01*
-X150201474Y-65571588D01*
-X150408640Y-65491798D01*
-X150458694Y-65465043D01*
-X150538805Y-65298410D01*
-X149900000Y-64659605D01*
-X149261195Y-65298410D01*
-X148635409Y-65298410D01*
-X148735398Y-65198421D01*
-X148858734Y-65013835D01*
-X148879032Y-64964831D01*
-X148888202Y-64988640D01*
-X148914957Y-65038694D01*
-X149081590Y-65118805D01*
-X149720395Y-64480000D01*
-X149706253Y-64465858D01*
-X149885858Y-64286253D01*
-X149900000Y-64300395D01*
-X149914143Y-64286253D01*
-X150093748Y-64465858D01*
-X150079605Y-64480000D01*
-X150718410Y-65118805D01*
-X150885043Y-65038694D01*
-X150919453Y-64961174D01*
-X150941266Y-65013835D01*
-X151064602Y-65198421D01*
-X151221579Y-65355398D01*
-X151406165Y-65478734D01*
-X151611266Y-65563690D01*
-X151829000Y-65607000D01*
-X152051000Y-65607000D01*
-X152268734Y-65563690D01*
-X152473835Y-65478734D01*
-X152658421Y-65355398D01*
-X152815398Y-65198421D01*
-X152938734Y-65013835D01*
-X153023690Y-64808734D01*
-X153067000Y-64591000D01*
-X153067000Y-64587122D01*
-X155672483Y-64587122D01*
-X155782881Y-64779330D01*
-X156028495Y-64894430D01*
-X156291845Y-64959400D01*
-X156562809Y-64971745D01*
-X156830975Y-64930991D01*
-X157086038Y-64838703D01*
-X157197119Y-64779330D01*
-X157307517Y-64587122D01*
-X156490000Y-63769605D01*
-X155672483Y-64587122D01*
-X153067000Y-64587122D01*
-X153067000Y-64369000D01*
-X153023690Y-64151266D01*
-X152938734Y-63946165D01*
-X152815398Y-63761579D01*
-X152716628Y-63662809D01*
-X155108255Y-63662809D01*
-X155149009Y-63930975D01*
-X155241297Y-64186038D01*
-X155300670Y-64297119D01*
-X155492878Y-64407517D01*
-X156310395Y-63590000D01*
-X156669605Y-63590000D01*
-X157487122Y-64407517D01*
-X157679330Y-64297119D01*
-X157794430Y-64051505D01*
-X157859400Y-63788155D01*
-X157871745Y-63517191D01*
-X157830991Y-63249025D01*
-X157738703Y-62993962D01*
-X157679330Y-62882881D01*
-X157487122Y-62772483D01*
-X156669605Y-63590000D01*
-X156310395Y-63590000D01*
-X155492878Y-62772483D01*
-X155300670Y-62882881D01*
-X155185570Y-63128495D01*
-X155120600Y-63391845D01*
-X155108255Y-63662809D01*
-X152716628Y-63662809D01*
-X152658421Y-63604602D01*
-X152473835Y-63481266D01*
-X152268734Y-63396310D01*
-X152051000Y-63353000D01*
-X151839111Y-63353000D01*
-X151918734Y-63233835D01*
-X152003690Y-63028734D01*
-X152047000Y-62811000D01*
-X152047000Y-62592878D01*
-X155672483Y-62592878D01*
-X156490000Y-63410395D01*
-X157307517Y-62592878D01*
-X157197119Y-62400670D01*
-X156951505Y-62285570D01*
-X156688155Y-62220600D01*
-X156417191Y-62208255D01*
-X156149025Y-62249009D01*
-X155893962Y-62341297D01*
-X155782881Y-62400670D01*
-X155672483Y-62592878D01*
-X152047000Y-62592878D01*
-X152047000Y-62589000D01*
-X152003690Y-62371266D01*
-X151918734Y-62166165D01*
-X151795398Y-61981579D01*
-X151638421Y-61824602D01*
-X151453835Y-61701266D01*
-X151248734Y-61616310D01*
-X151031000Y-61573000D01*
-X150809000Y-61573000D01*
-X150591266Y-61616310D01*
-X150386165Y-61701266D01*
-X150201579Y-61824602D01*
-X150044602Y-61981579D01*
-X149921266Y-62166165D01*
-X149900000Y-62217505D01*
-X149878734Y-62166165D01*
-X149755398Y-61981579D01*
-X149598421Y-61824602D01*
-X149413835Y-61701266D01*
-X149208734Y-61616310D01*
-X148991000Y-61573000D01*
-X148769000Y-61573000D01*
-X148551266Y-61616310D01*
-X148346165Y-61701266D01*
-X148161579Y-61824602D01*
-X148004602Y-61981579D01*
-X147881266Y-62166165D01*
-X147860968Y-62215169D01*
-X147851798Y-62191360D01*
-X147825043Y-62141306D01*
-X147658410Y-62061195D01*
-X147019605Y-62700000D01*
-X147033748Y-62714143D01*
-X146854143Y-62893748D01*
-X146840000Y-62879605D01*
-X146825858Y-62893748D01*
-X146646253Y-62714143D01*
-X146660395Y-62700000D01*
-X146021590Y-62061195D01*
-X145928824Y-62105793D01*
-X145928824Y-61950000D01*
-X145922087Y-61881590D01*
-X146201195Y-61881590D01*
-X146840000Y-62520395D01*
-X147478805Y-61881590D01*
-X147398694Y-61714957D01*
-X147195787Y-61624889D01*
-X146979207Y-61576136D01*
-X146757277Y-61570572D01*
-X146538526Y-61608412D01*
-X146331360Y-61688202D01*
-X146281306Y-61714957D01*
-X146201195Y-61881590D01*
-X145922087Y-61881590D01*
-X145921545Y-61876095D01*
-X145899988Y-61805030D01*
-X145864981Y-61739537D01*
-X145817869Y-61682131D01*
-X145760463Y-61635019D01*
-X145694970Y-61600012D01*
-X145623905Y-61578455D01*
-X145550000Y-61571176D01*
-X144050000Y-61571176D01*
-X143976095Y-61578455D01*
-X143905030Y-61600012D01*
-X143839537Y-61635019D01*
-X143782131Y-61682131D01*
-X143735019Y-61739537D01*
-X143700012Y-61805030D01*
-X143678455Y-61876095D01*
-X143671176Y-61950000D01*
-X143671176Y-62073000D01*
-X142623087Y-62073000D01*
-X142958303Y-61934150D01*
-X143286201Y-61715055D01*
-X143565055Y-61436201D01*
-X143784150Y-61108303D01*
-X143935064Y-60743962D01*
-X144012000Y-60357180D01*
-X144012000Y-59962820D01*
-X152708000Y-59962820D01*
-X152708000Y-60357180D01*
-X152784936Y-60743962D01*
-X152935850Y-61108303D01*
-X153154945Y-61436201D01*
-X153433799Y-61715055D01*
-X153761697Y-61934150D01*
-X154126038Y-62085064D01*
-X154512820Y-62162000D01*
-X154907180Y-62162000D01*
-X155293962Y-62085064D01*
-X155658303Y-61934150D01*
-X155986201Y-61715055D01*
-X156265055Y-61436201D01*
-X156484150Y-61108303D01*
-X156635064Y-60743962D01*
-X156712000Y-60357180D01*
-X156712000Y-59962820D01*
-X156635064Y-59576038D01*
-X156484150Y-59211697D01*
-X156265055Y-58883799D01*
-X155986201Y-58604945D01*
-X155658303Y-58385850D01*
-X155293962Y-58234936D01*
-X154907180Y-58158000D01*
-X154512820Y-58158000D01*
-X154126038Y-58234936D01*
-X153761697Y-58385850D01*
-X153433799Y-58604945D01*
-X153154945Y-58883799D01*
-X152935850Y-59211697D01*
-X152784936Y-59576038D01*
-X152708000Y-59962820D01*
-X144012000Y-59962820D01*
-X143935064Y-59576038D01*
-X143784150Y-59211697D01*
-X143565055Y-58883799D01*
-X143286201Y-58604945D01*
-X142958303Y-58385850D01*
-X142593962Y-58234936D01*
-X142207180Y-58158000D01*
-X141812820Y-58158000D01*
-X141426038Y-58234936D01*
-X141061697Y-58385850D01*
-X140733799Y-58604945D01*
-X140454945Y-58883799D01*
-X140235850Y-59211697D01*
-X140084936Y-59576038D01*
-X140008000Y-59962820D01*
-X140008000Y-60357180D01*
-X140084936Y-60743962D01*
-X140235850Y-61108303D01*
-X140454945Y-61436201D01*
-X140531743Y-61512999D01*
-X136338257Y-61512999D01*
-X136415055Y-61436201D01*
-X136634150Y-61108303D01*
-X136785064Y-60743962D01*
-X136862000Y-60357180D01*
-X136862000Y-59962820D01*
-X136785064Y-59576038D01*
-X136634150Y-59211697D01*
-X136415055Y-58883799D01*
-X136136201Y-58604945D01*
-X135808303Y-58385850D01*
-X135443962Y-58234936D01*
-X135057180Y-58158000D01*
-X134662820Y-58158000D01*
-X134276038Y-58234936D01*
-X133911697Y-58385850D01*
-X133583799Y-58604945D01*
-X133304945Y-58883799D01*
-X133085850Y-59211697D01*
-X132934936Y-59576038D01*
-X132858000Y-59962820D01*
-X132858000Y-60357180D01*
-X132934936Y-60743962D01*
-X133085850Y-61108303D01*
-X133304945Y-61436201D01*
-X133476745Y-61608001D01*
-X132640715Y-61608001D01*
-X132111139Y-61078426D01*
-X132091502Y-61054498D01*
-X131996029Y-60976146D01*
-X131887104Y-60917924D01*
-X131768914Y-60882072D01*
-X131676795Y-60872999D01*
-X131646001Y-60869966D01*
-X131615207Y-60872999D01*
-X126180795Y-60872999D01*
-X126150001Y-60869966D01*
-X126119207Y-60872999D01*
-X126027088Y-60882072D01*
-X125908898Y-60917924D01*
-X125799973Y-60976146D01*
-X125704500Y-61054498D01*
-X125684867Y-61078421D01*
-X125192112Y-61571176D01*
-X124200000Y-61571176D01*
-X124126095Y-61578455D01*
-X124055030Y-61600012D01*
-X123989537Y-61635019D01*
-X123932131Y-61682131D01*
-X123885019Y-61739537D01*
-X123850012Y-61805030D01*
-X123828455Y-61876095D01*
-X123821176Y-61950000D01*
-X123821176Y-63450000D01*
-X123828455Y-63523905D01*
-X123850012Y-63594970D01*
-X123885019Y-63660463D01*
-X123932131Y-63717869D01*
-X123989537Y-63764981D01*
-X124055030Y-63799988D01*
-X124126095Y-63821545D01*
-X124200000Y-63828824D01*
-X124441573Y-63828824D01*
-X124504500Y-63905501D01*
-X124528422Y-63925133D01*
-X124864450Y-64261162D01*
-X124843000Y-64369000D01*
-X124843000Y-64591000D01*
-X124886310Y-64808734D01*
-X124971266Y-65013835D01*
-X125094602Y-65198421D01*
-X125251579Y-65355398D01*
-X125436165Y-65478734D01*
-X125641266Y-65563690D01*
-X125859000Y-65607000D01*
-X126081000Y-65607000D01*
-X126298734Y-65563690D01*
-X126503835Y-65478734D01*
-X126688421Y-65355398D01*
-X126845398Y-65198421D01*
-X126968734Y-65013835D01*
-X126990000Y-64962495D01*
-X127011266Y-65013835D01*
-X127134602Y-65198421D01*
-X127291579Y-65355398D01*
-X127476165Y-65478734D01*
-X127681266Y-65563690D01*
-X127899000Y-65607000D01*
-X128121000Y-65607000D01*
-X128338734Y-65563690D01*
-X128543835Y-65478734D01*
-X128728421Y-65355398D01*
-X128785409Y-65298410D01*
-X129411195Y-65298410D01*
-X129491306Y-65465043D01*
-X129694213Y-65555111D01*
-X129910793Y-65603864D01*
-X130132723Y-65609428D01*
-X130351474Y-65571588D01*
-X130558640Y-65491798D01*
-X130608694Y-65465043D01*
-X130688805Y-65298410D01*
-X130050000Y-64659605D01*
-X129411195Y-65298410D01*
-X128785409Y-65298410D01*
-X128885398Y-65198421D01*
-X129008734Y-65013835D01*
-X129029032Y-64964831D01*
-X129038202Y-64988640D01*
-X129064957Y-65038694D01*
-X129231590Y-65118805D01*
-X129870395Y-64480000D01*
-X129856253Y-64465858D01*
-X130035858Y-64286253D01*
-X130050000Y-64300395D01*
-X130064143Y-64286253D01*
-X130243748Y-64465858D01*
-X130229605Y-64480000D01*
-X130868410Y-65118805D01*
-X131035043Y-65038694D01*
-X131069453Y-64961174D01*
-X131091266Y-65013835D01*
-X131214602Y-65198421D01*
-X131371579Y-65355398D01*
-X131556165Y-65478734D01*
-X131761266Y-65563690D01*
-X131979000Y-65607000D01*
-X132201000Y-65607000D01*
-X132418734Y-65563690D01*
-X132623835Y-65478734D01*
-X132808421Y-65355398D01*
-X132965398Y-65198421D01*
-X133088734Y-65013835D01*
-X133173690Y-64808734D01*
-X133217000Y-64591000D01*
-X133217000Y-64369000D01*
-X133173690Y-64151266D01*
-X133088734Y-63946165D01*
-X132965398Y-63761579D01*
-X132808421Y-63604602D01*
-X132623835Y-63481266D01*
-X132418734Y-63396310D01*
-X132201000Y-63353000D01*
-X131989111Y-63353000D01*
-X132068734Y-63233835D01*
-X132153690Y-63028734D01*
-X132192611Y-62833065D01*
-X132258090Y-62852928D01*
-X132381003Y-62865034D01*
-X132411797Y-62862001D01*
-X135487023Y-62862001D01*
-X135450670Y-62882881D01*
-X135335570Y-63128495D01*
-X135270600Y-63391845D01*
-X135258255Y-63662809D01*
-X135299009Y-63930975D01*
-X135391297Y-64186038D01*
-X135412247Y-64225233D01*
-X135273357Y-64252859D01*
-X135131952Y-64311431D01*
-X135004691Y-64396464D01*
-X134896464Y-64504691D01*
-X134811431Y-64631952D01*
-X134752859Y-64773357D01*
-X134723000Y-64923472D01*
-X134723000Y-65076528D01*
-X134752859Y-65226643D01*
-X134765130Y-65256267D01*
-X134631952Y-65311431D01*
-X134504691Y-65396464D01*
-X134396464Y-65504691D01*
-X134311431Y-65631952D01*
-X134252859Y-65773357D01*
-X134223000Y-65923472D01*
-X134223000Y-66076528D01*
-X134252859Y-66226643D01*
-X134311431Y-66368048D01*
-X134396464Y-66495309D01*
-X134504691Y-66603536D01*
-X134631952Y-66688569D01*
-X134773357Y-66747141D01*
-X134923472Y-66777000D01*
-X135076528Y-66777000D01*
-X135226643Y-66747141D01*
-X135368048Y-66688569D01*
-X135434340Y-66644274D01*
-X135863066Y-67073000D01*
-X119327000Y-67073000D01*
-X119327000Y-64587122D01*
-X119562483Y-64587122D01*
-X119672881Y-64779330D01*
-X119918495Y-64894430D01*
-X120181845Y-64959400D01*
-X120452809Y-64971745D01*
-X120720975Y-64930991D01*
-X120976038Y-64838703D01*
-X121087119Y-64779330D01*
-X121197517Y-64587122D01*
-X120380000Y-63769605D01*
-X119562483Y-64587122D01*
-X119327000Y-64587122D01*
-X119327000Y-64375423D01*
-X119382878Y-64407517D01*
-X120200395Y-63590000D01*
-X120559605Y-63590000D01*
-X121377122Y-64407517D01*
-X121569330Y-64297119D01*
-X121684430Y-64051505D01*
-X121749400Y-63788155D01*
-X121761745Y-63517191D01*
-X121720991Y-63249025D01*
-X121628703Y-62993962D01*
-X121569330Y-62882881D01*
-X121377122Y-62772483D01*
-X120559605Y-63590000D01*
-X120200395Y-63590000D01*
-X119382878Y-62772483D01*
-X119327000Y-62804577D01*
-X119327000Y-62592878D01*
-X119562483Y-62592878D01*
-X120380000Y-63410395D01*
-X121197517Y-62592878D01*
-X121087119Y-62400670D01*
-X120841505Y-62285570D01*
-X120578155Y-62220600D01*
-X120307191Y-62208255D01*
-X120039025Y-62249009D01*
-X119783962Y-62341297D01*
-X119672881Y-62400670D01*
-X119562483Y-62592878D01*
-X119327000Y-62592878D01*
-X119327000Y-59962820D01*
-X120158000Y-59962820D01*
-X120158000Y-60357180D01*
-X120234936Y-60743962D01*
-X120385850Y-61108303D01*
-X120604945Y-61436201D01*
-X120883799Y-61715055D01*
-X121211697Y-61934150D01*
-X121576038Y-62085064D01*
-X121962820Y-62162000D01*
-X122357180Y-62162000D01*
-X122743962Y-62085064D01*
-X123108303Y-61934150D01*
-X123436201Y-61715055D01*
-X123715055Y-61436201D01*
-X123934150Y-61108303D01*
-X124085064Y-60743962D01*
-X124162000Y-60357180D01*
-X124162000Y-59962820D01*
-X124085064Y-59576038D01*
-X123934150Y-59211697D01*
-X123715055Y-58883799D01*
-X123436201Y-58604945D01*
-X123108303Y-58385850D01*
-X122743962Y-58234936D01*
-X122357180Y-58158000D01*
-X121962820Y-58158000D01*
-X121576038Y-58234936D01*
-X121211697Y-58385850D01*
-X120883799Y-58604945D01*
-X120604945Y-58883799D01*
-X120385850Y-59211697D01*
-X120234936Y-59576038D01*
-X120158000Y-59962820D01*
-X119327000Y-59962820D01*
-X119327000Y-55377000D01*
-X157873000Y-55377000D01*
-X157873000Y-65482244D01*
-X157873000Y-65482244D01*
-G37*
-X157873000Y-65482244D02*
-X157826528Y-65473000D01*
-X157673472Y-65473000D01*
-X157523357Y-65502859D01*
-X157381952Y-65561431D01*
-X157254691Y-65646464D01*
-X157146464Y-65754691D01*
-X157061431Y-65881952D01*
-X157002859Y-66023357D01*
-X156973000Y-66173472D01*
-X156973000Y-66326528D01*
-X157002859Y-66476643D01*
-X157061431Y-66618048D01*
-X157146464Y-66745309D01*
-X157254691Y-66853536D01*
-X157381952Y-66938569D01*
-X157523357Y-66997141D01*
-X157673472Y-67027000D01*
-X157826528Y-67027000D01*
-X157873000Y-67017756D01*
-X157873000Y-67073000D01*
-X138282936Y-67073000D01*
-X136277000Y-65067066D01*
-X136277000Y-64923472D01*
-X136276008Y-64918487D01*
-X136441845Y-64959400D01*
-X136712809Y-64971745D01*
-X136980975Y-64930991D01*
-X137236038Y-64838703D01*
-X137347119Y-64779330D01*
-X137457517Y-64587122D01*
-X139412483Y-64587122D01*
-X139522881Y-64779330D01*
-X139768495Y-64894430D01*
-X140031845Y-64959400D01*
-X140302809Y-64971745D01*
-X140570975Y-64930991D01*
-X140826038Y-64838703D01*
-X140937119Y-64779330D01*
-X141047517Y-64587122D01*
-X140230000Y-63769605D01*
-X139412483Y-64587122D01*
-X137457517Y-64587122D01*
-X136640000Y-63769605D01*
-X136625858Y-63783748D01*
-X136446253Y-63604143D01*
-X136460395Y-63590000D01*
-X136446253Y-63575858D01*
-X136625858Y-63396253D01*
-X136640000Y-63410395D01*
-X136654143Y-63396253D01*
-X136833748Y-63575858D01*
-X136819605Y-63590000D01*
-X137637122Y-64407517D01*
-X137829330Y-64297119D01*
-X137944430Y-64051505D01*
-X138009400Y-63788155D01*
-X138021745Y-63517191D01*
-X137980991Y-63249025D01*
-X137888703Y-62993962D01*
-X137829330Y-62882881D01*
-X137637124Y-62772484D01*
-X137642609Y-62766999D01*
-X139227391Y-62766999D01*
-X139232876Y-62772484D01*
-X139040670Y-62882881D01*
-X138925570Y-63128495D01*
-X138860600Y-63391845D01*
-X138848255Y-63662809D01*
-X138889009Y-63930975D01*
-X138981297Y-64186038D01*
-X139040670Y-64297119D01*
-X139232878Y-64407517D01*
-X140050395Y-63590000D01*
-X140036253Y-63575858D01*
-X140215858Y-63396253D01*
-X140230000Y-63410395D01*
-X140244143Y-63396253D01*
-X140423748Y-63575858D01*
-X140409605Y-63590000D01*
-X141227122Y-64407517D01*
-X141419330Y-64297119D01*
-X141534430Y-64051505D01*
-X141599400Y-63788155D01*
-X141611745Y-63517191D01*
-X141582841Y-63327000D01*
-X143671176Y-63327000D01*
-X143671176Y-63450000D01*
-X143678455Y-63523905D01*
-X143700012Y-63594970D01*
-X143735019Y-63660463D01*
-X143782131Y-63717869D01*
-X143839537Y-63764981D01*
-X143905030Y-63799988D01*
-X143976095Y-63821545D01*
-X144050000Y-63828824D01*
-X144291573Y-63828824D01*
-X144354500Y-63905501D01*
-X144378422Y-63925133D01*
-X144714450Y-64261162D01*
-X144693000Y-64369000D01*
-X144693000Y-64591000D01*
-X144736310Y-64808734D01*
-X144821266Y-65013835D01*
-X144944602Y-65198421D01*
-X145101579Y-65355398D01*
-X145286165Y-65478734D01*
-X145491266Y-65563690D01*
-X145709000Y-65607000D01*
-X145931000Y-65607000D01*
-X146148734Y-65563690D01*
-X146353835Y-65478734D01*
-X146538421Y-65355398D01*
-X146695398Y-65198421D01*
-X146818734Y-65013835D01*
-X146840000Y-64962495D01*
-X146861266Y-65013835D01*
-X146984602Y-65198421D01*
-X147141579Y-65355398D01*
-X147326165Y-65478734D01*
-X147531266Y-65563690D01*
-X147749000Y-65607000D01*
-X147971000Y-65607000D01*
-X148188734Y-65563690D01*
-X148393835Y-65478734D01*
-X148578421Y-65355398D01*
-X148635409Y-65298410D01*
-X149261195Y-65298410D01*
-X149341306Y-65465043D01*
-X149544213Y-65555111D01*
-X149760793Y-65603864D01*
-X149982723Y-65609428D01*
-X150201474Y-65571588D01*
-X150408640Y-65491798D01*
-X150458694Y-65465043D01*
-X150538805Y-65298410D01*
-X149900000Y-64659605D01*
-X149261195Y-65298410D01*
-X148635409Y-65298410D01*
-X148735398Y-65198421D01*
-X148858734Y-65013835D01*
-X148879032Y-64964831D01*
-X148888202Y-64988640D01*
-X148914957Y-65038694D01*
-X149081590Y-65118805D01*
-X149720395Y-64480000D01*
-X149706253Y-64465858D01*
-X149885858Y-64286253D01*
-X149900000Y-64300395D01*
-X149914143Y-64286253D01*
-X150093748Y-64465858D01*
-X150079605Y-64480000D01*
-X150718410Y-65118805D01*
-X150885043Y-65038694D01*
-X150919453Y-64961174D01*
-X150941266Y-65013835D01*
-X151064602Y-65198421D01*
-X151221579Y-65355398D01*
-X151406165Y-65478734D01*
-X151611266Y-65563690D01*
-X151829000Y-65607000D01*
-X152051000Y-65607000D01*
-X152268734Y-65563690D01*
-X152473835Y-65478734D01*
-X152658421Y-65355398D01*
-X152815398Y-65198421D01*
-X152938734Y-65013835D01*
-X153023690Y-64808734D01*
-X153067000Y-64591000D01*
-X153067000Y-64587122D01*
-X155672483Y-64587122D01*
-X155782881Y-64779330D01*
-X156028495Y-64894430D01*
-X156291845Y-64959400D01*
-X156562809Y-64971745D01*
-X156830975Y-64930991D01*
-X157086038Y-64838703D01*
-X157197119Y-64779330D01*
-X157307517Y-64587122D01*
-X156490000Y-63769605D01*
-X155672483Y-64587122D01*
-X153067000Y-64587122D01*
-X153067000Y-64369000D01*
-X153023690Y-64151266D01*
-X152938734Y-63946165D01*
-X152815398Y-63761579D01*
-X152716628Y-63662809D01*
-X155108255Y-63662809D01*
-X155149009Y-63930975D01*
-X155241297Y-64186038D01*
-X155300670Y-64297119D01*
-X155492878Y-64407517D01*
-X156310395Y-63590000D01*
-X156669605Y-63590000D01*
-X157487122Y-64407517D01*
-X157679330Y-64297119D01*
-X157794430Y-64051505D01*
-X157859400Y-63788155D01*
-X157871745Y-63517191D01*
-X157830991Y-63249025D01*
-X157738703Y-62993962D01*
-X157679330Y-62882881D01*
-X157487122Y-62772483D01*
-X156669605Y-63590000D01*
-X156310395Y-63590000D01*
-X155492878Y-62772483D01*
-X155300670Y-62882881D01*
-X155185570Y-63128495D01*
-X155120600Y-63391845D01*
-X155108255Y-63662809D01*
-X152716628Y-63662809D01*
-X152658421Y-63604602D01*
-X152473835Y-63481266D01*
-X152268734Y-63396310D01*
-X152051000Y-63353000D01*
-X151839111Y-63353000D01*
-X151918734Y-63233835D01*
-X152003690Y-63028734D01*
-X152047000Y-62811000D01*
-X152047000Y-62592878D01*
-X155672483Y-62592878D01*
-X156490000Y-63410395D01*
-X157307517Y-62592878D01*
-X157197119Y-62400670D01*
-X156951505Y-62285570D01*
-X156688155Y-62220600D01*
-X156417191Y-62208255D01*
-X156149025Y-62249009D01*
-X155893962Y-62341297D01*
-X155782881Y-62400670D01*
-X155672483Y-62592878D01*
-X152047000Y-62592878D01*
-X152047000Y-62589000D01*
-X152003690Y-62371266D01*
-X151918734Y-62166165D01*
-X151795398Y-61981579D01*
-X151638421Y-61824602D01*
-X151453835Y-61701266D01*
-X151248734Y-61616310D01*
-X151031000Y-61573000D01*
-X150809000Y-61573000D01*
-X150591266Y-61616310D01*
-X150386165Y-61701266D01*
-X150201579Y-61824602D01*
-X150044602Y-61981579D01*
-X149921266Y-62166165D01*
-X149900000Y-62217505D01*
-X149878734Y-62166165D01*
-X149755398Y-61981579D01*
-X149598421Y-61824602D01*
-X149413835Y-61701266D01*
-X149208734Y-61616310D01*
-X148991000Y-61573000D01*
-X148769000Y-61573000D01*
-X148551266Y-61616310D01*
-X148346165Y-61701266D01*
-X148161579Y-61824602D01*
-X148004602Y-61981579D01*
-X147881266Y-62166165D01*
-X147860968Y-62215169D01*
-X147851798Y-62191360D01*
-X147825043Y-62141306D01*
-X147658410Y-62061195D01*
-X147019605Y-62700000D01*
-X147033748Y-62714143D01*
-X146854143Y-62893748D01*
-X146840000Y-62879605D01*
-X146825858Y-62893748D01*
-X146646253Y-62714143D01*
-X146660395Y-62700000D01*
-X146021590Y-62061195D01*
-X145928824Y-62105793D01*
-X145928824Y-61950000D01*
-X145922087Y-61881590D01*
-X146201195Y-61881590D01*
-X146840000Y-62520395D01*
-X147478805Y-61881590D01*
-X147398694Y-61714957D01*
-X147195787Y-61624889D01*
-X146979207Y-61576136D01*
-X146757277Y-61570572D01*
-X146538526Y-61608412D01*
-X146331360Y-61688202D01*
-X146281306Y-61714957D01*
-X146201195Y-61881590D01*
-X145922087Y-61881590D01*
-X145921545Y-61876095D01*
-X145899988Y-61805030D01*
-X145864981Y-61739537D01*
-X145817869Y-61682131D01*
-X145760463Y-61635019D01*
-X145694970Y-61600012D01*
-X145623905Y-61578455D01*
-X145550000Y-61571176D01*
-X144050000Y-61571176D01*
-X143976095Y-61578455D01*
-X143905030Y-61600012D01*
-X143839537Y-61635019D01*
-X143782131Y-61682131D01*
-X143735019Y-61739537D01*
-X143700012Y-61805030D01*
-X143678455Y-61876095D01*
-X143671176Y-61950000D01*
-X143671176Y-62073000D01*
-X142623087Y-62073000D01*
-X142958303Y-61934150D01*
-X143286201Y-61715055D01*
-X143565055Y-61436201D01*
-X143784150Y-61108303D01*
-X143935064Y-60743962D01*
-X144012000Y-60357180D01*
-X144012000Y-59962820D01*
-X152708000Y-59962820D01*
-X152708000Y-60357180D01*
-X152784936Y-60743962D01*
-X152935850Y-61108303D01*
-X153154945Y-61436201D01*
-X153433799Y-61715055D01*
-X153761697Y-61934150D01*
-X154126038Y-62085064D01*
-X154512820Y-62162000D01*
-X154907180Y-62162000D01*
-X155293962Y-62085064D01*
-X155658303Y-61934150D01*
-X155986201Y-61715055D01*
-X156265055Y-61436201D01*
-X156484150Y-61108303D01*
-X156635064Y-60743962D01*
-X156712000Y-60357180D01*
-X156712000Y-59962820D01*
-X156635064Y-59576038D01*
-X156484150Y-59211697D01*
-X156265055Y-58883799D01*
-X155986201Y-58604945D01*
-X155658303Y-58385850D01*
-X155293962Y-58234936D01*
-X154907180Y-58158000D01*
-X154512820Y-58158000D01*
-X154126038Y-58234936D01*
-X153761697Y-58385850D01*
-X153433799Y-58604945D01*
-X153154945Y-58883799D01*
-X152935850Y-59211697D01*
-X152784936Y-59576038D01*
-X152708000Y-59962820D01*
-X144012000Y-59962820D01*
-X143935064Y-59576038D01*
-X143784150Y-59211697D01*
-X143565055Y-58883799D01*
-X143286201Y-58604945D01*
-X142958303Y-58385850D01*
-X142593962Y-58234936D01*
-X142207180Y-58158000D01*
-X141812820Y-58158000D01*
-X141426038Y-58234936D01*
-X141061697Y-58385850D01*
-X140733799Y-58604945D01*
-X140454945Y-58883799D01*
-X140235850Y-59211697D01*
-X140084936Y-59576038D01*
-X140008000Y-59962820D01*
-X140008000Y-60357180D01*
-X140084936Y-60743962D01*
-X140235850Y-61108303D01*
-X140454945Y-61436201D01*
-X140531743Y-61512999D01*
-X136338257Y-61512999D01*
-X136415055Y-61436201D01*
-X136634150Y-61108303D01*
-X136785064Y-60743962D01*
-X136862000Y-60357180D01*
-X136862000Y-59962820D01*
-X136785064Y-59576038D01*
-X136634150Y-59211697D01*
-X136415055Y-58883799D01*
-X136136201Y-58604945D01*
-X135808303Y-58385850D01*
-X135443962Y-58234936D01*
-X135057180Y-58158000D01*
-X134662820Y-58158000D01*
-X134276038Y-58234936D01*
-X133911697Y-58385850D01*
-X133583799Y-58604945D01*
-X133304945Y-58883799D01*
-X133085850Y-59211697D01*
-X132934936Y-59576038D01*
-X132858000Y-59962820D01*
-X132858000Y-60357180D01*
-X132934936Y-60743962D01*
-X133085850Y-61108303D01*
-X133304945Y-61436201D01*
-X133476745Y-61608001D01*
-X132640715Y-61608001D01*
-X132111139Y-61078426D01*
-X132091502Y-61054498D01*
-X131996029Y-60976146D01*
-X131887104Y-60917924D01*
-X131768914Y-60882072D01*
-X131676795Y-60872999D01*
-X131646001Y-60869966D01*
-X131615207Y-60872999D01*
-X126180795Y-60872999D01*
-X126150001Y-60869966D01*
-X126119207Y-60872999D01*
-X126027088Y-60882072D01*
-X125908898Y-60917924D01*
-X125799973Y-60976146D01*
-X125704500Y-61054498D01*
-X125684867Y-61078421D01*
-X125192112Y-61571176D01*
-X124200000Y-61571176D01*
-X124126095Y-61578455D01*
-X124055030Y-61600012D01*
-X123989537Y-61635019D01*
-X123932131Y-61682131D01*
-X123885019Y-61739537D01*
-X123850012Y-61805030D01*
-X123828455Y-61876095D01*
-X123821176Y-61950000D01*
-X123821176Y-63450000D01*
-X123828455Y-63523905D01*
-X123850012Y-63594970D01*
-X123885019Y-63660463D01*
-X123932131Y-63717869D01*
-X123989537Y-63764981D01*
-X124055030Y-63799988D01*
-X124126095Y-63821545D01*
-X124200000Y-63828824D01*
-X124441573Y-63828824D01*
-X124504500Y-63905501D01*
-X124528422Y-63925133D01*
-X124864450Y-64261162D01*
-X124843000Y-64369000D01*
-X124843000Y-64591000D01*
-X124886310Y-64808734D01*
-X124971266Y-65013835D01*
-X125094602Y-65198421D01*
-X125251579Y-65355398D01*
-X125436165Y-65478734D01*
-X125641266Y-65563690D01*
-X125859000Y-65607000D01*
-X126081000Y-65607000D01*
-X126298734Y-65563690D01*
-X126503835Y-65478734D01*
-X126688421Y-65355398D01*
-X126845398Y-65198421D01*
-X126968734Y-65013835D01*
-X126990000Y-64962495D01*
-X127011266Y-65013835D01*
-X127134602Y-65198421D01*
-X127291579Y-65355398D01*
-X127476165Y-65478734D01*
-X127681266Y-65563690D01*
-X127899000Y-65607000D01*
-X128121000Y-65607000D01*
-X128338734Y-65563690D01*
-X128543835Y-65478734D01*
-X128728421Y-65355398D01*
-X128785409Y-65298410D01*
-X129411195Y-65298410D01*
-X129491306Y-65465043D01*
-X129694213Y-65555111D01*
-X129910793Y-65603864D01*
-X130132723Y-65609428D01*
-X130351474Y-65571588D01*
-X130558640Y-65491798D01*
-X130608694Y-65465043D01*
-X130688805Y-65298410D01*
-X130050000Y-64659605D01*
-X129411195Y-65298410D01*
-X128785409Y-65298410D01*
-X128885398Y-65198421D01*
-X129008734Y-65013835D01*
-X129029032Y-64964831D01*
-X129038202Y-64988640D01*
-X129064957Y-65038694D01*
-X129231590Y-65118805D01*
-X129870395Y-64480000D01*
-X129856253Y-64465858D01*
-X130035858Y-64286253D01*
-X130050000Y-64300395D01*
-X130064143Y-64286253D01*
-X130243748Y-64465858D01*
-X130229605Y-64480000D01*
-X130868410Y-65118805D01*
-X131035043Y-65038694D01*
-X131069453Y-64961174D01*
-X131091266Y-65013835D01*
-X131214602Y-65198421D01*
-X131371579Y-65355398D01*
-X131556165Y-65478734D01*
-X131761266Y-65563690D01*
-X131979000Y-65607000D01*
-X132201000Y-65607000D01*
-X132418734Y-65563690D01*
-X132623835Y-65478734D01*
-X132808421Y-65355398D01*
-X132965398Y-65198421D01*
-X133088734Y-65013835D01*
-X133173690Y-64808734D01*
-X133217000Y-64591000D01*
-X133217000Y-64369000D01*
-X133173690Y-64151266D01*
-X133088734Y-63946165D01*
-X132965398Y-63761579D01*
-X132808421Y-63604602D01*
-X132623835Y-63481266D01*
-X132418734Y-63396310D01*
-X132201000Y-63353000D01*
-X131989111Y-63353000D01*
-X132068734Y-63233835D01*
-X132153690Y-63028734D01*
-X132192611Y-62833065D01*
-X132258090Y-62852928D01*
-X132381003Y-62865034D01*
-X132411797Y-62862001D01*
-X135487023Y-62862001D01*
-X135450670Y-62882881D01*
-X135335570Y-63128495D01*
-X135270600Y-63391845D01*
-X135258255Y-63662809D01*
-X135299009Y-63930975D01*
-X135391297Y-64186038D01*
-X135412247Y-64225233D01*
-X135273357Y-64252859D01*
-X135131952Y-64311431D01*
-X135004691Y-64396464D01*
-X134896464Y-64504691D01*
-X134811431Y-64631952D01*
-X134752859Y-64773357D01*
-X134723000Y-64923472D01*
-X134723000Y-65076528D01*
-X134752859Y-65226643D01*
-X134765130Y-65256267D01*
-X134631952Y-65311431D01*
-X134504691Y-65396464D01*
-X134396464Y-65504691D01*
-X134311431Y-65631952D01*
-X134252859Y-65773357D01*
-X134223000Y-65923472D01*
-X134223000Y-66076528D01*
-X134252859Y-66226643D01*
-X134311431Y-66368048D01*
-X134396464Y-66495309D01*
-X134504691Y-66603536D01*
-X134631952Y-66688569D01*
-X134773357Y-66747141D01*
-X134923472Y-66777000D01*
-X135076528Y-66777000D01*
-X135226643Y-66747141D01*
-X135368048Y-66688569D01*
-X135434340Y-66644274D01*
-X135863066Y-67073000D01*
-X119327000Y-67073000D01*
-X119327000Y-64587122D01*
-X119562483Y-64587122D01*
-X119672881Y-64779330D01*
-X119918495Y-64894430D01*
-X120181845Y-64959400D01*
-X120452809Y-64971745D01*
-X120720975Y-64930991D01*
-X120976038Y-64838703D01*
-X121087119Y-64779330D01*
-X121197517Y-64587122D01*
-X120380000Y-63769605D01*
-X119562483Y-64587122D01*
-X119327000Y-64587122D01*
-X119327000Y-64375423D01*
-X119382878Y-64407517D01*
-X120200395Y-63590000D01*
-X120559605Y-63590000D01*
-X121377122Y-64407517D01*
-X121569330Y-64297119D01*
-X121684430Y-64051505D01*
-X121749400Y-63788155D01*
-X121761745Y-63517191D01*
-X121720991Y-63249025D01*
-X121628703Y-62993962D01*
-X121569330Y-62882881D01*
-X121377122Y-62772483D01*
-X120559605Y-63590000D01*
-X120200395Y-63590000D01*
-X119382878Y-62772483D01*
-X119327000Y-62804577D01*
-X119327000Y-62592878D01*
-X119562483Y-62592878D01*
-X120380000Y-63410395D01*
-X121197517Y-62592878D01*
-X121087119Y-62400670D01*
-X120841505Y-62285570D01*
-X120578155Y-62220600D01*
-X120307191Y-62208255D01*
-X120039025Y-62249009D01*
-X119783962Y-62341297D01*
-X119672881Y-62400670D01*
-X119562483Y-62592878D01*
-X119327000Y-62592878D01*
-X119327000Y-59962820D01*
-X120158000Y-59962820D01*
-X120158000Y-60357180D01*
-X120234936Y-60743962D01*
-X120385850Y-61108303D01*
-X120604945Y-61436201D01*
-X120883799Y-61715055D01*
-X121211697Y-61934150D01*
-X121576038Y-62085064D01*
-X121962820Y-62162000D01*
-X122357180Y-62162000D01*
-X122743962Y-62085064D01*
-X123108303Y-61934150D01*
-X123436201Y-61715055D01*
-X123715055Y-61436201D01*
-X123934150Y-61108303D01*
-X124085064Y-60743962D01*
-X124162000Y-60357180D01*
-X124162000Y-59962820D01*
-X124085064Y-59576038D01*
-X123934150Y-59211697D01*
-X123715055Y-58883799D01*
-X123436201Y-58604945D01*
-X123108303Y-58385850D01*
-X122743962Y-58234936D01*
-X122357180Y-58158000D01*
-X121962820Y-58158000D01*
-X121576038Y-58234936D01*
-X121211697Y-58385850D01*
-X120883799Y-58604945D01*
-X120604945Y-58883799D01*
-X120385850Y-59211697D01*
-X120234936Y-59576038D01*
-X120158000Y-59962820D01*
-X119327000Y-59962820D01*
-X119327000Y-55377000D01*
-X157873000Y-55377000D01*
-X157873000Y-65482244D01*
-G36*
-X127183748Y-62685858D02*
-G01*
-X127169605Y-62700000D01*
-X127183748Y-62714143D01*
-X127004143Y-62893748D01*
-X126990000Y-62879605D01*
-X126975858Y-62893748D01*
-X126796253Y-62714143D01*
-X126810395Y-62700000D01*
-X126796253Y-62685858D01*
-X126975858Y-62506253D01*
-X126990000Y-62520395D01*
-X127004143Y-62506253D01*
-X127183748Y-62685858D01*
-X127183748Y-62685858D01*
-G37*
-X127183748Y-62685858D02*
-X127169605Y-62700000D01*
-X127183748Y-62714143D01*
-X127004143Y-62893748D01*
-X126990000Y-62879605D01*
-X126975858Y-62893748D01*
-X126796253Y-62714143D01*
-X126810395Y-62700000D01*
-X126796253Y-62685858D01*
-X126975858Y-62506253D01*
-X126990000Y-62520395D01*
-X127004143Y-62506253D01*
-X127183748Y-62685858D01*
-G36*
-X130352859Y-120173357D02*
-G01*
-X130323000Y-120323472D01*
-X130323000Y-120476528D01*
-X130352859Y-120626643D01*
-X130411431Y-120768048D01*
-X130496464Y-120895309D01*
-X130604691Y-121003536D01*
-X130731952Y-121088569D01*
-X130873357Y-121147141D01*
-X131023472Y-121177000D01*
-X131176528Y-121177000D01*
-X131326643Y-121147141D01*
-X131468048Y-121088569D01*
-X131595309Y-121003536D01*
-X131696845Y-120902000D01*
-X166975357Y-120902000D01*
-X167000000Y-120904427D01*
-X167024643Y-120902000D01*
-X167024653Y-120902000D01*
-X167098409Y-120894736D01*
-X167193036Y-120866031D01*
-X167280245Y-120819417D01*
-X167356684Y-120756684D01*
-X167372401Y-120737533D01*
-X167747989Y-120361945D01*
-X167747989Y-142292076D01*
-X167167066Y-142873000D01*
-X158000000Y-142873000D01*
-X157975224Y-142875440D01*
-X157951399Y-142882667D01*
-X157929443Y-142894403D01*
-X157910197Y-142910197D01*
-X157872428Y-142947966D01*
-X156388269Y-142947966D01*
-X156363624Y-142945539D01*
-X156338978Y-142947966D01*
-X156338971Y-142947966D01*
-X156274056Y-142954359D01*
-X156265214Y-142955230D01*
-X156170587Y-142983935D01*
-X156083378Y-143030549D01*
-X156026089Y-143077566D01*
-X156026086Y-143077569D01*
-X156006940Y-143093282D01*
-X155991227Y-143112428D01*
-X153455666Y-145647990D01*
-X147874655Y-145647990D01*
-X147850012Y-145645563D01*
-X147825369Y-145647990D01*
-X147825359Y-145647990D01*
-X147751603Y-145655254D01*
-X147656976Y-145683959D01*
-X147569767Y-145730573D01*
-X147493328Y-145793306D01*
-X147477615Y-145812452D01*
-X146417067Y-146873000D01*
-X132377000Y-146873000D01*
-X132377000Y-137357015D01*
-X132426643Y-137347141D01*
-X132568048Y-137288569D01*
-X132695309Y-137203536D01*
-X132803536Y-137095309D01*
-X132888569Y-136968048D01*
-X132947141Y-136826643D01*
-X132977000Y-136676528D01*
-X132977000Y-136523472D01*
-X132947141Y-136373357D01*
-X132888569Y-136231952D01*
-X132803536Y-136104691D01*
-X132702000Y-136003155D01*
-X132702000Y-134024645D01*
-X132704427Y-134000000D01*
-X132702000Y-133975354D01*
-X132702000Y-133975347D01*
-X132694736Y-133901591D01*
-X132666031Y-133806964D01*
-X132666031Y-133806963D01*
-X132619417Y-133719754D01*
-X132572400Y-133662465D01*
-X132572397Y-133662462D01*
-X132556684Y-133643316D01*
-X132537538Y-133627603D01*
-X132377000Y-133467065D01*
-X132377000Y-133000000D01*
-X132369756Y-132926451D01*
-X132348303Y-132855728D01*
-X132313464Y-132790550D01*
-X132266579Y-132733421D01*
-X132209450Y-132686536D01*
-X132144272Y-132651697D01*
-X132073549Y-132630244D01*
-X132000000Y-132623000D01*
-X131531929Y-132623000D01*
-X131480245Y-132580583D01*
-X131393036Y-132533969D01*
-X131298409Y-132505264D01*
-X131224653Y-132498000D01*
-X131224643Y-132498000D01*
-X131200000Y-132495573D01*
-X131175357Y-132498000D01*
-X129107935Y-132498000D01*
-X128902000Y-132292065D01*
-X128902000Y-130880936D01*
-X129062538Y-130720398D01*
-X129081685Y-130704685D01*
-X129097398Y-130685539D01*
-X129097401Y-130685536D01*
-X129144417Y-130628247D01*
-X129144418Y-130628246D01*
-X129191032Y-130541037D01*
-X129219737Y-130446410D01*
-X129227001Y-130372654D01*
-X129227001Y-130372644D01*
-X129229428Y-130348001D01*
-X129227001Y-130323358D01*
-X129227001Y-129676641D01*
-X129229428Y-129651998D01*
-X129227001Y-129627355D01*
-X129227001Y-129627346D01*
-X129219737Y-129553590D01*
-X129191032Y-129458963D01*
-X129144418Y-129371754D01*
-X129081685Y-129295315D01*
-X129062539Y-129279602D01*
-X128552011Y-128769075D01*
-X128552011Y-120127000D01*
-X130372061Y-120127000D01*
-X130352859Y-120173357D01*
-X130352859Y-120173357D01*
-G37*
-X130352859Y-120173357D02*
-X130323000Y-120323472D01*
-X130323000Y-120476528D01*
-X130352859Y-120626643D01*
-X130411431Y-120768048D01*
-X130496464Y-120895309D01*
-X130604691Y-121003536D01*
-X130731952Y-121088569D01*
-X130873357Y-121147141D01*
-X131023472Y-121177000D01*
-X131176528Y-121177000D01*
-X131326643Y-121147141D01*
-X131468048Y-121088569D01*
-X131595309Y-121003536D01*
-X131696845Y-120902000D01*
-X166975357Y-120902000D01*
-X167000000Y-120904427D01*
-X167024643Y-120902000D01*
-X167024653Y-120902000D01*
-X167098409Y-120894736D01*
-X167193036Y-120866031D01*
-X167280245Y-120819417D01*
-X167356684Y-120756684D01*
-X167372401Y-120737533D01*
-X167747989Y-120361945D01*
-X167747989Y-142292076D01*
-X167167066Y-142873000D01*
-X158000000Y-142873000D01*
-X157975224Y-142875440D01*
-X157951399Y-142882667D01*
-X157929443Y-142894403D01*
-X157910197Y-142910197D01*
-X157872428Y-142947966D01*
-X156388269Y-142947966D01*
-X156363624Y-142945539D01*
-X156338978Y-142947966D01*
-X156338971Y-142947966D01*
-X156274056Y-142954359D01*
-X156265214Y-142955230D01*
-X156170587Y-142983935D01*
-X156083378Y-143030549D01*
-X156026089Y-143077566D01*
-X156026086Y-143077569D01*
-X156006940Y-143093282D01*
-X155991227Y-143112428D01*
-X153455666Y-145647990D01*
-X147874655Y-145647990D01*
-X147850012Y-145645563D01*
-X147825369Y-145647990D01*
-X147825359Y-145647990D01*
-X147751603Y-145655254D01*
-X147656976Y-145683959D01*
-X147569767Y-145730573D01*
-X147493328Y-145793306D01*
-X147477615Y-145812452D01*
-X146417067Y-146873000D01*
-X132377000Y-146873000D01*
-X132377000Y-137357015D01*
-X132426643Y-137347141D01*
-X132568048Y-137288569D01*
-X132695309Y-137203536D01*
-X132803536Y-137095309D01*
-X132888569Y-136968048D01*
-X132947141Y-136826643D01*
-X132977000Y-136676528D01*
-X132977000Y-136523472D01*
-X132947141Y-136373357D01*
-X132888569Y-136231952D01*
-X132803536Y-136104691D01*
-X132702000Y-136003155D01*
-X132702000Y-134024645D01*
-X132704427Y-134000000D01*
-X132702000Y-133975354D01*
-X132702000Y-133975347D01*
-X132694736Y-133901591D01*
-X132666031Y-133806964D01*
-X132666031Y-133806963D01*
-X132619417Y-133719754D01*
-X132572400Y-133662465D01*
-X132572397Y-133662462D01*
-X132556684Y-133643316D01*
-X132537538Y-133627603D01*
-X132377000Y-133467065D01*
-X132377000Y-133000000D01*
-X132369756Y-132926451D01*
-X132348303Y-132855728D01*
-X132313464Y-132790550D01*
-X132266579Y-132733421D01*
-X132209450Y-132686536D01*
-X132144272Y-132651697D01*
-X132073549Y-132630244D01*
-X132000000Y-132623000D01*
-X131531929Y-132623000D01*
-X131480245Y-132580583D01*
-X131393036Y-132533969D01*
-X131298409Y-132505264D01*
-X131224653Y-132498000D01*
-X131224643Y-132498000D01*
-X131200000Y-132495573D01*
-X131175357Y-132498000D01*
-X129107935Y-132498000D01*
-X128902000Y-132292065D01*
-X128902000Y-130880936D01*
-X129062538Y-130720398D01*
-X129081685Y-130704685D01*
-X129097398Y-130685539D01*
-X129097401Y-130685536D01*
-X129144417Y-130628247D01*
-X129144418Y-130628246D01*
-X129191032Y-130541037D01*
-X129219737Y-130446410D01*
-X129227001Y-130372654D01*
-X129227001Y-130372644D01*
-X129229428Y-130348001D01*
-X129227001Y-130323358D01*
-X129227001Y-129676641D01*
-X129229428Y-129651998D01*
-X129227001Y-129627355D01*
-X129227001Y-129627346D01*
-X129219737Y-129553590D01*
-X129191032Y-129458963D01*
-X129144418Y-129371754D01*
-X129081685Y-129295315D01*
-X129062539Y-129279602D01*
-X128552011Y-128769075D01*
-X128552011Y-120127000D01*
-X130372061Y-120127000D01*
-X130352859Y-120173357D01*
-G36*
-X109063539Y-95248005D02*
-G01*
-X108739736Y-95464364D01*
-X108464364Y-95739736D01*
-X108248005Y-96063539D01*
-X108098975Y-96423330D01*
-X108023000Y-96805282D01*
-X108023000Y-97194718D01*
-X108098975Y-97576670D01*
-X108248005Y-97936461D01*
-X108464364Y-98260264D01*
-X108739736Y-98535636D01*
-X109063539Y-98751995D01*
-X109423330Y-98901025D01*
-X109805282Y-98977000D01*
-X110194718Y-98977000D01*
-X110576670Y-98901025D01*
-X110936461Y-98751995D01*
-X111260264Y-98535636D01*
-X111535636Y-98260264D01*
-X111751995Y-97936461D01*
-X111901025Y-97576670D01*
-X111977000Y-97194718D01*
-X111977000Y-96805282D01*
-X111901025Y-96423330D01*
-X111751995Y-96063539D01*
-X111535636Y-95739736D01*
-X111260264Y-95464364D01*
-X110936461Y-95248005D01*
-X110644329Y-95127000D01*
-X131714742Y-95127000D01*
-X131711433Y-95131952D01*
-X131652861Y-95273357D01*
-X131623002Y-95423472D01*
-X131623002Y-95576528D01*
-X131652861Y-95726643D01*
-X131711433Y-95868048D01*
-X131796466Y-95995309D01*
-X131904693Y-96103536D01*
-X132031954Y-96188569D01*
-X132173359Y-96247141D01*
-X132323474Y-96277000D01*
-X132467067Y-96277000D01*
-X132498001Y-96307934D01*
-X132498000Y-105992065D01*
-X132392066Y-106098000D01*
-X128524645Y-106098000D01*
-X128500000Y-106095573D01*
-X128475354Y-106098000D01*
-X128475347Y-106098000D01*
-X128410432Y-106104393D01*
-X128401590Y-106105264D01*
-X128306963Y-106133969D01*
-X128219754Y-106180583D01*
-X128162465Y-106227600D01*
-X128162462Y-106227603D01*
-X128143316Y-106243316D01*
-X128127603Y-106262462D01*
-X127162463Y-107227603D01*
-X127143317Y-107243316D01*
-X127127604Y-107262462D01*
-X127127601Y-107262465D01*
-X127080584Y-107319755D01*
-X127033970Y-107406964D01*
-X127005265Y-107501591D01*
-X126995573Y-107600000D01*
-X126998001Y-107624653D01*
-X126998000Y-116464377D01*
-X126952859Y-116573357D01*
-X126923000Y-116723472D01*
-X126923000Y-116876528D01*
-X126952859Y-117026643D01*
-X127011431Y-117168048D01*
-X127096464Y-117295309D01*
-X127204691Y-117403536D01*
-X127331952Y-117488569D01*
-X127432748Y-117530320D01*
-X127262464Y-117700604D01*
-X127243318Y-117716317D01*
-X127227605Y-117735463D01*
-X127227602Y-117735466D01*
-X127180585Y-117792756D01*
-X127133971Y-117879965D01*
-X127105266Y-117974592D01*
-X127095574Y-118073001D01*
-X127098002Y-118097654D01*
-X127098001Y-129575358D01*
-X127095574Y-129600001D01*
-X127098001Y-129624644D01*
-X127098001Y-129624653D01*
-X127105265Y-129698409D01*
-X127133970Y-129793036D01*
-X127180584Y-129880246D01*
-X127223000Y-129931929D01*
-X127223000Y-130076528D01*
-X127252859Y-130226643D01*
-X127311431Y-130368048D01*
-X127396464Y-130495309D01*
-X127504691Y-130603536D01*
-X127631952Y-130688569D01*
-X127773357Y-130747141D01*
-X127873000Y-130766961D01*
-X127873000Y-133000000D01*
-X127875440Y-133024776D01*
-X127882667Y-133048601D01*
-X127894403Y-133070557D01*
-X127910197Y-133089803D01*
-X127929443Y-133105597D01*
-X127951399Y-133117333D01*
-X127975224Y-133124560D01*
-X128000000Y-133127000D01*
-X128317065Y-133127000D01*
-X128527607Y-133337543D01*
-X128543316Y-133356684D01*
-X128562456Y-133372392D01*
-X128562464Y-133372400D01*
-X128619754Y-133419417D01*
-X128666368Y-133444332D01*
-X128706964Y-133466031D01*
-X128801591Y-133494736D01*
-X128875347Y-133502000D01*
-X128875357Y-133502000D01*
-X128900000Y-133504427D01*
-X128924643Y-133502000D01*
-X130992066Y-133502000D01*
-X131698001Y-134207936D01*
-X131698000Y-136003155D01*
-X131596464Y-136104691D01*
-X131511431Y-136231952D01*
-X131452859Y-136373357D01*
-X131423000Y-136523472D01*
-X131423000Y-136676528D01*
-X131452859Y-136826643D01*
-X131511431Y-136968048D01*
-X131596464Y-137095309D01*
-X131704691Y-137203536D01*
-X131831952Y-137288569D01*
-X131873000Y-137305572D01*
-X131873000Y-146873000D01*
-X110052606Y-146873000D01*
-X93127000Y-129947394D01*
-X93127000Y-124627000D01*
-X94750000Y-124627000D01*
-X94774776Y-124624560D01*
-X94798601Y-124617333D01*
-X94820557Y-124605597D01*
-X94839803Y-124589803D01*
-X94855597Y-124570557D01*
-X94867333Y-124548601D01*
-X94874560Y-124524776D01*
-X94877000Y-124500000D01*
-X94877000Y-95127000D01*
-X109355671Y-95127000D01*
-X109063539Y-95248005D01*
-X109063539Y-95248005D01*
-G37*
-X109063539Y-95248005D02*
-X108739736Y-95464364D01*
-X108464364Y-95739736D01*
-X108248005Y-96063539D01*
-X108098975Y-96423330D01*
-X108023000Y-96805282D01*
-X108023000Y-97194718D01*
-X108098975Y-97576670D01*
-X108248005Y-97936461D01*
-X108464364Y-98260264D01*
-X108739736Y-98535636D01*
-X109063539Y-98751995D01*
-X109423330Y-98901025D01*
-X109805282Y-98977000D01*
-X110194718Y-98977000D01*
-X110576670Y-98901025D01*
-X110936461Y-98751995D01*
-X111260264Y-98535636D01*
-X111535636Y-98260264D01*
-X111751995Y-97936461D01*
-X111901025Y-97576670D01*
-X111977000Y-97194718D01*
-X111977000Y-96805282D01*
-X111901025Y-96423330D01*
-X111751995Y-96063539D01*
-X111535636Y-95739736D01*
-X111260264Y-95464364D01*
-X110936461Y-95248005D01*
-X110644329Y-95127000D01*
-X131714742Y-95127000D01*
-X131711433Y-95131952D01*
-X131652861Y-95273357D01*
-X131623002Y-95423472D01*
-X131623002Y-95576528D01*
-X131652861Y-95726643D01*
-X131711433Y-95868048D01*
-X131796466Y-95995309D01*
-X131904693Y-96103536D01*
-X132031954Y-96188569D01*
-X132173359Y-96247141D01*
-X132323474Y-96277000D01*
-X132467067Y-96277000D01*
-X132498001Y-96307934D01*
-X132498000Y-105992065D01*
-X132392066Y-106098000D01*
-X128524645Y-106098000D01*
-X128500000Y-106095573D01*
-X128475354Y-106098000D01*
-X128475347Y-106098000D01*
-X128410432Y-106104393D01*
-X128401590Y-106105264D01*
-X128306963Y-106133969D01*
-X128219754Y-106180583D01*
-X128162465Y-106227600D01*
-X128162462Y-106227603D01*
-X128143316Y-106243316D01*
-X128127603Y-106262462D01*
-X127162463Y-107227603D01*
-X127143317Y-107243316D01*
-X127127604Y-107262462D01*
-X127127601Y-107262465D01*
-X127080584Y-107319755D01*
-X127033970Y-107406964D01*
-X127005265Y-107501591D01*
-X126995573Y-107600000D01*
-X126998001Y-107624653D01*
-X126998000Y-116464377D01*
-X126952859Y-116573357D01*
-X126923000Y-116723472D01*
-X126923000Y-116876528D01*
-X126952859Y-117026643D01*
-X127011431Y-117168048D01*
-X127096464Y-117295309D01*
-X127204691Y-117403536D01*
-X127331952Y-117488569D01*
-X127432748Y-117530320D01*
-X127262464Y-117700604D01*
-X127243318Y-117716317D01*
-X127227605Y-117735463D01*
-X127227602Y-117735466D01*
-X127180585Y-117792756D01*
-X127133971Y-117879965D01*
-X127105266Y-117974592D01*
-X127095574Y-118073001D01*
-X127098002Y-118097654D01*
-X127098001Y-129575358D01*
-X127095574Y-129600001D01*
-X127098001Y-129624644D01*
-X127098001Y-129624653D01*
-X127105265Y-129698409D01*
-X127133970Y-129793036D01*
-X127180584Y-129880246D01*
-X127223000Y-129931929D01*
-X127223000Y-130076528D01*
-X127252859Y-130226643D01*
-X127311431Y-130368048D01*
-X127396464Y-130495309D01*
-X127504691Y-130603536D01*
-X127631952Y-130688569D01*
-X127773357Y-130747141D01*
-X127873000Y-130766961D01*
-X127873000Y-133000000D01*
-X127875440Y-133024776D01*
-X127882667Y-133048601D01*
-X127894403Y-133070557D01*
-X127910197Y-133089803D01*
-X127929443Y-133105597D01*
-X127951399Y-133117333D01*
-X127975224Y-133124560D01*
-X128000000Y-133127000D01*
-X128317065Y-133127000D01*
-X128527607Y-133337543D01*
-X128543316Y-133356684D01*
-X128562456Y-133372392D01*
-X128562464Y-133372400D01*
-X128619754Y-133419417D01*
-X128666368Y-133444332D01*
-X128706964Y-133466031D01*
-X128801591Y-133494736D01*
-X128875347Y-133502000D01*
-X128875357Y-133502000D01*
-X128900000Y-133504427D01*
-X128924643Y-133502000D01*
-X130992066Y-133502000D01*
-X131698001Y-134207936D01*
-X131698000Y-136003155D01*
-X131596464Y-136104691D01*
-X131511431Y-136231952D01*
-X131452859Y-136373357D01*
-X131423000Y-136523472D01*
-X131423000Y-136676528D01*
-X131452859Y-136826643D01*
-X131511431Y-136968048D01*
-X131596464Y-137095309D01*
-X131704691Y-137203536D01*
-X131831952Y-137288569D01*
-X131873000Y-137305572D01*
-X131873000Y-146873000D01*
-X110052606Y-146873000D01*
-X93127000Y-129947394D01*
-X93127000Y-124627000D01*
-X94750000Y-124627000D01*
-X94774776Y-124624560D01*
-X94798601Y-124617333D01*
-X94820557Y-124605597D01*
-X94839803Y-124589803D01*
-X94855597Y-124570557D01*
-X94867333Y-124548601D01*
-X94874560Y-124524776D01*
-X94877000Y-124500000D01*
-X94877000Y-95127000D01*
-X109355671Y-95127000D01*
-X109063539Y-95248005D01*
-M02*
+G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5)-3*
+G04 #@! TF.CreationDate,2020-05-02T20:20:03+02:00*
+G04 #@! TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,rev?*
+G04 #@! TF.SameCoordinates,Original*
+G04 #@! TF.FileFunction,Copper,L2,Bot*
+G04 #@! TF.FilePolarity,Positive*
+%FSLAX46Y46*%
+G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
+G04 Created by KiCad (PCBNEW (5.1.5)-3) date 2020-05-02 20:20:03*
+%MOMM*%
+%LPD*%
+G04 APERTURE LIST*
+%ADD10C,2.400000*%
+%ADD11R,2.400000X2.400000*%
+%ADD12C,2.600000*%
+%ADD13R,2.600000X2.600000*%
+%ADD14C,1.600000*%
+%ADD15R,1.600000X1.600000*%
+%ADD16C,2.000000*%
+%ADD17R,2.000000X2.000000*%
+%ADD18O,2.000000X2.600000*%
+%ADD19R,2.000000X2.600000*%
+%ADD20R,0.750000X1.200000*%
+%ADD21C,8.000000*%
+%ADD22R,1.700000X1.700000*%
+%ADD23O,1.700000X1.700000*%
+%ADD24C,1.500000*%
+%ADD25R,1.500000X1.500000*%
+%ADD26C,0.800000*%
+%ADD27C,1.200000*%
+%ADD28C,2.500000*%
+%ADD29C,0.250000*%
+%ADD30C,0.800000*%
+%ADD31C,0.500000*%
+%ADD32C,0.254000*%
+G04 APERTURE END LIST*
+D10*
+X79250000Y-77750000D03*
+D11*
+X79250000Y-72750000D03*
+D12*
+X75000000Y-105080000D03*
+X75000000Y-110080000D03*
+D13*
+X75000000Y-115080000D03*
+D12*
+X75000000Y-125080000D03*
+X75000000Y-130080000D03*
+D13*
+X75000000Y-135080000D03*
+D12*
+X165000000Y-134920000D03*
+X165000000Y-129920000D03*
+D13*
+X165000000Y-124920000D03*
+D12*
+X165000000Y-114840000D03*
+X165000000Y-109840000D03*
+D13*
+X165000000Y-104840000D03*
+D14*
+X109400000Y-68200000D03*
+D15*
+X109400000Y-66200000D03*
+D16*
+X75900000Y-87950000D03*
+D17*
+X80900000Y-87950000D03*
+D18*
+X155780000Y-77200000D03*
+X158320000Y-77200000D03*
+X160860000Y-77200000D03*
+D19*
+X163400000Y-77200000D03*
+D20*
+X117600000Y-75850000D03*
+X117600000Y-77750000D03*
+D21*
+X75000000Y-60000000D03*
+X165000000Y-60000000D03*
+X75000000Y-150000000D03*
+X165000000Y-150000000D03*
+D22*
+X116550000Y-66590000D03*
+D23*
+X116550000Y-64050000D03*
+X116550000Y-61510000D03*
+X116550000Y-58970000D03*
+D19*
+X87000000Y-61750000D03*
+D18*
+X89540000Y-61750000D03*
+D24*
+X155220000Y-69300000D03*
+X152930000Y-69300000D03*
+X143790000Y-69300000D03*
+X141500000Y-69300000D03*
+D16*
+X140230000Y-63590000D03*
+X156490000Y-63590000D03*
+D24*
+X151940000Y-64480000D03*
+X149900000Y-64480000D03*
+X147860000Y-64480000D03*
+X145820000Y-64480000D03*
+X150920000Y-62700000D03*
+X148880000Y-62700000D03*
+X146840000Y-62700000D03*
+D25*
+X144800000Y-62700000D03*
+X124950000Y-62700000D03*
+D24*
+X126990000Y-62700000D03*
+X129030000Y-62700000D03*
+X131070000Y-62700000D03*
+X125970000Y-64480000D03*
+X128010000Y-64480000D03*
+X130050000Y-64480000D03*
+X132090000Y-64480000D03*
+D16*
+X136640000Y-63590000D03*
+X120380000Y-63590000D03*
+D24*
+X121650000Y-69300000D03*
+X123940000Y-69300000D03*
+X133080000Y-69300000D03*
+X135370000Y-69300000D03*
+D26*
+X133500000Y-89900000D03*
+X129000000Y-89900000D03*
+X142500000Y-89900000D03*
+X156000000Y-89300000D03*
+X92000000Y-88100000D03*
+X134200000Y-149700000D03*
+X148300000Y-153700000D03*
+X146300000Y-152900000D03*
+X102600000Y-91000000D03*
+X98500000Y-87700000D03*
+X98500000Y-88600000D03*
+X96900000Y-86600000D03*
+X96000000Y-85900000D03*
+X161200000Y-91400000D03*
+X159800000Y-79800000D03*
+X129486456Y-79149988D03*
+X160800000Y-65025000D03*
+X122712660Y-79112660D03*
+X140300000Y-149900000D03*
+X165500000Y-82600000D03*
+X157100000Y-89300000D03*
+X123600000Y-78750000D03*
+X122100000Y-77000000D03*
+X121300000Y-77000000D03*
+X122100000Y-76200000D03*
+X121300000Y-76200000D03*
+X111600000Y-79300000D03*
+X110400000Y-77600000D03*
+X164400000Y-82600000D03*
+X165500000Y-83500000D03*
+X118750000Y-73100000D03*
+X118750000Y-74250000D03*
+X119750000Y-74250000D03*
+X91000000Y-89600000D03*
+D16*
+X91500000Y-145500000D03*
+X89000000Y-120500000D03*
+X89000000Y-118000000D03*
+X89000000Y-115500000D03*
+X89000000Y-113000000D03*
+X89000000Y-110500000D03*
+X89000000Y-108000000D03*
+X89000000Y-105500000D03*
+X89000000Y-100500000D03*
+X89000000Y-103000000D03*
+D26*
+X119450000Y-87200000D03*
+X137250000Y-84250000D03*
+X136100000Y-86300000D03*
+X114500000Y-87300000D03*
+X111400000Y-85700000D03*
+X115050000Y-90350000D03*
+X115700000Y-84500000D03*
+X116750000Y-84800000D03*
+X116300000Y-89050000D03*
+X145500000Y-78175000D03*
+X147350000Y-76350000D03*
+X152000000Y-81050000D03*
+X150000000Y-82250000D03*
+X139875000Y-78125000D03*
+X139700000Y-75650000D03*
+X140150000Y-80650000D03*
+X119650000Y-88100000D03*
+X150000000Y-84600000D03*
+X147900000Y-93600000D03*
+X148000000Y-87000000D03*
+X107050000Y-90750000D03*
+X105600000Y-93400000D03*
+X95450000Y-89000000D03*
+X89500000Y-82850000D03*
+X90600000Y-81700000D03*
+X91950000Y-79400000D03*
+X90800000Y-80400000D03*
+X96450000Y-81400000D03*
+X101050000Y-81350000D03*
+X102500000Y-69750000D03*
+X103850000Y-69200000D03*
+X101300000Y-66850000D03*
+X101300000Y-68100000D03*
+X101950000Y-65900000D03*
+X101700000Y-61650000D03*
+X100000000Y-64850000D03*
+X107900000Y-58950000D03*
+X107950000Y-59950000D03*
+X111050000Y-58300000D03*
+X112100000Y-58200000D03*
+X88000000Y-70850000D03*
+X86950000Y-70850000D03*
+X85900000Y-70850000D03*
+X85900000Y-71950000D03*
+X85900000Y-73050000D03*
+X85900000Y-74100000D03*
+X86950000Y-74100000D03*
+X88000000Y-74100000D03*
+X91950000Y-80400000D03*
+X89650000Y-80400000D03*
+X85400000Y-91450000D03*
+X114550000Y-72750000D03*
+X117000000Y-72350000D03*
+X113200000Y-59500000D03*
+X97750000Y-82700000D03*
+X123950000Y-73800000D03*
+X138900000Y-80650000D03*
+X147900000Y-89900000D03*
+X142900000Y-87200000D03*
+X110200000Y-82200000D03*
+X109000000Y-81100000D03*
+X107400000Y-82750000D03*
+X108600000Y-83850000D03*
+X112250000Y-84500000D03*
+X114500000Y-84500000D03*
+X116600000Y-82400000D03*
+X111400000Y-82200000D03*
+X104300000Y-81300000D03*
+X106000000Y-82600000D03*
+X113500000Y-81500000D03*
+X118300000Y-83300000D03*
+X125000000Y-85000000D03*
+X108600000Y-70800000D03*
+X108600000Y-72000000D03*
+X108600000Y-73300000D03*
+X147462653Y-148362653D03*
+X157200000Y-144624979D03*
+X88087339Y-150112659D03*
+X129600000Y-77300000D03*
+X117200000Y-79000000D03*
+X93000000Y-91000000D03*
+X98750000Y-86350000D03*
+X99000000Y-83100000D03*
+X111927814Y-88699945D03*
+X112000000Y-90599967D03*
+X119300000Y-82900000D03*
+X125117031Y-82546238D03*
+D16*
+X142000000Y-112000000D03*
+X142000000Y-109000000D03*
+X142000000Y-118000000D03*
+X142000000Y-115000000D03*
+X139000000Y-112000000D03*
+X139000000Y-115000000D03*
+X139000000Y-118000000D03*
+X133000000Y-118000000D03*
+X136000000Y-118000000D03*
+X136000000Y-115000000D03*
+X136000000Y-112000000D03*
+X139000000Y-109000000D03*
+X136000000Y-109000000D03*
+X136000000Y-109000000D03*
+D26*
+X131500000Y-111500000D03*
+X130500000Y-111500000D03*
+X129500000Y-111500000D03*
+X129500000Y-112500000D03*
+X129500000Y-113500000D03*
+X129500000Y-114500000D03*
+X129500000Y-115500000D03*
+X130500000Y-115500000D03*
+X131500000Y-115500000D03*
+X131500000Y-112500000D03*
+X130500000Y-112500000D03*
+X130500000Y-113500000D03*
+X131500000Y-114500000D03*
+X130500000Y-114500000D03*
+X131500000Y-113500000D03*
+X123300000Y-95700000D03*
+X130000000Y-124500000D03*
+X131000000Y-124500000D03*
+D16*
+X139000000Y-125000000D03*
+X139000000Y-128000000D03*
+X136000000Y-128000000D03*
+X136000000Y-125000000D03*
+X136000000Y-122000000D03*
+X139000000Y-122000000D03*
+X142000000Y-122000000D03*
+X142000000Y-125000000D03*
+X142000000Y-128000000D03*
+X142000000Y-131000000D03*
+X139000000Y-131000000D03*
+X136000000Y-131000000D03*
+X133000000Y-131000000D03*
+X130000000Y-131000000D03*
+D26*
+X132000000Y-128500000D03*
+X131000000Y-128500000D03*
+X130000000Y-128500000D03*
+X129000000Y-128500000D03*
+X129000000Y-127500000D03*
+X129000000Y-126500000D03*
+X129000000Y-125500000D03*
+X132000000Y-127500000D03*
+X132000000Y-124500000D03*
+X132000000Y-125500000D03*
+X132000000Y-126500000D03*
+X131000000Y-125500000D03*
+X130000000Y-125500000D03*
+X130000000Y-126500000D03*
+X130000000Y-127500000D03*
+X131000000Y-127500000D03*
+X131000000Y-126500000D03*
+X136900000Y-97000000D03*
+D16*
+X118000000Y-96500000D03*
+D26*
+X131000000Y-139000000D03*
+X130000000Y-139000000D03*
+D16*
+X100000000Y-134000000D03*
+X102000000Y-136000000D03*
+X105000000Y-135000000D03*
+X106000000Y-132000000D03*
+X109000000Y-131000000D03*
+X114000000Y-132000000D03*
+X112000000Y-130000000D03*
+X101000000Y-131000000D03*
+X103000000Y-133000000D03*
+X100000000Y-126000000D03*
+X108000000Y-134000000D03*
+X107000000Y-129000000D03*
+X111000000Y-133000000D03*
+X94000000Y-128000000D03*
+X98000000Y-132000000D03*
+X96000000Y-130000000D03*
+X104000000Y-130000000D03*
+X97000000Y-127000000D03*
+X102000000Y-128000000D03*
+X99000000Y-129000000D03*
+X115000000Y-145000000D03*
+X115000000Y-142000000D03*
+X115000000Y-139000000D03*
+X115000000Y-136000000D03*
+X127000000Y-145000000D03*
+X124000000Y-145000000D03*
+X118000000Y-145000000D03*
+X121000000Y-145000000D03*
+X121000000Y-142000000D03*
+X118000000Y-142000000D03*
+X118000000Y-139000000D03*
+X121000000Y-139000000D03*
+X118000000Y-136000000D03*
+X121000000Y-136000000D03*
+X124000000Y-136000000D03*
+X127000000Y-136000000D03*
+X124000000Y-102000000D03*
+X124000000Y-99000000D03*
+X121000000Y-99000000D03*
+X121000000Y-102000000D03*
+X124000000Y-105000000D03*
+X121000000Y-105000000D03*
+X118000000Y-105000000D03*
+X118000000Y-102000000D03*
+X118000000Y-99000000D03*
+D26*
+X131000000Y-98000000D03*
+X130000000Y-98000000D03*
+X129000000Y-98000000D03*
+X128000000Y-98000000D03*
+X128000000Y-99000000D03*
+X128000000Y-100000000D03*
+X128000000Y-101000000D03*
+X128000000Y-102000000D03*
+X129000000Y-102000000D03*
+X130000000Y-102000000D03*
+X131000000Y-102000000D03*
+X131000000Y-101000000D03*
+X131000000Y-100000000D03*
+X131000000Y-99000000D03*
+X130000000Y-99000000D03*
+X129000000Y-99000000D03*
+X129000000Y-100000000D03*
+X129000000Y-101000000D03*
+X130000000Y-101000000D03*
+X130000000Y-100000000D03*
+X128000000Y-138000000D03*
+X128000000Y-139000000D03*
+X128000000Y-140000000D03*
+X128000000Y-141000000D03*
+X128000000Y-142000000D03*
+X129000000Y-142000000D03*
+X130000000Y-142000000D03*
+X131000000Y-142000000D03*
+X131000000Y-141000000D03*
+X131000000Y-140000000D03*
+X131000000Y-138000000D03*
+X130000000Y-138000000D03*
+X129000000Y-138000000D03*
+X129000000Y-139000000D03*
+X129000000Y-140000000D03*
+X129000000Y-141000000D03*
+X130000000Y-141000000D03*
+X130000000Y-140000000D03*
+X127150000Y-131800000D03*
+X140200002Y-95100000D03*
+X124649979Y-116725000D03*
+X124300000Y-94500000D03*
+X126875000Y-130050000D03*
+X136780253Y-94499989D03*
+X121525000Y-84000000D03*
+X124191433Y-82937664D03*
+X116900000Y-81000000D03*
+X131000000Y-80650000D03*
+X117700000Y-82400000D03*
+X129745454Y-81050014D03*
+X138500000Y-69100000D03*
+X135406139Y-65086186D03*
+X137500000Y-69000000D03*
+X135000000Y-66000000D03*
+X108900000Y-90300000D03*
+X162600000Y-93200000D03*
+X130975000Y-120625000D03*
+X108712653Y-89087347D03*
+X109900000Y-90374989D03*
+X162725010Y-92200000D03*
+X166500000Y-94800000D03*
+X117187342Y-80012661D03*
+X131009853Y-79650037D03*
+X106837347Y-89262653D03*
+X154208811Y-91464965D03*
+X126800000Y-152200000D03*
+X168750000Y-91050000D03*
+X146280875Y-150685242D03*
+X87900000Y-152300000D03*
+X144830864Y-150830865D03*
+X82300000Y-149075000D03*
+X127600000Y-151400000D03*
+X167400000Y-93600000D03*
+X127880000Y-85980000D03*
+X141455000Y-85805000D03*
+X105800000Y-79500000D03*
+D27*
+X75000000Y-116000000D02*
+X71700000Y-119300000D01*
+X75000000Y-115080000D02*
+X75000000Y-116000000D01*
+X71700000Y-119300000D02*
+X71700000Y-143800000D01*
+D28*
+X100750000Y-96250000D02*
+X102000000Y-95000000D01*
+X102000000Y-95000000D02*
+X102000000Y-91750000D01*
+X92750000Y-96250000D02*
+X100750000Y-96250000D01*
+X89000000Y-100500000D02*
+X89000000Y-100000000D01*
+X89000000Y-100000000D02*
+X92750000Y-96250000D01*
+D29*
+X145555865Y-149844135D02*
+X147400000Y-148000000D01*
+X147400000Y-148000000D02*
+X147400000Y-148300000D01*
+X147400000Y-148300000D02*
+X147462653Y-148362653D01*
+X145555865Y-151178866D02*
+X145555865Y-149844135D01*
+X142784698Y-153950033D02*
+X145555865Y-151178866D01*
+X88087339Y-150112659D02*
+X91924713Y-153950033D01*
+X91924713Y-153950033D02*
+X142784698Y-153950033D01*
+D30*
+X129600000Y-77300000D02*
+X126774999Y-80125001D01*
+X126774999Y-80125001D02*
+X118890686Y-80125001D01*
+X118890686Y-80125001D02*
+X117765685Y-79000000D01*
+X117765685Y-79000000D02*
+X117200000Y-79000000D01*
+D31*
+X117600000Y-77750000D02*
+X117600000Y-78600000D01*
+X117600000Y-78600000D02*
+X117200000Y-79000000D01*
+D30*
+X93399999Y-91399999D02*
+X98900001Y-91399999D01*
+X93000000Y-91000000D02*
+X93399999Y-91399999D01*
+X99500001Y-90799999D02*
+X99500001Y-87100001D01*
+X98900001Y-91399999D02*
+X99500001Y-90799999D01*
+X99500001Y-87100001D02*
+X98750000Y-86350000D01*
+X109790000Y-66590000D02*
+X109400000Y-66200000D01*
+X116550000Y-66590000D02*
+X109790000Y-66590000D01*
+X98750000Y-83350000D02*
+X99000000Y-83100000D01*
+X98750000Y-86350000D02*
+X98750000Y-83350000D01*
+D29*
+X154200000Y-147100000D02*
+X156675021Y-144624979D01*
+X147400000Y-148000000D02*
+X148300000Y-147100000D01*
+X156675021Y-144624979D02*
+X157200000Y-144624979D01*
+X148300000Y-147100000D02*
+X154200000Y-147100000D01*
+X112000000Y-88772131D02*
+X111927814Y-88699945D01*
+X112000000Y-90599967D02*
+X112000000Y-88772131D01*
+X124777583Y-82206790D02*
+X125117031Y-82546238D01*
+X119300000Y-82900000D02*
+X119993210Y-82206790D01*
+X119993210Y-82206790D02*
+X124777583Y-82206790D01*
+D31*
+X141450003Y-97849997D02*
+X141100000Y-98200000D01*
+X141450003Y-93950003D02*
+X141450003Y-97849997D01*
+X123300000Y-95700000D02*
+X122900001Y-95300001D01*
+X122900001Y-94049997D02*
+X124149998Y-92800000D01*
+X122900001Y-95300001D02*
+X122900001Y-94049997D01*
+X124149998Y-92800000D02*
+X140300000Y-92800000D01*
+X140300000Y-92800000D02*
+X141450003Y-93950003D01*
+X131511776Y-108000000D02*
+X131505888Y-108005888D01*
+X135700000Y-105816951D02*
+X135700000Y-98200000D01*
+X131511776Y-108000000D02*
+X133516951Y-108000000D01*
+X135700000Y-98200000D02*
+X136900000Y-97000000D01*
+X133516951Y-108000000D02*
+X135700000Y-105816951D01*
+X129600001Y-124100001D02*
+X130000000Y-124500000D01*
+X129800000Y-108000000D02*
+X128249999Y-109550001D01*
+X131511776Y-108000000D02*
+X129800000Y-108000000D01*
+X128249999Y-109550001D02*
+X128249999Y-119849999D01*
+X128249999Y-119849999D02*
+X129600001Y-121200001D01*
+X129600001Y-121200001D02*
+X129600001Y-124100001D01*
+X139550004Y-95749998D02*
+X136300000Y-95749998D01*
+X133061311Y-106899990D02*
+X129344360Y-106899990D01*
+X129344360Y-106899990D02*
+X127000000Y-109244350D01*
+X127000000Y-109244350D02*
+X127000000Y-128324998D01*
+X128125001Y-130824999D02*
+X127549999Y-131400001D01*
+X128125001Y-129449999D02*
+X128125001Y-130824999D01*
+X136300000Y-95749998D02*
+X134450023Y-97599975D01*
+X127549999Y-131400001D02*
+X127150000Y-131800000D01*
+X127000000Y-128324998D02*
+X128125001Y-129449999D01*
+X134450023Y-105511278D02*
+X133061311Y-106899990D01*
+X134450023Y-97599975D02*
+X134450023Y-105511278D01*
+X140200002Y-95100000D02*
+X139550004Y-95749998D01*
+X124865685Y-94500000D02*
+X124300000Y-94500000D01*
+X131300000Y-94500000D02*
+X124865685Y-94500000D01*
+X124649979Y-108483071D02*
+X128533050Y-104600000D01*
+X124649979Y-116725000D02*
+X124649979Y-108483071D01*
+X128533050Y-104600000D02*
+X131900000Y-104600000D01*
+X132250001Y-95450001D02*
+X131300000Y-94500000D01*
+X131900000Y-104600000D02*
+X132250001Y-104249999D01*
+X132250001Y-104249999D02*
+X132250001Y-95450001D01*
+X132705640Y-105700011D02*
+X133350012Y-105055639D01*
+X126875000Y-130050000D02*
+X125899989Y-129074989D01*
+X136214568Y-94499989D02*
+X136780253Y-94499989D01*
+X128988689Y-105700011D02*
+X132705640Y-105700011D01*
+X125899989Y-108788711D02*
+X128988689Y-105700011D01*
+X133350012Y-97144336D02*
+X135994359Y-94499989D01*
+X125899989Y-129074989D02*
+X125899989Y-108788711D01*
+X135994359Y-94499989D02*
+X136214568Y-94499989D01*
+X133350012Y-105055639D02*
+X133350012Y-97144336D01*
+D29*
+X121525000Y-84000000D02*
+X122775000Y-82750000D01*
+X122775000Y-82750000D02*
+X124125000Y-82750000D01*
+X124125000Y-82750000D02*
+X124125000Y-82871231D01*
+X124125000Y-82871231D02*
+X124191433Y-82937664D01*
+X128461389Y-81300022D02*
+X129436412Y-80324999D01*
+X130109314Y-80324999D02*
+X130434315Y-80650000D01*
+X129436412Y-80324999D02*
+X130109314Y-80324999D01*
+X117765707Y-81300022D02*
+X128461389Y-81300022D01*
+X116900000Y-81000000D02*
+X117465685Y-81000000D01*
+X117465685Y-81000000D02*
+X117765707Y-81300022D01*
+X130434315Y-80650000D02*
+X131000000Y-80650000D01*
+X129045431Y-81750033D02*
+X129745454Y-81050010D01*
+X118349967Y-81750033D02*
+X129045431Y-81750033D01*
+X117700000Y-82400000D02*
+X118349967Y-81750033D01*
+X129745454Y-81050010D02*
+X129745454Y-81050014D01*
+D31*
+X138500000Y-69100000D02*
+X138500000Y-68180047D01*
+X138500000Y-68180047D02*
+X135406139Y-65086186D01*
+X137500000Y-68500000D02*
+X135000000Y-66000000D01*
+X137500000Y-69000000D02*
+X137500000Y-68500000D01*
+X144800000Y-62700000D02*
+X144800000Y-63460000D01*
+X144800000Y-63460000D02*
+X145820000Y-64480000D01*
+X124950000Y-62700000D02*
+X124950000Y-63460000D01*
+X124950000Y-63460000D02*
+X125970000Y-64480000D01*
+D30*
+X144800000Y-61150000D02*
+X144800000Y-62700000D01*
+X124950000Y-61150000D02*
+X128800000Y-57300000D01*
+X124950000Y-62700000D02*
+X124950000Y-61150000D01*
+X128800000Y-57300000D02*
+X143500000Y-57300000D01*
+X143500000Y-57300000D02*
+X144800000Y-58600000D01*
+X144800000Y-58600000D02*
+X144800000Y-61150000D01*
+D29*
+X131374999Y-120225001D02*
+X130975000Y-120625000D01*
+X163650000Y-93200000D02*
+X167700000Y-97250000D01*
+X167700000Y-97250000D02*
+X167700000Y-119700000D01*
+X162600000Y-93200000D02*
+X163650000Y-93200000D01*
+X167700000Y-119700000D02*
+X167174999Y-120225001D01*
+X167174999Y-120225001D02*
+X131374999Y-120225001D01*
+X162200001Y-92800001D02*
+X162600000Y-93200000D01*
+X162050011Y-92650011D02*
+X162200001Y-92800001D01*
+X153732126Y-92650011D02*
+X162050011Y-92650011D01*
+X150182115Y-89100000D02*
+X153732126Y-92650011D01*
+X110063601Y-91175000D02*
+X112088623Y-93200022D01*
+X121326976Y-93200022D02*
+X125426998Y-89100000D01*
+X108900000Y-90300000D02*
+X108900000Y-90865685D01*
+X108900000Y-90865685D02*
+X109209315Y-91175000D01*
+X109209315Y-91175000D02*
+X110063601Y-91175000D01*
+X112088623Y-93200022D02*
+X121326976Y-93200022D01*
+X125426998Y-89100000D02*
+X150182115Y-89100000D01*
+X108712653Y-89087347D02*
+X109900000Y-90274694D01*
+X109900000Y-90274694D02*
+X109900000Y-90374989D01*
+X162200000Y-92200000D02*
+X162725010Y-92200000D01*
+X163600000Y-92200000D02*
+X162200000Y-92200000D01*
+X163900000Y-92200000D02*
+X163600000Y-92200000D01*
+X166500000Y-94800000D02*
+X163900000Y-92200000D01*
+X109900000Y-90374989D02*
+X112275022Y-92750011D01*
+X125240598Y-88649989D02*
+X150368515Y-88649989D01*
+X153918526Y-92200000D02*
+X162200000Y-92200000D01*
+X150368515Y-88649989D02*
+X153918526Y-92200000D01*
+X121140576Y-92750011D02*
+X125240598Y-88649989D01*
+X112275022Y-92750011D02*
+X121140576Y-92750011D01*
+X117187342Y-80012661D02*
+X118024692Y-80850011D01*
+X129200758Y-79874989D02*
+X130219216Y-79874989D01*
+X118024692Y-80850011D02*
+X128225736Y-80850011D01*
+X128225736Y-80850011D02*
+X129200758Y-79874989D01*
+X130444168Y-79650037D02*
+X131009853Y-79650037D01*
+X130219216Y-79874989D02*
+X130444168Y-79650037D01*
+X120954176Y-92300000D02*
+X125054198Y-88199978D01*
+X110625001Y-89926693D02*
+X110625001Y-90425001D01*
+X110625001Y-90425001D02*
+X112500000Y-92300000D01*
+X150943824Y-88199978D02*
+X153808812Y-91064966D01*
+X125054198Y-88199978D02*
+X150943824Y-88199978D01*
+X153808812Y-91064966D02*
+X154208811Y-91464965D01*
+X107737654Y-88362346D02*
+X109060654Y-88362346D01*
+X112500000Y-92300000D02*
+X120954176Y-92300000D01*
+X109060654Y-88362346D02*
+X110625001Y-89926693D01*
+X106837347Y-89262653D02*
+X107737654Y-88362346D01*
+X168750000Y-91050000D02*
+X168750000Y-142450000D01*
+X157100023Y-143899977D02*
+X156550023Y-143899977D01*
+X157100023Y-143899977D02*
+X167486435Y-143899975D01*
+X167486435Y-143899975D02*
+X168750000Y-142636410D01*
+X168750000Y-142636410D02*
+X168750000Y-142450000D01*
+X156550023Y-143899977D02*
+X153850000Y-146600000D01*
+X141586400Y-153050011D02*
+X127650011Y-153050011D01*
+X127650011Y-153050011D02*
+X126800000Y-152200000D01*
+X153850000Y-146600000D02*
+X148036412Y-146600000D01*
+X148036412Y-146600000D02*
+X141586400Y-153050011D01*
+X146280875Y-151250927D02*
+X146280875Y-150685242D01*
+X87900000Y-152300000D02*
+X90000044Y-154400044D01*
+X143131758Y-154400044D02*
+X146280875Y-151250927D01*
+X90000044Y-154400044D02*
+X143131758Y-154400044D01*
+X144830864Y-150830865D02*
+X142161707Y-153500022D01*
+X142161707Y-153500022D02*
+X92500022Y-153500022D01*
+X88075000Y-149075000D02*
+X82300000Y-149075000D01*
+X92500022Y-153500022D02*
+X88075000Y-149075000D01*
+X127600000Y-151400000D02*
+X128800000Y-152600000D01*
+X128800000Y-152600000D02*
+X141400000Y-152600000D01*
+X156363624Y-143449966D02*
+X167300034Y-143449966D01*
+X141400000Y-152600000D02*
+X147850012Y-146149990D01*
+X167799999Y-93999999D02*
+X167400000Y-93600000D01*
+X147850012Y-146149990D02*
+X153663600Y-146149990D01*
+X153663600Y-146149990D02*
+X156363624Y-143449966D01*
+X167300034Y-143449966D02*
+X168249989Y-142500011D01*
+X168249989Y-142500011D02*
+X168249989Y-94449989D01*
+X168249989Y-94449989D02*
+X167799999Y-93999999D01*
+D30*
+X132500000Y-85000000D02*
+X128860000Y-85000000D01*
+X141455000Y-85805000D02*
+X138900000Y-83250000D01*
+X134250000Y-83250000D02*
+X132500000Y-85000000D01*
+X138900000Y-83250000D02*
+X134250000Y-83250000D01*
+X128860000Y-85000000D02*
+X128500000Y-85000000D01*
+X127750000Y-85000000D02*
+X128500000Y-85000000D01*
+X127880000Y-85980000D02*
+X127880000Y-85130000D01*
+X127880000Y-85130000D02*
+X127750000Y-85000000D01*
+X126900000Y-85000000D02*
+X127750000Y-85000000D01*
+X123250000Y-84000000D02*
+X125900000Y-84000000D01*
+X109700000Y-83400000D02*
+X116919998Y-83400000D01*
+X116919998Y-83400000D02*
+X118769998Y-85250000D01*
+X125900000Y-84000000D02*
+X126900000Y-85000000D01*
+X122000000Y-85250000D02*
+X123250000Y-84000000D01*
+X105800000Y-79500000D02*
+X109700000Y-83400000D01*
+X118769998Y-85250000D02*
+X122000000Y-85250000D01*
+D32*
+G36*
+X92873000Y-123873000D02*
+G01*
+X87052606Y-123873000D01*
+X83089803Y-119910197D01*
+X83070557Y-119894403D01*
+X83048601Y-119882667D01*
+X83024776Y-119875440D01*
+X83000000Y-119873000D01*
+X70802000Y-119873000D01*
+X70802000Y-95127000D01*
+X92873000Y-95127000D01*
+X92873000Y-123873000D01*
+G37*
+X92873000Y-123873000D02*
+X87052606Y-123873000D01*
+X83089803Y-119910197D01*
+X83070557Y-119894403D01*
+X83048601Y-119882667D01*
+X83024776Y-119875440D01*
+X83000000Y-119873000D01*
+X70802000Y-119873000D01*
+X70802000Y-95127000D01*
+X92873000Y-95127000D01*
+X92873000Y-123873000D01*
+G36*
+X86485933Y-124514067D02*
+G01*
+X86596100Y-124604478D01*
+X86721789Y-124671660D01*
+X86858169Y-124713031D01*
+X87000000Y-124727000D01*
+X92873000Y-124727000D01*
+X92873000Y-130000000D01*
+X92875440Y-130024776D01*
+X92882667Y-130048601D01*
+X92894403Y-130070557D01*
+X92910197Y-130089803D01*
+X109910197Y-147089803D01*
+X109929443Y-147105597D01*
+X109951399Y-147117333D01*
+X109975224Y-147124560D01*
+X110000000Y-147127000D01*
+X131693394Y-147127000D01*
+X129947394Y-148873000D01*
+X112045621Y-148873000D01*
+X111807499Y-148516624D01*
+X111483376Y-148192501D01*
+X111102248Y-147937840D01*
+X110678761Y-147762426D01*
+X110229189Y-147673000D01*
+X109770811Y-147673000D01*
+X109321239Y-147762426D01*
+X108897752Y-147937840D01*
+X108516624Y-148192501D01*
+X108192501Y-148516624D01*
+X107954379Y-148873000D01*
+X99052606Y-148873000D01*
+X93089803Y-142910197D01*
+X93070557Y-142894403D01*
+X93048601Y-142882667D01*
+X93024776Y-142875440D01*
+X93000000Y-142873000D01*
+X73027000Y-142873000D01*
+X73027000Y-120727000D01*
+X82698866Y-120727000D01*
+X86485933Y-124514067D01*
+G37*
+X86485933Y-124514067D02*
+X86596100Y-124604478D01*
+X86721789Y-124671660D01*
+X86858169Y-124713031D01*
+X87000000Y-124727000D01*
+X92873000Y-124727000D01*
+X92873000Y-130000000D01*
+X92875440Y-130024776D01*
+X92882667Y-130048601D01*
+X92894403Y-130070557D01*
+X92910197Y-130089803D01*
+X109910197Y-147089803D01*
+X109929443Y-147105597D01*
+X109951399Y-147117333D01*
+X109975224Y-147124560D01*
+X110000000Y-147127000D01*
+X131693394Y-147127000D01*
+X129947394Y-148873000D01*
+X112045621Y-148873000D01*
+X111807499Y-148516624D01*
+X111483376Y-148192501D01*
+X111102248Y-147937840D01*
+X110678761Y-147762426D01*
+X110229189Y-147673000D01*
+X109770811Y-147673000D01*
+X109321239Y-147762426D01*
+X108897752Y-147937840D01*
+X108516624Y-148192501D01*
+X108192501Y-148516624D01*
+X107954379Y-148873000D01*
+X99052606Y-148873000D01*
+X93089803Y-142910197D01*
+X93070557Y-142894403D01*
+X93048601Y-142882667D01*
+X93024776Y-142875440D01*
+X93000000Y-142873000D01*
+X73027000Y-142873000D01*
+X73027000Y-120727000D01*
+X82698866Y-120727000D01*
+X86485933Y-124514067D01*
+G36*
+X169548000Y-144873000D02*
+G01*
+X160000000Y-144873000D01*
+X159975224Y-144875440D01*
+X159951399Y-144882667D01*
+X159929443Y-144894403D01*
+X159910197Y-144910197D01*
+X159894403Y-144929443D01*
+X159882667Y-144951399D01*
+X159875440Y-144975224D01*
+X159873000Y-145000000D01*
+X159873000Y-154548000D01*
+X143693736Y-154548000D01*
+X146618413Y-151623324D01*
+X146637559Y-151607611D01*
+X146700292Y-151531172D01*
+X146746906Y-151443963D01*
+X146775611Y-151349336D01*
+X146782164Y-151282798D01*
+X146884411Y-151180551D01*
+X146969444Y-151053290D01*
+X147028016Y-150911885D01*
+X147057875Y-150761770D01*
+X147057875Y-150608714D01*
+X147028016Y-150458599D01*
+X146969444Y-150317194D01*
+X146884411Y-150189933D01*
+X146776184Y-150081706D01*
+X146648923Y-149996673D01*
+X146507518Y-149938101D01*
+X146357403Y-149908242D01*
+X146204347Y-149908242D01*
+X146201033Y-149908901D01*
+X146304652Y-149805282D01*
+X151023000Y-149805282D01*
+X151023000Y-150194718D01*
+X151098975Y-150576670D01*
+X151248005Y-150936461D01*
+X151464364Y-151260264D01*
+X151739736Y-151535636D01*
+X152063539Y-151751995D01*
+X152423330Y-151901025D01*
+X152805282Y-151977000D01*
+X153194718Y-151977000D01*
+X153576670Y-151901025D01*
+X153936461Y-151751995D01*
+X154260264Y-151535636D01*
+X154535636Y-151260264D01*
+X154751995Y-150936461D01*
+X154901025Y-150576670D01*
+X154977000Y-150194718D01*
+X154977000Y-149805282D01*
+X154901025Y-149423330D01*
+X154751995Y-149063539D01*
+X154535636Y-148739736D01*
+X154260264Y-148464364D01*
+X153936461Y-148248005D01*
+X153576670Y-148098975D01*
+X153194718Y-148023000D01*
+X152805282Y-148023000D01*
+X152423330Y-148098975D01*
+X152063539Y-148248005D01*
+X151739736Y-148464364D01*
+X151464364Y-148739736D01*
+X151248005Y-149063539D01*
+X151098975Y-149423330D01*
+X151023000Y-149805282D01*
+X146304652Y-149805282D01*
+X147073089Y-149036846D01*
+X147094605Y-149051222D01*
+X147236010Y-149109794D01*
+X147386125Y-149139653D01*
+X147539181Y-149139653D01*
+X147689296Y-149109794D01*
+X147830701Y-149051222D01*
+X147957962Y-148966189D01*
+X148066189Y-148857962D01*
+X148151222Y-148730701D01*
+X148209794Y-148589296D01*
+X148239653Y-148439181D01*
+X148239653Y-148286125D01*
+X148209794Y-148136010D01*
+X148151222Y-147994605D01*
+X148136845Y-147973089D01*
+X148382935Y-147727000D01*
+X154000000Y-147727000D01*
+X154141831Y-147713031D01*
+X154278211Y-147671660D01*
+X154403900Y-147604478D01*
+X154514067Y-147514067D01*
+X156761596Y-145266538D01*
+X156831952Y-145313548D01*
+X156973357Y-145372120D01*
+X157123472Y-145401979D01*
+X157276528Y-145401979D01*
+X157426643Y-145372120D01*
+X157568048Y-145313548D01*
+X157695309Y-145228515D01*
+X157803536Y-145120288D01*
+X157888569Y-144993027D01*
+X157947141Y-144851622D01*
+X157977000Y-144701507D01*
+X157977000Y-144548451D01*
+X157947865Y-144401977D01*
+X167461782Y-144401974D01*
+X167486435Y-144404402D01*
+X167584844Y-144394710D01*
+X167679471Y-144366005D01*
+X167766680Y-144319391D01*
+X167823970Y-144272374D01*
+X167823973Y-144272371D01*
+X167843119Y-144256658D01*
+X167858832Y-144237512D01*
+X168369345Y-143727000D01*
+X169548000Y-143727000D01*
+X169548000Y-144873000D01*
+G37*
+X169548000Y-144873000D02*
+X160000000Y-144873000D01*
+X159975224Y-144875440D01*
+X159951399Y-144882667D01*
+X159929443Y-144894403D01*
+X159910197Y-144910197D01*
+X159894403Y-144929443D01*
+X159882667Y-144951399D01*
+X159875440Y-144975224D01*
+X159873000Y-145000000D01*
+X159873000Y-154548000D01*
+X143693736Y-154548000D01*
+X146618413Y-151623324D01*
+X146637559Y-151607611D01*
+X146700292Y-151531172D01*
+X146746906Y-151443963D01*
+X146775611Y-151349336D01*
+X146782164Y-151282798D01*
+X146884411Y-151180551D01*
+X146969444Y-151053290D01*
+X147028016Y-150911885D01*
+X147057875Y-150761770D01*
+X147057875Y-150608714D01*
+X147028016Y-150458599D01*
+X146969444Y-150317194D01*
+X146884411Y-150189933D01*
+X146776184Y-150081706D01*
+X146648923Y-149996673D01*
+X146507518Y-149938101D01*
+X146357403Y-149908242D01*
+X146204347Y-149908242D01*
+X146201033Y-149908901D01*
+X146304652Y-149805282D01*
+X151023000Y-149805282D01*
+X151023000Y-150194718D01*
+X151098975Y-150576670D01*
+X151248005Y-150936461D01*
+X151464364Y-151260264D01*
+X151739736Y-151535636D01*
+X152063539Y-151751995D01*
+X152423330Y-151901025D01*
+X152805282Y-151977000D01*
+X153194718Y-151977000D01*
+X153576670Y-151901025D01*
+X153936461Y-151751995D01*
+X154260264Y-151535636D01*
+X154535636Y-151260264D01*
+X154751995Y-150936461D01*
+X154901025Y-150576670D01*
+X154977000Y-150194718D01*
+X154977000Y-149805282D01*
+X154901025Y-149423330D01*
+X154751995Y-149063539D01*
+X154535636Y-148739736D01*
+X154260264Y-148464364D01*
+X153936461Y-148248005D01*
+X153576670Y-148098975D01*
+X153194718Y-148023000D01*
+X152805282Y-148023000D01*
+X152423330Y-148098975D01*
+X152063539Y-148248005D01*
+X151739736Y-148464364D01*
+X151464364Y-148739736D01*
+X151248005Y-149063539D01*
+X151098975Y-149423330D01*
+X151023000Y-149805282D01*
+X146304652Y-149805282D01*
+X147073089Y-149036846D01*
+X147094605Y-149051222D01*
+X147236010Y-149109794D01*
+X147386125Y-149139653D01*
+X147539181Y-149139653D01*
+X147689296Y-149109794D01*
+X147830701Y-149051222D01*
+X147957962Y-148966189D01*
+X148066189Y-148857962D01*
+X148151222Y-148730701D01*
+X148209794Y-148589296D01*
+X148239653Y-148439181D01*
+X148239653Y-148286125D01*
+X148209794Y-148136010D01*
+X148151222Y-147994605D01*
+X148136845Y-147973089D01*
+X148382935Y-147727000D01*
+X154000000Y-147727000D01*
+X154141831Y-147713031D01*
+X154278211Y-147671660D01*
+X154403900Y-147604478D01*
+X154514067Y-147514067D01*
+X156761596Y-145266538D01*
+X156831952Y-145313548D01*
+X156973357Y-145372120D01*
+X157123472Y-145401979D01*
+X157276528Y-145401979D01*
+X157426643Y-145372120D01*
+X157568048Y-145313548D01*
+X157695309Y-145228515D01*
+X157803536Y-145120288D01*
+X157888569Y-144993027D01*
+X157947141Y-144851622D01*
+X157977000Y-144701507D01*
+X157977000Y-144548451D01*
+X157947865Y-144401977D01*
+X167461782Y-144401974D01*
+X167486435Y-144404402D01*
+X167584844Y-144394710D01*
+X167679471Y-144366005D01*
+X167766680Y-144319391D01*
+X167823970Y-144272374D01*
+X167823973Y-144272371D01*
+X167843119Y-144256658D01*
+X167858832Y-144237512D01*
+X168369345Y-143727000D01*
+X169548000Y-143727000D01*
+X169548000Y-144873000D01*
+G36*
+X98485933Y-149514067D02*
+G01*
+X98596100Y-149604478D01*
+X98721789Y-149671660D01*
+X98858169Y-149713031D01*
+X99000000Y-149727000D01*
+X108038571Y-149727000D01*
+X108023000Y-149805282D01*
+X108023000Y-150194718D01*
+X108098975Y-150576670D01*
+X108248005Y-150936461D01*
+X108464364Y-151260264D01*
+X108739736Y-151535636D01*
+X109063539Y-151751995D01*
+X109423330Y-151901025D01*
+X109805282Y-151977000D01*
+X110194718Y-151977000D01*
+X110576670Y-151901025D01*
+X110936461Y-151751995D01*
+X111260264Y-151535636D01*
+X111535636Y-151260264D01*
+X111751995Y-150936461D01*
+X111901025Y-150576670D01*
+X111977000Y-150194718D01*
+X111977000Y-149805282D01*
+X111961429Y-149727000D01*
+X130000000Y-149727000D01*
+X130141831Y-149713031D01*
+X130278211Y-149671660D01*
+X130403900Y-149604478D01*
+X130514067Y-149514067D01*
+X132301134Y-147727000D01*
+X145563067Y-147727000D01*
+X141192066Y-152098000D01*
+X129007935Y-152098000D01*
+X128377000Y-151467066D01*
+X128377000Y-151323472D01*
+X128347141Y-151173357D01*
+X128288569Y-151031952D01*
+X128203536Y-150904691D01*
+X128095309Y-150796464D01*
+X127968048Y-150711431D01*
+X127826643Y-150652859D01*
+X127676528Y-150623000D01*
+X127523472Y-150623000D01*
+X127373357Y-150652859D01*
+X127231952Y-150711431D01*
+X127104691Y-150796464D01*
+X126996464Y-150904691D01*
+X126911431Y-151031952D01*
+X126852859Y-151173357D01*
+X126823000Y-151323472D01*
+X126823000Y-151423000D01*
+X126723472Y-151423000D01*
+X126573357Y-151452859D01*
+X126431952Y-151511431D01*
+X126304691Y-151596464D01*
+X126196464Y-151704691D01*
+X126111431Y-151831952D01*
+X126052859Y-151973357D01*
+X126023000Y-152123472D01*
+X126023000Y-152276528D01*
+X126052859Y-152426643D01*
+X126111431Y-152568048D01*
+X126196464Y-152695309D01*
+X126304691Y-152803536D01*
+X126431952Y-152888569D01*
+X126573357Y-152947141D01*
+X126723472Y-152977000D01*
+X126867066Y-152977000D01*
+X126888087Y-152998022D01*
+X92707957Y-152998022D01*
+X88447402Y-148737468D01*
+X88431684Y-148718316D01*
+X88355245Y-148655583D01*
+X88268036Y-148608969D01*
+X88173409Y-148580264D01*
+X88099653Y-148573000D01*
+X88099643Y-148573000D01*
+X88075000Y-148570573D01*
+X88050357Y-148573000D01*
+X82896845Y-148573000D01*
+X82795309Y-148471464D01*
+X82668048Y-148386431D01*
+X82526643Y-148327859D01*
+X82376528Y-148298000D01*
+X82223472Y-148298000D01*
+X82073357Y-148327859D01*
+X81931952Y-148386431D01*
+X81804691Y-148471464D01*
+X81696464Y-148579691D01*
+X81611431Y-148706952D01*
+X81552859Y-148848357D01*
+X81523000Y-148998472D01*
+X81523000Y-149151528D01*
+X81552859Y-149301643D01*
+X81611431Y-149443048D01*
+X81696464Y-149570309D01*
+X81804691Y-149678536D01*
+X81931952Y-149763569D01*
+X82073357Y-149822141D01*
+X82223472Y-149852000D01*
+X82376528Y-149852000D01*
+X82526643Y-149822141D01*
+X82668048Y-149763569D01*
+X82795309Y-149678536D01*
+X82896845Y-149577000D01*
+X87524153Y-149577000D01*
+X87483803Y-149617350D01*
+X87398770Y-149744611D01*
+X87340198Y-149886016D01*
+X87310339Y-150036131D01*
+X87310339Y-150189187D01*
+X87340198Y-150339302D01*
+X87398770Y-150480707D01*
+X87483803Y-150607968D01*
+X87592030Y-150716195D01*
+X87719291Y-150801228D01*
+X87860696Y-150859800D01*
+X88010811Y-150889659D01*
+X88154405Y-150889659D01*
+X91162789Y-153898044D01*
+X90207980Y-153898044D01*
+X88677000Y-152367066D01*
+X88677000Y-152223472D01*
+X88647141Y-152073357D01*
+X88588569Y-151931952D01*
+X88503536Y-151804691D01*
+X88395309Y-151696464D01*
+X88268048Y-151611431D01*
+X88126643Y-151552859D01*
+X87976528Y-151523000D01*
+X87823472Y-151523000D01*
+X87673357Y-151552859D01*
+X87531952Y-151611431D01*
+X87404691Y-151696464D01*
+X87296464Y-151804691D01*
+X87211431Y-151931952D01*
+X87152859Y-152073357D01*
+X87123000Y-152223472D01*
+X87123000Y-152376528D01*
+X87152859Y-152526643D01*
+X87211431Y-152668048D01*
+X87296464Y-152795309D01*
+X87404691Y-152903536D01*
+X87531952Y-152988569D01*
+X87673357Y-153047141D01*
+X87823472Y-153077000D01*
+X87967066Y-153077000D01*
+X89438064Y-154548000D01*
+X80127000Y-154548000D01*
+X80127000Y-145000000D01*
+X80124560Y-144975224D01*
+X80117333Y-144951399D01*
+X80105597Y-144929443D01*
+X80089803Y-144910197D01*
+X80070557Y-144894403D01*
+X80048601Y-144882667D01*
+X80024776Y-144875440D01*
+X80000000Y-144873000D01*
+X70452000Y-144873000D01*
+X70452000Y-143727000D01*
+X92698866Y-143727000D01*
+X98485933Y-149514067D01*
+G37*
+X98485933Y-149514067D02*
+X98596100Y-149604478D01*
+X98721789Y-149671660D01*
+X98858169Y-149713031D01*
+X99000000Y-149727000D01*
+X108038571Y-149727000D01*
+X108023000Y-149805282D01*
+X108023000Y-150194718D01*
+X108098975Y-150576670D01*
+X108248005Y-150936461D01*
+X108464364Y-151260264D01*
+X108739736Y-151535636D01*
+X109063539Y-151751995D01*
+X109423330Y-151901025D01*
+X109805282Y-151977000D01*
+X110194718Y-151977000D01*
+X110576670Y-151901025D01*
+X110936461Y-151751995D01*
+X111260264Y-151535636D01*
+X111535636Y-151260264D01*
+X111751995Y-150936461D01*
+X111901025Y-150576670D01*
+X111977000Y-150194718D01*
+X111977000Y-149805282D01*
+X111961429Y-149727000D01*
+X130000000Y-149727000D01*
+X130141831Y-149713031D01*
+X130278211Y-149671660D01*
+X130403900Y-149604478D01*
+X130514067Y-149514067D01*
+X132301134Y-147727000D01*
+X145563067Y-147727000D01*
+X141192066Y-152098000D01*
+X129007935Y-152098000D01*
+X128377000Y-151467066D01*
+X128377000Y-151323472D01*
+X128347141Y-151173357D01*
+X128288569Y-151031952D01*
+X128203536Y-150904691D01*
+X128095309Y-150796464D01*
+X127968048Y-150711431D01*
+X127826643Y-150652859D01*
+X127676528Y-150623000D01*
+X127523472Y-150623000D01*
+X127373357Y-150652859D01*
+X127231952Y-150711431D01*
+X127104691Y-150796464D01*
+X126996464Y-150904691D01*
+X126911431Y-151031952D01*
+X126852859Y-151173357D01*
+X126823000Y-151323472D01*
+X126823000Y-151423000D01*
+X126723472Y-151423000D01*
+X126573357Y-151452859D01*
+X126431952Y-151511431D01*
+X126304691Y-151596464D01*
+X126196464Y-151704691D01*
+X126111431Y-151831952D01*
+X126052859Y-151973357D01*
+X126023000Y-152123472D01*
+X126023000Y-152276528D01*
+X126052859Y-152426643D01*
+X126111431Y-152568048D01*
+X126196464Y-152695309D01*
+X126304691Y-152803536D01*
+X126431952Y-152888569D01*
+X126573357Y-152947141D01*
+X126723472Y-152977000D01*
+X126867066Y-152977000D01*
+X126888087Y-152998022D01*
+X92707957Y-152998022D01*
+X88447402Y-148737468D01*
+X88431684Y-148718316D01*
+X88355245Y-148655583D01*
+X88268036Y-148608969D01*
+X88173409Y-148580264D01*
+X88099653Y-148573000D01*
+X88099643Y-148573000D01*
+X88075000Y-148570573D01*
+X88050357Y-148573000D01*
+X82896845Y-148573000D01*
+X82795309Y-148471464D01*
+X82668048Y-148386431D01*
+X82526643Y-148327859D01*
+X82376528Y-148298000D01*
+X82223472Y-148298000D01*
+X82073357Y-148327859D01*
+X81931952Y-148386431D01*
+X81804691Y-148471464D01*
+X81696464Y-148579691D01*
+X81611431Y-148706952D01*
+X81552859Y-148848357D01*
+X81523000Y-148998472D01*
+X81523000Y-149151528D01*
+X81552859Y-149301643D01*
+X81611431Y-149443048D01*
+X81696464Y-149570309D01*
+X81804691Y-149678536D01*
+X81931952Y-149763569D01*
+X82073357Y-149822141D01*
+X82223472Y-149852000D01*
+X82376528Y-149852000D01*
+X82526643Y-149822141D01*
+X82668048Y-149763569D01*
+X82795309Y-149678536D01*
+X82896845Y-149577000D01*
+X87524153Y-149577000D01*
+X87483803Y-149617350D01*
+X87398770Y-149744611D01*
+X87340198Y-149886016D01*
+X87310339Y-150036131D01*
+X87310339Y-150189187D01*
+X87340198Y-150339302D01*
+X87398770Y-150480707D01*
+X87483803Y-150607968D01*
+X87592030Y-150716195D01*
+X87719291Y-150801228D01*
+X87860696Y-150859800D01*
+X88010811Y-150889659D01*
+X88154405Y-150889659D01*
+X91162789Y-153898044D01*
+X90207980Y-153898044D01*
+X88677000Y-152367066D01*
+X88677000Y-152223472D01*
+X88647141Y-152073357D01*
+X88588569Y-151931952D01*
+X88503536Y-151804691D01*
+X88395309Y-151696464D01*
+X88268048Y-151611431D01*
+X88126643Y-151552859D01*
+X87976528Y-151523000D01*
+X87823472Y-151523000D01*
+X87673357Y-151552859D01*
+X87531952Y-151611431D01*
+X87404691Y-151696464D01*
+X87296464Y-151804691D01*
+X87211431Y-151931952D01*
+X87152859Y-152073357D01*
+X87123000Y-152223472D01*
+X87123000Y-152376528D01*
+X87152859Y-152526643D01*
+X87211431Y-152668048D01*
+X87296464Y-152795309D01*
+X87404691Y-152903536D01*
+X87531952Y-152988569D01*
+X87673357Y-153047141D01*
+X87823472Y-153077000D01*
+X87967066Y-153077000D01*
+X89438064Y-154548000D01*
+X80127000Y-154548000D01*
+X80127000Y-145000000D01*
+X80124560Y-144975224D01*
+X80117333Y-144951399D01*
+X80105597Y-144929443D01*
+X80089803Y-144910197D01*
+X80070557Y-144894403D01*
+X80048601Y-144882667D01*
+X80024776Y-144875440D01*
+X80000000Y-144873000D01*
+X70452000Y-144873000D01*
+X70452000Y-143727000D01*
+X92698866Y-143727000D01*
+X98485933Y-149514067D01*
+G36*
+X153359733Y-92987554D02*
+G01*
+X153375442Y-93006695D01*
+X153394582Y-93022403D01*
+X153394590Y-93022411D01*
+X153451880Y-93069428D01*
+X153498494Y-93094343D01*
+X153539090Y-93116042D01*
+X153633717Y-93144747D01*
+X153707473Y-93152011D01*
+X153707483Y-93152011D01*
+X153732126Y-93154438D01*
+X153756769Y-93152011D01*
+X161823000Y-93152011D01*
+X161823000Y-93276528D01*
+X161852859Y-93426643D01*
+X161911431Y-93568048D01*
+X161996464Y-93695309D01*
+X162104691Y-93803536D01*
+X162208651Y-93873000D01*
+X147627000Y-93873000D01*
+X147627000Y-90000000D01*
+X147624560Y-89975224D01*
+X147617333Y-89951399D01*
+X147605597Y-89929443D01*
+X147589803Y-89910197D01*
+X147570557Y-89894403D01*
+X147548601Y-89882667D01*
+X147524776Y-89875440D01*
+X147500000Y-89873000D01*
+X125363934Y-89873000D01*
+X125634934Y-89602000D01*
+X149974181Y-89602000D01*
+X153359733Y-92987554D01*
+G37*
+X153359733Y-92987554D02*
+X153375442Y-93006695D01*
+X153394582Y-93022403D01*
+X153394590Y-93022411D01*
+X153451880Y-93069428D01*
+X153498494Y-93094343D01*
+X153539090Y-93116042D01*
+X153633717Y-93144747D01*
+X153707473Y-93152011D01*
+X153707483Y-93152011D01*
+X153732126Y-93154438D01*
+X153756769Y-93152011D01*
+X161823000Y-93152011D01*
+X161823000Y-93276528D01*
+X161852859Y-93426643D01*
+X161911431Y-93568048D01*
+X161996464Y-93695309D01*
+X162104691Y-93803536D01*
+X162208651Y-93873000D01*
+X147627000Y-93873000D01*
+X147627000Y-90000000D01*
+X147624560Y-89975224D01*
+X147617333Y-89951399D01*
+X147605597Y-89929443D01*
+X147589803Y-89910197D01*
+X147570557Y-89894403D01*
+X147548601Y-89882667D01*
+X147524776Y-89875440D01*
+X147500000Y-89873000D01*
+X125363934Y-89873000D01*
+X125634934Y-89602000D01*
+X149974181Y-89602000D01*
+X153359733Y-92987554D01*
+G36*
+X118823000Y-67200000D02*
+G01*
+X118830244Y-67273549D01*
+X118851697Y-67344272D01*
+X118886536Y-67409450D01*
+X118933421Y-67466579D01*
+X118990550Y-67513464D01*
+X119055728Y-67548303D01*
+X119126451Y-67569756D01*
+X119200000Y-67577000D01*
+X135690289Y-67577000D01*
+X136792044Y-68678756D01*
+X136752859Y-68773357D01*
+X136723000Y-68923472D01*
+X136723000Y-69076528D01*
+X136752859Y-69226643D01*
+X136811431Y-69368048D01*
+X136896464Y-69495309D01*
+X137004691Y-69603536D01*
+X137131952Y-69688569D01*
+X137273357Y-69747141D01*
+X137423472Y-69777000D01*
+X137576528Y-69777000D01*
+X137726643Y-69747141D01*
+X137868048Y-69688569D01*
+X137940987Y-69639832D01*
+X138004691Y-69703536D01*
+X138131952Y-69788569D01*
+X138273357Y-69847141D01*
+X138423472Y-69877000D01*
+X138576528Y-69877000D01*
+X138726643Y-69847141D01*
+X138868048Y-69788569D01*
+X138995309Y-69703536D01*
+X139103536Y-69595309D01*
+X139188569Y-69468048D01*
+X139223911Y-69382723D01*
+X140370572Y-69382723D01*
+X140408412Y-69601474D01*
+X140488202Y-69808640D01*
+X140514957Y-69858694D01*
+X140681590Y-69938805D01*
+X141320395Y-69300000D01*
+X141679605Y-69300000D01*
+X142318410Y-69938805D01*
+X142485043Y-69858694D01*
+X142575111Y-69655787D01*
+X142623864Y-69439207D01*
+X142629428Y-69217277D01*
+X142624537Y-69189000D01*
+X142663000Y-69189000D01*
+X142663000Y-69411000D01*
+X142706310Y-69628734D01*
+X142791266Y-69833835D01*
+X142914602Y-70018421D01*
+X143071579Y-70175398D01*
+X143256165Y-70298734D01*
+X143461266Y-70383690D01*
+X143679000Y-70427000D01*
+X143901000Y-70427000D01*
+X144118734Y-70383690D01*
+X144323835Y-70298734D01*
+X144508421Y-70175398D01*
+X144565409Y-70118410D01*
+X152291195Y-70118410D01*
+X152371306Y-70285043D01*
+X152574213Y-70375111D01*
+X152790793Y-70423864D01*
+X153012723Y-70429428D01*
+X153231474Y-70391588D01*
+X153438640Y-70311798D01*
+X153488694Y-70285043D01*
+X153568805Y-70118410D01*
+X152930000Y-69479605D01*
+X152291195Y-70118410D01*
+X144565409Y-70118410D01*
+X144665398Y-70018421D01*
+X144788734Y-69833835D01*
+X144873690Y-69628734D01*
+X144917000Y-69411000D01*
+X144917000Y-69382723D01*
+X151800572Y-69382723D01*
+X151838412Y-69601474D01*
+X151918202Y-69808640D01*
+X151944957Y-69858694D01*
+X152111590Y-69938805D01*
+X152750395Y-69300000D01*
+X153109605Y-69300000D01*
+X153748410Y-69938805D01*
+X153915043Y-69858694D01*
+X154005111Y-69655787D01*
+X154053864Y-69439207D01*
+X154059428Y-69217277D01*
+X154054537Y-69189000D01*
+X154093000Y-69189000D01*
+X154093000Y-69411000D01*
+X154136310Y-69628734D01*
+X154221266Y-69833835D01*
+X154344602Y-70018421D01*
+X154501579Y-70175398D01*
+X154686165Y-70298734D01*
+X154891266Y-70383690D01*
+X155109000Y-70427000D01*
+X155331000Y-70427000D01*
+X155548734Y-70383690D01*
+X155753835Y-70298734D01*
+X155938421Y-70175398D01*
+X156095398Y-70018421D01*
+X156218734Y-69833835D01*
+X156303690Y-69628734D01*
+X156347000Y-69411000D01*
+X156347000Y-69189000D01*
+X156303690Y-68971266D01*
+X156218734Y-68766165D01*
+X156095398Y-68581579D01*
+X155938421Y-68424602D01*
+X155753835Y-68301266D01*
+X155548734Y-68216310D01*
+X155331000Y-68173000D01*
+X155109000Y-68173000D01*
+X154891266Y-68216310D01*
+X154686165Y-68301266D01*
+X154501579Y-68424602D01*
+X154344602Y-68581579D01*
+X154221266Y-68766165D01*
+X154136310Y-68971266D01*
+X154093000Y-69189000D01*
+X154054537Y-69189000D01*
+X154021588Y-68998526D01*
+X153941798Y-68791360D01*
+X153915043Y-68741306D01*
+X153748410Y-68661195D01*
+X153109605Y-69300000D01*
+X152750395Y-69300000D01*
+X152111590Y-68661195D01*
+X151944957Y-68741306D01*
+X151854889Y-68944213D01*
+X151806136Y-69160793D01*
+X151800572Y-69382723D01*
+X144917000Y-69382723D01*
+X144917000Y-69189000D01*
+X144873690Y-68971266D01*
+X144788734Y-68766165D01*
+X144665398Y-68581579D01*
+X144565409Y-68481590D01*
+X152291195Y-68481590D01*
+X152930000Y-69120395D01*
+X153568805Y-68481590D01*
+X153488694Y-68314957D01*
+X153285787Y-68224889D01*
+X153069207Y-68176136D01*
+X152847277Y-68170572D01*
+X152628526Y-68208412D01*
+X152421360Y-68288202D01*
+X152371306Y-68314957D01*
+X152291195Y-68481590D01*
+X144565409Y-68481590D01*
+X144508421Y-68424602D01*
+X144323835Y-68301266D01*
+X144118734Y-68216310D01*
+X143901000Y-68173000D01*
+X143679000Y-68173000D01*
+X143461266Y-68216310D01*
+X143256165Y-68301266D01*
+X143071579Y-68424602D01*
+X142914602Y-68581579D01*
+X142791266Y-68766165D01*
+X142706310Y-68971266D01*
+X142663000Y-69189000D01*
+X142624537Y-69189000D01*
+X142591588Y-68998526D01*
+X142511798Y-68791360D01*
+X142485043Y-68741306D01*
+X142318410Y-68661195D01*
+X141679605Y-69300000D01*
+X141320395Y-69300000D01*
+X140681590Y-68661195D01*
+X140514957Y-68741306D01*
+X140424889Y-68944213D01*
+X140376136Y-69160793D01*
+X140370572Y-69382723D01*
+X139223911Y-69382723D01*
+X139247141Y-69326643D01*
+X139277000Y-69176528D01*
+X139277000Y-69023472D01*
+X139247141Y-68873357D01*
+X139188569Y-68731952D01*
+X139127000Y-68639807D01*
+X139127000Y-68481590D01*
+X140861195Y-68481590D01*
+X141500000Y-69120395D01*
+X142138805Y-68481590D01*
+X142058694Y-68314957D01*
+X141855787Y-68224889D01*
+X141639207Y-68176136D01*
+X141417277Y-68170572D01*
+X141198526Y-68208412D01*
+X140991360Y-68288202D01*
+X140941306Y-68314957D01*
+X140861195Y-68481590D01*
+X139127000Y-68481590D01*
+X139127000Y-68210840D01*
+X139130033Y-68180046D01*
+X139117927Y-68057134D01*
+X139115457Y-68048992D01*
+X139082075Y-67938944D01*
+X139023853Y-67830019D01*
+X138945501Y-67734546D01*
+X138921578Y-67714913D01*
+X138783665Y-67577000D01*
+X158000000Y-67577000D01*
+X158073549Y-67569756D01*
+X158144272Y-67548303D01*
+X158209450Y-67513464D01*
+X158266579Y-67466579D01*
+X158313464Y-67409450D01*
+X158348303Y-67344272D01*
+X158369756Y-67273549D01*
+X158377000Y-67200000D01*
+X158377000Y-55452000D01*
+X159873000Y-55452000D01*
+X159873000Y-63000000D01*
+X159875440Y-63024776D01*
+X159882667Y-63048601D01*
+X159894403Y-63070557D01*
+X159910197Y-63089803D01*
+X161910197Y-65089803D01*
+X161929443Y-65105597D01*
+X161951399Y-65117333D01*
+X161975224Y-65124560D01*
+X162000000Y-65127000D01*
+X169548001Y-65127000D01*
+X169548001Y-93873000D01*
+X169252000Y-93873000D01*
+X169252000Y-91646845D01*
+X169353536Y-91545309D01*
+X169438569Y-91418048D01*
+X169497141Y-91276643D01*
+X169527000Y-91126528D01*
+X169527000Y-90973472D01*
+X169497141Y-90823357D01*
+X169438569Y-90681952D01*
+X169353536Y-90554691D01*
+X169245309Y-90446464D01*
+X169118048Y-90361431D01*
+X168976643Y-90302859D01*
+X168826528Y-90273000D01*
+X168673472Y-90273000D01*
+X168523357Y-90302859D01*
+X168381952Y-90361431D01*
+X168254691Y-90446464D01*
+X168146464Y-90554691D01*
+X168061431Y-90681952D01*
+X168002859Y-90823357D01*
+X167973000Y-90973472D01*
+X167973000Y-91126528D01*
+X168002859Y-91276643D01*
+X168061431Y-91418048D01*
+X168146464Y-91545309D01*
+X168248000Y-91646845D01*
+X168248000Y-93738066D01*
+X168177000Y-93667066D01*
+X168177000Y-93523472D01*
+X168147141Y-93373357D01*
+X168088569Y-93231952D01*
+X168003536Y-93104691D01*
+X167895309Y-92996464D01*
+X167768048Y-92911431D01*
+X167626643Y-92852859D01*
+X167476528Y-92823000D01*
+X167323472Y-92823000D01*
+X167173357Y-92852859D01*
+X167031952Y-92911431D01*
+X166904691Y-92996464D01*
+X166796464Y-93104691D01*
+X166711431Y-93231952D01*
+X166652859Y-93373357D01*
+X166623000Y-93523472D01*
+X166623000Y-93676528D01*
+X166652859Y-93826643D01*
+X166672061Y-93873000D01*
+X166282935Y-93873000D01*
+X164272402Y-91862468D01*
+X164256684Y-91843316D01*
+X164180245Y-91780583D01*
+X164093036Y-91733969D01*
+X163998409Y-91705264D01*
+X163924653Y-91698000D01*
+X163924643Y-91698000D01*
+X163900000Y-91695573D01*
+X163875357Y-91698000D01*
+X163321855Y-91698000D01*
+X163220319Y-91596464D01*
+X163093058Y-91511431D01*
+X162951653Y-91452859D01*
+X162801538Y-91423000D01*
+X162648482Y-91423000D01*
+X162498367Y-91452859D01*
+X162356962Y-91511431D01*
+X162229701Y-91596464D01*
+X162128165Y-91698000D01*
+X154953304Y-91698000D01*
+X154955952Y-91691608D01*
+X154985811Y-91541493D01*
+X154985811Y-91388437D01*
+X154955952Y-91238322D01*
+X154897380Y-91096917D01*
+X154812347Y-90969656D01*
+X154704120Y-90861429D01*
+X154576859Y-90776396D01*
+X154435454Y-90717824D01*
+X154285339Y-90687965D01*
+X154141746Y-90687965D01*
+X151316226Y-87862446D01*
+X151300508Y-87843294D01*
+X151224069Y-87780561D01*
+X151136860Y-87733947D01*
+X151042233Y-87705242D01*
+X150968477Y-87697978D01*
+X150968467Y-87697978D01*
+X150943824Y-87695551D01*
+X150919181Y-87697978D01*
+X125078841Y-87697978D01*
+X125054198Y-87695551D01*
+X125029555Y-87697978D01*
+X125029545Y-87697978D01*
+X124955789Y-87705242D01*
+X124861162Y-87733947D01*
+X124832665Y-87749179D01*
+X124773952Y-87780561D01*
+X124716662Y-87827578D01*
+X124716654Y-87827586D01*
+X124697514Y-87843294D01*
+X124681805Y-87862435D01*
+X122671241Y-89873000D01*
+X118500000Y-89873000D01*
+X118475224Y-89875440D01*
+X118451399Y-89882667D01*
+X118429443Y-89894403D01*
+X118410197Y-89910197D01*
+X118394403Y-89929443D01*
+X118382667Y-89951399D01*
+X118375440Y-89975224D01*
+X118373000Y-90000000D01*
+X118373000Y-91798000D01*
+X112707935Y-91798000D01*
+X112248139Y-91338204D01*
+X112368048Y-91288536D01*
+X112495309Y-91203503D01*
+X112603536Y-91095276D01*
+X112688569Y-90968015D01*
+X112747141Y-90826610D01*
+X112777000Y-90676495D01*
+X112777000Y-90523439D01*
+X112747141Y-90373324D01*
+X112688569Y-90231919D01*
+X112603536Y-90104658D01*
+X112502000Y-90003122D01*
+X112502000Y-89224604D01*
+X112531350Y-89195254D01*
+X112616383Y-89067993D01*
+X112674955Y-88926588D01*
+X112704814Y-88776473D01*
+X112704814Y-88623417D01*
+X112674955Y-88473302D01*
+X112616383Y-88331897D01*
+X112531350Y-88204636D01*
+X112423123Y-88096409D01*
+X112295862Y-88011376D01*
+X112154457Y-87952804D01*
+X112004342Y-87922945D01*
+X111851286Y-87922945D01*
+X111701171Y-87952804D01*
+X111559766Y-88011376D01*
+X111432505Y-88096409D01*
+X111324278Y-88204636D01*
+X111239245Y-88331897D01*
+X111180673Y-88473302D01*
+X111150814Y-88623417D01*
+X111150814Y-88776473D01*
+X111180673Y-88926588D01*
+X111239245Y-89067993D01*
+X111324278Y-89195254D01*
+X111432505Y-89303481D01*
+X111498001Y-89347244D01*
+X111498000Y-90003122D01*
+X111396464Y-90104658D01*
+X111311431Y-90231919D01*
+X111261763Y-90351829D01*
+X111127001Y-90217067D01*
+X111127001Y-89951336D01*
+X111129428Y-89926693D01*
+X111127001Y-89902050D01*
+X111127001Y-89902040D01*
+X111119737Y-89828284D01*
+X111091032Y-89733657D01*
+X111062628Y-89680517D01*
+X111044418Y-89646447D01*
+X110997401Y-89589157D01*
+X110997393Y-89589149D01*
+X110981685Y-89570009D01*
+X110962544Y-89554300D01*
+X109433055Y-88024813D01*
+X109417338Y-88005662D01*
+X109340899Y-87942929D01*
+X109253690Y-87896315D01*
+X109159063Y-87867610D01*
+X109085307Y-87860346D01*
+X109085297Y-87860346D01*
+X109060654Y-87857919D01*
+X109036011Y-87860346D01*
+X107762296Y-87860346D01*
+X107737653Y-87857919D01*
+X107713010Y-87860346D01*
+X107713001Y-87860346D01*
+X107639245Y-87867610D01*
+X107544618Y-87896315D01*
+X107457409Y-87942929D01*
+X107380970Y-88005662D01*
+X107365257Y-88024808D01*
+X106904413Y-88485653D01*
+X106760819Y-88485653D01*
+X106610704Y-88515512D01*
+X106469299Y-88574084D01*
+X106342038Y-88659117D01*
+X106233811Y-88767344D01*
+X106148778Y-88894605D01*
+X106090206Y-89036010D01*
+X106060347Y-89186125D01*
+X106060347Y-89339181D01*
+X106090206Y-89489296D01*
+X106148778Y-89630701D01*
+X106233811Y-89757962D01*
+X106342038Y-89866189D01*
+X106469299Y-89951222D01*
+X106610704Y-90009794D01*
+X106760819Y-90039653D01*
+X106913875Y-90039653D01*
+X107063990Y-90009794D01*
+X107205395Y-89951222D01*
+X107332656Y-89866189D01*
+X107440883Y-89757962D01*
+X107525916Y-89630701D01*
+X107584488Y-89489296D01*
+X107614347Y-89339181D01*
+X107614347Y-89195587D01*
+X107945589Y-88864346D01*
+X107964788Y-88864346D01*
+X107935653Y-89010819D01*
+X107935653Y-89163875D01*
+X107965512Y-89313990D01*
+X108024084Y-89455395D01*
+X108109117Y-89582656D01*
+X108217344Y-89690883D01*
+X108332996Y-89768159D01*
+X108296464Y-89804691D01*
+X108211431Y-89931952D01*
+X108152859Y-90073357D01*
+X108123000Y-90223472D01*
+X108123000Y-90376528D01*
+X108152859Y-90526643D01*
+X108211431Y-90668048D01*
+X108296464Y-90795309D01*
+X108398712Y-90897557D01*
+X108405265Y-90964094D01*
+X108433970Y-91058721D01*
+X108480584Y-91145930D01*
+X108527601Y-91203220D01*
+X108527604Y-91203223D01*
+X108543317Y-91222369D01*
+X108562463Y-91238082D01*
+X108836913Y-91512532D01*
+X108852631Y-91531684D01*
+X108929070Y-91594417D01*
+X109016279Y-91641031D01*
+X109110906Y-91669736D01*
+X109184662Y-91677000D01*
+X109184671Y-91677000D01*
+X109209314Y-91679427D01*
+X109233957Y-91677000D01*
+X109855667Y-91677000D01*
+X111716230Y-93537565D01*
+X111731939Y-93556706D01*
+X111751079Y-93572414D01*
+X111751087Y-93572422D01*
+X111808377Y-93619439D01*
+X111895586Y-93666053D01*
+X111990213Y-93694758D01*
+X111999055Y-93695629D01*
+X112063970Y-93702022D01*
+X112063977Y-93702022D01*
+X112088623Y-93704449D01*
+X112113268Y-93702022D01*
+X118373000Y-93702022D01*
+X118373000Y-93873000D01*
+X70452000Y-93873000D01*
+X70452000Y-91000000D01*
+X92219241Y-91000000D01*
+X92223000Y-91038163D01*
+X92223000Y-91076528D01*
+X92230486Y-91114162D01*
+X92234244Y-91152318D01*
+X92245372Y-91189003D01*
+X92252859Y-91226643D01*
+X92267545Y-91262097D01*
+X92278673Y-91298783D01*
+X92296746Y-91332595D01*
+X92311431Y-91368048D01*
+X92332751Y-91399956D01*
+X92350823Y-91433766D01*
+X92375144Y-91463401D01*
+X92396464Y-91495309D01*
+X92504691Y-91603536D01*
+X92504697Y-91603540D01*
+X92823586Y-91922429D01*
+X92847919Y-91952079D01*
+X92966233Y-92049176D01*
+X93101215Y-92121326D01*
+X93247680Y-92165756D01*
+X93361833Y-92176999D01*
+X93361835Y-92176999D01*
+X93399998Y-92180758D01*
+X93438162Y-92176999D01*
+X98861838Y-92176999D01*
+X98900001Y-92180758D01*
+X98938164Y-92176999D01*
+X98938167Y-92176999D01*
+X99052320Y-92165756D01*
+X99198785Y-92121326D01*
+X99333767Y-92049176D01*
+X99452081Y-91952079D01*
+X99476413Y-91922430D01*
+X100022438Y-91376406D01*
+X100052081Y-91352079D01*
+X100104229Y-91288536D01*
+X100149178Y-91233766D01*
+X100221328Y-91098783D01*
+X100232013Y-91063558D01*
+X100265758Y-90952318D01*
+X100277001Y-90838165D01*
+X100277001Y-90838156D01*
+X100280759Y-90800000D01*
+X100277001Y-90761844D01*
+X100277001Y-87138164D01*
+X100280760Y-87100001D01*
+X100277001Y-87061835D01*
+X100265758Y-86947682D01*
+X100221328Y-86801217D01*
+X100191684Y-86745757D01*
+X100149179Y-86666235D01*
+X100076410Y-86577566D01*
+X100076409Y-86577565D01*
+X100052081Y-86547921D01*
+X100022437Y-86523593D01*
+X99527000Y-86028157D01*
+X99527000Y-83671845D01*
+X99603536Y-83595309D01*
+X99624856Y-83563401D01*
+X99649177Y-83533766D01*
+X99667249Y-83499956D01*
+X99688569Y-83468048D01*
+X99703254Y-83432595D01*
+X99721327Y-83398783D01*
+X99732456Y-83362097D01*
+X99747141Y-83326643D01*
+X99754627Y-83289005D01*
+X99765756Y-83252319D01*
+X99769514Y-83214164D01*
+X99777000Y-83176528D01*
+X99777000Y-83138157D01*
+X99780758Y-83100001D01*
+X99777000Y-83061845D01*
+X99777000Y-83023472D01*
+X99769514Y-82985835D01*
+X99765756Y-82947682D01*
+X99754628Y-82910998D01*
+X99747141Y-82873357D01*
+X99732454Y-82837901D01*
+X99721327Y-82801218D01*
+X99703256Y-82767410D01*
+X99688569Y-82731952D01*
+X99667246Y-82700040D01*
+X99649177Y-82666235D01*
+X99624860Y-82636605D01*
+X99603536Y-82604691D01*
+X99576395Y-82577550D01*
+X99552079Y-82547921D01*
+X99522450Y-82523605D01*
+X99495309Y-82496464D01*
+X99463395Y-82475140D01*
+X99433765Y-82450823D01*
+X99399960Y-82432754D01*
+X99368048Y-82411431D01*
+X99332590Y-82396744D01*
+X99298782Y-82378673D01*
+X99262099Y-82367546D01*
+X99226643Y-82352859D01*
+X99189002Y-82345372D01*
+X99152318Y-82334244D01*
+X99114165Y-82330486D01*
+X99076528Y-82323000D01*
+X99038155Y-82323000D01*
+X98999999Y-82319242D01*
+X98961843Y-82323000D01*
+X98923472Y-82323000D01*
+X98885836Y-82330486D01*
+X98847681Y-82334244D01*
+X98810995Y-82345373D01*
+X98773357Y-82352859D01*
+X98737903Y-82367544D01*
+X98701217Y-82378673D01*
+X98667405Y-82396746D01*
+X98631952Y-82411431D01*
+X98600044Y-82432751D01*
+X98566234Y-82450823D01*
+X98536599Y-82475144D01*
+X98504691Y-82496464D01*
+X98396464Y-82604691D01*
+X98396460Y-82604697D01*
+X98227565Y-82773592D01*
+X98197921Y-82797920D01*
+X98173593Y-82827564D01*
+X98173591Y-82827566D01*
+X98100823Y-82916234D01*
+X98028673Y-83051217D01*
+X97984244Y-83197682D01*
+X97969241Y-83350000D01*
+X97973001Y-83388173D01*
+X97973000Y-86273472D01*
+X97973000Y-86311837D01*
+X97969241Y-86350000D01*
+X97973000Y-86388163D01*
+X97973000Y-86426528D01*
+X97980484Y-86464155D01*
+X97984243Y-86502318D01*
+X97995374Y-86539010D01*
+X98002859Y-86576643D01*
+X98017545Y-86612097D01*
+X98028673Y-86648783D01*
+X98046746Y-86682595D01*
+X98061431Y-86718048D01*
+X98082751Y-86749956D01*
+X98100823Y-86783766D01*
+X98125144Y-86813401D01*
+X98146464Y-86845309D01*
+X98173598Y-86872443D01*
+X98197920Y-86902080D01*
+X98227558Y-86926403D01*
+X98254691Y-86953536D01*
+X98254697Y-86953540D01*
+X98723002Y-87421846D01*
+X98723001Y-90478155D01*
+X98578158Y-90622999D01*
+X93721842Y-90622999D01*
+X93603540Y-90504697D01*
+X93603536Y-90504691D01*
+X93495309Y-90396464D01*
+X93463401Y-90375144D01*
+X93433766Y-90350823D01*
+X93399956Y-90332751D01*
+X93368048Y-90311431D01*
+X93332595Y-90296746D01*
+X93298783Y-90278673D01*
+X93262097Y-90267545D01*
+X93226643Y-90252859D01*
+X93189003Y-90245372D01*
+X93152318Y-90234244D01*
+X93114162Y-90230486D01*
+X93076528Y-90223000D01*
+X93038163Y-90223000D01*
+X93000000Y-90219241D01*
+X92961837Y-90223000D01*
+X92923472Y-90223000D01*
+X92885838Y-90230486D01*
+X92847682Y-90234244D01*
+X92810997Y-90245372D01*
+X92773357Y-90252859D01*
+X92737903Y-90267545D01*
+X92701217Y-90278673D01*
+X92667405Y-90296746D01*
+X92631952Y-90311431D01*
+X92600044Y-90332751D01*
+X92566234Y-90350823D01*
+X92536601Y-90375142D01*
+X92504691Y-90396464D01*
+X92477551Y-90423604D01*
+X92447921Y-90447921D01*
+X92423604Y-90477551D01*
+X92396464Y-90504691D01*
+X92375142Y-90536601D01*
+X92350823Y-90566234D01*
+X92332751Y-90600044D01*
+X92311431Y-90631952D01*
+X92296746Y-90667405D01*
+X92278673Y-90701217D01*
+X92267545Y-90737903D01*
+X92252859Y-90773357D01*
+X92245372Y-90810997D01*
+X92234244Y-90847682D01*
+X92230486Y-90885838D01*
+X92223000Y-90923472D01*
+X92223000Y-90961837D01*
+X92219241Y-91000000D01*
+X70452000Y-91000000D01*
+X70452000Y-88947122D01*
+X75082483Y-88947122D01*
+X75192881Y-89139330D01*
+X75438495Y-89254430D01*
+X75701845Y-89319400D01*
+X75972809Y-89331745D01*
+X76240975Y-89290991D01*
+X76496038Y-89198703D01*
+X76607119Y-89139330D01*
+X76717517Y-88947122D01*
+X75900000Y-88129605D01*
+X75082483Y-88947122D01*
+X70452000Y-88947122D01*
+X70452000Y-88022809D01*
+X74518255Y-88022809D01*
+X74559009Y-88290975D01*
+X74651297Y-88546038D01*
+X74710670Y-88657119D01*
+X74902878Y-88767517D01*
+X75720395Y-87950000D01*
+X76079605Y-87950000D01*
+X76897122Y-88767517D01*
+X77089330Y-88657119D01*
+X77204430Y-88411505D01*
+X77269400Y-88148155D01*
+X77281745Y-87877191D01*
+X77240991Y-87609025D01*
+X77148703Y-87353962D01*
+X77089330Y-87242881D01*
+X76897122Y-87132483D01*
+X76079605Y-87950000D01*
+X75720395Y-87950000D01*
+X74902878Y-87132483D01*
+X74710670Y-87242881D01*
+X74595570Y-87488495D01*
+X74530600Y-87751845D01*
+X74518255Y-88022809D01*
+X70452000Y-88022809D01*
+X70452000Y-86952878D01*
+X75082483Y-86952878D01*
+X75900000Y-87770395D01*
+X76717517Y-86952878D01*
+X76715864Y-86950000D01*
+X79169483Y-86950000D01*
+X79169483Y-88950000D01*
+X79183520Y-89092517D01*
+X79225090Y-89229557D01*
+X79292597Y-89355853D01*
+X79383446Y-89466554D01*
+X79494147Y-89557403D01*
+X79620443Y-89624910D01*
+X79757483Y-89666480D01*
+X79900000Y-89680517D01*
+X81900000Y-89680517D01*
+X82042517Y-89666480D01*
+X82179557Y-89624910D01*
+X82305853Y-89557403D01*
+X82416554Y-89466554D01*
+X82507403Y-89355853D01*
+X82574910Y-89229557D01*
+X82616480Y-89092517D01*
+X82630517Y-88950000D01*
+X82630517Y-86950000D01*
+X82616480Y-86807483D01*
+X82574910Y-86670443D01*
+X82507403Y-86544147D01*
+X82416554Y-86433446D01*
+X82305853Y-86342597D01*
+X82179557Y-86275090D01*
+X82042517Y-86233520D01*
+X81900000Y-86219483D01*
+X79900000Y-86219483D01*
+X79757483Y-86233520D01*
+X79620443Y-86275090D01*
+X79494147Y-86342597D01*
+X79383446Y-86433446D01*
+X79292597Y-86544147D01*
+X79225090Y-86670443D01*
+X79183520Y-86807483D01*
+X79169483Y-86950000D01*
+X76715864Y-86950000D01*
+X76607119Y-86760670D01*
+X76361505Y-86645570D01*
+X76098155Y-86580600D01*
+X75827191Y-86568255D01*
+X75559025Y-86609009D01*
+X75303962Y-86701297D01*
+X75192881Y-86760670D01*
+X75082483Y-86952878D01*
+X70452000Y-86952878D01*
+X70452000Y-79500000D01*
+X105019241Y-79500000D01*
+X105023000Y-79538163D01*
+X105023000Y-79576528D01*
+X105030486Y-79614162D01*
+X105034244Y-79652318D01*
+X105045372Y-79689003D01*
+X105052859Y-79726643D01*
+X105067545Y-79762097D01*
+X105078673Y-79798783D01*
+X105096746Y-79832595D01*
+X105111431Y-79868048D01*
+X105132751Y-79899956D01*
+X105150823Y-79933766D01*
+X105175144Y-79963401D01*
+X105196464Y-79995309D01*
+X105304691Y-80103536D01*
+X105304697Y-80103540D01*
+X109123590Y-83922434D01*
+X109147920Y-83952080D01*
+X109177564Y-83976408D01*
+X109177565Y-83976409D01*
+X109266233Y-84049177D01*
+X109333725Y-84085252D01*
+X109401216Y-84121327D01*
+X109547681Y-84165757D01*
+X109661834Y-84177000D01*
+X109661836Y-84177000D01*
+X109700000Y-84180759D01*
+X109738163Y-84177000D01*
+X116598155Y-84177000D01*
+X118193590Y-85772436D01*
+X118217918Y-85802080D01*
+X118247562Y-85826408D01*
+X118247563Y-85826409D01*
+X118336231Y-85899177D01*
+X118445005Y-85957318D01*
+X118471214Y-85971327D01*
+X118617679Y-86015757D01*
+X118731832Y-86027000D01*
+X118731841Y-86027000D01*
+X118769997Y-86030758D01*
+X118808153Y-86027000D01*
+X121961837Y-86027000D01*
+X122000000Y-86030759D01*
+X122038163Y-86027000D01*
+X122038166Y-86027000D01*
+X122152319Y-86015757D01*
+X122298784Y-85971327D01*
+X122433766Y-85899177D01*
+X122552080Y-85802080D01*
+X122576412Y-85772431D01*
+X123571844Y-84777000D01*
+X125578157Y-84777000D01*
+X126323590Y-85522434D01*
+X126347920Y-85552080D01*
+X126377564Y-85576408D01*
+X126377565Y-85576409D01*
+X126466234Y-85649178D01*
+X126554199Y-85696195D01*
+X126601216Y-85721327D01*
+X126747681Y-85765757D01*
+X126861834Y-85777000D01*
+X126861836Y-85777000D01*
+X126900000Y-85780759D01*
+X126938163Y-85777000D01*
+X127103000Y-85777000D01*
+X127103000Y-86056528D01*
+X127110484Y-86094155D01*
+X127114243Y-86132318D01*
+X127125374Y-86169010D01*
+X127132859Y-86206643D01*
+X127147544Y-86242095D01*
+X127158673Y-86278783D01*
+X127176745Y-86312593D01*
+X127191431Y-86348048D01*
+X127212753Y-86379959D01*
+X127230823Y-86413765D01*
+X127255142Y-86443398D01*
+X127276464Y-86475309D01*
+X127303598Y-86502443D01*
+X127327920Y-86532080D01*
+X127357558Y-86556403D01*
+X127384691Y-86583536D01*
+X127416598Y-86604856D01*
+X127446234Y-86629177D01*
+X127480045Y-86647250D01*
+X127511952Y-86668569D01*
+X127547402Y-86683253D01*
+X127581216Y-86701327D01*
+X127617908Y-86712457D01*
+X127653357Y-86727141D01*
+X127690987Y-86734626D01*
+X127727681Y-86745757D01*
+X127765846Y-86749516D01*
+X127803472Y-86757000D01*
+X127841834Y-86757000D01*
+X127880000Y-86760759D01*
+X127918166Y-86757000D01*
+X127956528Y-86757000D01*
+X127994155Y-86749516D01*
+X128032318Y-86745757D01*
+X128069010Y-86734626D01*
+X128106643Y-86727141D01*
+X128142095Y-86712456D01*
+X128178783Y-86701327D01*
+X128212593Y-86683255D01*
+X128248048Y-86668569D01*
+X128279959Y-86647247D01*
+X128313765Y-86629177D01*
+X128343398Y-86604858D01*
+X128375309Y-86583536D01*
+X128402443Y-86556402D01*
+X128432080Y-86532080D01*
+X128456403Y-86502442D01*
+X128483536Y-86475309D01*
+X128504856Y-86443402D01*
+X128529177Y-86413766D01*
+X128547250Y-86379955D01*
+X128568569Y-86348048D01*
+X128583253Y-86312598D01*
+X128601327Y-86278784D01*
+X128612457Y-86242092D01*
+X128627141Y-86206643D01*
+X128634626Y-86169013D01*
+X128645757Y-86132319D01*
+X128649516Y-86094154D01*
+X128657000Y-86056528D01*
+X128657000Y-85777000D01*
+X132461837Y-85777000D01*
+X132500000Y-85780759D01*
+X132538163Y-85777000D01*
+X132538166Y-85777000D01*
+X132652319Y-85765757D01*
+X132798784Y-85721327D01*
+X132933766Y-85649177D01*
+X133052080Y-85552080D01*
+X133076412Y-85522431D01*
+X134571844Y-84027000D01*
+X138578157Y-84027000D01*
+X140851462Y-86300306D01*
+X140851464Y-86300309D01*
+X140959691Y-86408536D01*
+X140991593Y-86429852D01*
+X141021234Y-86454178D01*
+X141055050Y-86472253D01*
+X141086952Y-86493569D01*
+X141122403Y-86508253D01*
+X141156217Y-86526327D01*
+X141192903Y-86537456D01*
+X141228357Y-86552141D01*
+X141265995Y-86559627D01*
+X141302681Y-86570756D01*
+X141340838Y-86574514D01*
+X141378472Y-86582000D01*
+X141416836Y-86582000D01*
+X141455000Y-86585759D01*
+X141493163Y-86582000D01*
+X141531528Y-86582000D01*
+X141569162Y-86574514D01*
+X141607318Y-86570756D01*
+X141644003Y-86559628D01*
+X141681643Y-86552141D01*
+X141717097Y-86537455D01*
+X141753783Y-86526327D01*
+X141787597Y-86508253D01*
+X141823048Y-86493569D01*
+X141854950Y-86472253D01*
+X141888766Y-86454178D01*
+X141918407Y-86429852D01*
+X141950309Y-86408536D01*
+X141977440Y-86381405D01*
+X142007080Y-86357080D01*
+X142031405Y-86327440D01*
+X142058536Y-86300309D01*
+X142079852Y-86268407D01*
+X142104178Y-86238766D01*
+X142122253Y-86204950D01*
+X142143569Y-86173048D01*
+X142158253Y-86137597D01*
+X142176327Y-86103783D01*
+X142187455Y-86067097D01*
+X142202141Y-86031643D01*
+X142209628Y-85994003D01*
+X142220756Y-85957318D01*
+X142224514Y-85919162D01*
+X142232000Y-85881528D01*
+X142232000Y-85843163D01*
+X142235759Y-85805000D01*
+X142232000Y-85766836D01*
+X142232000Y-85728472D01*
+X142224514Y-85690838D01*
+X142220756Y-85652681D01*
+X142209627Y-85615995D01*
+X142202141Y-85578357D01*
+X142187456Y-85542903D01*
+X142176327Y-85506217D01*
+X142158253Y-85472403D01*
+X142143569Y-85436952D01*
+X142122253Y-85405050D01*
+X142104178Y-85371234D01*
+X142079852Y-85341593D01*
+X142058536Y-85309691D01*
+X141950309Y-85201464D01*
+X141950306Y-85201462D01*
+X139476413Y-82727569D01*
+X139452080Y-82697920D01*
+X139333766Y-82600823D01*
+X139198784Y-82528673D01*
+X139052319Y-82484243D01*
+X138938166Y-82473000D01*
+X138938163Y-82473000D01*
+X138900000Y-82469241D01*
+X138861837Y-82473000D01*
+X134288163Y-82473000D01*
+X134250000Y-82469241D01*
+X134211836Y-82473000D01*
+X134211834Y-82473000D01*
+X134097681Y-82484243D01*
+X133951216Y-82528673D01*
+X133874618Y-82569616D01*
+X133816234Y-82600823D01*
+X133736529Y-82666235D01*
+X133697920Y-82697920D01*
+X133673592Y-82727564D01*
+X132178157Y-84223000D01*
+X127788163Y-84223000D01*
+X127750000Y-84219241D01*
+X127711837Y-84223000D01*
+X127221844Y-84223000D01*
+X126476412Y-83477569D01*
+X126452080Y-83447920D01*
+X126333766Y-83350823D01*
+X126198784Y-83278673D01*
+X126052319Y-83234243D01*
+X125938166Y-83223000D01*
+X125938163Y-83223000D01*
+X125900000Y-83219241D01*
+X125861837Y-83223000D01*
+X125502749Y-83223000D01*
+X125612340Y-83149774D01*
+X125720567Y-83041547D01*
+X125805600Y-82914286D01*
+X125864172Y-82772881D01*
+X125894031Y-82622766D01*
+X125894031Y-82469710D01*
+X125864172Y-82319595D01*
+X125836187Y-82252033D01*
+X129020788Y-82252033D01*
+X129045431Y-82254460D01*
+X129070074Y-82252033D01*
+X129070084Y-82252033D01*
+X129143840Y-82244769D01*
+X129238467Y-82216064D01*
+X129325676Y-82169450D01*
+X129402115Y-82106717D01*
+X129417832Y-82087566D01*
+X129678384Y-81827014D01*
+X129821982Y-81827014D01*
+X129972097Y-81797155D01*
+X130113502Y-81738583D01*
+X130240763Y-81653550D01*
+X130348990Y-81545323D01*
+X130434023Y-81418062D01*
+X130492595Y-81276657D01*
+X130498438Y-81247283D01*
+X130504691Y-81253536D01*
+X130631952Y-81338569D01*
+X130773357Y-81397141D01*
+X130923472Y-81427000D01*
+X131076528Y-81427000D01*
+X131226643Y-81397141D01*
+X131368048Y-81338569D01*
+X131495309Y-81253536D01*
+X131603536Y-81145309D01*
+X131688569Y-81018048D01*
+X131747141Y-80876643D01*
+X131777000Y-80726528D01*
+X131777000Y-80573472D01*
+X131747141Y-80423357D01*
+X131688569Y-80281952D01*
+X131603739Y-80154996D01*
+X131613389Y-80145346D01*
+X131698422Y-80018085D01*
+X131756994Y-79876680D01*
+X131786853Y-79726565D01*
+X131786853Y-79573509D01*
+X131756994Y-79423394D01*
+X131698422Y-79281989D01*
+X131613389Y-79154728D01*
+X131505162Y-79046501D01*
+X131377901Y-78961468D01*
+X131236496Y-78902896D01*
+X131086381Y-78873037D01*
+X130933325Y-78873037D01*
+X130783210Y-78902896D01*
+X130641805Y-78961468D01*
+X130514544Y-79046501D01*
+X130412297Y-79148748D01*
+X130345759Y-79155301D01*
+X130251132Y-79184006D01*
+X130163923Y-79230620D01*
+X130087484Y-79293353D01*
+X130071766Y-79312505D01*
+X130011282Y-79372989D01*
+X129225400Y-79372989D01*
+X129200757Y-79370562D01*
+X129176114Y-79372989D01*
+X129176105Y-79372989D01*
+X129102349Y-79380253D01*
+X129007722Y-79408958D01*
+X128920513Y-79455572D01*
+X128844074Y-79518305D01*
+X128828361Y-79537451D01*
+X128017802Y-80348011D01*
+X127650832Y-80348011D01*
+X130095306Y-77903538D01*
+X130095309Y-77903536D01*
+X130203536Y-77795309D01*
+X130224855Y-77763402D01*
+X130249177Y-77733766D01*
+X130267249Y-77699956D01*
+X130288569Y-77668048D01*
+X130303254Y-77632595D01*
+X130321327Y-77598783D01*
+X130332456Y-77562097D01*
+X130347141Y-77526643D01*
+X130354627Y-77489005D01*
+X130365756Y-77452319D01*
+X130369514Y-77414162D01*
+X130377000Y-77376528D01*
+X130377000Y-77338164D01*
+X130378099Y-77327000D01*
+X154403000Y-77327000D01*
+X154403000Y-77627000D01*
+X154454235Y-77893199D01*
+X154556419Y-78144288D01*
+X154705624Y-78370617D01*
+X154896117Y-78563489D01*
+X155120577Y-78715491D01*
+X155370378Y-78820783D01*
+X155440071Y-78834383D01*
+X155653000Y-78776448D01*
+X155653000Y-77327000D01*
+X154403000Y-77327000D01*
+X130378099Y-77327000D01*
+X130380759Y-77300000D01*
+X130377000Y-77261837D01*
+X130377000Y-77223472D01*
+X130369514Y-77185838D01*
+X130365756Y-77147682D01*
+X130354628Y-77110997D01*
+X130347141Y-77073357D01*
+X130332455Y-77037903D01*
+X130321327Y-77001217D01*
+X130303254Y-76967405D01*
+X130288569Y-76931952D01*
+X130267249Y-76900044D01*
+X130249177Y-76866234D01*
+X130224856Y-76836598D01*
+X130203536Y-76804691D01*
+X130176403Y-76777558D01*
+X130172663Y-76773000D01*
+X154403000Y-76773000D01*
+X154403000Y-77073000D01*
+X155653000Y-77073000D01*
+X155653000Y-75623552D01*
+X155907000Y-75623552D01*
+X155907000Y-77073000D01*
+X155927000Y-77073000D01*
+X155927000Y-77327000D01*
+X155907000Y-77327000D01*
+X155907000Y-78776448D01*
+X156119929Y-78834383D01*
+X156189622Y-78820783D01*
+X156439423Y-78715491D01*
+X156663883Y-78563489D01*
+X156854376Y-78370617D01*
+X157003581Y-78144288D01*
+X157046563Y-78038671D01*
+X157169527Y-78268720D01*
+X157341603Y-78478396D01*
+X157551279Y-78650473D01*
+X157790495Y-78778337D01*
+X158050061Y-78857075D01*
+X158320000Y-78883662D01*
+X158589938Y-78857075D01*
+X158849504Y-78778337D01*
+X159088720Y-78650473D01*
+X159298396Y-78478397D01*
+X159470473Y-78268721D01*
+X159590000Y-78045102D01*
+X159709527Y-78268720D01*
+X159881603Y-78478396D01*
+X160091279Y-78650473D01*
+X160330495Y-78778337D01*
+X160590061Y-78857075D01*
+X160860000Y-78883662D01*
+X161129938Y-78857075D01*
+X161389504Y-78778337D01*
+X161628720Y-78650473D01*
+X161838396Y-78478397D01*
+X162010473Y-78268721D01*
+X162021176Y-78248697D01*
+X162021176Y-78500000D01*
+X162028455Y-78573905D01*
+X162050012Y-78644970D01*
+X162085019Y-78710463D01*
+X162132131Y-78767869D01*
+X162189537Y-78814981D01*
+X162255030Y-78849988D01*
+X162326095Y-78871545D01*
+X162400000Y-78878824D01*
+X164400000Y-78878824D01*
+X164473905Y-78871545D01*
+X164544970Y-78849988D01*
+X164610463Y-78814981D01*
+X164667869Y-78767869D01*
+X164714981Y-78710463D01*
+X164749988Y-78644970D01*
+X164771545Y-78573905D01*
+X164778824Y-78500000D01*
+X164778824Y-75900000D01*
+X164771545Y-75826095D01*
+X164749988Y-75755030D01*
+X164714981Y-75689537D01*
+X164667869Y-75632131D01*
+X164610463Y-75585019D01*
+X164544970Y-75550012D01*
+X164473905Y-75528455D01*
+X164400000Y-75521176D01*
+X162400000Y-75521176D01*
+X162326095Y-75528455D01*
+X162255030Y-75550012D01*
+X162189537Y-75585019D01*
+X162132131Y-75632131D01*
+X162085019Y-75689537D01*
+X162050012Y-75755030D01*
+X162028455Y-75826095D01*
+X162021176Y-75900000D01*
+X162021176Y-76151303D01*
+X162010473Y-76131279D01*
+X161838397Y-75921603D01*
+X161628721Y-75749527D01*
+X161389505Y-75621663D01*
+X161129939Y-75542925D01*
+X160860000Y-75516338D01*
+X160590062Y-75542925D01*
+X160330496Y-75621663D01*
+X160091280Y-75749527D01*
+X159881604Y-75921603D01*
+X159709527Y-76131279D01*
+X159590000Y-76354898D01*
+X159470473Y-76131279D01*
+X159298397Y-75921603D01*
+X159088721Y-75749527D01*
+X158849505Y-75621663D01*
+X158589939Y-75542925D01*
+X158320000Y-75516338D01*
+X158050062Y-75542925D01*
+X157790496Y-75621663D01*
+X157551280Y-75749527D01*
+X157341604Y-75921603D01*
+X157169527Y-76131279D01*
+X157046563Y-76361328D01*
+X157003581Y-76255712D01*
+X156854376Y-76029383D01*
+X156663883Y-75836511D01*
+X156439423Y-75684509D01*
+X156189622Y-75579217D01*
+X156119929Y-75565617D01*
+X155907000Y-75623552D01*
+X155653000Y-75623552D01*
+X155440071Y-75565617D01*
+X155370378Y-75579217D01*
+X155120577Y-75684509D01*
+X154896117Y-75836511D01*
+X154705624Y-76029383D01*
+X154556419Y-76255712D01*
+X154454235Y-76506801D01*
+X154403000Y-76773000D01*
+X130172663Y-76773000D01*
+X130152080Y-76747920D01*
+X130122442Y-76723597D01*
+X130095309Y-76696464D01*
+X130063402Y-76675144D01*
+X130033766Y-76650823D01*
+X129999956Y-76632751D01*
+X129968048Y-76611431D01*
+X129932595Y-76596746D01*
+X129898783Y-76578673D01*
+X129862097Y-76567545D01*
+X129826643Y-76552859D01*
+X129789003Y-76545372D01*
+X129752318Y-76534244D01*
+X129714162Y-76530486D01*
+X129676528Y-76523000D01*
+X129638163Y-76523000D01*
+X129600000Y-76519241D01*
+X129561836Y-76523000D01*
+X129523472Y-76523000D01*
+X129485838Y-76530486D01*
+X129447681Y-76534244D01*
+X129410995Y-76545373D01*
+X129373357Y-76552859D01*
+X129337903Y-76567544D01*
+X129301217Y-76578673D01*
+X129267405Y-76596746D01*
+X129231952Y-76611431D01*
+X129200044Y-76632751D01*
+X129166234Y-76650823D01*
+X129136598Y-76675145D01*
+X129104691Y-76696464D01*
+X128996464Y-76804691D01*
+X128996462Y-76804694D01*
+X126453156Y-79348001D01*
+X119212530Y-79348001D01*
+X118342097Y-78477569D01*
+X118333459Y-78467044D01*
+X118346545Y-78423905D01*
+X118353824Y-78350000D01*
+X118353824Y-77150000D01*
+X118346545Y-77076095D01*
+X118324988Y-77005030D01*
+X118289981Y-76939537D01*
+X118242869Y-76882131D01*
+X118185463Y-76835019D01*
+X118119970Y-76800012D01*
+X118119930Y-76800000D01*
+X118119970Y-76799988D01*
+X118185463Y-76764981D01*
+X118242869Y-76717869D01*
+X118289981Y-76660463D01*
+X118324988Y-76594970D01*
+X118346545Y-76523905D01*
+X118353824Y-76450000D01*
+X118352000Y-76071250D01*
+X118257750Y-75977000D01*
+X117727000Y-75977000D01*
+X117727000Y-75997000D01*
+X117473000Y-75997000D01*
+X117473000Y-75977000D01*
+X116942250Y-75977000D01*
+X116848000Y-76071250D01*
+X116846176Y-76450000D01*
+X116853455Y-76523905D01*
+X116875012Y-76594970D01*
+X116910019Y-76660463D01*
+X116957131Y-76717869D01*
+X117014537Y-76764981D01*
+X117080030Y-76799988D01*
+X117080070Y-76800000D01*
+X117080030Y-76800012D01*
+X117014537Y-76835019D01*
+X116957131Y-76882131D01*
+X116910019Y-76939537D01*
+X116875012Y-77005030D01*
+X116853455Y-77076095D01*
+X116846176Y-77150000D01*
+X116846176Y-78305539D01*
+X116831952Y-78311431D01*
+X116800045Y-78332750D01*
+X116766234Y-78350823D01*
+X116736598Y-78375144D01*
+X116704691Y-78396464D01*
+X116677558Y-78423597D01*
+X116647920Y-78447920D01*
+X116623597Y-78477558D01*
+X116596464Y-78504691D01*
+X116575144Y-78536598D01*
+X116550823Y-78566234D01*
+X116532750Y-78600045D01*
+X116511431Y-78631952D01*
+X116496747Y-78667402D01*
+X116478673Y-78701216D01*
+X116467543Y-78737908D01*
+X116452859Y-78773357D01*
+X116445374Y-78810987D01*
+X116434243Y-78847681D01*
+X116430484Y-78885846D01*
+X116423000Y-78923472D01*
+X116423000Y-78961834D01*
+X116419241Y-79000000D01*
+X116423000Y-79038166D01*
+X116423000Y-79076528D01*
+X116430484Y-79114154D01*
+X116434243Y-79152319D01*
+X116445374Y-79189013D01*
+X116452859Y-79226643D01*
+X116467543Y-79262092D01*
+X116478673Y-79298784D01*
+X116496747Y-79332598D01*
+X116511431Y-79368048D01*
+X116532750Y-79399955D01*
+X116550823Y-79433766D01*
+X116575144Y-79463402D01*
+X116596464Y-79495309D01*
+X116601157Y-79500002D01*
+X116583806Y-79517352D01*
+X116498773Y-79644613D01*
+X116440201Y-79786018D01*
+X116410342Y-79936133D01*
+X116410342Y-80089189D01*
+X116440201Y-80239304D01*
+X116483490Y-80343812D01*
+X116404691Y-80396464D01*
+X116296464Y-80504691D01*
+X116211431Y-80631952D01*
+X116152859Y-80773357D01*
+X116123000Y-80923472D01*
+X116123000Y-81076528D01*
+X116152859Y-81226643D01*
+X116211431Y-81368048D01*
+X116296464Y-81495309D01*
+X116404691Y-81603536D01*
+X116531952Y-81688569D01*
+X116673357Y-81747141D01*
+X116823472Y-81777000D01*
+X116976528Y-81777000D01*
+X117126643Y-81747141D01*
+X117268048Y-81688569D01*
+X117373715Y-81617964D01*
+X117393305Y-81637554D01*
+X117409023Y-81656706D01*
+X117427487Y-81671859D01*
+X117331952Y-81711431D01*
+X117204691Y-81796464D01*
+X117096464Y-81904691D01*
+X117011431Y-82031952D01*
+X116952859Y-82173357D01*
+X116923000Y-82323472D01*
+X116923000Y-82476528D01*
+X116952014Y-82622395D01*
+X116919998Y-82619241D01*
+X116881835Y-82623000D01*
+X110021844Y-82623000D01*
+X106403540Y-79004697D01*
+X106403536Y-79004691D01*
+X106295309Y-78896464D01*
+X106263401Y-78875144D01*
+X106233766Y-78850823D01*
+X106199956Y-78832751D01*
+X106168048Y-78811431D01*
+X106132595Y-78796746D01*
+X106098783Y-78778673D01*
+X106062097Y-78767545D01*
+X106026643Y-78752859D01*
+X105989003Y-78745372D01*
+X105952318Y-78734244D01*
+X105914162Y-78730486D01*
+X105876528Y-78723000D01*
+X105838163Y-78723000D01*
+X105800000Y-78719241D01*
+X105761837Y-78723000D01*
+X105723472Y-78723000D01*
+X105685838Y-78730486D01*
+X105647682Y-78734244D01*
+X105610997Y-78745372D01*
+X105573357Y-78752859D01*
+X105537903Y-78767545D01*
+X105501217Y-78778673D01*
+X105467405Y-78796746D01*
+X105431952Y-78811431D01*
+X105400044Y-78832751D01*
+X105366234Y-78850823D01*
+X105336601Y-78875142D01*
+X105304691Y-78896464D01*
+X105277551Y-78923604D01*
+X105247921Y-78947921D01*
+X105223604Y-78977551D01*
+X105196464Y-79004691D01*
+X105175142Y-79036601D01*
+X105150823Y-79066234D01*
+X105132751Y-79100044D01*
+X105111431Y-79131952D01*
+X105096746Y-79167405D01*
+X105078673Y-79201217D01*
+X105067545Y-79237903D01*
+X105052859Y-79273357D01*
+X105045372Y-79310997D01*
+X105034244Y-79347682D01*
+X105030486Y-79385838D01*
+X105023000Y-79423472D01*
+X105023000Y-79461837D01*
+X105019241Y-79500000D01*
+X70452000Y-79500000D01*
+X70452000Y-78889827D01*
+X78289778Y-78889827D01*
+X78424319Y-79102518D01*
+X78704048Y-79237612D01*
+X79004756Y-79315538D01*
+X79314890Y-79333301D01*
+X79622530Y-79290219D01*
+X79915853Y-79187948D01*
+X80075681Y-79102518D01*
+X80210222Y-78889827D01*
+X79250000Y-77929605D01*
+X78289778Y-78889827D01*
+X70452000Y-78889827D01*
+X70452000Y-77814890D01*
+X77666699Y-77814890D01*
+X77709781Y-78122530D01*
+X77812052Y-78415853D01*
+X77897482Y-78575681D01*
+X78110173Y-78710222D01*
+X79070395Y-77750000D01*
+X79429605Y-77750000D01*
+X80389827Y-78710222D01*
+X80602518Y-78575681D01*
+X80737612Y-78295952D01*
+X80815538Y-77995244D01*
+X80833301Y-77685110D01*
+X80790219Y-77377470D01*
+X80687948Y-77084147D01*
+X80602518Y-76924319D01*
+X80389827Y-76789778D01*
+X79429605Y-77750000D01*
+X79070395Y-77750000D01*
+X78110173Y-76789778D01*
+X77897482Y-76924319D01*
+X77762388Y-77204048D01*
+X77684462Y-77504756D01*
+X77666699Y-77814890D01*
+X70452000Y-77814890D01*
+X70452000Y-76610173D01*
+X78289778Y-76610173D01*
+X79250000Y-77570395D01*
+X80210222Y-76610173D01*
+X80075681Y-76397482D01*
+X79795952Y-76262388D01*
+X79495244Y-76184462D01*
+X79185110Y-76166699D01*
+X78877470Y-76209781D01*
+X78584147Y-76312052D01*
+X78424319Y-76397482D01*
+X78289778Y-76610173D01*
+X70452000Y-76610173D01*
+X70452000Y-75250000D01*
+X116846176Y-75250000D01*
+X116848000Y-75628750D01*
+X116942250Y-75723000D01*
+X117473000Y-75723000D01*
+X117473000Y-74967250D01*
+X117727000Y-74967250D01*
+X117727000Y-75723000D01*
+X118257750Y-75723000D01*
+X118352000Y-75628750D01*
+X118353824Y-75250000D01*
+X118346545Y-75176095D01*
+X118324988Y-75105030D01*
+X118289981Y-75039537D01*
+X118242869Y-74982131D01*
+X118185463Y-74935019D01*
+X118119970Y-74900012D01*
+X118048905Y-74878455D01*
+X117975000Y-74871176D01*
+X117821250Y-74873000D01*
+X117727000Y-74967250D01*
+X117473000Y-74967250D01*
+X117378750Y-74873000D01*
+X117225000Y-74871176D01*
+X117151095Y-74878455D01*
+X117080030Y-74900012D01*
+X117014537Y-74935019D01*
+X116957131Y-74982131D01*
+X116910019Y-75039537D01*
+X116875012Y-75105030D01*
+X116853455Y-75176095D01*
+X116846176Y-75250000D01*
+X70452000Y-75250000D01*
+X70452000Y-71550000D01*
+X77671176Y-71550000D01*
+X77671176Y-73950000D01*
+X77678455Y-74023905D01*
+X77700012Y-74094970D01*
+X77735019Y-74160463D01*
+X77782131Y-74217869D01*
+X77839537Y-74264981D01*
+X77905030Y-74299988D01*
+X77976095Y-74321545D01*
+X78050000Y-74328824D01*
+X80450000Y-74328824D01*
+X80523905Y-74321545D01*
+X80594970Y-74299988D01*
+X80660463Y-74264981D01*
+X80717869Y-74217869D01*
+X80764981Y-74160463D01*
+X80799988Y-74094970D01*
+X80821545Y-74023905D01*
+X80828824Y-73950000D01*
+X80828824Y-71550000D01*
+X80821545Y-71476095D01*
+X80799988Y-71405030D01*
+X80764981Y-71339537D01*
+X80717869Y-71282131D01*
+X80660463Y-71235019D01*
+X80594970Y-71200012D01*
+X80523905Y-71178455D01*
+X80450000Y-71171176D01*
+X78050000Y-71171176D01*
+X77976095Y-71178455D01*
+X77905030Y-71200012D01*
+X77839537Y-71235019D01*
+X77782131Y-71282131D01*
+X77735019Y-71339537D01*
+X77700012Y-71405030D01*
+X77678455Y-71476095D01*
+X77671176Y-71550000D01*
+X70452000Y-71550000D01*
+X70452000Y-70118410D01*
+X121011195Y-70118410D01*
+X121091306Y-70285043D01*
+X121294213Y-70375111D01*
+X121510793Y-70423864D01*
+X121732723Y-70429428D01*
+X121951474Y-70391588D01*
+X122158640Y-70311798D01*
+X122208694Y-70285043D01*
+X122288805Y-70118410D01*
+X121650000Y-69479605D01*
+X121011195Y-70118410D01*
+X70452000Y-70118410D01*
+X70452000Y-69382723D01*
+X120520572Y-69382723D01*
+X120558412Y-69601474D01*
+X120638202Y-69808640D01*
+X120664957Y-69858694D01*
+X120831590Y-69938805D01*
+X121470395Y-69300000D01*
+X121829605Y-69300000D01*
+X122468410Y-69938805D01*
+X122635043Y-69858694D01*
+X122725111Y-69655787D01*
+X122773864Y-69439207D01*
+X122779428Y-69217277D01*
+X122774537Y-69189000D01*
+X122813000Y-69189000D01*
+X122813000Y-69411000D01*
+X122856310Y-69628734D01*
+X122941266Y-69833835D01*
+X123064602Y-70018421D01*
+X123221579Y-70175398D01*
+X123406165Y-70298734D01*
+X123611266Y-70383690D01*
+X123829000Y-70427000D01*
+X124051000Y-70427000D01*
+X124268734Y-70383690D01*
+X124473835Y-70298734D01*
+X124658421Y-70175398D01*
+X124715409Y-70118410D01*
+X132441195Y-70118410D01*
+X132521306Y-70285043D01*
+X132724213Y-70375111D01*
+X132940793Y-70423864D01*
+X133162723Y-70429428D01*
+X133381474Y-70391588D01*
+X133588640Y-70311798D01*
+X133638694Y-70285043D01*
+X133718805Y-70118410D01*
+X133080000Y-69479605D01*
+X132441195Y-70118410D01*
+X124715409Y-70118410D01*
+X124815398Y-70018421D01*
+X124938734Y-69833835D01*
+X125023690Y-69628734D01*
+X125067000Y-69411000D01*
+X125067000Y-69382723D01*
+X131950572Y-69382723D01*
+X131988412Y-69601474D01*
+X132068202Y-69808640D01*
+X132094957Y-69858694D01*
+X132261590Y-69938805D01*
+X132900395Y-69300000D01*
+X133259605Y-69300000D01*
+X133898410Y-69938805D01*
+X134065043Y-69858694D01*
+X134155111Y-69655787D01*
+X134203864Y-69439207D01*
+X134209428Y-69217277D01*
+X134204537Y-69189000D01*
+X134243000Y-69189000D01*
+X134243000Y-69411000D01*
+X134286310Y-69628734D01*
+X134371266Y-69833835D01*
+X134494602Y-70018421D01*
+X134651579Y-70175398D01*
+X134836165Y-70298734D01*
+X135041266Y-70383690D01*
+X135259000Y-70427000D01*
+X135481000Y-70427000D01*
+X135698734Y-70383690D01*
+X135903835Y-70298734D01*
+X136088421Y-70175398D01*
+X136145409Y-70118410D01*
+X140861195Y-70118410D01*
+X140941306Y-70285043D01*
+X141144213Y-70375111D01*
+X141360793Y-70423864D01*
+X141582723Y-70429428D01*
+X141801474Y-70391588D01*
+X142008640Y-70311798D01*
+X142058694Y-70285043D01*
+X142138805Y-70118410D01*
+X141500000Y-69479605D01*
+X140861195Y-70118410D01*
+X136145409Y-70118410D01*
+X136245398Y-70018421D01*
+X136368734Y-69833835D01*
+X136453690Y-69628734D01*
+X136497000Y-69411000D01*
+X136497000Y-69189000D01*
+X136453690Y-68971266D01*
+X136368734Y-68766165D01*
+X136245398Y-68581579D01*
+X136088421Y-68424602D01*
+X135903835Y-68301266D01*
+X135698734Y-68216310D01*
+X135481000Y-68173000D01*
+X135259000Y-68173000D01*
+X135041266Y-68216310D01*
+X134836165Y-68301266D01*
+X134651579Y-68424602D01*
+X134494602Y-68581579D01*
+X134371266Y-68766165D01*
+X134286310Y-68971266D01*
+X134243000Y-69189000D01*
+X134204537Y-69189000D01*
+X134171588Y-68998526D01*
+X134091798Y-68791360D01*
+X134065043Y-68741306D01*
+X133898410Y-68661195D01*
+X133259605Y-69300000D01*
+X132900395Y-69300000D01*
+X132261590Y-68661195D01*
+X132094957Y-68741306D01*
+X132004889Y-68944213D01*
+X131956136Y-69160793D01*
+X131950572Y-69382723D01*
+X125067000Y-69382723D01*
+X125067000Y-69189000D01*
+X125023690Y-68971266D01*
+X124938734Y-68766165D01*
+X124815398Y-68581579D01*
+X124715409Y-68481590D01*
+X132441195Y-68481590D01*
+X133080000Y-69120395D01*
+X133718805Y-68481590D01*
+X133638694Y-68314957D01*
+X133435787Y-68224889D01*
+X133219207Y-68176136D01*
+X132997277Y-68170572D01*
+X132778526Y-68208412D01*
+X132571360Y-68288202D01*
+X132521306Y-68314957D01*
+X132441195Y-68481590D01*
+X124715409Y-68481590D01*
+X124658421Y-68424602D01*
+X124473835Y-68301266D01*
+X124268734Y-68216310D01*
+X124051000Y-68173000D01*
+X123829000Y-68173000D01*
+X123611266Y-68216310D01*
+X123406165Y-68301266D01*
+X123221579Y-68424602D01*
+X123064602Y-68581579D01*
+X122941266Y-68766165D01*
+X122856310Y-68971266D01*
+X122813000Y-69189000D01*
+X122774537Y-69189000D01*
+X122741588Y-68998526D01*
+X122661798Y-68791360D01*
+X122635043Y-68741306D01*
+X122468410Y-68661195D01*
+X121829605Y-69300000D01*
+X121470395Y-69300000D01*
+X120831590Y-68661195D01*
+X120664957Y-68741306D01*
+X120574889Y-68944213D01*
+X120526136Y-69160793D01*
+X120520572Y-69382723D01*
+X70452000Y-69382723D01*
+X70452000Y-69054192D01*
+X108725413Y-69054192D01*
+X108811595Y-69225936D01*
+X109023051Y-69321015D01*
+X109248992Y-69373014D01*
+X109480738Y-69379936D01*
+X109709380Y-69341512D01*
+X109926133Y-69259221D01*
+X109988405Y-69225936D01*
+X110074587Y-69054192D01*
+X109400000Y-68379605D01*
+X108725413Y-69054192D01*
+X70452000Y-69054192D01*
+X70452000Y-68280738D01*
+X108220064Y-68280738D01*
+X108258488Y-68509380D01*
+X108340779Y-68726133D01*
+X108374064Y-68788405D01*
+X108545808Y-68874587D01*
+X109220395Y-68200000D01*
+X109206253Y-68185858D01*
+X109385858Y-68006253D01*
+X109400000Y-68020395D01*
+X109414143Y-68006253D01*
+X109593748Y-68185858D01*
+X109579605Y-68200000D01*
+X110254192Y-68874587D01*
+X110425936Y-68788405D01*
+X110521015Y-68576949D01*
+X110542961Y-68481590D01*
+X121011195Y-68481590D01*
+X121650000Y-69120395D01*
+X122288805Y-68481590D01*
+X122208694Y-68314957D01*
+X122005787Y-68224889D01*
+X121789207Y-68176136D01*
+X121567277Y-68170572D01*
+X121348526Y-68208412D01*
+X121141360Y-68288202D01*
+X121091306Y-68314957D01*
+X121011195Y-68481590D01*
+X110542961Y-68481590D01*
+X110573014Y-68351008D01*
+X110579936Y-68119262D01*
+X110541512Y-67890620D01*
+X110459221Y-67673867D01*
+X110425936Y-67611595D01*
+X110254193Y-67525414D01*
+X110325661Y-67453946D01*
+X110246008Y-67374293D01*
+X110273905Y-67371545D01*
+X110288888Y-67367000D01*
+X115321176Y-67367000D01*
+X115321176Y-67440000D01*
+X115328455Y-67513905D01*
+X115350012Y-67584970D01*
+X115385019Y-67650463D01*
+X115432131Y-67707869D01*
+X115489537Y-67754981D01*
+X115555030Y-67789988D01*
+X115626095Y-67811545D01*
+X115700000Y-67818824D01*
+X117400000Y-67818824D01*
+X117473905Y-67811545D01*
+X117544970Y-67789988D01*
+X117610463Y-67754981D01*
+X117667869Y-67707869D01*
+X117714981Y-67650463D01*
+X117749988Y-67584970D01*
+X117771545Y-67513905D01*
+X117778824Y-67440000D01*
+X117778824Y-65740000D01*
+X117771545Y-65666095D01*
+X117749988Y-65595030D01*
+X117714981Y-65529537D01*
+X117667869Y-65472131D01*
+X117610463Y-65425019D01*
+X117544970Y-65390012D01*
+X117473905Y-65368455D01*
+X117400000Y-65361176D01*
+X115700000Y-65361176D01*
+X115626095Y-65368455D01*
+X115555030Y-65390012D01*
+X115489537Y-65425019D01*
+X115432131Y-65472131D01*
+X115385019Y-65529537D01*
+X115350012Y-65595030D01*
+X115328455Y-65666095D01*
+X115321176Y-65740000D01*
+X115321176Y-65813000D01*
+X110578824Y-65813000D01*
+X110578824Y-65400000D01*
+X110571545Y-65326095D01*
+X110549988Y-65255030D01*
+X110514981Y-65189537D01*
+X110467869Y-65132131D01*
+X110410463Y-65085019D01*
+X110344970Y-65050012D01*
+X110273905Y-65028455D01*
+X110200000Y-65021176D01*
+X108600000Y-65021176D01*
+X108526095Y-65028455D01*
+X108455030Y-65050012D01*
+X108389537Y-65085019D01*
+X108332131Y-65132131D01*
+X108285019Y-65189537D01*
+X108250012Y-65255030D01*
+X108228455Y-65326095D01*
+X108221176Y-65400000D01*
+X108221176Y-67000000D01*
+X108228455Y-67073905D01*
+X108250012Y-67144970D01*
+X108285019Y-67210463D01*
+X108332131Y-67267869D01*
+X108389537Y-67314981D01*
+X108455030Y-67349988D01*
+X108526095Y-67371545D01*
+X108553992Y-67374293D01*
+X108474339Y-67453946D01*
+X108545807Y-67525414D01*
+X108374064Y-67611595D01*
+X108278985Y-67823051D01*
+X108226986Y-68048992D01*
+X108220064Y-68280738D01*
+X70452000Y-68280738D01*
+X70452000Y-65127000D01*
+X80000000Y-65127000D01*
+X80024776Y-65124560D01*
+X80048601Y-65117333D01*
+X80070557Y-65105597D01*
+X80089803Y-65089803D01*
+X80105597Y-65070557D01*
+X80117333Y-65048601D01*
+X80124560Y-65024776D01*
+X80127000Y-65000000D01*
+X80127000Y-63929151D01*
+X115323000Y-63929151D01*
+X115323000Y-64170849D01*
+X115370153Y-64407903D01*
+X115462647Y-64631202D01*
+X115596927Y-64832167D01*
+X115767833Y-65003073D01*
+X115968798Y-65137353D01*
+X116192097Y-65229847D01*
+X116429151Y-65277000D01*
+X116670849Y-65277000D01*
+X116907903Y-65229847D01*
+X117131202Y-65137353D01*
+X117332167Y-65003073D01*
+X117503073Y-64832167D01*
+X117637353Y-64631202D01*
+X117729847Y-64407903D01*
+X117777000Y-64170849D01*
+X117777000Y-63929151D01*
+X117729847Y-63692097D01*
+X117637353Y-63468798D01*
+X117503073Y-63267833D01*
+X117332167Y-63096927D01*
+X117131202Y-62962647D01*
+X116907903Y-62870153D01*
+X116670849Y-62823000D01*
+X116429151Y-62823000D01*
+X116192097Y-62870153D01*
+X115968798Y-62962647D01*
+X115767833Y-63096927D01*
+X115596927Y-63267833D01*
+X115462647Y-63468798D01*
+X115370153Y-63692097D01*
+X115323000Y-63929151D01*
+X80127000Y-63929151D01*
+X80127000Y-60450000D01*
+X85621176Y-60450000D01*
+X85621176Y-63050000D01*
+X85628455Y-63123905D01*
+X85650012Y-63194970D01*
+X85685019Y-63260463D01*
+X85732131Y-63317869D01*
+X85789537Y-63364981D01*
+X85855030Y-63399988D01*
+X85926095Y-63421545D01*
+X86000000Y-63428824D01*
+X88000000Y-63428824D01*
+X88073905Y-63421545D01*
+X88144970Y-63399988D01*
+X88210463Y-63364981D01*
+X88267869Y-63317869D01*
+X88314981Y-63260463D01*
+X88349988Y-63194970D01*
+X88371545Y-63123905D01*
+X88378824Y-63050000D01*
+X88378824Y-62788950D01*
+X88465624Y-62920617D01*
+X88656117Y-63113489D01*
+X88880577Y-63265491D01*
+X89130378Y-63370783D01*
+X89200071Y-63384383D01*
+X89413000Y-63326448D01*
+X89413000Y-61877000D01*
+X89667000Y-61877000D01*
+X89667000Y-63326448D01*
+X89879929Y-63384383D01*
+X89949622Y-63370783D01*
+X90199423Y-63265491D01*
+X90423883Y-63113489D01*
+X90614376Y-62920617D01*
+X90763581Y-62694288D01*
+X90865765Y-62443199D01*
+X90917000Y-62177000D01*
+X90917000Y-61877000D01*
+X89667000Y-61877000D01*
+X89413000Y-61877000D01*
+X89393000Y-61877000D01*
+X89393000Y-61826351D01*
+X115364482Y-61826351D01*
+X115388518Y-61905605D01*
+X115488014Y-62124597D01*
+X115628322Y-62319971D01*
+X115804049Y-62484218D01*
+X116008443Y-62611026D01*
+X116233648Y-62695523D01*
+X116423000Y-62636166D01*
+X116423000Y-61637000D01*
+X116677000Y-61637000D01*
+X116677000Y-62636166D01*
+X116866352Y-62695523D01*
+X117091557Y-62611026D01*
+X117295951Y-62484218D01*
+X117471678Y-62319971D01*
+X117611986Y-62124597D01*
+X117711482Y-61905605D01*
+X117735518Y-61826351D01*
+X117675608Y-61637000D01*
+X116677000Y-61637000D01*
+X116423000Y-61637000D01*
+X115424392Y-61637000D01*
+X115364482Y-61826351D01*
+X89393000Y-61826351D01*
+X89393000Y-61623000D01*
+X89413000Y-61623000D01*
+X89413000Y-60173552D01*
+X89667000Y-60173552D01*
+X89667000Y-61623000D01*
+X90917000Y-61623000D01*
+X90917000Y-61323000D01*
+X90892104Y-61193649D01*
+X115364482Y-61193649D01*
+X115424392Y-61383000D01*
+X116423000Y-61383000D01*
+X116423000Y-60383834D01*
+X116677000Y-60383834D01*
+X116677000Y-61383000D01*
+X117675608Y-61383000D01*
+X117735518Y-61193649D01*
+X117711482Y-61114395D01*
+X117611986Y-60895403D01*
+X117471678Y-60700029D01*
+X117295951Y-60535782D01*
+X117091557Y-60408974D01*
+X116866352Y-60324477D01*
+X116677000Y-60383834D01*
+X116423000Y-60383834D01*
+X116233648Y-60324477D01*
+X116008443Y-60408974D01*
+X115804049Y-60535782D01*
+X115628322Y-60700029D01*
+X115488014Y-60895403D01*
+X115388518Y-61114395D01*
+X115364482Y-61193649D01*
+X90892104Y-61193649D01*
+X90865765Y-61056801D01*
+X90763581Y-60805712D01*
+X90614376Y-60579383D01*
+X90423883Y-60386511D01*
+X90199423Y-60234509D01*
+X89949622Y-60129217D01*
+X89879929Y-60115617D01*
+X89667000Y-60173552D01*
+X89413000Y-60173552D01*
+X89200071Y-60115617D01*
+X89130378Y-60129217D01*
+X88880577Y-60234509D01*
+X88656117Y-60386511D01*
+X88465624Y-60579383D01*
+X88378824Y-60711050D01*
+X88378824Y-60450000D01*
+X88371545Y-60376095D01*
+X88349988Y-60305030D01*
+X88314981Y-60239537D01*
+X88267869Y-60182131D01*
+X88210463Y-60135019D01*
+X88144970Y-60100012D01*
+X88073905Y-60078455D01*
+X88000000Y-60071176D01*
+X86000000Y-60071176D01*
+X85926095Y-60078455D01*
+X85855030Y-60100012D01*
+X85789537Y-60135019D01*
+X85732131Y-60182131D01*
+X85685019Y-60239537D01*
+X85650012Y-60305030D01*
+X85628455Y-60376095D01*
+X85621176Y-60450000D01*
+X80127000Y-60450000D01*
+X80127000Y-58849151D01*
+X115323000Y-58849151D01*
+X115323000Y-59090849D01*
+X115370153Y-59327903D01*
+X115462647Y-59551202D01*
+X115596927Y-59752167D01*
+X115767833Y-59923073D01*
+X115968798Y-60057353D01*
+X116192097Y-60149847D01*
+X116429151Y-60197000D01*
+X116670849Y-60197000D01*
+X116907903Y-60149847D01*
+X117131202Y-60057353D01*
+X117332167Y-59923073D01*
+X117503073Y-59752167D01*
+X117637353Y-59551202D01*
+X117729847Y-59327903D01*
+X117777000Y-59090849D01*
+X117777000Y-58849151D01*
+X117729847Y-58612097D01*
+X117637353Y-58388798D01*
+X117503073Y-58187833D01*
+X117332167Y-58016927D01*
+X117131202Y-57882647D01*
+X116907903Y-57790153D01*
+X116670849Y-57743000D01*
+X116429151Y-57743000D01*
+X116192097Y-57790153D01*
+X115968798Y-57882647D01*
+X115767833Y-58016927D01*
+X115596927Y-58187833D01*
+X115462647Y-58388798D01*
+X115370153Y-58612097D01*
+X115323000Y-58849151D01*
+X80127000Y-58849151D01*
+X80127000Y-55452000D01*
+X118823000Y-55452000D01*
+X118823000Y-67200000D01*
+G37*
+X118823000Y-67200000D02*
+X118830244Y-67273549D01*
+X118851697Y-67344272D01*
+X118886536Y-67409450D01*
+X118933421Y-67466579D01*
+X118990550Y-67513464D01*
+X119055728Y-67548303D01*
+X119126451Y-67569756D01*
+X119200000Y-67577000D01*
+X135690289Y-67577000D01*
+X136792044Y-68678756D01*
+X136752859Y-68773357D01*
+X136723000Y-68923472D01*
+X136723000Y-69076528D01*
+X136752859Y-69226643D01*
+X136811431Y-69368048D01*
+X136896464Y-69495309D01*
+X137004691Y-69603536D01*
+X137131952Y-69688569D01*
+X137273357Y-69747141D01*
+X137423472Y-69777000D01*
+X137576528Y-69777000D01*
+X137726643Y-69747141D01*
+X137868048Y-69688569D01*
+X137940987Y-69639832D01*
+X138004691Y-69703536D01*
+X138131952Y-69788569D01*
+X138273357Y-69847141D01*
+X138423472Y-69877000D01*
+X138576528Y-69877000D01*
+X138726643Y-69847141D01*
+X138868048Y-69788569D01*
+X138995309Y-69703536D01*
+X139103536Y-69595309D01*
+X139188569Y-69468048D01*
+X139223911Y-69382723D01*
+X140370572Y-69382723D01*
+X140408412Y-69601474D01*
+X140488202Y-69808640D01*
+X140514957Y-69858694D01*
+X140681590Y-69938805D01*
+X141320395Y-69300000D01*
+X141679605Y-69300000D01*
+X142318410Y-69938805D01*
+X142485043Y-69858694D01*
+X142575111Y-69655787D01*
+X142623864Y-69439207D01*
+X142629428Y-69217277D01*
+X142624537Y-69189000D01*
+X142663000Y-69189000D01*
+X142663000Y-69411000D01*
+X142706310Y-69628734D01*
+X142791266Y-69833835D01*
+X142914602Y-70018421D01*
+X143071579Y-70175398D01*
+X143256165Y-70298734D01*
+X143461266Y-70383690D01*
+X143679000Y-70427000D01*
+X143901000Y-70427000D01*
+X144118734Y-70383690D01*
+X144323835Y-70298734D01*
+X144508421Y-70175398D01*
+X144565409Y-70118410D01*
+X152291195Y-70118410D01*
+X152371306Y-70285043D01*
+X152574213Y-70375111D01*
+X152790793Y-70423864D01*
+X153012723Y-70429428D01*
+X153231474Y-70391588D01*
+X153438640Y-70311798D01*
+X153488694Y-70285043D01*
+X153568805Y-70118410D01*
+X152930000Y-69479605D01*
+X152291195Y-70118410D01*
+X144565409Y-70118410D01*
+X144665398Y-70018421D01*
+X144788734Y-69833835D01*
+X144873690Y-69628734D01*
+X144917000Y-69411000D01*
+X144917000Y-69382723D01*
+X151800572Y-69382723D01*
+X151838412Y-69601474D01*
+X151918202Y-69808640D01*
+X151944957Y-69858694D01*
+X152111590Y-69938805D01*
+X152750395Y-69300000D01*
+X153109605Y-69300000D01*
+X153748410Y-69938805D01*
+X153915043Y-69858694D01*
+X154005111Y-69655787D01*
+X154053864Y-69439207D01*
+X154059428Y-69217277D01*
+X154054537Y-69189000D01*
+X154093000Y-69189000D01*
+X154093000Y-69411000D01*
+X154136310Y-69628734D01*
+X154221266Y-69833835D01*
+X154344602Y-70018421D01*
+X154501579Y-70175398D01*
+X154686165Y-70298734D01*
+X154891266Y-70383690D01*
+X155109000Y-70427000D01*
+X155331000Y-70427000D01*
+X155548734Y-70383690D01*
+X155753835Y-70298734D01*
+X155938421Y-70175398D01*
+X156095398Y-70018421D01*
+X156218734Y-69833835D01*
+X156303690Y-69628734D01*
+X156347000Y-69411000D01*
+X156347000Y-69189000D01*
+X156303690Y-68971266D01*
+X156218734Y-68766165D01*
+X156095398Y-68581579D01*
+X155938421Y-68424602D01*
+X155753835Y-68301266D01*
+X155548734Y-68216310D01*
+X155331000Y-68173000D01*
+X155109000Y-68173000D01*
+X154891266Y-68216310D01*
+X154686165Y-68301266D01*
+X154501579Y-68424602D01*
+X154344602Y-68581579D01*
+X154221266Y-68766165D01*
+X154136310Y-68971266D01*
+X154093000Y-69189000D01*
+X154054537Y-69189000D01*
+X154021588Y-68998526D01*
+X153941798Y-68791360D01*
+X153915043Y-68741306D01*
+X153748410Y-68661195D01*
+X153109605Y-69300000D01*
+X152750395Y-69300000D01*
+X152111590Y-68661195D01*
+X151944957Y-68741306D01*
+X151854889Y-68944213D01*
+X151806136Y-69160793D01*
+X151800572Y-69382723D01*
+X144917000Y-69382723D01*
+X144917000Y-69189000D01*
+X144873690Y-68971266D01*
+X144788734Y-68766165D01*
+X144665398Y-68581579D01*
+X144565409Y-68481590D01*
+X152291195Y-68481590D01*
+X152930000Y-69120395D01*
+X153568805Y-68481590D01*
+X153488694Y-68314957D01*
+X153285787Y-68224889D01*
+X153069207Y-68176136D01*
+X152847277Y-68170572D01*
+X152628526Y-68208412D01*
+X152421360Y-68288202D01*
+X152371306Y-68314957D01*
+X152291195Y-68481590D01*
+X144565409Y-68481590D01*
+X144508421Y-68424602D01*
+X144323835Y-68301266D01*
+X144118734Y-68216310D01*
+X143901000Y-68173000D01*
+X143679000Y-68173000D01*
+X143461266Y-68216310D01*
+X143256165Y-68301266D01*
+X143071579Y-68424602D01*
+X142914602Y-68581579D01*
+X142791266Y-68766165D01*
+X142706310Y-68971266D01*
+X142663000Y-69189000D01*
+X142624537Y-69189000D01*
+X142591588Y-68998526D01*
+X142511798Y-68791360D01*
+X142485043Y-68741306D01*
+X142318410Y-68661195D01*
+X141679605Y-69300000D01*
+X141320395Y-69300000D01*
+X140681590Y-68661195D01*
+X140514957Y-68741306D01*
+X140424889Y-68944213D01*
+X140376136Y-69160793D01*
+X140370572Y-69382723D01*
+X139223911Y-69382723D01*
+X139247141Y-69326643D01*
+X139277000Y-69176528D01*
+X139277000Y-69023472D01*
+X139247141Y-68873357D01*
+X139188569Y-68731952D01*
+X139127000Y-68639807D01*
+X139127000Y-68481590D01*
+X140861195Y-68481590D01*
+X141500000Y-69120395D01*
+X142138805Y-68481590D01*
+X142058694Y-68314957D01*
+X141855787Y-68224889D01*
+X141639207Y-68176136D01*
+X141417277Y-68170572D01*
+X141198526Y-68208412D01*
+X140991360Y-68288202D01*
+X140941306Y-68314957D01*
+X140861195Y-68481590D01*
+X139127000Y-68481590D01*
+X139127000Y-68210840D01*
+X139130033Y-68180046D01*
+X139117927Y-68057134D01*
+X139115457Y-68048992D01*
+X139082075Y-67938944D01*
+X139023853Y-67830019D01*
+X138945501Y-67734546D01*
+X138921578Y-67714913D01*
+X138783665Y-67577000D01*
+X158000000Y-67577000D01*
+X158073549Y-67569756D01*
+X158144272Y-67548303D01*
+X158209450Y-67513464D01*
+X158266579Y-67466579D01*
+X158313464Y-67409450D01*
+X158348303Y-67344272D01*
+X158369756Y-67273549D01*
+X158377000Y-67200000D01*
+X158377000Y-55452000D01*
+X159873000Y-55452000D01*
+X159873000Y-63000000D01*
+X159875440Y-63024776D01*
+X159882667Y-63048601D01*
+X159894403Y-63070557D01*
+X159910197Y-63089803D01*
+X161910197Y-65089803D01*
+X161929443Y-65105597D01*
+X161951399Y-65117333D01*
+X161975224Y-65124560D01*
+X162000000Y-65127000D01*
+X169548001Y-65127000D01*
+X169548001Y-93873000D01*
+X169252000Y-93873000D01*
+X169252000Y-91646845D01*
+X169353536Y-91545309D01*
+X169438569Y-91418048D01*
+X169497141Y-91276643D01*
+X169527000Y-91126528D01*
+X169527000Y-90973472D01*
+X169497141Y-90823357D01*
+X169438569Y-90681952D01*
+X169353536Y-90554691D01*
+X169245309Y-90446464D01*
+X169118048Y-90361431D01*
+X168976643Y-90302859D01*
+X168826528Y-90273000D01*
+X168673472Y-90273000D01*
+X168523357Y-90302859D01*
+X168381952Y-90361431D01*
+X168254691Y-90446464D01*
+X168146464Y-90554691D01*
+X168061431Y-90681952D01*
+X168002859Y-90823357D01*
+X167973000Y-90973472D01*
+X167973000Y-91126528D01*
+X168002859Y-91276643D01*
+X168061431Y-91418048D01*
+X168146464Y-91545309D01*
+X168248000Y-91646845D01*
+X168248000Y-93738066D01*
+X168177000Y-93667066D01*
+X168177000Y-93523472D01*
+X168147141Y-93373357D01*
+X168088569Y-93231952D01*
+X168003536Y-93104691D01*
+X167895309Y-92996464D01*
+X167768048Y-92911431D01*
+X167626643Y-92852859D01*
+X167476528Y-92823000D01*
+X167323472Y-92823000D01*
+X167173357Y-92852859D01*
+X167031952Y-92911431D01*
+X166904691Y-92996464D01*
+X166796464Y-93104691D01*
+X166711431Y-93231952D01*
+X166652859Y-93373357D01*
+X166623000Y-93523472D01*
+X166623000Y-93676528D01*
+X166652859Y-93826643D01*
+X166672061Y-93873000D01*
+X166282935Y-93873000D01*
+X164272402Y-91862468D01*
+X164256684Y-91843316D01*
+X164180245Y-91780583D01*
+X164093036Y-91733969D01*
+X163998409Y-91705264D01*
+X163924653Y-91698000D01*
+X163924643Y-91698000D01*
+X163900000Y-91695573D01*
+X163875357Y-91698000D01*
+X163321855Y-91698000D01*
+X163220319Y-91596464D01*
+X163093058Y-91511431D01*
+X162951653Y-91452859D01*
+X162801538Y-91423000D01*
+X162648482Y-91423000D01*
+X162498367Y-91452859D01*
+X162356962Y-91511431D01*
+X162229701Y-91596464D01*
+X162128165Y-91698000D01*
+X154953304Y-91698000D01*
+X154955952Y-91691608D01*
+X154985811Y-91541493D01*
+X154985811Y-91388437D01*
+X154955952Y-91238322D01*
+X154897380Y-91096917D01*
+X154812347Y-90969656D01*
+X154704120Y-90861429D01*
+X154576859Y-90776396D01*
+X154435454Y-90717824D01*
+X154285339Y-90687965D01*
+X154141746Y-90687965D01*
+X151316226Y-87862446D01*
+X151300508Y-87843294D01*
+X151224069Y-87780561D01*
+X151136860Y-87733947D01*
+X151042233Y-87705242D01*
+X150968477Y-87697978D01*
+X150968467Y-87697978D01*
+X150943824Y-87695551D01*
+X150919181Y-87697978D01*
+X125078841Y-87697978D01*
+X125054198Y-87695551D01*
+X125029555Y-87697978D01*
+X125029545Y-87697978D01*
+X124955789Y-87705242D01*
+X124861162Y-87733947D01*
+X124832665Y-87749179D01*
+X124773952Y-87780561D01*
+X124716662Y-87827578D01*
+X124716654Y-87827586D01*
+X124697514Y-87843294D01*
+X124681805Y-87862435D01*
+X122671241Y-89873000D01*
+X118500000Y-89873000D01*
+X118475224Y-89875440D01*
+X118451399Y-89882667D01*
+X118429443Y-89894403D01*
+X118410197Y-89910197D01*
+X118394403Y-89929443D01*
+X118382667Y-89951399D01*
+X118375440Y-89975224D01*
+X118373000Y-90000000D01*
+X118373000Y-91798000D01*
+X112707935Y-91798000D01*
+X112248139Y-91338204D01*
+X112368048Y-91288536D01*
+X112495309Y-91203503D01*
+X112603536Y-91095276D01*
+X112688569Y-90968015D01*
+X112747141Y-90826610D01*
+X112777000Y-90676495D01*
+X112777000Y-90523439D01*
+X112747141Y-90373324D01*
+X112688569Y-90231919D01*
+X112603536Y-90104658D01*
+X112502000Y-90003122D01*
+X112502000Y-89224604D01*
+X112531350Y-89195254D01*
+X112616383Y-89067993D01*
+X112674955Y-88926588D01*
+X112704814Y-88776473D01*
+X112704814Y-88623417D01*
+X112674955Y-88473302D01*
+X112616383Y-88331897D01*
+X112531350Y-88204636D01*
+X112423123Y-88096409D01*
+X112295862Y-88011376D01*
+X112154457Y-87952804D01*
+X112004342Y-87922945D01*
+X111851286Y-87922945D01*
+X111701171Y-87952804D01*
+X111559766Y-88011376D01*
+X111432505Y-88096409D01*
+X111324278Y-88204636D01*
+X111239245Y-88331897D01*
+X111180673Y-88473302D01*
+X111150814Y-88623417D01*
+X111150814Y-88776473D01*
+X111180673Y-88926588D01*
+X111239245Y-89067993D01*
+X111324278Y-89195254D01*
+X111432505Y-89303481D01*
+X111498001Y-89347244D01*
+X111498000Y-90003122D01*
+X111396464Y-90104658D01*
+X111311431Y-90231919D01*
+X111261763Y-90351829D01*
+X111127001Y-90217067D01*
+X111127001Y-89951336D01*
+X111129428Y-89926693D01*
+X111127001Y-89902050D01*
+X111127001Y-89902040D01*
+X111119737Y-89828284D01*
+X111091032Y-89733657D01*
+X111062628Y-89680517D01*
+X111044418Y-89646447D01*
+X110997401Y-89589157D01*
+X110997393Y-89589149D01*
+X110981685Y-89570009D01*
+X110962544Y-89554300D01*
+X109433055Y-88024813D01*
+X109417338Y-88005662D01*
+X109340899Y-87942929D01*
+X109253690Y-87896315D01*
+X109159063Y-87867610D01*
+X109085307Y-87860346D01*
+X109085297Y-87860346D01*
+X109060654Y-87857919D01*
+X109036011Y-87860346D01*
+X107762296Y-87860346D01*
+X107737653Y-87857919D01*
+X107713010Y-87860346D01*
+X107713001Y-87860346D01*
+X107639245Y-87867610D01*
+X107544618Y-87896315D01*
+X107457409Y-87942929D01*
+X107380970Y-88005662D01*
+X107365257Y-88024808D01*
+X106904413Y-88485653D01*
+X106760819Y-88485653D01*
+X106610704Y-88515512D01*
+X106469299Y-88574084D01*
+X106342038Y-88659117D01*
+X106233811Y-88767344D01*
+X106148778Y-88894605D01*
+X106090206Y-89036010D01*
+X106060347Y-89186125D01*
+X106060347Y-89339181D01*
+X106090206Y-89489296D01*
+X106148778Y-89630701D01*
+X106233811Y-89757962D01*
+X106342038Y-89866189D01*
+X106469299Y-89951222D01*
+X106610704Y-90009794D01*
+X106760819Y-90039653D01*
+X106913875Y-90039653D01*
+X107063990Y-90009794D01*
+X107205395Y-89951222D01*
+X107332656Y-89866189D01*
+X107440883Y-89757962D01*
+X107525916Y-89630701D01*
+X107584488Y-89489296D01*
+X107614347Y-89339181D01*
+X107614347Y-89195587D01*
+X107945589Y-88864346D01*
+X107964788Y-88864346D01*
+X107935653Y-89010819D01*
+X107935653Y-89163875D01*
+X107965512Y-89313990D01*
+X108024084Y-89455395D01*
+X108109117Y-89582656D01*
+X108217344Y-89690883D01*
+X108332996Y-89768159D01*
+X108296464Y-89804691D01*
+X108211431Y-89931952D01*
+X108152859Y-90073357D01*
+X108123000Y-90223472D01*
+X108123000Y-90376528D01*
+X108152859Y-90526643D01*
+X108211431Y-90668048D01*
+X108296464Y-90795309D01*
+X108398712Y-90897557D01*
+X108405265Y-90964094D01*
+X108433970Y-91058721D01*
+X108480584Y-91145930D01*
+X108527601Y-91203220D01*
+X108527604Y-91203223D01*
+X108543317Y-91222369D01*
+X108562463Y-91238082D01*
+X108836913Y-91512532D01*
+X108852631Y-91531684D01*
+X108929070Y-91594417D01*
+X109016279Y-91641031D01*
+X109110906Y-91669736D01*
+X109184662Y-91677000D01*
+X109184671Y-91677000D01*
+X109209314Y-91679427D01*
+X109233957Y-91677000D01*
+X109855667Y-91677000D01*
+X111716230Y-93537565D01*
+X111731939Y-93556706D01*
+X111751079Y-93572414D01*
+X111751087Y-93572422D01*
+X111808377Y-93619439D01*
+X111895586Y-93666053D01*
+X111990213Y-93694758D01*
+X111999055Y-93695629D01*
+X112063970Y-93702022D01*
+X112063977Y-93702022D01*
+X112088623Y-93704449D01*
+X112113268Y-93702022D01*
+X118373000Y-93702022D01*
+X118373000Y-93873000D01*
+X70452000Y-93873000D01*
+X70452000Y-91000000D01*
+X92219241Y-91000000D01*
+X92223000Y-91038163D01*
+X92223000Y-91076528D01*
+X92230486Y-91114162D01*
+X92234244Y-91152318D01*
+X92245372Y-91189003D01*
+X92252859Y-91226643D01*
+X92267545Y-91262097D01*
+X92278673Y-91298783D01*
+X92296746Y-91332595D01*
+X92311431Y-91368048D01*
+X92332751Y-91399956D01*
+X92350823Y-91433766D01*
+X92375144Y-91463401D01*
+X92396464Y-91495309D01*
+X92504691Y-91603536D01*
+X92504697Y-91603540D01*
+X92823586Y-91922429D01*
+X92847919Y-91952079D01*
+X92966233Y-92049176D01*
+X93101215Y-92121326D01*
+X93247680Y-92165756D01*
+X93361833Y-92176999D01*
+X93361835Y-92176999D01*
+X93399998Y-92180758D01*
+X93438162Y-92176999D01*
+X98861838Y-92176999D01*
+X98900001Y-92180758D01*
+X98938164Y-92176999D01*
+X98938167Y-92176999D01*
+X99052320Y-92165756D01*
+X99198785Y-92121326D01*
+X99333767Y-92049176D01*
+X99452081Y-91952079D01*
+X99476413Y-91922430D01*
+X100022438Y-91376406D01*
+X100052081Y-91352079D01*
+X100104229Y-91288536D01*
+X100149178Y-91233766D01*
+X100221328Y-91098783D01*
+X100232013Y-91063558D01*
+X100265758Y-90952318D01*
+X100277001Y-90838165D01*
+X100277001Y-90838156D01*
+X100280759Y-90800000D01*
+X100277001Y-90761844D01*
+X100277001Y-87138164D01*
+X100280760Y-87100001D01*
+X100277001Y-87061835D01*
+X100265758Y-86947682D01*
+X100221328Y-86801217D01*
+X100191684Y-86745757D01*
+X100149179Y-86666235D01*
+X100076410Y-86577566D01*
+X100076409Y-86577565D01*
+X100052081Y-86547921D01*
+X100022437Y-86523593D01*
+X99527000Y-86028157D01*
+X99527000Y-83671845D01*
+X99603536Y-83595309D01*
+X99624856Y-83563401D01*
+X99649177Y-83533766D01*
+X99667249Y-83499956D01*
+X99688569Y-83468048D01*
+X99703254Y-83432595D01*
+X99721327Y-83398783D01*
+X99732456Y-83362097D01*
+X99747141Y-83326643D01*
+X99754627Y-83289005D01*
+X99765756Y-83252319D01*
+X99769514Y-83214164D01*
+X99777000Y-83176528D01*
+X99777000Y-83138157D01*
+X99780758Y-83100001D01*
+X99777000Y-83061845D01*
+X99777000Y-83023472D01*
+X99769514Y-82985835D01*
+X99765756Y-82947682D01*
+X99754628Y-82910998D01*
+X99747141Y-82873357D01*
+X99732454Y-82837901D01*
+X99721327Y-82801218D01*
+X99703256Y-82767410D01*
+X99688569Y-82731952D01*
+X99667246Y-82700040D01*
+X99649177Y-82666235D01*
+X99624860Y-82636605D01*
+X99603536Y-82604691D01*
+X99576395Y-82577550D01*
+X99552079Y-82547921D01*
+X99522450Y-82523605D01*
+X99495309Y-82496464D01*
+X99463395Y-82475140D01*
+X99433765Y-82450823D01*
+X99399960Y-82432754D01*
+X99368048Y-82411431D01*
+X99332590Y-82396744D01*
+X99298782Y-82378673D01*
+X99262099Y-82367546D01*
+X99226643Y-82352859D01*
+X99189002Y-82345372D01*
+X99152318Y-82334244D01*
+X99114165Y-82330486D01*
+X99076528Y-82323000D01*
+X99038155Y-82323000D01*
+X98999999Y-82319242D01*
+X98961843Y-82323000D01*
+X98923472Y-82323000D01*
+X98885836Y-82330486D01*
+X98847681Y-82334244D01*
+X98810995Y-82345373D01*
+X98773357Y-82352859D01*
+X98737903Y-82367544D01*
+X98701217Y-82378673D01*
+X98667405Y-82396746D01*
+X98631952Y-82411431D01*
+X98600044Y-82432751D01*
+X98566234Y-82450823D01*
+X98536599Y-82475144D01*
+X98504691Y-82496464D01*
+X98396464Y-82604691D01*
+X98396460Y-82604697D01*
+X98227565Y-82773592D01*
+X98197921Y-82797920D01*
+X98173593Y-82827564D01*
+X98173591Y-82827566D01*
+X98100823Y-82916234D01*
+X98028673Y-83051217D01*
+X97984244Y-83197682D01*
+X97969241Y-83350000D01*
+X97973001Y-83388173D01*
+X97973000Y-86273472D01*
+X97973000Y-86311837D01*
+X97969241Y-86350000D01*
+X97973000Y-86388163D01*
+X97973000Y-86426528D01*
+X97980484Y-86464155D01*
+X97984243Y-86502318D01*
+X97995374Y-86539010D01*
+X98002859Y-86576643D01*
+X98017545Y-86612097D01*
+X98028673Y-86648783D01*
+X98046746Y-86682595D01*
+X98061431Y-86718048D01*
+X98082751Y-86749956D01*
+X98100823Y-86783766D01*
+X98125144Y-86813401D01*
+X98146464Y-86845309D01*
+X98173598Y-86872443D01*
+X98197920Y-86902080D01*
+X98227558Y-86926403D01*
+X98254691Y-86953536D01*
+X98254697Y-86953540D01*
+X98723002Y-87421846D01*
+X98723001Y-90478155D01*
+X98578158Y-90622999D01*
+X93721842Y-90622999D01*
+X93603540Y-90504697D01*
+X93603536Y-90504691D01*
+X93495309Y-90396464D01*
+X93463401Y-90375144D01*
+X93433766Y-90350823D01*
+X93399956Y-90332751D01*
+X93368048Y-90311431D01*
+X93332595Y-90296746D01*
+X93298783Y-90278673D01*
+X93262097Y-90267545D01*
+X93226643Y-90252859D01*
+X93189003Y-90245372D01*
+X93152318Y-90234244D01*
+X93114162Y-90230486D01*
+X93076528Y-90223000D01*
+X93038163Y-90223000D01*
+X93000000Y-90219241D01*
+X92961837Y-90223000D01*
+X92923472Y-90223000D01*
+X92885838Y-90230486D01*
+X92847682Y-90234244D01*
+X92810997Y-90245372D01*
+X92773357Y-90252859D01*
+X92737903Y-90267545D01*
+X92701217Y-90278673D01*
+X92667405Y-90296746D01*
+X92631952Y-90311431D01*
+X92600044Y-90332751D01*
+X92566234Y-90350823D01*
+X92536601Y-90375142D01*
+X92504691Y-90396464D01*
+X92477551Y-90423604D01*
+X92447921Y-90447921D01*
+X92423604Y-90477551D01*
+X92396464Y-90504691D01*
+X92375142Y-90536601D01*
+X92350823Y-90566234D01*
+X92332751Y-90600044D01*
+X92311431Y-90631952D01*
+X92296746Y-90667405D01*
+X92278673Y-90701217D01*
+X92267545Y-90737903D01*
+X92252859Y-90773357D01*
+X92245372Y-90810997D01*
+X92234244Y-90847682D01*
+X92230486Y-90885838D01*
+X92223000Y-90923472D01*
+X92223000Y-90961837D01*
+X92219241Y-91000000D01*
+X70452000Y-91000000D01*
+X70452000Y-88947122D01*
+X75082483Y-88947122D01*
+X75192881Y-89139330D01*
+X75438495Y-89254430D01*
+X75701845Y-89319400D01*
+X75972809Y-89331745D01*
+X76240975Y-89290991D01*
+X76496038Y-89198703D01*
+X76607119Y-89139330D01*
+X76717517Y-88947122D01*
+X75900000Y-88129605D01*
+X75082483Y-88947122D01*
+X70452000Y-88947122D01*
+X70452000Y-88022809D01*
+X74518255Y-88022809D01*
+X74559009Y-88290975D01*
+X74651297Y-88546038D01*
+X74710670Y-88657119D01*
+X74902878Y-88767517D01*
+X75720395Y-87950000D01*
+X76079605Y-87950000D01*
+X76897122Y-88767517D01*
+X77089330Y-88657119D01*
+X77204430Y-88411505D01*
+X77269400Y-88148155D01*
+X77281745Y-87877191D01*
+X77240991Y-87609025D01*
+X77148703Y-87353962D01*
+X77089330Y-87242881D01*
+X76897122Y-87132483D01*
+X76079605Y-87950000D01*
+X75720395Y-87950000D01*
+X74902878Y-87132483D01*
+X74710670Y-87242881D01*
+X74595570Y-87488495D01*
+X74530600Y-87751845D01*
+X74518255Y-88022809D01*
+X70452000Y-88022809D01*
+X70452000Y-86952878D01*
+X75082483Y-86952878D01*
+X75900000Y-87770395D01*
+X76717517Y-86952878D01*
+X76715864Y-86950000D01*
+X79169483Y-86950000D01*
+X79169483Y-88950000D01*
+X79183520Y-89092517D01*
+X79225090Y-89229557D01*
+X79292597Y-89355853D01*
+X79383446Y-89466554D01*
+X79494147Y-89557403D01*
+X79620443Y-89624910D01*
+X79757483Y-89666480D01*
+X79900000Y-89680517D01*
+X81900000Y-89680517D01*
+X82042517Y-89666480D01*
+X82179557Y-89624910D01*
+X82305853Y-89557403D01*
+X82416554Y-89466554D01*
+X82507403Y-89355853D01*
+X82574910Y-89229557D01*
+X82616480Y-89092517D01*
+X82630517Y-88950000D01*
+X82630517Y-86950000D01*
+X82616480Y-86807483D01*
+X82574910Y-86670443D01*
+X82507403Y-86544147D01*
+X82416554Y-86433446D01*
+X82305853Y-86342597D01*
+X82179557Y-86275090D01*
+X82042517Y-86233520D01*
+X81900000Y-86219483D01*
+X79900000Y-86219483D01*
+X79757483Y-86233520D01*
+X79620443Y-86275090D01*
+X79494147Y-86342597D01*
+X79383446Y-86433446D01*
+X79292597Y-86544147D01*
+X79225090Y-86670443D01*
+X79183520Y-86807483D01*
+X79169483Y-86950000D01*
+X76715864Y-86950000D01*
+X76607119Y-86760670D01*
+X76361505Y-86645570D01*
+X76098155Y-86580600D01*
+X75827191Y-86568255D01*
+X75559025Y-86609009D01*
+X75303962Y-86701297D01*
+X75192881Y-86760670D01*
+X75082483Y-86952878D01*
+X70452000Y-86952878D01*
+X70452000Y-79500000D01*
+X105019241Y-79500000D01*
+X105023000Y-79538163D01*
+X105023000Y-79576528D01*
+X105030486Y-79614162D01*
+X105034244Y-79652318D01*
+X105045372Y-79689003D01*
+X105052859Y-79726643D01*
+X105067545Y-79762097D01*
+X105078673Y-79798783D01*
+X105096746Y-79832595D01*
+X105111431Y-79868048D01*
+X105132751Y-79899956D01*
+X105150823Y-79933766D01*
+X105175144Y-79963401D01*
+X105196464Y-79995309D01*
+X105304691Y-80103536D01*
+X105304697Y-80103540D01*
+X109123590Y-83922434D01*
+X109147920Y-83952080D01*
+X109177564Y-83976408D01*
+X109177565Y-83976409D01*
+X109266233Y-84049177D01*
+X109333725Y-84085252D01*
+X109401216Y-84121327D01*
+X109547681Y-84165757D01*
+X109661834Y-84177000D01*
+X109661836Y-84177000D01*
+X109700000Y-84180759D01*
+X109738163Y-84177000D01*
+X116598155Y-84177000D01*
+X118193590Y-85772436D01*
+X118217918Y-85802080D01*
+X118247562Y-85826408D01*
+X118247563Y-85826409D01*
+X118336231Y-85899177D01*
+X118445005Y-85957318D01*
+X118471214Y-85971327D01*
+X118617679Y-86015757D01*
+X118731832Y-86027000D01*
+X118731841Y-86027000D01*
+X118769997Y-86030758D01*
+X118808153Y-86027000D01*
+X121961837Y-86027000D01*
+X122000000Y-86030759D01*
+X122038163Y-86027000D01*
+X122038166Y-86027000D01*
+X122152319Y-86015757D01*
+X122298784Y-85971327D01*
+X122433766Y-85899177D01*
+X122552080Y-85802080D01*
+X122576412Y-85772431D01*
+X123571844Y-84777000D01*
+X125578157Y-84777000D01*
+X126323590Y-85522434D01*
+X126347920Y-85552080D01*
+X126377564Y-85576408D01*
+X126377565Y-85576409D01*
+X126466234Y-85649178D01*
+X126554199Y-85696195D01*
+X126601216Y-85721327D01*
+X126747681Y-85765757D01*
+X126861834Y-85777000D01*
+X126861836Y-85777000D01*
+X126900000Y-85780759D01*
+X126938163Y-85777000D01*
+X127103000Y-85777000D01*
+X127103000Y-86056528D01*
+X127110484Y-86094155D01*
+X127114243Y-86132318D01*
+X127125374Y-86169010D01*
+X127132859Y-86206643D01*
+X127147544Y-86242095D01*
+X127158673Y-86278783D01*
+X127176745Y-86312593D01*
+X127191431Y-86348048D01*
+X127212753Y-86379959D01*
+X127230823Y-86413765D01*
+X127255142Y-86443398D01*
+X127276464Y-86475309D01*
+X127303598Y-86502443D01*
+X127327920Y-86532080D01*
+X127357558Y-86556403D01*
+X127384691Y-86583536D01*
+X127416598Y-86604856D01*
+X127446234Y-86629177D01*
+X127480045Y-86647250D01*
+X127511952Y-86668569D01*
+X127547402Y-86683253D01*
+X127581216Y-86701327D01*
+X127617908Y-86712457D01*
+X127653357Y-86727141D01*
+X127690987Y-86734626D01*
+X127727681Y-86745757D01*
+X127765846Y-86749516D01*
+X127803472Y-86757000D01*
+X127841834Y-86757000D01*
+X127880000Y-86760759D01*
+X127918166Y-86757000D01*
+X127956528Y-86757000D01*
+X127994155Y-86749516D01*
+X128032318Y-86745757D01*
+X128069010Y-86734626D01*
+X128106643Y-86727141D01*
+X128142095Y-86712456D01*
+X128178783Y-86701327D01*
+X128212593Y-86683255D01*
+X128248048Y-86668569D01*
+X128279959Y-86647247D01*
+X128313765Y-86629177D01*
+X128343398Y-86604858D01*
+X128375309Y-86583536D01*
+X128402443Y-86556402D01*
+X128432080Y-86532080D01*
+X128456403Y-86502442D01*
+X128483536Y-86475309D01*
+X128504856Y-86443402D01*
+X128529177Y-86413766D01*
+X128547250Y-86379955D01*
+X128568569Y-86348048D01*
+X128583253Y-86312598D01*
+X128601327Y-86278784D01*
+X128612457Y-86242092D01*
+X128627141Y-86206643D01*
+X128634626Y-86169013D01*
+X128645757Y-86132319D01*
+X128649516Y-86094154D01*
+X128657000Y-86056528D01*
+X128657000Y-85777000D01*
+X132461837Y-85777000D01*
+X132500000Y-85780759D01*
+X132538163Y-85777000D01*
+X132538166Y-85777000D01*
+X132652319Y-85765757D01*
+X132798784Y-85721327D01*
+X132933766Y-85649177D01*
+X133052080Y-85552080D01*
+X133076412Y-85522431D01*
+X134571844Y-84027000D01*
+X138578157Y-84027000D01*
+X140851462Y-86300306D01*
+X140851464Y-86300309D01*
+X140959691Y-86408536D01*
+X140991593Y-86429852D01*
+X141021234Y-86454178D01*
+X141055050Y-86472253D01*
+X141086952Y-86493569D01*
+X141122403Y-86508253D01*
+X141156217Y-86526327D01*
+X141192903Y-86537456D01*
+X141228357Y-86552141D01*
+X141265995Y-86559627D01*
+X141302681Y-86570756D01*
+X141340838Y-86574514D01*
+X141378472Y-86582000D01*
+X141416836Y-86582000D01*
+X141455000Y-86585759D01*
+X141493163Y-86582000D01*
+X141531528Y-86582000D01*
+X141569162Y-86574514D01*
+X141607318Y-86570756D01*
+X141644003Y-86559628D01*
+X141681643Y-86552141D01*
+X141717097Y-86537455D01*
+X141753783Y-86526327D01*
+X141787597Y-86508253D01*
+X141823048Y-86493569D01*
+X141854950Y-86472253D01*
+X141888766Y-86454178D01*
+X141918407Y-86429852D01*
+X141950309Y-86408536D01*
+X141977440Y-86381405D01*
+X142007080Y-86357080D01*
+X142031405Y-86327440D01*
+X142058536Y-86300309D01*
+X142079852Y-86268407D01*
+X142104178Y-86238766D01*
+X142122253Y-86204950D01*
+X142143569Y-86173048D01*
+X142158253Y-86137597D01*
+X142176327Y-86103783D01*
+X142187455Y-86067097D01*
+X142202141Y-86031643D01*
+X142209628Y-85994003D01*
+X142220756Y-85957318D01*
+X142224514Y-85919162D01*
+X142232000Y-85881528D01*
+X142232000Y-85843163D01*
+X142235759Y-85805000D01*
+X142232000Y-85766836D01*
+X142232000Y-85728472D01*
+X142224514Y-85690838D01*
+X142220756Y-85652681D01*
+X142209627Y-85615995D01*
+X142202141Y-85578357D01*
+X142187456Y-85542903D01*
+X142176327Y-85506217D01*
+X142158253Y-85472403D01*
+X142143569Y-85436952D01*
+X142122253Y-85405050D01*
+X142104178Y-85371234D01*
+X142079852Y-85341593D01*
+X142058536Y-85309691D01*
+X141950309Y-85201464D01*
+X141950306Y-85201462D01*
+X139476413Y-82727569D01*
+X139452080Y-82697920D01*
+X139333766Y-82600823D01*
+X139198784Y-82528673D01*
+X139052319Y-82484243D01*
+X138938166Y-82473000D01*
+X138938163Y-82473000D01*
+X138900000Y-82469241D01*
+X138861837Y-82473000D01*
+X134288163Y-82473000D01*
+X134250000Y-82469241D01*
+X134211836Y-82473000D01*
+X134211834Y-82473000D01*
+X134097681Y-82484243D01*
+X133951216Y-82528673D01*
+X133874618Y-82569616D01*
+X133816234Y-82600823D01*
+X133736529Y-82666235D01*
+X133697920Y-82697920D01*
+X133673592Y-82727564D01*
+X132178157Y-84223000D01*
+X127788163Y-84223000D01*
+X127750000Y-84219241D01*
+X127711837Y-84223000D01*
+X127221844Y-84223000D01*
+X126476412Y-83477569D01*
+X126452080Y-83447920D01*
+X126333766Y-83350823D01*
+X126198784Y-83278673D01*
+X126052319Y-83234243D01*
+X125938166Y-83223000D01*
+X125938163Y-83223000D01*
+X125900000Y-83219241D01*
+X125861837Y-83223000D01*
+X125502749Y-83223000D01*
+X125612340Y-83149774D01*
+X125720567Y-83041547D01*
+X125805600Y-82914286D01*
+X125864172Y-82772881D01*
+X125894031Y-82622766D01*
+X125894031Y-82469710D01*
+X125864172Y-82319595D01*
+X125836187Y-82252033D01*
+X129020788Y-82252033D01*
+X129045431Y-82254460D01*
+X129070074Y-82252033D01*
+X129070084Y-82252033D01*
+X129143840Y-82244769D01*
+X129238467Y-82216064D01*
+X129325676Y-82169450D01*
+X129402115Y-82106717D01*
+X129417832Y-82087566D01*
+X129678384Y-81827014D01*
+X129821982Y-81827014D01*
+X129972097Y-81797155D01*
+X130113502Y-81738583D01*
+X130240763Y-81653550D01*
+X130348990Y-81545323D01*
+X130434023Y-81418062D01*
+X130492595Y-81276657D01*
+X130498438Y-81247283D01*
+X130504691Y-81253536D01*
+X130631952Y-81338569D01*
+X130773357Y-81397141D01*
+X130923472Y-81427000D01*
+X131076528Y-81427000D01*
+X131226643Y-81397141D01*
+X131368048Y-81338569D01*
+X131495309Y-81253536D01*
+X131603536Y-81145309D01*
+X131688569Y-81018048D01*
+X131747141Y-80876643D01*
+X131777000Y-80726528D01*
+X131777000Y-80573472D01*
+X131747141Y-80423357D01*
+X131688569Y-80281952D01*
+X131603739Y-80154996D01*
+X131613389Y-80145346D01*
+X131698422Y-80018085D01*
+X131756994Y-79876680D01*
+X131786853Y-79726565D01*
+X131786853Y-79573509D01*
+X131756994Y-79423394D01*
+X131698422Y-79281989D01*
+X131613389Y-79154728D01*
+X131505162Y-79046501D01*
+X131377901Y-78961468D01*
+X131236496Y-78902896D01*
+X131086381Y-78873037D01*
+X130933325Y-78873037D01*
+X130783210Y-78902896D01*
+X130641805Y-78961468D01*
+X130514544Y-79046501D01*
+X130412297Y-79148748D01*
+X130345759Y-79155301D01*
+X130251132Y-79184006D01*
+X130163923Y-79230620D01*
+X130087484Y-79293353D01*
+X130071766Y-79312505D01*
+X130011282Y-79372989D01*
+X129225400Y-79372989D01*
+X129200757Y-79370562D01*
+X129176114Y-79372989D01*
+X129176105Y-79372989D01*
+X129102349Y-79380253D01*
+X129007722Y-79408958D01*
+X128920513Y-79455572D01*
+X128844074Y-79518305D01*
+X128828361Y-79537451D01*
+X128017802Y-80348011D01*
+X127650832Y-80348011D01*
+X130095306Y-77903538D01*
+X130095309Y-77903536D01*
+X130203536Y-77795309D01*
+X130224855Y-77763402D01*
+X130249177Y-77733766D01*
+X130267249Y-77699956D01*
+X130288569Y-77668048D01*
+X130303254Y-77632595D01*
+X130321327Y-77598783D01*
+X130332456Y-77562097D01*
+X130347141Y-77526643D01*
+X130354627Y-77489005D01*
+X130365756Y-77452319D01*
+X130369514Y-77414162D01*
+X130377000Y-77376528D01*
+X130377000Y-77338164D01*
+X130378099Y-77327000D01*
+X154403000Y-77327000D01*
+X154403000Y-77627000D01*
+X154454235Y-77893199D01*
+X154556419Y-78144288D01*
+X154705624Y-78370617D01*
+X154896117Y-78563489D01*
+X155120577Y-78715491D01*
+X155370378Y-78820783D01*
+X155440071Y-78834383D01*
+X155653000Y-78776448D01*
+X155653000Y-77327000D01*
+X154403000Y-77327000D01*
+X130378099Y-77327000D01*
+X130380759Y-77300000D01*
+X130377000Y-77261837D01*
+X130377000Y-77223472D01*
+X130369514Y-77185838D01*
+X130365756Y-77147682D01*
+X130354628Y-77110997D01*
+X130347141Y-77073357D01*
+X130332455Y-77037903D01*
+X130321327Y-77001217D01*
+X130303254Y-76967405D01*
+X130288569Y-76931952D01*
+X130267249Y-76900044D01*
+X130249177Y-76866234D01*
+X130224856Y-76836598D01*
+X130203536Y-76804691D01*
+X130176403Y-76777558D01*
+X130172663Y-76773000D01*
+X154403000Y-76773000D01*
+X154403000Y-77073000D01*
+X155653000Y-77073000D01*
+X155653000Y-75623552D01*
+X155907000Y-75623552D01*
+X155907000Y-77073000D01*
+X155927000Y-77073000D01*
+X155927000Y-77327000D01*
+X155907000Y-77327000D01*
+X155907000Y-78776448D01*
+X156119929Y-78834383D01*
+X156189622Y-78820783D01*
+X156439423Y-78715491D01*
+X156663883Y-78563489D01*
+X156854376Y-78370617D01*
+X157003581Y-78144288D01*
+X157046563Y-78038671D01*
+X157169527Y-78268720D01*
+X157341603Y-78478396D01*
+X157551279Y-78650473D01*
+X157790495Y-78778337D01*
+X158050061Y-78857075D01*
+X158320000Y-78883662D01*
+X158589938Y-78857075D01*
+X158849504Y-78778337D01*
+X159088720Y-78650473D01*
+X159298396Y-78478397D01*
+X159470473Y-78268721D01*
+X159590000Y-78045102D01*
+X159709527Y-78268720D01*
+X159881603Y-78478396D01*
+X160091279Y-78650473D01*
+X160330495Y-78778337D01*
+X160590061Y-78857075D01*
+X160860000Y-78883662D01*
+X161129938Y-78857075D01*
+X161389504Y-78778337D01*
+X161628720Y-78650473D01*
+X161838396Y-78478397D01*
+X162010473Y-78268721D01*
+X162021176Y-78248697D01*
+X162021176Y-78500000D01*
+X162028455Y-78573905D01*
+X162050012Y-78644970D01*
+X162085019Y-78710463D01*
+X162132131Y-78767869D01*
+X162189537Y-78814981D01*
+X162255030Y-78849988D01*
+X162326095Y-78871545D01*
+X162400000Y-78878824D01*
+X164400000Y-78878824D01*
+X164473905Y-78871545D01*
+X164544970Y-78849988D01*
+X164610463Y-78814981D01*
+X164667869Y-78767869D01*
+X164714981Y-78710463D01*
+X164749988Y-78644970D01*
+X164771545Y-78573905D01*
+X164778824Y-78500000D01*
+X164778824Y-75900000D01*
+X164771545Y-75826095D01*
+X164749988Y-75755030D01*
+X164714981Y-75689537D01*
+X164667869Y-75632131D01*
+X164610463Y-75585019D01*
+X164544970Y-75550012D01*
+X164473905Y-75528455D01*
+X164400000Y-75521176D01*
+X162400000Y-75521176D01*
+X162326095Y-75528455D01*
+X162255030Y-75550012D01*
+X162189537Y-75585019D01*
+X162132131Y-75632131D01*
+X162085019Y-75689537D01*
+X162050012Y-75755030D01*
+X162028455Y-75826095D01*
+X162021176Y-75900000D01*
+X162021176Y-76151303D01*
+X162010473Y-76131279D01*
+X161838397Y-75921603D01*
+X161628721Y-75749527D01*
+X161389505Y-75621663D01*
+X161129939Y-75542925D01*
+X160860000Y-75516338D01*
+X160590062Y-75542925D01*
+X160330496Y-75621663D01*
+X160091280Y-75749527D01*
+X159881604Y-75921603D01*
+X159709527Y-76131279D01*
+X159590000Y-76354898D01*
+X159470473Y-76131279D01*
+X159298397Y-75921603D01*
+X159088721Y-75749527D01*
+X158849505Y-75621663D01*
+X158589939Y-75542925D01*
+X158320000Y-75516338D01*
+X158050062Y-75542925D01*
+X157790496Y-75621663D01*
+X157551280Y-75749527D01*
+X157341604Y-75921603D01*
+X157169527Y-76131279D01*
+X157046563Y-76361328D01*
+X157003581Y-76255712D01*
+X156854376Y-76029383D01*
+X156663883Y-75836511D01*
+X156439423Y-75684509D01*
+X156189622Y-75579217D01*
+X156119929Y-75565617D01*
+X155907000Y-75623552D01*
+X155653000Y-75623552D01*
+X155440071Y-75565617D01*
+X155370378Y-75579217D01*
+X155120577Y-75684509D01*
+X154896117Y-75836511D01*
+X154705624Y-76029383D01*
+X154556419Y-76255712D01*
+X154454235Y-76506801D01*
+X154403000Y-76773000D01*
+X130172663Y-76773000D01*
+X130152080Y-76747920D01*
+X130122442Y-76723597D01*
+X130095309Y-76696464D01*
+X130063402Y-76675144D01*
+X130033766Y-76650823D01*
+X129999956Y-76632751D01*
+X129968048Y-76611431D01*
+X129932595Y-76596746D01*
+X129898783Y-76578673D01*
+X129862097Y-76567545D01*
+X129826643Y-76552859D01*
+X129789003Y-76545372D01*
+X129752318Y-76534244D01*
+X129714162Y-76530486D01*
+X129676528Y-76523000D01*
+X129638163Y-76523000D01*
+X129600000Y-76519241D01*
+X129561836Y-76523000D01*
+X129523472Y-76523000D01*
+X129485838Y-76530486D01*
+X129447681Y-76534244D01*
+X129410995Y-76545373D01*
+X129373357Y-76552859D01*
+X129337903Y-76567544D01*
+X129301217Y-76578673D01*
+X129267405Y-76596746D01*
+X129231952Y-76611431D01*
+X129200044Y-76632751D01*
+X129166234Y-76650823D01*
+X129136598Y-76675145D01*
+X129104691Y-76696464D01*
+X128996464Y-76804691D01*
+X128996462Y-76804694D01*
+X126453156Y-79348001D01*
+X119212530Y-79348001D01*
+X118342097Y-78477569D01*
+X118333459Y-78467044D01*
+X118346545Y-78423905D01*
+X118353824Y-78350000D01*
+X118353824Y-77150000D01*
+X118346545Y-77076095D01*
+X118324988Y-77005030D01*
+X118289981Y-76939537D01*
+X118242869Y-76882131D01*
+X118185463Y-76835019D01*
+X118119970Y-76800012D01*
+X118119930Y-76800000D01*
+X118119970Y-76799988D01*
+X118185463Y-76764981D01*
+X118242869Y-76717869D01*
+X118289981Y-76660463D01*
+X118324988Y-76594970D01*
+X118346545Y-76523905D01*
+X118353824Y-76450000D01*
+X118352000Y-76071250D01*
+X118257750Y-75977000D01*
+X117727000Y-75977000D01*
+X117727000Y-75997000D01*
+X117473000Y-75997000D01*
+X117473000Y-75977000D01*
+X116942250Y-75977000D01*
+X116848000Y-76071250D01*
+X116846176Y-76450000D01*
+X116853455Y-76523905D01*
+X116875012Y-76594970D01*
+X116910019Y-76660463D01*
+X116957131Y-76717869D01*
+X117014537Y-76764981D01*
+X117080030Y-76799988D01*
+X117080070Y-76800000D01*
+X117080030Y-76800012D01*
+X117014537Y-76835019D01*
+X116957131Y-76882131D01*
+X116910019Y-76939537D01*
+X116875012Y-77005030D01*
+X116853455Y-77076095D01*
+X116846176Y-77150000D01*
+X116846176Y-78305539D01*
+X116831952Y-78311431D01*
+X116800045Y-78332750D01*
+X116766234Y-78350823D01*
+X116736598Y-78375144D01*
+X116704691Y-78396464D01*
+X116677558Y-78423597D01*
+X116647920Y-78447920D01*
+X116623597Y-78477558D01*
+X116596464Y-78504691D01*
+X116575144Y-78536598D01*
+X116550823Y-78566234D01*
+X116532750Y-78600045D01*
+X116511431Y-78631952D01*
+X116496747Y-78667402D01*
+X116478673Y-78701216D01*
+X116467543Y-78737908D01*
+X116452859Y-78773357D01*
+X116445374Y-78810987D01*
+X116434243Y-78847681D01*
+X116430484Y-78885846D01*
+X116423000Y-78923472D01*
+X116423000Y-78961834D01*
+X116419241Y-79000000D01*
+X116423000Y-79038166D01*
+X116423000Y-79076528D01*
+X116430484Y-79114154D01*
+X116434243Y-79152319D01*
+X116445374Y-79189013D01*
+X116452859Y-79226643D01*
+X116467543Y-79262092D01*
+X116478673Y-79298784D01*
+X116496747Y-79332598D01*
+X116511431Y-79368048D01*
+X116532750Y-79399955D01*
+X116550823Y-79433766D01*
+X116575144Y-79463402D01*
+X116596464Y-79495309D01*
+X116601157Y-79500002D01*
+X116583806Y-79517352D01*
+X116498773Y-79644613D01*
+X116440201Y-79786018D01*
+X116410342Y-79936133D01*
+X116410342Y-80089189D01*
+X116440201Y-80239304D01*
+X116483490Y-80343812D01*
+X116404691Y-80396464D01*
+X116296464Y-80504691D01*
+X116211431Y-80631952D01*
+X116152859Y-80773357D01*
+X116123000Y-80923472D01*
+X116123000Y-81076528D01*
+X116152859Y-81226643D01*
+X116211431Y-81368048D01*
+X116296464Y-81495309D01*
+X116404691Y-81603536D01*
+X116531952Y-81688569D01*
+X116673357Y-81747141D01*
+X116823472Y-81777000D01*
+X116976528Y-81777000D01*
+X117126643Y-81747141D01*
+X117268048Y-81688569D01*
+X117373715Y-81617964D01*
+X117393305Y-81637554D01*
+X117409023Y-81656706D01*
+X117427487Y-81671859D01*
+X117331952Y-81711431D01*
+X117204691Y-81796464D01*
+X117096464Y-81904691D01*
+X117011431Y-82031952D01*
+X116952859Y-82173357D01*
+X116923000Y-82323472D01*
+X116923000Y-82476528D01*
+X116952014Y-82622395D01*
+X116919998Y-82619241D01*
+X116881835Y-82623000D01*
+X110021844Y-82623000D01*
+X106403540Y-79004697D01*
+X106403536Y-79004691D01*
+X106295309Y-78896464D01*
+X106263401Y-78875144D01*
+X106233766Y-78850823D01*
+X106199956Y-78832751D01*
+X106168048Y-78811431D01*
+X106132595Y-78796746D01*
+X106098783Y-78778673D01*
+X106062097Y-78767545D01*
+X106026643Y-78752859D01*
+X105989003Y-78745372D01*
+X105952318Y-78734244D01*
+X105914162Y-78730486D01*
+X105876528Y-78723000D01*
+X105838163Y-78723000D01*
+X105800000Y-78719241D01*
+X105761837Y-78723000D01*
+X105723472Y-78723000D01*
+X105685838Y-78730486D01*
+X105647682Y-78734244D01*
+X105610997Y-78745372D01*
+X105573357Y-78752859D01*
+X105537903Y-78767545D01*
+X105501217Y-78778673D01*
+X105467405Y-78796746D01*
+X105431952Y-78811431D01*
+X105400044Y-78832751D01*
+X105366234Y-78850823D01*
+X105336601Y-78875142D01*
+X105304691Y-78896464D01*
+X105277551Y-78923604D01*
+X105247921Y-78947921D01*
+X105223604Y-78977551D01*
+X105196464Y-79004691D01*
+X105175142Y-79036601D01*
+X105150823Y-79066234D01*
+X105132751Y-79100044D01*
+X105111431Y-79131952D01*
+X105096746Y-79167405D01*
+X105078673Y-79201217D01*
+X105067545Y-79237903D01*
+X105052859Y-79273357D01*
+X105045372Y-79310997D01*
+X105034244Y-79347682D01*
+X105030486Y-79385838D01*
+X105023000Y-79423472D01*
+X105023000Y-79461837D01*
+X105019241Y-79500000D01*
+X70452000Y-79500000D01*
+X70452000Y-78889827D01*
+X78289778Y-78889827D01*
+X78424319Y-79102518D01*
+X78704048Y-79237612D01*
+X79004756Y-79315538D01*
+X79314890Y-79333301D01*
+X79622530Y-79290219D01*
+X79915853Y-79187948D01*
+X80075681Y-79102518D01*
+X80210222Y-78889827D01*
+X79250000Y-77929605D01*
+X78289778Y-78889827D01*
+X70452000Y-78889827D01*
+X70452000Y-77814890D01*
+X77666699Y-77814890D01*
+X77709781Y-78122530D01*
+X77812052Y-78415853D01*
+X77897482Y-78575681D01*
+X78110173Y-78710222D01*
+X79070395Y-77750000D01*
+X79429605Y-77750000D01*
+X80389827Y-78710222D01*
+X80602518Y-78575681D01*
+X80737612Y-78295952D01*
+X80815538Y-77995244D01*
+X80833301Y-77685110D01*
+X80790219Y-77377470D01*
+X80687948Y-77084147D01*
+X80602518Y-76924319D01*
+X80389827Y-76789778D01*
+X79429605Y-77750000D01*
+X79070395Y-77750000D01*
+X78110173Y-76789778D01*
+X77897482Y-76924319D01*
+X77762388Y-77204048D01*
+X77684462Y-77504756D01*
+X77666699Y-77814890D01*
+X70452000Y-77814890D01*
+X70452000Y-76610173D01*
+X78289778Y-76610173D01*
+X79250000Y-77570395D01*
+X80210222Y-76610173D01*
+X80075681Y-76397482D01*
+X79795952Y-76262388D01*
+X79495244Y-76184462D01*
+X79185110Y-76166699D01*
+X78877470Y-76209781D01*
+X78584147Y-76312052D01*
+X78424319Y-76397482D01*
+X78289778Y-76610173D01*
+X70452000Y-76610173D01*
+X70452000Y-75250000D01*
+X116846176Y-75250000D01*
+X116848000Y-75628750D01*
+X116942250Y-75723000D01*
+X117473000Y-75723000D01*
+X117473000Y-74967250D01*
+X117727000Y-74967250D01*
+X117727000Y-75723000D01*
+X118257750Y-75723000D01*
+X118352000Y-75628750D01*
+X118353824Y-75250000D01*
+X118346545Y-75176095D01*
+X118324988Y-75105030D01*
+X118289981Y-75039537D01*
+X118242869Y-74982131D01*
+X118185463Y-74935019D01*
+X118119970Y-74900012D01*
+X118048905Y-74878455D01*
+X117975000Y-74871176D01*
+X117821250Y-74873000D01*
+X117727000Y-74967250D01*
+X117473000Y-74967250D01*
+X117378750Y-74873000D01*
+X117225000Y-74871176D01*
+X117151095Y-74878455D01*
+X117080030Y-74900012D01*
+X117014537Y-74935019D01*
+X116957131Y-74982131D01*
+X116910019Y-75039537D01*
+X116875012Y-75105030D01*
+X116853455Y-75176095D01*
+X116846176Y-75250000D01*
+X70452000Y-75250000D01*
+X70452000Y-71550000D01*
+X77671176Y-71550000D01*
+X77671176Y-73950000D01*
+X77678455Y-74023905D01*
+X77700012Y-74094970D01*
+X77735019Y-74160463D01*
+X77782131Y-74217869D01*
+X77839537Y-74264981D01*
+X77905030Y-74299988D01*
+X77976095Y-74321545D01*
+X78050000Y-74328824D01*
+X80450000Y-74328824D01*
+X80523905Y-74321545D01*
+X80594970Y-74299988D01*
+X80660463Y-74264981D01*
+X80717869Y-74217869D01*
+X80764981Y-74160463D01*
+X80799988Y-74094970D01*
+X80821545Y-74023905D01*
+X80828824Y-73950000D01*
+X80828824Y-71550000D01*
+X80821545Y-71476095D01*
+X80799988Y-71405030D01*
+X80764981Y-71339537D01*
+X80717869Y-71282131D01*
+X80660463Y-71235019D01*
+X80594970Y-71200012D01*
+X80523905Y-71178455D01*
+X80450000Y-71171176D01*
+X78050000Y-71171176D01*
+X77976095Y-71178455D01*
+X77905030Y-71200012D01*
+X77839537Y-71235019D01*
+X77782131Y-71282131D01*
+X77735019Y-71339537D01*
+X77700012Y-71405030D01*
+X77678455Y-71476095D01*
+X77671176Y-71550000D01*
+X70452000Y-71550000D01*
+X70452000Y-70118410D01*
+X121011195Y-70118410D01*
+X121091306Y-70285043D01*
+X121294213Y-70375111D01*
+X121510793Y-70423864D01*
+X121732723Y-70429428D01*
+X121951474Y-70391588D01*
+X122158640Y-70311798D01*
+X122208694Y-70285043D01*
+X122288805Y-70118410D01*
+X121650000Y-69479605D01*
+X121011195Y-70118410D01*
+X70452000Y-70118410D01*
+X70452000Y-69382723D01*
+X120520572Y-69382723D01*
+X120558412Y-69601474D01*
+X120638202Y-69808640D01*
+X120664957Y-69858694D01*
+X120831590Y-69938805D01*
+X121470395Y-69300000D01*
+X121829605Y-69300000D01*
+X122468410Y-69938805D01*
+X122635043Y-69858694D01*
+X122725111Y-69655787D01*
+X122773864Y-69439207D01*
+X122779428Y-69217277D01*
+X122774537Y-69189000D01*
+X122813000Y-69189000D01*
+X122813000Y-69411000D01*
+X122856310Y-69628734D01*
+X122941266Y-69833835D01*
+X123064602Y-70018421D01*
+X123221579Y-70175398D01*
+X123406165Y-70298734D01*
+X123611266Y-70383690D01*
+X123829000Y-70427000D01*
+X124051000Y-70427000D01*
+X124268734Y-70383690D01*
+X124473835Y-70298734D01*
+X124658421Y-70175398D01*
+X124715409Y-70118410D01*
+X132441195Y-70118410D01*
+X132521306Y-70285043D01*
+X132724213Y-70375111D01*
+X132940793Y-70423864D01*
+X133162723Y-70429428D01*
+X133381474Y-70391588D01*
+X133588640Y-70311798D01*
+X133638694Y-70285043D01*
+X133718805Y-70118410D01*
+X133080000Y-69479605D01*
+X132441195Y-70118410D01*
+X124715409Y-70118410D01*
+X124815398Y-70018421D01*
+X124938734Y-69833835D01*
+X125023690Y-69628734D01*
+X125067000Y-69411000D01*
+X125067000Y-69382723D01*
+X131950572Y-69382723D01*
+X131988412Y-69601474D01*
+X132068202Y-69808640D01*
+X132094957Y-69858694D01*
+X132261590Y-69938805D01*
+X132900395Y-69300000D01*
+X133259605Y-69300000D01*
+X133898410Y-69938805D01*
+X134065043Y-69858694D01*
+X134155111Y-69655787D01*
+X134203864Y-69439207D01*
+X134209428Y-69217277D01*
+X134204537Y-69189000D01*
+X134243000Y-69189000D01*
+X134243000Y-69411000D01*
+X134286310Y-69628734D01*
+X134371266Y-69833835D01*
+X134494602Y-70018421D01*
+X134651579Y-70175398D01*
+X134836165Y-70298734D01*
+X135041266Y-70383690D01*
+X135259000Y-70427000D01*
+X135481000Y-70427000D01*
+X135698734Y-70383690D01*
+X135903835Y-70298734D01*
+X136088421Y-70175398D01*
+X136145409Y-70118410D01*
+X140861195Y-70118410D01*
+X140941306Y-70285043D01*
+X141144213Y-70375111D01*
+X141360793Y-70423864D01*
+X141582723Y-70429428D01*
+X141801474Y-70391588D01*
+X142008640Y-70311798D01*
+X142058694Y-70285043D01*
+X142138805Y-70118410D01*
+X141500000Y-69479605D01*
+X140861195Y-70118410D01*
+X136145409Y-70118410D01*
+X136245398Y-70018421D01*
+X136368734Y-69833835D01*
+X136453690Y-69628734D01*
+X136497000Y-69411000D01*
+X136497000Y-69189000D01*
+X136453690Y-68971266D01*
+X136368734Y-68766165D01*
+X136245398Y-68581579D01*
+X136088421Y-68424602D01*
+X135903835Y-68301266D01*
+X135698734Y-68216310D01*
+X135481000Y-68173000D01*
+X135259000Y-68173000D01*
+X135041266Y-68216310D01*
+X134836165Y-68301266D01*
+X134651579Y-68424602D01*
+X134494602Y-68581579D01*
+X134371266Y-68766165D01*
+X134286310Y-68971266D01*
+X134243000Y-69189000D01*
+X134204537Y-69189000D01*
+X134171588Y-68998526D01*
+X134091798Y-68791360D01*
+X134065043Y-68741306D01*
+X133898410Y-68661195D01*
+X133259605Y-69300000D01*
+X132900395Y-69300000D01*
+X132261590Y-68661195D01*
+X132094957Y-68741306D01*
+X132004889Y-68944213D01*
+X131956136Y-69160793D01*
+X131950572Y-69382723D01*
+X125067000Y-69382723D01*
+X125067000Y-69189000D01*
+X125023690Y-68971266D01*
+X124938734Y-68766165D01*
+X124815398Y-68581579D01*
+X124715409Y-68481590D01*
+X132441195Y-68481590D01*
+X133080000Y-69120395D01*
+X133718805Y-68481590D01*
+X133638694Y-68314957D01*
+X133435787Y-68224889D01*
+X133219207Y-68176136D01*
+X132997277Y-68170572D01*
+X132778526Y-68208412D01*
+X132571360Y-68288202D01*
+X132521306Y-68314957D01*
+X132441195Y-68481590D01*
+X124715409Y-68481590D01*
+X124658421Y-68424602D01*
+X124473835Y-68301266D01*
+X124268734Y-68216310D01*
+X124051000Y-68173000D01*
+X123829000Y-68173000D01*
+X123611266Y-68216310D01*
+X123406165Y-68301266D01*
+X123221579Y-68424602D01*
+X123064602Y-68581579D01*
+X122941266Y-68766165D01*
+X122856310Y-68971266D01*
+X122813000Y-69189000D01*
+X122774537Y-69189000D01*
+X122741588Y-68998526D01*
+X122661798Y-68791360D01*
+X122635043Y-68741306D01*
+X122468410Y-68661195D01*
+X121829605Y-69300000D01*
+X121470395Y-69300000D01*
+X120831590Y-68661195D01*
+X120664957Y-68741306D01*
+X120574889Y-68944213D01*
+X120526136Y-69160793D01*
+X120520572Y-69382723D01*
+X70452000Y-69382723D01*
+X70452000Y-69054192D01*
+X108725413Y-69054192D01*
+X108811595Y-69225936D01*
+X109023051Y-69321015D01*
+X109248992Y-69373014D01*
+X109480738Y-69379936D01*
+X109709380Y-69341512D01*
+X109926133Y-69259221D01*
+X109988405Y-69225936D01*
+X110074587Y-69054192D01*
+X109400000Y-68379605D01*
+X108725413Y-69054192D01*
+X70452000Y-69054192D01*
+X70452000Y-68280738D01*
+X108220064Y-68280738D01*
+X108258488Y-68509380D01*
+X108340779Y-68726133D01*
+X108374064Y-68788405D01*
+X108545808Y-68874587D01*
+X109220395Y-68200000D01*
+X109206253Y-68185858D01*
+X109385858Y-68006253D01*
+X109400000Y-68020395D01*
+X109414143Y-68006253D01*
+X109593748Y-68185858D01*
+X109579605Y-68200000D01*
+X110254192Y-68874587D01*
+X110425936Y-68788405D01*
+X110521015Y-68576949D01*
+X110542961Y-68481590D01*
+X121011195Y-68481590D01*
+X121650000Y-69120395D01*
+X122288805Y-68481590D01*
+X122208694Y-68314957D01*
+X122005787Y-68224889D01*
+X121789207Y-68176136D01*
+X121567277Y-68170572D01*
+X121348526Y-68208412D01*
+X121141360Y-68288202D01*
+X121091306Y-68314957D01*
+X121011195Y-68481590D01*
+X110542961Y-68481590D01*
+X110573014Y-68351008D01*
+X110579936Y-68119262D01*
+X110541512Y-67890620D01*
+X110459221Y-67673867D01*
+X110425936Y-67611595D01*
+X110254193Y-67525414D01*
+X110325661Y-67453946D01*
+X110246008Y-67374293D01*
+X110273905Y-67371545D01*
+X110288888Y-67367000D01*
+X115321176Y-67367000D01*
+X115321176Y-67440000D01*
+X115328455Y-67513905D01*
+X115350012Y-67584970D01*
+X115385019Y-67650463D01*
+X115432131Y-67707869D01*
+X115489537Y-67754981D01*
+X115555030Y-67789988D01*
+X115626095Y-67811545D01*
+X115700000Y-67818824D01*
+X117400000Y-67818824D01*
+X117473905Y-67811545D01*
+X117544970Y-67789988D01*
+X117610463Y-67754981D01*
+X117667869Y-67707869D01*
+X117714981Y-67650463D01*
+X117749988Y-67584970D01*
+X117771545Y-67513905D01*
+X117778824Y-67440000D01*
+X117778824Y-65740000D01*
+X117771545Y-65666095D01*
+X117749988Y-65595030D01*
+X117714981Y-65529537D01*
+X117667869Y-65472131D01*
+X117610463Y-65425019D01*
+X117544970Y-65390012D01*
+X117473905Y-65368455D01*
+X117400000Y-65361176D01*
+X115700000Y-65361176D01*
+X115626095Y-65368455D01*
+X115555030Y-65390012D01*
+X115489537Y-65425019D01*
+X115432131Y-65472131D01*
+X115385019Y-65529537D01*
+X115350012Y-65595030D01*
+X115328455Y-65666095D01*
+X115321176Y-65740000D01*
+X115321176Y-65813000D01*
+X110578824Y-65813000D01*
+X110578824Y-65400000D01*
+X110571545Y-65326095D01*
+X110549988Y-65255030D01*
+X110514981Y-65189537D01*
+X110467869Y-65132131D01*
+X110410463Y-65085019D01*
+X110344970Y-65050012D01*
+X110273905Y-65028455D01*
+X110200000Y-65021176D01*
+X108600000Y-65021176D01*
+X108526095Y-65028455D01*
+X108455030Y-65050012D01*
+X108389537Y-65085019D01*
+X108332131Y-65132131D01*
+X108285019Y-65189537D01*
+X108250012Y-65255030D01*
+X108228455Y-65326095D01*
+X108221176Y-65400000D01*
+X108221176Y-67000000D01*
+X108228455Y-67073905D01*
+X108250012Y-67144970D01*
+X108285019Y-67210463D01*
+X108332131Y-67267869D01*
+X108389537Y-67314981D01*
+X108455030Y-67349988D01*
+X108526095Y-67371545D01*
+X108553992Y-67374293D01*
+X108474339Y-67453946D01*
+X108545807Y-67525414D01*
+X108374064Y-67611595D01*
+X108278985Y-67823051D01*
+X108226986Y-68048992D01*
+X108220064Y-68280738D01*
+X70452000Y-68280738D01*
+X70452000Y-65127000D01*
+X80000000Y-65127000D01*
+X80024776Y-65124560D01*
+X80048601Y-65117333D01*
+X80070557Y-65105597D01*
+X80089803Y-65089803D01*
+X80105597Y-65070557D01*
+X80117333Y-65048601D01*
+X80124560Y-65024776D01*
+X80127000Y-65000000D01*
+X80127000Y-63929151D01*
+X115323000Y-63929151D01*
+X115323000Y-64170849D01*
+X115370153Y-64407903D01*
+X115462647Y-64631202D01*
+X115596927Y-64832167D01*
+X115767833Y-65003073D01*
+X115968798Y-65137353D01*
+X116192097Y-65229847D01*
+X116429151Y-65277000D01*
+X116670849Y-65277000D01*
+X116907903Y-65229847D01*
+X117131202Y-65137353D01*
+X117332167Y-65003073D01*
+X117503073Y-64832167D01*
+X117637353Y-64631202D01*
+X117729847Y-64407903D01*
+X117777000Y-64170849D01*
+X117777000Y-63929151D01*
+X117729847Y-63692097D01*
+X117637353Y-63468798D01*
+X117503073Y-63267833D01*
+X117332167Y-63096927D01*
+X117131202Y-62962647D01*
+X116907903Y-62870153D01*
+X116670849Y-62823000D01*
+X116429151Y-62823000D01*
+X116192097Y-62870153D01*
+X115968798Y-62962647D01*
+X115767833Y-63096927D01*
+X115596927Y-63267833D01*
+X115462647Y-63468798D01*
+X115370153Y-63692097D01*
+X115323000Y-63929151D01*
+X80127000Y-63929151D01*
+X80127000Y-60450000D01*
+X85621176Y-60450000D01*
+X85621176Y-63050000D01*
+X85628455Y-63123905D01*
+X85650012Y-63194970D01*
+X85685019Y-63260463D01*
+X85732131Y-63317869D01*
+X85789537Y-63364981D01*
+X85855030Y-63399988D01*
+X85926095Y-63421545D01*
+X86000000Y-63428824D01*
+X88000000Y-63428824D01*
+X88073905Y-63421545D01*
+X88144970Y-63399988D01*
+X88210463Y-63364981D01*
+X88267869Y-63317869D01*
+X88314981Y-63260463D01*
+X88349988Y-63194970D01*
+X88371545Y-63123905D01*
+X88378824Y-63050000D01*
+X88378824Y-62788950D01*
+X88465624Y-62920617D01*
+X88656117Y-63113489D01*
+X88880577Y-63265491D01*
+X89130378Y-63370783D01*
+X89200071Y-63384383D01*
+X89413000Y-63326448D01*
+X89413000Y-61877000D01*
+X89667000Y-61877000D01*
+X89667000Y-63326448D01*
+X89879929Y-63384383D01*
+X89949622Y-63370783D01*
+X90199423Y-63265491D01*
+X90423883Y-63113489D01*
+X90614376Y-62920617D01*
+X90763581Y-62694288D01*
+X90865765Y-62443199D01*
+X90917000Y-62177000D01*
+X90917000Y-61877000D01*
+X89667000Y-61877000D01*
+X89413000Y-61877000D01*
+X89393000Y-61877000D01*
+X89393000Y-61826351D01*
+X115364482Y-61826351D01*
+X115388518Y-61905605D01*
+X115488014Y-62124597D01*
+X115628322Y-62319971D01*
+X115804049Y-62484218D01*
+X116008443Y-62611026D01*
+X116233648Y-62695523D01*
+X116423000Y-62636166D01*
+X116423000Y-61637000D01*
+X116677000Y-61637000D01*
+X116677000Y-62636166D01*
+X116866352Y-62695523D01*
+X117091557Y-62611026D01*
+X117295951Y-62484218D01*
+X117471678Y-62319971D01*
+X117611986Y-62124597D01*
+X117711482Y-61905605D01*
+X117735518Y-61826351D01*
+X117675608Y-61637000D01*
+X116677000Y-61637000D01*
+X116423000Y-61637000D01*
+X115424392Y-61637000D01*
+X115364482Y-61826351D01*
+X89393000Y-61826351D01*
+X89393000Y-61623000D01*
+X89413000Y-61623000D01*
+X89413000Y-60173552D01*
+X89667000Y-60173552D01*
+X89667000Y-61623000D01*
+X90917000Y-61623000D01*
+X90917000Y-61323000D01*
+X90892104Y-61193649D01*
+X115364482Y-61193649D01*
+X115424392Y-61383000D01*
+X116423000Y-61383000D01*
+X116423000Y-60383834D01*
+X116677000Y-60383834D01*
+X116677000Y-61383000D01*
+X117675608Y-61383000D01*
+X117735518Y-61193649D01*
+X117711482Y-61114395D01*
+X117611986Y-60895403D01*
+X117471678Y-60700029D01*
+X117295951Y-60535782D01*
+X117091557Y-60408974D01*
+X116866352Y-60324477D01*
+X116677000Y-60383834D01*
+X116423000Y-60383834D01*
+X116233648Y-60324477D01*
+X116008443Y-60408974D01*
+X115804049Y-60535782D01*
+X115628322Y-60700029D01*
+X115488014Y-60895403D01*
+X115388518Y-61114395D01*
+X115364482Y-61193649D01*
+X90892104Y-61193649D01*
+X90865765Y-61056801D01*
+X90763581Y-60805712D01*
+X90614376Y-60579383D01*
+X90423883Y-60386511D01*
+X90199423Y-60234509D01*
+X89949622Y-60129217D01*
+X89879929Y-60115617D01*
+X89667000Y-60173552D01*
+X89413000Y-60173552D01*
+X89200071Y-60115617D01*
+X89130378Y-60129217D01*
+X88880577Y-60234509D01*
+X88656117Y-60386511D01*
+X88465624Y-60579383D01*
+X88378824Y-60711050D01*
+X88378824Y-60450000D01*
+X88371545Y-60376095D01*
+X88349988Y-60305030D01*
+X88314981Y-60239537D01*
+X88267869Y-60182131D01*
+X88210463Y-60135019D01*
+X88144970Y-60100012D01*
+X88073905Y-60078455D01*
+X88000000Y-60071176D01*
+X86000000Y-60071176D01*
+X85926095Y-60078455D01*
+X85855030Y-60100012D01*
+X85789537Y-60135019D01*
+X85732131Y-60182131D01*
+X85685019Y-60239537D01*
+X85650012Y-60305030D01*
+X85628455Y-60376095D01*
+X85621176Y-60450000D01*
+X80127000Y-60450000D01*
+X80127000Y-58849151D01*
+X115323000Y-58849151D01*
+X115323000Y-59090849D01*
+X115370153Y-59327903D01*
+X115462647Y-59551202D01*
+X115596927Y-59752167D01*
+X115767833Y-59923073D01*
+X115968798Y-60057353D01*
+X116192097Y-60149847D01*
+X116429151Y-60197000D01*
+X116670849Y-60197000D01*
+X116907903Y-60149847D01*
+X117131202Y-60057353D01*
+X117332167Y-59923073D01*
+X117503073Y-59752167D01*
+X117637353Y-59551202D01*
+X117729847Y-59327903D01*
+X117777000Y-59090849D01*
+X117777000Y-58849151D01*
+X117729847Y-58612097D01*
+X117637353Y-58388798D01*
+X117503073Y-58187833D01*
+X117332167Y-58016927D01*
+X117131202Y-57882647D01*
+X116907903Y-57790153D01*
+X116670849Y-57743000D01*
+X116429151Y-57743000D01*
+X116192097Y-57790153D01*
+X115968798Y-57882647D01*
+X115767833Y-58016927D01*
+X115596927Y-58187833D01*
+X115462647Y-58388798D01*
+X115370153Y-58612097D01*
+X115323000Y-58849151D01*
+X80127000Y-58849151D01*
+X80127000Y-55452000D01*
+X118823000Y-55452000D01*
+X118823000Y-67200000D01*
+G36*
+X118804691Y-82296464D02*
+G01*
+X118696464Y-82404691D01*
+X118611431Y-82531952D01*
+X118552859Y-82673357D01*
+X118523000Y-82823472D01*
+X118523000Y-82976528D01*
+X118552859Y-83126643D01*
+X118611431Y-83268048D01*
+X118696464Y-83395309D01*
+X118804691Y-83503536D01*
+X118931952Y-83588569D01*
+X119073357Y-83647141D01*
+X119223472Y-83677000D01*
+X119376528Y-83677000D01*
+X119526643Y-83647141D01*
+X119668048Y-83588569D01*
+X119795309Y-83503536D01*
+X119903536Y-83395309D01*
+X119988569Y-83268048D01*
+X120047141Y-83126643D01*
+X120077000Y-82976528D01*
+X120077000Y-82832935D01*
+X120201145Y-82708790D01*
+X122106275Y-82708790D01*
+X121592066Y-83223000D01*
+X121448472Y-83223000D01*
+X121298357Y-83252859D01*
+X121156952Y-83311431D01*
+X121029691Y-83396464D01*
+X120921464Y-83504691D01*
+X120836431Y-83631952D01*
+X120777859Y-83773357D01*
+X120748000Y-83923472D01*
+X120748000Y-84076528D01*
+X120777859Y-84226643D01*
+X120836431Y-84368048D01*
+X120906558Y-84473000D01*
+X119091842Y-84473000D01*
+X117792637Y-83173796D01*
+X117926643Y-83147141D01*
+X118068048Y-83088569D01*
+X118195309Y-83003536D01*
+X118303536Y-82895309D01*
+X118388569Y-82768048D01*
+X118447141Y-82626643D01*
+X118477000Y-82476528D01*
+X118477000Y-82332935D01*
+X118557902Y-82252033D01*
+X118871187Y-82252033D01*
+X118804691Y-82296464D01*
+G37*
+X118804691Y-82296464D02*
+X118696464Y-82404691D01*
+X118611431Y-82531952D01*
+X118552859Y-82673357D01*
+X118523000Y-82823472D01*
+X118523000Y-82976528D01*
+X118552859Y-83126643D01*
+X118611431Y-83268048D01*
+X118696464Y-83395309D01*
+X118804691Y-83503536D01*
+X118931952Y-83588569D01*
+X119073357Y-83647141D01*
+X119223472Y-83677000D01*
+X119376528Y-83677000D01*
+X119526643Y-83647141D01*
+X119668048Y-83588569D01*
+X119795309Y-83503536D01*
+X119903536Y-83395309D01*
+X119988569Y-83268048D01*
+X120047141Y-83126643D01*
+X120077000Y-82976528D01*
+X120077000Y-82832935D01*
+X120201145Y-82708790D01*
+X122106275Y-82708790D01*
+X121592066Y-83223000D01*
+X121448472Y-83223000D01*
+X121298357Y-83252859D01*
+X121156952Y-83311431D01*
+X121029691Y-83396464D01*
+X120921464Y-83504691D01*
+X120836431Y-83631952D01*
+X120777859Y-83773357D01*
+X120748000Y-83923472D01*
+X120748000Y-84076528D01*
+X120777859Y-84226643D01*
+X120836431Y-84368048D01*
+X120906558Y-84473000D01*
+X119091842Y-84473000D01*
+X117792637Y-83173796D01*
+X117926643Y-83147141D01*
+X118068048Y-83088569D01*
+X118195309Y-83003536D01*
+X118303536Y-82895309D01*
+X118388569Y-82768048D01*
+X118447141Y-82626643D01*
+X118477000Y-82476528D01*
+X118477000Y-82332935D01*
+X118557902Y-82252033D01*
+X118871187Y-82252033D01*
+X118804691Y-82296464D01*
+G36*
+X157873000Y-67073000D02*
+G01*
+X138279665Y-67073000D01*
+X136174900Y-64968236D01*
+X136158341Y-64884985D01*
+X136178495Y-64894430D01*
+X136441845Y-64959400D01*
+X136712809Y-64971745D01*
+X136980975Y-64930991D01*
+X137236038Y-64838703D01*
+X137347119Y-64779330D01*
+X137457517Y-64587122D01*
+X139412483Y-64587122D01*
+X139522881Y-64779330D01*
+X139768495Y-64894430D01*
+X140031845Y-64959400D01*
+X140302809Y-64971745D01*
+X140570975Y-64930991D01*
+X140826038Y-64838703D01*
+X140937119Y-64779330D01*
+X141047517Y-64587122D01*
+X140230000Y-63769605D01*
+X139412483Y-64587122D01*
+X137457517Y-64587122D01*
+X136640000Y-63769605D01*
+X136625858Y-63783748D01*
+X136446253Y-63604143D01*
+X136460395Y-63590000D01*
+X136819605Y-63590000D01*
+X137637122Y-64407517D01*
+X137829330Y-64297119D01*
+X137944430Y-64051505D01*
+X138009400Y-63788155D01*
+X138015110Y-63662809D01*
+X138848255Y-63662809D01*
+X138889009Y-63930975D01*
+X138981297Y-64186038D01*
+X139040670Y-64297119D01*
+X139232878Y-64407517D01*
+X140050395Y-63590000D01*
+X140409605Y-63590000D01*
+X141227122Y-64407517D01*
+X141419330Y-64297119D01*
+X141534430Y-64051505D01*
+X141599400Y-63788155D01*
+X141611745Y-63517191D01*
+X141570991Y-63249025D01*
+X141478703Y-62993962D01*
+X141419330Y-62882881D01*
+X141227122Y-62772483D01*
+X140409605Y-63590000D01*
+X140050395Y-63590000D01*
+X139232878Y-62772483D01*
+X139040670Y-62882881D01*
+X138925570Y-63128495D01*
+X138860600Y-63391845D01*
+X138848255Y-63662809D01*
+X138015110Y-63662809D01*
+X138021745Y-63517191D01*
+X137980991Y-63249025D01*
+X137888703Y-62993962D01*
+X137829330Y-62882881D01*
+X137637122Y-62772483D01*
+X136819605Y-63590000D01*
+X136460395Y-63590000D01*
+X135642878Y-62772483D01*
+X135450670Y-62882881D01*
+X135335570Y-63128495D01*
+X135270600Y-63391845D01*
+X135258255Y-63662809D01*
+X135299009Y-63930975D01*
+X135391297Y-64186038D01*
+X135450670Y-64297119D01*
+X135471679Y-64309186D01*
+X135329611Y-64309186D01*
+X135179496Y-64339045D01*
+X135038091Y-64397617D01*
+X134910830Y-64482650D01*
+X134802603Y-64590877D01*
+X134717570Y-64718138D01*
+X134658998Y-64859543D01*
+X134629139Y-65009658D01*
+X134629139Y-65162714D01*
+X134656682Y-65301187D01*
+X134631952Y-65311431D01*
+X134504691Y-65396464D01*
+X134396464Y-65504691D01*
+X134311431Y-65631952D01*
+X134252859Y-65773357D01*
+X134223000Y-65923472D01*
+X134223000Y-66076528D01*
+X134252859Y-66226643D01*
+X134311431Y-66368048D01*
+X134396464Y-66495309D01*
+X134504691Y-66603536D01*
+X134631952Y-66688569D01*
+X134773357Y-66747141D01*
+X134882050Y-66768761D01*
+X135186289Y-67073000D01*
+X119327000Y-67073000D01*
+X119327000Y-64587122D01*
+X119562483Y-64587122D01*
+X119672881Y-64779330D01*
+X119918495Y-64894430D01*
+X120181845Y-64959400D01*
+X120452809Y-64971745D01*
+X120720975Y-64930991D01*
+X120976038Y-64838703D01*
+X121087119Y-64779330D01*
+X121197517Y-64587122D01*
+X120380000Y-63769605D01*
+X119562483Y-64587122D01*
+X119327000Y-64587122D01*
+X119327000Y-64375423D01*
+X119382878Y-64407517D01*
+X120200395Y-63590000D01*
+X120559605Y-63590000D01*
+X121377122Y-64407517D01*
+X121569330Y-64297119D01*
+X121684430Y-64051505D01*
+X121749400Y-63788155D01*
+X121761745Y-63517191D01*
+X121720991Y-63249025D01*
+X121628703Y-62993962D01*
+X121569330Y-62882881D01*
+X121377122Y-62772483D01*
+X120559605Y-63590000D01*
+X120200395Y-63590000D01*
+X119382878Y-62772483D01*
+X119327000Y-62804577D01*
+X119327000Y-62592878D01*
+X119562483Y-62592878D01*
+X120380000Y-63410395D01*
+X121197517Y-62592878D01*
+X121087119Y-62400670D01*
+X120841505Y-62285570D01*
+X120578155Y-62220600D01*
+X120307191Y-62208255D01*
+X120039025Y-62249009D01*
+X119783962Y-62341297D01*
+X119672881Y-62400670D01*
+X119562483Y-62592878D01*
+X119327000Y-62592878D01*
+X119327000Y-59962820D01*
+X120158000Y-59962820D01*
+X120158000Y-60357180D01*
+X120234936Y-60743962D01*
+X120385850Y-61108303D01*
+X120604945Y-61436201D01*
+X120883799Y-61715055D01*
+X121211697Y-61934150D01*
+X121576038Y-62085064D01*
+X121962820Y-62162000D01*
+X122357180Y-62162000D01*
+X122743962Y-62085064D01*
+X123070037Y-61950000D01*
+X123821176Y-61950000D01*
+X123821176Y-63450000D01*
+X123828455Y-63523905D01*
+X123850012Y-63594970D01*
+X123885019Y-63660463D01*
+X123932131Y-63717869D01*
+X123989537Y-63764981D01*
+X124055030Y-63799988D01*
+X124126095Y-63821545D01*
+X124200000Y-63828824D01*
+X124441573Y-63828824D01*
+X124504500Y-63905501D01*
+X124528422Y-63925133D01*
+X124864450Y-64261162D01*
+X124843000Y-64369000D01*
+X124843000Y-64591000D01*
+X124886310Y-64808734D01*
+X124971266Y-65013835D01*
+X125094602Y-65198421D01*
+X125251579Y-65355398D01*
+X125436165Y-65478734D01*
+X125641266Y-65563690D01*
+X125859000Y-65607000D01*
+X126081000Y-65607000D01*
+X126298734Y-65563690D01*
+X126503835Y-65478734D01*
+X126688421Y-65355398D01*
+X126845398Y-65198421D01*
+X126968734Y-65013835D01*
+X126990000Y-64962495D01*
+X127011266Y-65013835D01*
+X127134602Y-65198421D01*
+X127291579Y-65355398D01*
+X127476165Y-65478734D01*
+X127681266Y-65563690D01*
+X127899000Y-65607000D01*
+X128121000Y-65607000D01*
+X128338734Y-65563690D01*
+X128543835Y-65478734D01*
+X128728421Y-65355398D01*
+X128785409Y-65298410D01*
+X129411195Y-65298410D01*
+X129491306Y-65465043D01*
+X129694213Y-65555111D01*
+X129910793Y-65603864D01*
+X130132723Y-65609428D01*
+X130351474Y-65571588D01*
+X130558640Y-65491798D01*
+X130608694Y-65465043D01*
+X130688805Y-65298410D01*
+X130050000Y-64659605D01*
+X129411195Y-65298410D01*
+X128785409Y-65298410D01*
+X128885398Y-65198421D01*
+X129008734Y-65013835D01*
+X129029032Y-64964831D01*
+X129038202Y-64988640D01*
+X129064957Y-65038694D01*
+X129231590Y-65118805D01*
+X129870395Y-64480000D01*
+X129856253Y-64465858D01*
+X130035858Y-64286253D01*
+X130050000Y-64300395D01*
+X130064143Y-64286253D01*
+X130243748Y-64465858D01*
+X130229605Y-64480000D01*
+X130868410Y-65118805D01*
+X131035043Y-65038694D01*
+X131069453Y-64961174D01*
+X131091266Y-65013835D01*
+X131214602Y-65198421D01*
+X131371579Y-65355398D01*
+X131556165Y-65478734D01*
+X131761266Y-65563690D01*
+X131979000Y-65607000D01*
+X132201000Y-65607000D01*
+X132418734Y-65563690D01*
+X132623835Y-65478734D01*
+X132808421Y-65355398D01*
+X132965398Y-65198421D01*
+X133088734Y-65013835D01*
+X133173690Y-64808734D01*
+X133217000Y-64591000D01*
+X133217000Y-64369000D01*
+X133173690Y-64151266D01*
+X133088734Y-63946165D01*
+X132965398Y-63761579D01*
+X132808421Y-63604602D01*
+X132623835Y-63481266D01*
+X132418734Y-63396310D01*
+X132201000Y-63353000D01*
+X131989111Y-63353000D01*
+X132068734Y-63233835D01*
+X132153690Y-63028734D01*
+X132197000Y-62811000D01*
+X132197000Y-62592878D01*
+X135822483Y-62592878D01*
+X136640000Y-63410395D01*
+X137457517Y-62592878D01*
+X139412483Y-62592878D01*
+X140230000Y-63410395D01*
+X141047517Y-62592878D01*
+X140937119Y-62400670D01*
+X140691505Y-62285570D01*
+X140428155Y-62220600D01*
+X140157191Y-62208255D01*
+X139889025Y-62249009D01*
+X139633962Y-62341297D01*
+X139522881Y-62400670D01*
+X139412483Y-62592878D01*
+X137457517Y-62592878D01*
+X137347119Y-62400670D01*
+X137101505Y-62285570D01*
+X136838155Y-62220600D01*
+X136567191Y-62208255D01*
+X136299025Y-62249009D01*
+X136043962Y-62341297D01*
+X135932881Y-62400670D01*
+X135822483Y-62592878D01*
+X132197000Y-62592878D01*
+X132197000Y-62589000D01*
+X132153690Y-62371266D01*
+X132068734Y-62166165D01*
+X131945398Y-61981579D01*
+X131788421Y-61824602D01*
+X131603835Y-61701266D01*
+X131398734Y-61616310D01*
+X131181000Y-61573000D01*
+X130959000Y-61573000D01*
+X130741266Y-61616310D01*
+X130536165Y-61701266D01*
+X130351579Y-61824602D01*
+X130194602Y-61981579D01*
+X130071266Y-62166165D01*
+X130050000Y-62217505D01*
+X130028734Y-62166165D01*
+X129905398Y-61981579D01*
+X129748421Y-61824602D01*
+X129563835Y-61701266D01*
+X129358734Y-61616310D01*
+X129141000Y-61573000D01*
+X128919000Y-61573000D01*
+X128701266Y-61616310D01*
+X128496165Y-61701266D01*
+X128311579Y-61824602D01*
+X128154602Y-61981579D01*
+X128031266Y-62166165D01*
+X128010968Y-62215169D01*
+X128001798Y-62191360D01*
+X127975043Y-62141306D01*
+X127808410Y-62061195D01*
+X127169605Y-62700000D01*
+X127183748Y-62714143D01*
+X127004143Y-62893748D01*
+X126990000Y-62879605D01*
+X126975858Y-62893748D01*
+X126796253Y-62714143D01*
+X126810395Y-62700000D01*
+X126171590Y-62061195D01*
+X126078824Y-62105793D01*
+X126078824Y-61950000D01*
+X126072087Y-61881590D01*
+X126351195Y-61881590D01*
+X126990000Y-62520395D01*
+X127628805Y-61881590D01*
+X127548694Y-61714957D01*
+X127345787Y-61624889D01*
+X127129207Y-61576136D01*
+X126907277Y-61570572D01*
+X126688526Y-61608412D01*
+X126481360Y-61688202D01*
+X126431306Y-61714957D01*
+X126351195Y-61881590D01*
+X126072087Y-61881590D01*
+X126071545Y-61876095D01*
+X126049988Y-61805030D01*
+X126014981Y-61739537D01*
+X125967869Y-61682131D01*
+X125910463Y-61635019D01*
+X125844970Y-61600012D01*
+X125773905Y-61578455D01*
+X125727000Y-61573835D01*
+X125727000Y-61471843D01*
+X127236023Y-59962820D01*
+X132858000Y-59962820D01*
+X132858000Y-60357180D01*
+X132934936Y-60743962D01*
+X133085850Y-61108303D01*
+X133304945Y-61436201D01*
+X133583799Y-61715055D01*
+X133911697Y-61934150D01*
+X134276038Y-62085064D01*
+X134662820Y-62162000D01*
+X135057180Y-62162000D01*
+X135443962Y-62085064D01*
+X135808303Y-61934150D01*
+X136136201Y-61715055D01*
+X136415055Y-61436201D01*
+X136634150Y-61108303D01*
+X136785064Y-60743962D01*
+X136862000Y-60357180D01*
+X136862000Y-59962820D01*
+X140008000Y-59962820D01*
+X140008000Y-60357180D01*
+X140084936Y-60743962D01*
+X140235850Y-61108303D01*
+X140454945Y-61436201D01*
+X140733799Y-61715055D01*
+X141061697Y-61934150D01*
+X141426038Y-62085064D01*
+X141812820Y-62162000D01*
+X142207180Y-62162000D01*
+X142593962Y-62085064D01*
+X142958303Y-61934150D01*
+X143286201Y-61715055D01*
+X143565055Y-61436201D01*
+X143784150Y-61108303D01*
+X143935064Y-60743962D01*
+X144012000Y-60357180D01*
+X144012000Y-59962820D01*
+X143935064Y-59576038D01*
+X143784150Y-59211697D01*
+X143565055Y-58883799D01*
+X143286201Y-58604945D01*
+X142958303Y-58385850D01*
+X142593962Y-58234936D01*
+X142207180Y-58158000D01*
+X141812820Y-58158000D01*
+X141426038Y-58234936D01*
+X141061697Y-58385850D01*
+X140733799Y-58604945D01*
+X140454945Y-58883799D01*
+X140235850Y-59211697D01*
+X140084936Y-59576038D01*
+X140008000Y-59962820D01*
+X136862000Y-59962820D01*
+X136785064Y-59576038D01*
+X136634150Y-59211697D01*
+X136415055Y-58883799D01*
+X136136201Y-58604945D01*
+X135808303Y-58385850D01*
+X135443962Y-58234936D01*
+X135057180Y-58158000D01*
+X134662820Y-58158000D01*
+X134276038Y-58234936D01*
+X133911697Y-58385850D01*
+X133583799Y-58604945D01*
+X133304945Y-58883799D01*
+X133085850Y-59211697D01*
+X132934936Y-59576038D01*
+X132858000Y-59962820D01*
+X127236023Y-59962820D01*
+X129121844Y-58077000D01*
+X143178157Y-58077000D01*
+X144023000Y-58921844D01*
+X144023001Y-61111825D01*
+X144023000Y-61111835D01*
+X144023000Y-61573835D01*
+X143976095Y-61578455D01*
+X143905030Y-61600012D01*
+X143839537Y-61635019D01*
+X143782131Y-61682131D01*
+X143735019Y-61739537D01*
+X143700012Y-61805030D01*
+X143678455Y-61876095D01*
+X143671176Y-61950000D01*
+X143671176Y-63450000D01*
+X143678455Y-63523905D01*
+X143700012Y-63594970D01*
+X143735019Y-63660463D01*
+X143782131Y-63717869D01*
+X143839537Y-63764981D01*
+X143905030Y-63799988D01*
+X143976095Y-63821545D01*
+X144050000Y-63828824D01*
+X144291573Y-63828824D01*
+X144354500Y-63905501D01*
+X144378422Y-63925133D01*
+X144714450Y-64261162D01*
+X144693000Y-64369000D01*
+X144693000Y-64591000D01*
+X144736310Y-64808734D01*
+X144821266Y-65013835D01*
+X144944602Y-65198421D01*
+X145101579Y-65355398D01*
+X145286165Y-65478734D01*
+X145491266Y-65563690D01*
+X145709000Y-65607000D01*
+X145931000Y-65607000D01*
+X146148734Y-65563690D01*
+X146353835Y-65478734D01*
+X146538421Y-65355398D01*
+X146695398Y-65198421D01*
+X146818734Y-65013835D01*
+X146840000Y-64962495D01*
+X146861266Y-65013835D01*
+X146984602Y-65198421D01*
+X147141579Y-65355398D01*
+X147326165Y-65478734D01*
+X147531266Y-65563690D01*
+X147749000Y-65607000D01*
+X147971000Y-65607000D01*
+X148188734Y-65563690D01*
+X148393835Y-65478734D01*
+X148578421Y-65355398D01*
+X148635409Y-65298410D01*
+X149261195Y-65298410D01*
+X149341306Y-65465043D01*
+X149544213Y-65555111D01*
+X149760793Y-65603864D01*
+X149982723Y-65609428D01*
+X150201474Y-65571588D01*
+X150408640Y-65491798D01*
+X150458694Y-65465043D01*
+X150538805Y-65298410D01*
+X149900000Y-64659605D01*
+X149261195Y-65298410D01*
+X148635409Y-65298410D01*
+X148735398Y-65198421D01*
+X148858734Y-65013835D01*
+X148879032Y-64964831D01*
+X148888202Y-64988640D01*
+X148914957Y-65038694D01*
+X149081590Y-65118805D01*
+X149720395Y-64480000D01*
+X149706253Y-64465858D01*
+X149885858Y-64286253D01*
+X149900000Y-64300395D01*
+X149914143Y-64286253D01*
+X150093748Y-64465858D01*
+X150079605Y-64480000D01*
+X150718410Y-65118805D01*
+X150885043Y-65038694D01*
+X150919453Y-64961174D01*
+X150941266Y-65013835D01*
+X151064602Y-65198421D01*
+X151221579Y-65355398D01*
+X151406165Y-65478734D01*
+X151611266Y-65563690D01*
+X151829000Y-65607000D01*
+X152051000Y-65607000D01*
+X152268734Y-65563690D01*
+X152473835Y-65478734D01*
+X152658421Y-65355398D01*
+X152815398Y-65198421D01*
+X152938734Y-65013835D01*
+X153023690Y-64808734D01*
+X153067000Y-64591000D01*
+X153067000Y-64587122D01*
+X155672483Y-64587122D01*
+X155782881Y-64779330D01*
+X156028495Y-64894430D01*
+X156291845Y-64959400D01*
+X156562809Y-64971745D01*
+X156830975Y-64930991D01*
+X157086038Y-64838703D01*
+X157197119Y-64779330D01*
+X157307517Y-64587122D01*
+X156490000Y-63769605D01*
+X155672483Y-64587122D01*
+X153067000Y-64587122D01*
+X153067000Y-64369000D01*
+X153023690Y-64151266D01*
+X152938734Y-63946165D01*
+X152815398Y-63761579D01*
+X152716628Y-63662809D01*
+X155108255Y-63662809D01*
+X155149009Y-63930975D01*
+X155241297Y-64186038D01*
+X155300670Y-64297119D01*
+X155492878Y-64407517D01*
+X156310395Y-63590000D01*
+X156669605Y-63590000D01*
+X157487122Y-64407517D01*
+X157679330Y-64297119D01*
+X157794430Y-64051505D01*
+X157859400Y-63788155D01*
+X157871745Y-63517191D01*
+X157830991Y-63249025D01*
+X157738703Y-62993962D01*
+X157679330Y-62882881D01*
+X157487122Y-62772483D01*
+X156669605Y-63590000D01*
+X156310395Y-63590000D01*
+X155492878Y-62772483D01*
+X155300670Y-62882881D01*
+X155185570Y-63128495D01*
+X155120600Y-63391845D01*
+X155108255Y-63662809D01*
+X152716628Y-63662809D01*
+X152658421Y-63604602D01*
+X152473835Y-63481266D01*
+X152268734Y-63396310D01*
+X152051000Y-63353000D01*
+X151839111Y-63353000D01*
+X151918734Y-63233835D01*
+X152003690Y-63028734D01*
+X152047000Y-62811000D01*
+X152047000Y-62592878D01*
+X155672483Y-62592878D01*
+X156490000Y-63410395D01*
+X157307517Y-62592878D01*
+X157197119Y-62400670D01*
+X156951505Y-62285570D01*
+X156688155Y-62220600D01*
+X156417191Y-62208255D01*
+X156149025Y-62249009D01*
+X155893962Y-62341297D01*
+X155782881Y-62400670D01*
+X155672483Y-62592878D01*
+X152047000Y-62592878D01*
+X152047000Y-62589000D01*
+X152003690Y-62371266D01*
+X151918734Y-62166165D01*
+X151795398Y-61981579D01*
+X151638421Y-61824602D01*
+X151453835Y-61701266D01*
+X151248734Y-61616310D01*
+X151031000Y-61573000D01*
+X150809000Y-61573000D01*
+X150591266Y-61616310D01*
+X150386165Y-61701266D01*
+X150201579Y-61824602D01*
+X150044602Y-61981579D01*
+X149921266Y-62166165D01*
+X149900000Y-62217505D01*
+X149878734Y-62166165D01*
+X149755398Y-61981579D01*
+X149598421Y-61824602D01*
+X149413835Y-61701266D01*
+X149208734Y-61616310D01*
+X148991000Y-61573000D01*
+X148769000Y-61573000D01*
+X148551266Y-61616310D01*
+X148346165Y-61701266D01*
+X148161579Y-61824602D01*
+X148004602Y-61981579D01*
+X147881266Y-62166165D01*
+X147860968Y-62215169D01*
+X147851798Y-62191360D01*
+X147825043Y-62141306D01*
+X147658410Y-62061195D01*
+X147019605Y-62700000D01*
+X147033748Y-62714143D01*
+X146854143Y-62893748D01*
+X146840000Y-62879605D01*
+X146825858Y-62893748D01*
+X146646253Y-62714143D01*
+X146660395Y-62700000D01*
+X146021590Y-62061195D01*
+X145928824Y-62105793D01*
+X145928824Y-61950000D01*
+X145922087Y-61881590D01*
+X146201195Y-61881590D01*
+X146840000Y-62520395D01*
+X147478805Y-61881590D01*
+X147398694Y-61714957D01*
+X147195787Y-61624889D01*
+X146979207Y-61576136D01*
+X146757277Y-61570572D01*
+X146538526Y-61608412D01*
+X146331360Y-61688202D01*
+X146281306Y-61714957D01*
+X146201195Y-61881590D01*
+X145922087Y-61881590D01*
+X145921545Y-61876095D01*
+X145899988Y-61805030D01*
+X145864981Y-61739537D01*
+X145817869Y-61682131D01*
+X145760463Y-61635019D01*
+X145694970Y-61600012D01*
+X145623905Y-61578455D01*
+X145577000Y-61573835D01*
+X145577000Y-59962820D01*
+X152708000Y-59962820D01*
+X152708000Y-60357180D01*
+X152784936Y-60743962D01*
+X152935850Y-61108303D01*
+X153154945Y-61436201D01*
+X153433799Y-61715055D01*
+X153761697Y-61934150D01*
+X154126038Y-62085064D01*
+X154512820Y-62162000D01*
+X154907180Y-62162000D01*
+X155293962Y-62085064D01*
+X155658303Y-61934150D01*
+X155986201Y-61715055D01*
+X156265055Y-61436201D01*
+X156484150Y-61108303D01*
+X156635064Y-60743962D01*
+X156712000Y-60357180D01*
+X156712000Y-59962820D01*
+X156635064Y-59576038D01*
+X156484150Y-59211697D01*
+X156265055Y-58883799D01*
+X155986201Y-58604945D01*
+X155658303Y-58385850D01*
+X155293962Y-58234936D01*
+X154907180Y-58158000D01*
+X154512820Y-58158000D01*
+X154126038Y-58234936D01*
+X153761697Y-58385850D01*
+X153433799Y-58604945D01*
+X153154945Y-58883799D01*
+X152935850Y-59211697D01*
+X152784936Y-59576038D01*
+X152708000Y-59962820D01*
+X145577000Y-59962820D01*
+X145577000Y-58638163D01*
+X145580759Y-58600000D01*
+X145577000Y-58561834D01*
+X145565757Y-58447681D01*
+X145521327Y-58301216D01*
+X145496195Y-58254199D01*
+X145449178Y-58166234D01*
+X145376409Y-58077565D01*
+X145376408Y-58077564D01*
+X145352080Y-58047920D01*
+X145322437Y-58023593D01*
+X144076412Y-56777569D01*
+X144052080Y-56747920D01*
+X143933766Y-56650823D01*
+X143798784Y-56578673D01*
+X143652319Y-56534243D01*
+X143538166Y-56523000D01*
+X143538163Y-56523000D01*
+X143500000Y-56519241D01*
+X143461837Y-56523000D01*
+X128838155Y-56523000D01*
+X128799999Y-56519242D01*
+X128761843Y-56523000D01*
+X128761834Y-56523000D01*
+X128647681Y-56534243D01*
+X128501216Y-56578673D01*
+X128366233Y-56650823D01*
+X128277565Y-56723591D01*
+X128247920Y-56747920D01*
+X128223592Y-56777564D01*
+X124427565Y-60573592D01*
+X124397921Y-60597920D01*
+X124373593Y-60627564D01*
+X124373591Y-60627566D01*
+X124300823Y-60716234D01*
+X124228673Y-60851217D01*
+X124184244Y-60997682D01*
+X124169241Y-61150000D01*
+X124173001Y-61188173D01*
+X124173001Y-61573835D01*
+X124126095Y-61578455D01*
+X124055030Y-61600012D01*
+X123989537Y-61635019D01*
+X123932131Y-61682131D01*
+X123885019Y-61739537D01*
+X123850012Y-61805030D01*
+X123828455Y-61876095D01*
+X123821176Y-61950000D01*
+X123070037Y-61950000D01*
+X123108303Y-61934150D01*
+X123436201Y-61715055D01*
+X123715055Y-61436201D01*
+X123934150Y-61108303D01*
+X124085064Y-60743962D01*
+X124162000Y-60357180D01*
+X124162000Y-59962820D01*
+X124085064Y-59576038D01*
+X123934150Y-59211697D01*
+X123715055Y-58883799D01*
+X123436201Y-58604945D01*
+X123108303Y-58385850D01*
+X122743962Y-58234936D01*
+X122357180Y-58158000D01*
+X121962820Y-58158000D01*
+X121576038Y-58234936D01*
+X121211697Y-58385850D01*
+X120883799Y-58604945D01*
+X120604945Y-58883799D01*
+X120385850Y-59211697D01*
+X120234936Y-59576038D01*
+X120158000Y-59962820D01*
+X119327000Y-59962820D01*
+X119327000Y-55452000D01*
+X157873000Y-55452000D01*
+X157873000Y-67073000D01*
+G37*
+X157873000Y-67073000D02*
+X138279665Y-67073000D01*
+X136174900Y-64968236D01*
+X136158341Y-64884985D01*
+X136178495Y-64894430D01*
+X136441845Y-64959400D01*
+X136712809Y-64971745D01*
+X136980975Y-64930991D01*
+X137236038Y-64838703D01*
+X137347119Y-64779330D01*
+X137457517Y-64587122D01*
+X139412483Y-64587122D01*
+X139522881Y-64779330D01*
+X139768495Y-64894430D01*
+X140031845Y-64959400D01*
+X140302809Y-64971745D01*
+X140570975Y-64930991D01*
+X140826038Y-64838703D01*
+X140937119Y-64779330D01*
+X141047517Y-64587122D01*
+X140230000Y-63769605D01*
+X139412483Y-64587122D01*
+X137457517Y-64587122D01*
+X136640000Y-63769605D01*
+X136625858Y-63783748D01*
+X136446253Y-63604143D01*
+X136460395Y-63590000D01*
+X136819605Y-63590000D01*
+X137637122Y-64407517D01*
+X137829330Y-64297119D01*
+X137944430Y-64051505D01*
+X138009400Y-63788155D01*
+X138015110Y-63662809D01*
+X138848255Y-63662809D01*
+X138889009Y-63930975D01*
+X138981297Y-64186038D01*
+X139040670Y-64297119D01*
+X139232878Y-64407517D01*
+X140050395Y-63590000D01*
+X140409605Y-63590000D01*
+X141227122Y-64407517D01*
+X141419330Y-64297119D01*
+X141534430Y-64051505D01*
+X141599400Y-63788155D01*
+X141611745Y-63517191D01*
+X141570991Y-63249025D01*
+X141478703Y-62993962D01*
+X141419330Y-62882881D01*
+X141227122Y-62772483D01*
+X140409605Y-63590000D01*
+X140050395Y-63590000D01*
+X139232878Y-62772483D01*
+X139040670Y-62882881D01*
+X138925570Y-63128495D01*
+X138860600Y-63391845D01*
+X138848255Y-63662809D01*
+X138015110Y-63662809D01*
+X138021745Y-63517191D01*
+X137980991Y-63249025D01*
+X137888703Y-62993962D01*
+X137829330Y-62882881D01*
+X137637122Y-62772483D01*
+X136819605Y-63590000D01*
+X136460395Y-63590000D01*
+X135642878Y-62772483D01*
+X135450670Y-62882881D01*
+X135335570Y-63128495D01*
+X135270600Y-63391845D01*
+X135258255Y-63662809D01*
+X135299009Y-63930975D01*
+X135391297Y-64186038D01*
+X135450670Y-64297119D01*
+X135471679Y-64309186D01*
+X135329611Y-64309186D01*
+X135179496Y-64339045D01*
+X135038091Y-64397617D01*
+X134910830Y-64482650D01*
+X134802603Y-64590877D01*
+X134717570Y-64718138D01*
+X134658998Y-64859543D01*
+X134629139Y-65009658D01*
+X134629139Y-65162714D01*
+X134656682Y-65301187D01*
+X134631952Y-65311431D01*
+X134504691Y-65396464D01*
+X134396464Y-65504691D01*
+X134311431Y-65631952D01*
+X134252859Y-65773357D01*
+X134223000Y-65923472D01*
+X134223000Y-66076528D01*
+X134252859Y-66226643D01*
+X134311431Y-66368048D01*
+X134396464Y-66495309D01*
+X134504691Y-66603536D01*
+X134631952Y-66688569D01*
+X134773357Y-66747141D01*
+X134882050Y-66768761D01*
+X135186289Y-67073000D01*
+X119327000Y-67073000D01*
+X119327000Y-64587122D01*
+X119562483Y-64587122D01*
+X119672881Y-64779330D01*
+X119918495Y-64894430D01*
+X120181845Y-64959400D01*
+X120452809Y-64971745D01*
+X120720975Y-64930991D01*
+X120976038Y-64838703D01*
+X121087119Y-64779330D01*
+X121197517Y-64587122D01*
+X120380000Y-63769605D01*
+X119562483Y-64587122D01*
+X119327000Y-64587122D01*
+X119327000Y-64375423D01*
+X119382878Y-64407517D01*
+X120200395Y-63590000D01*
+X120559605Y-63590000D01*
+X121377122Y-64407517D01*
+X121569330Y-64297119D01*
+X121684430Y-64051505D01*
+X121749400Y-63788155D01*
+X121761745Y-63517191D01*
+X121720991Y-63249025D01*
+X121628703Y-62993962D01*
+X121569330Y-62882881D01*
+X121377122Y-62772483D01*
+X120559605Y-63590000D01*
+X120200395Y-63590000D01*
+X119382878Y-62772483D01*
+X119327000Y-62804577D01*
+X119327000Y-62592878D01*
+X119562483Y-62592878D01*
+X120380000Y-63410395D01*
+X121197517Y-62592878D01*
+X121087119Y-62400670D01*
+X120841505Y-62285570D01*
+X120578155Y-62220600D01*
+X120307191Y-62208255D01*
+X120039025Y-62249009D01*
+X119783962Y-62341297D01*
+X119672881Y-62400670D01*
+X119562483Y-62592878D01*
+X119327000Y-62592878D01*
+X119327000Y-59962820D01*
+X120158000Y-59962820D01*
+X120158000Y-60357180D01*
+X120234936Y-60743962D01*
+X120385850Y-61108303D01*
+X120604945Y-61436201D01*
+X120883799Y-61715055D01*
+X121211697Y-61934150D01*
+X121576038Y-62085064D01*
+X121962820Y-62162000D01*
+X122357180Y-62162000D01*
+X122743962Y-62085064D01*
+X123070037Y-61950000D01*
+X123821176Y-61950000D01*
+X123821176Y-63450000D01*
+X123828455Y-63523905D01*
+X123850012Y-63594970D01*
+X123885019Y-63660463D01*
+X123932131Y-63717869D01*
+X123989537Y-63764981D01*
+X124055030Y-63799988D01*
+X124126095Y-63821545D01*
+X124200000Y-63828824D01*
+X124441573Y-63828824D01*
+X124504500Y-63905501D01*
+X124528422Y-63925133D01*
+X124864450Y-64261162D01*
+X124843000Y-64369000D01*
+X124843000Y-64591000D01*
+X124886310Y-64808734D01*
+X124971266Y-65013835D01*
+X125094602Y-65198421D01*
+X125251579Y-65355398D01*
+X125436165Y-65478734D01*
+X125641266Y-65563690D01*
+X125859000Y-65607000D01*
+X126081000Y-65607000D01*
+X126298734Y-65563690D01*
+X126503835Y-65478734D01*
+X126688421Y-65355398D01*
+X126845398Y-65198421D01*
+X126968734Y-65013835D01*
+X126990000Y-64962495D01*
+X127011266Y-65013835D01*
+X127134602Y-65198421D01*
+X127291579Y-65355398D01*
+X127476165Y-65478734D01*
+X127681266Y-65563690D01*
+X127899000Y-65607000D01*
+X128121000Y-65607000D01*
+X128338734Y-65563690D01*
+X128543835Y-65478734D01*
+X128728421Y-65355398D01*
+X128785409Y-65298410D01*
+X129411195Y-65298410D01*
+X129491306Y-65465043D01*
+X129694213Y-65555111D01*
+X129910793Y-65603864D01*
+X130132723Y-65609428D01*
+X130351474Y-65571588D01*
+X130558640Y-65491798D01*
+X130608694Y-65465043D01*
+X130688805Y-65298410D01*
+X130050000Y-64659605D01*
+X129411195Y-65298410D01*
+X128785409Y-65298410D01*
+X128885398Y-65198421D01*
+X129008734Y-65013835D01*
+X129029032Y-64964831D01*
+X129038202Y-64988640D01*
+X129064957Y-65038694D01*
+X129231590Y-65118805D01*
+X129870395Y-64480000D01*
+X129856253Y-64465858D01*
+X130035858Y-64286253D01*
+X130050000Y-64300395D01*
+X130064143Y-64286253D01*
+X130243748Y-64465858D01*
+X130229605Y-64480000D01*
+X130868410Y-65118805D01*
+X131035043Y-65038694D01*
+X131069453Y-64961174D01*
+X131091266Y-65013835D01*
+X131214602Y-65198421D01*
+X131371579Y-65355398D01*
+X131556165Y-65478734D01*
+X131761266Y-65563690D01*
+X131979000Y-65607000D01*
+X132201000Y-65607000D01*
+X132418734Y-65563690D01*
+X132623835Y-65478734D01*
+X132808421Y-65355398D01*
+X132965398Y-65198421D01*
+X133088734Y-65013835D01*
+X133173690Y-64808734D01*
+X133217000Y-64591000D01*
+X133217000Y-64369000D01*
+X133173690Y-64151266D01*
+X133088734Y-63946165D01*
+X132965398Y-63761579D01*
+X132808421Y-63604602D01*
+X132623835Y-63481266D01*
+X132418734Y-63396310D01*
+X132201000Y-63353000D01*
+X131989111Y-63353000D01*
+X132068734Y-63233835D01*
+X132153690Y-63028734D01*
+X132197000Y-62811000D01*
+X132197000Y-62592878D01*
+X135822483Y-62592878D01*
+X136640000Y-63410395D01*
+X137457517Y-62592878D01*
+X139412483Y-62592878D01*
+X140230000Y-63410395D01*
+X141047517Y-62592878D01*
+X140937119Y-62400670D01*
+X140691505Y-62285570D01*
+X140428155Y-62220600D01*
+X140157191Y-62208255D01*
+X139889025Y-62249009D01*
+X139633962Y-62341297D01*
+X139522881Y-62400670D01*
+X139412483Y-62592878D01*
+X137457517Y-62592878D01*
+X137347119Y-62400670D01*
+X137101505Y-62285570D01*
+X136838155Y-62220600D01*
+X136567191Y-62208255D01*
+X136299025Y-62249009D01*
+X136043962Y-62341297D01*
+X135932881Y-62400670D01*
+X135822483Y-62592878D01*
+X132197000Y-62592878D01*
+X132197000Y-62589000D01*
+X132153690Y-62371266D01*
+X132068734Y-62166165D01*
+X131945398Y-61981579D01*
+X131788421Y-61824602D01*
+X131603835Y-61701266D01*
+X131398734Y-61616310D01*
+X131181000Y-61573000D01*
+X130959000Y-61573000D01*
+X130741266Y-61616310D01*
+X130536165Y-61701266D01*
+X130351579Y-61824602D01*
+X130194602Y-61981579D01*
+X130071266Y-62166165D01*
+X130050000Y-62217505D01*
+X130028734Y-62166165D01*
+X129905398Y-61981579D01*
+X129748421Y-61824602D01*
+X129563835Y-61701266D01*
+X129358734Y-61616310D01*
+X129141000Y-61573000D01*
+X128919000Y-61573000D01*
+X128701266Y-61616310D01*
+X128496165Y-61701266D01*
+X128311579Y-61824602D01*
+X128154602Y-61981579D01*
+X128031266Y-62166165D01*
+X128010968Y-62215169D01*
+X128001798Y-62191360D01*
+X127975043Y-62141306D01*
+X127808410Y-62061195D01*
+X127169605Y-62700000D01*
+X127183748Y-62714143D01*
+X127004143Y-62893748D01*
+X126990000Y-62879605D01*
+X126975858Y-62893748D01*
+X126796253Y-62714143D01*
+X126810395Y-62700000D01*
+X126171590Y-62061195D01*
+X126078824Y-62105793D01*
+X126078824Y-61950000D01*
+X126072087Y-61881590D01*
+X126351195Y-61881590D01*
+X126990000Y-62520395D01*
+X127628805Y-61881590D01*
+X127548694Y-61714957D01*
+X127345787Y-61624889D01*
+X127129207Y-61576136D01*
+X126907277Y-61570572D01*
+X126688526Y-61608412D01*
+X126481360Y-61688202D01*
+X126431306Y-61714957D01*
+X126351195Y-61881590D01*
+X126072087Y-61881590D01*
+X126071545Y-61876095D01*
+X126049988Y-61805030D01*
+X126014981Y-61739537D01*
+X125967869Y-61682131D01*
+X125910463Y-61635019D01*
+X125844970Y-61600012D01*
+X125773905Y-61578455D01*
+X125727000Y-61573835D01*
+X125727000Y-61471843D01*
+X127236023Y-59962820D01*
+X132858000Y-59962820D01*
+X132858000Y-60357180D01*
+X132934936Y-60743962D01*
+X133085850Y-61108303D01*
+X133304945Y-61436201D01*
+X133583799Y-61715055D01*
+X133911697Y-61934150D01*
+X134276038Y-62085064D01*
+X134662820Y-62162000D01*
+X135057180Y-62162000D01*
+X135443962Y-62085064D01*
+X135808303Y-61934150D01*
+X136136201Y-61715055D01*
+X136415055Y-61436201D01*
+X136634150Y-61108303D01*
+X136785064Y-60743962D01*
+X136862000Y-60357180D01*
+X136862000Y-59962820D01*
+X140008000Y-59962820D01*
+X140008000Y-60357180D01*
+X140084936Y-60743962D01*
+X140235850Y-61108303D01*
+X140454945Y-61436201D01*
+X140733799Y-61715055D01*
+X141061697Y-61934150D01*
+X141426038Y-62085064D01*
+X141812820Y-62162000D01*
+X142207180Y-62162000D01*
+X142593962Y-62085064D01*
+X142958303Y-61934150D01*
+X143286201Y-61715055D01*
+X143565055Y-61436201D01*
+X143784150Y-61108303D01*
+X143935064Y-60743962D01*
+X144012000Y-60357180D01*
+X144012000Y-59962820D01*
+X143935064Y-59576038D01*
+X143784150Y-59211697D01*
+X143565055Y-58883799D01*
+X143286201Y-58604945D01*
+X142958303Y-58385850D01*
+X142593962Y-58234936D01*
+X142207180Y-58158000D01*
+X141812820Y-58158000D01*
+X141426038Y-58234936D01*
+X141061697Y-58385850D01*
+X140733799Y-58604945D01*
+X140454945Y-58883799D01*
+X140235850Y-59211697D01*
+X140084936Y-59576038D01*
+X140008000Y-59962820D01*
+X136862000Y-59962820D01*
+X136785064Y-59576038D01*
+X136634150Y-59211697D01*
+X136415055Y-58883799D01*
+X136136201Y-58604945D01*
+X135808303Y-58385850D01*
+X135443962Y-58234936D01*
+X135057180Y-58158000D01*
+X134662820Y-58158000D01*
+X134276038Y-58234936D01*
+X133911697Y-58385850D01*
+X133583799Y-58604945D01*
+X133304945Y-58883799D01*
+X133085850Y-59211697D01*
+X132934936Y-59576038D01*
+X132858000Y-59962820D01*
+X127236023Y-59962820D01*
+X129121844Y-58077000D01*
+X143178157Y-58077000D01*
+X144023000Y-58921844D01*
+X144023001Y-61111825D01*
+X144023000Y-61111835D01*
+X144023000Y-61573835D01*
+X143976095Y-61578455D01*
+X143905030Y-61600012D01*
+X143839537Y-61635019D01*
+X143782131Y-61682131D01*
+X143735019Y-61739537D01*
+X143700012Y-61805030D01*
+X143678455Y-61876095D01*
+X143671176Y-61950000D01*
+X143671176Y-63450000D01*
+X143678455Y-63523905D01*
+X143700012Y-63594970D01*
+X143735019Y-63660463D01*
+X143782131Y-63717869D01*
+X143839537Y-63764981D01*
+X143905030Y-63799988D01*
+X143976095Y-63821545D01*
+X144050000Y-63828824D01*
+X144291573Y-63828824D01*
+X144354500Y-63905501D01*
+X144378422Y-63925133D01*
+X144714450Y-64261162D01*
+X144693000Y-64369000D01*
+X144693000Y-64591000D01*
+X144736310Y-64808734D01*
+X144821266Y-65013835D01*
+X144944602Y-65198421D01*
+X145101579Y-65355398D01*
+X145286165Y-65478734D01*
+X145491266Y-65563690D01*
+X145709000Y-65607000D01*
+X145931000Y-65607000D01*
+X146148734Y-65563690D01*
+X146353835Y-65478734D01*
+X146538421Y-65355398D01*
+X146695398Y-65198421D01*
+X146818734Y-65013835D01*
+X146840000Y-64962495D01*
+X146861266Y-65013835D01*
+X146984602Y-65198421D01*
+X147141579Y-65355398D01*
+X147326165Y-65478734D01*
+X147531266Y-65563690D01*
+X147749000Y-65607000D01*
+X147971000Y-65607000D01*
+X148188734Y-65563690D01*
+X148393835Y-65478734D01*
+X148578421Y-65355398D01*
+X148635409Y-65298410D01*
+X149261195Y-65298410D01*
+X149341306Y-65465043D01*
+X149544213Y-65555111D01*
+X149760793Y-65603864D01*
+X149982723Y-65609428D01*
+X150201474Y-65571588D01*
+X150408640Y-65491798D01*
+X150458694Y-65465043D01*
+X150538805Y-65298410D01*
+X149900000Y-64659605D01*
+X149261195Y-65298410D01*
+X148635409Y-65298410D01*
+X148735398Y-65198421D01*
+X148858734Y-65013835D01*
+X148879032Y-64964831D01*
+X148888202Y-64988640D01*
+X148914957Y-65038694D01*
+X149081590Y-65118805D01*
+X149720395Y-64480000D01*
+X149706253Y-64465858D01*
+X149885858Y-64286253D01*
+X149900000Y-64300395D01*
+X149914143Y-64286253D01*
+X150093748Y-64465858D01*
+X150079605Y-64480000D01*
+X150718410Y-65118805D01*
+X150885043Y-65038694D01*
+X150919453Y-64961174D01*
+X150941266Y-65013835D01*
+X151064602Y-65198421D01*
+X151221579Y-65355398D01*
+X151406165Y-65478734D01*
+X151611266Y-65563690D01*
+X151829000Y-65607000D01*
+X152051000Y-65607000D01*
+X152268734Y-65563690D01*
+X152473835Y-65478734D01*
+X152658421Y-65355398D01*
+X152815398Y-65198421D01*
+X152938734Y-65013835D01*
+X153023690Y-64808734D01*
+X153067000Y-64591000D01*
+X153067000Y-64587122D01*
+X155672483Y-64587122D01*
+X155782881Y-64779330D01*
+X156028495Y-64894430D01*
+X156291845Y-64959400D01*
+X156562809Y-64971745D01*
+X156830975Y-64930991D01*
+X157086038Y-64838703D01*
+X157197119Y-64779330D01*
+X157307517Y-64587122D01*
+X156490000Y-63769605D01*
+X155672483Y-64587122D01*
+X153067000Y-64587122D01*
+X153067000Y-64369000D01*
+X153023690Y-64151266D01*
+X152938734Y-63946165D01*
+X152815398Y-63761579D01*
+X152716628Y-63662809D01*
+X155108255Y-63662809D01*
+X155149009Y-63930975D01*
+X155241297Y-64186038D01*
+X155300670Y-64297119D01*
+X155492878Y-64407517D01*
+X156310395Y-63590000D01*
+X156669605Y-63590000D01*
+X157487122Y-64407517D01*
+X157679330Y-64297119D01*
+X157794430Y-64051505D01*
+X157859400Y-63788155D01*
+X157871745Y-63517191D01*
+X157830991Y-63249025D01*
+X157738703Y-62993962D01*
+X157679330Y-62882881D01*
+X157487122Y-62772483D01*
+X156669605Y-63590000D01*
+X156310395Y-63590000D01*
+X155492878Y-62772483D01*
+X155300670Y-62882881D01*
+X155185570Y-63128495D01*
+X155120600Y-63391845D01*
+X155108255Y-63662809D01*
+X152716628Y-63662809D01*
+X152658421Y-63604602D01*
+X152473835Y-63481266D01*
+X152268734Y-63396310D01*
+X152051000Y-63353000D01*
+X151839111Y-63353000D01*
+X151918734Y-63233835D01*
+X152003690Y-63028734D01*
+X152047000Y-62811000D01*
+X152047000Y-62592878D01*
+X155672483Y-62592878D01*
+X156490000Y-63410395D01*
+X157307517Y-62592878D01*
+X157197119Y-62400670D01*
+X156951505Y-62285570D01*
+X156688155Y-62220600D01*
+X156417191Y-62208255D01*
+X156149025Y-62249009D01*
+X155893962Y-62341297D01*
+X155782881Y-62400670D01*
+X155672483Y-62592878D01*
+X152047000Y-62592878D01*
+X152047000Y-62589000D01*
+X152003690Y-62371266D01*
+X151918734Y-62166165D01*
+X151795398Y-61981579D01*
+X151638421Y-61824602D01*
+X151453835Y-61701266D01*
+X151248734Y-61616310D01*
+X151031000Y-61573000D01*
+X150809000Y-61573000D01*
+X150591266Y-61616310D01*
+X150386165Y-61701266D01*
+X150201579Y-61824602D01*
+X150044602Y-61981579D01*
+X149921266Y-62166165D01*
+X149900000Y-62217505D01*
+X149878734Y-62166165D01*
+X149755398Y-61981579D01*
+X149598421Y-61824602D01*
+X149413835Y-61701266D01*
+X149208734Y-61616310D01*
+X148991000Y-61573000D01*
+X148769000Y-61573000D01*
+X148551266Y-61616310D01*
+X148346165Y-61701266D01*
+X148161579Y-61824602D01*
+X148004602Y-61981579D01*
+X147881266Y-62166165D01*
+X147860968Y-62215169D01*
+X147851798Y-62191360D01*
+X147825043Y-62141306D01*
+X147658410Y-62061195D01*
+X147019605Y-62700000D01*
+X147033748Y-62714143D01*
+X146854143Y-62893748D01*
+X146840000Y-62879605D01*
+X146825858Y-62893748D01*
+X146646253Y-62714143D01*
+X146660395Y-62700000D01*
+X146021590Y-62061195D01*
+X145928824Y-62105793D01*
+X145928824Y-61950000D01*
+X145922087Y-61881590D01*
+X146201195Y-61881590D01*
+X146840000Y-62520395D01*
+X147478805Y-61881590D01*
+X147398694Y-61714957D01*
+X147195787Y-61624889D01*
+X146979207Y-61576136D01*
+X146757277Y-61570572D01*
+X146538526Y-61608412D01*
+X146331360Y-61688202D01*
+X146281306Y-61714957D01*
+X146201195Y-61881590D01*
+X145922087Y-61881590D01*
+X145921545Y-61876095D01*
+X145899988Y-61805030D01*
+X145864981Y-61739537D01*
+X145817869Y-61682131D01*
+X145760463Y-61635019D01*
+X145694970Y-61600012D01*
+X145623905Y-61578455D01*
+X145577000Y-61573835D01*
+X145577000Y-59962820D01*
+X152708000Y-59962820D01*
+X152708000Y-60357180D01*
+X152784936Y-60743962D01*
+X152935850Y-61108303D01*
+X153154945Y-61436201D01*
+X153433799Y-61715055D01*
+X153761697Y-61934150D01*
+X154126038Y-62085064D01*
+X154512820Y-62162000D01*
+X154907180Y-62162000D01*
+X155293962Y-62085064D01*
+X155658303Y-61934150D01*
+X155986201Y-61715055D01*
+X156265055Y-61436201D01*
+X156484150Y-61108303D01*
+X156635064Y-60743962D01*
+X156712000Y-60357180D01*
+X156712000Y-59962820D01*
+X156635064Y-59576038D01*
+X156484150Y-59211697D01*
+X156265055Y-58883799D01*
+X155986201Y-58604945D01*
+X155658303Y-58385850D01*
+X155293962Y-58234936D01*
+X154907180Y-58158000D01*
+X154512820Y-58158000D01*
+X154126038Y-58234936D01*
+X153761697Y-58385850D01*
+X153433799Y-58604945D01*
+X153154945Y-58883799D01*
+X152935850Y-59211697D01*
+X152784936Y-59576038D01*
+X152708000Y-59962820D01*
+X145577000Y-59962820D01*
+X145577000Y-58638163D01*
+X145580759Y-58600000D01*
+X145577000Y-58561834D01*
+X145565757Y-58447681D01*
+X145521327Y-58301216D01*
+X145496195Y-58254199D01*
+X145449178Y-58166234D01*
+X145376409Y-58077565D01*
+X145376408Y-58077564D01*
+X145352080Y-58047920D01*
+X145322437Y-58023593D01*
+X144076412Y-56777569D01*
+X144052080Y-56747920D01*
+X143933766Y-56650823D01*
+X143798784Y-56578673D01*
+X143652319Y-56534243D01*
+X143538166Y-56523000D01*
+X143538163Y-56523000D01*
+X143500000Y-56519241D01*
+X143461837Y-56523000D01*
+X128838155Y-56523000D01*
+X128799999Y-56519242D01*
+X128761843Y-56523000D01*
+X128761834Y-56523000D01*
+X128647681Y-56534243D01*
+X128501216Y-56578673D01*
+X128366233Y-56650823D01*
+X128277565Y-56723591D01*
+X128247920Y-56747920D01*
+X128223592Y-56777564D01*
+X124427565Y-60573592D01*
+X124397921Y-60597920D01*
+X124373593Y-60627564D01*
+X124373591Y-60627566D01*
+X124300823Y-60716234D01*
+X124228673Y-60851217D01*
+X124184244Y-60997682D01*
+X124169241Y-61150000D01*
+X124173001Y-61188173D01*
+X124173001Y-61573835D01*
+X124126095Y-61578455D01*
+X124055030Y-61600012D01*
+X123989537Y-61635019D01*
+X123932131Y-61682131D01*
+X123885019Y-61739537D01*
+X123850012Y-61805030D01*
+X123828455Y-61876095D01*
+X123821176Y-61950000D01*
+X123070037Y-61950000D01*
+X123108303Y-61934150D01*
+X123436201Y-61715055D01*
+X123715055Y-61436201D01*
+X123934150Y-61108303D01*
+X124085064Y-60743962D01*
+X124162000Y-60357180D01*
+X124162000Y-59962820D01*
+X124085064Y-59576038D01*
+X123934150Y-59211697D01*
+X123715055Y-58883799D01*
+X123436201Y-58604945D01*
+X123108303Y-58385850D01*
+X122743962Y-58234936D01*
+X122357180Y-58158000D01*
+X121962820Y-58158000D01*
+X121576038Y-58234936D01*
+X121211697Y-58385850D01*
+X120883799Y-58604945D01*
+X120604945Y-58883799D01*
+X120385850Y-59211697D01*
+X120234936Y-59576038D01*
+X120158000Y-59962820D01*
+X119327000Y-59962820D01*
+X119327000Y-55452000D01*
+X157873000Y-55452000D01*
+X157873000Y-67073000D01*
+G36*
+X129891310Y-120296266D02*
+G01*
+X129848000Y-120514000D01*
+X129848000Y-120736000D01*
+X129891310Y-120953734D01*
+X129976266Y-121158835D01*
+X130099602Y-121343421D01*
+X130256579Y-121500398D01*
+X130441165Y-121623734D01*
+X130646266Y-121708690D01*
+X130864000Y-121752000D01*
+X131086000Y-121752000D01*
+X131303734Y-121708690D01*
+X131508835Y-121623734D01*
+X131693421Y-121500398D01*
+X131850398Y-121343421D01*
+X131973734Y-121158835D01*
+X132007631Y-121077001D01*
+X167133150Y-121077001D01*
+X167174999Y-121081123D01*
+X167216848Y-121077001D01*
+X167216851Y-121077001D01*
+X167342020Y-121064673D01*
+X167397989Y-121047695D01*
+X167397989Y-142147101D01*
+X166947125Y-142597966D01*
+X156405473Y-142597966D01*
+X156363624Y-142593844D01*
+X156321775Y-142597966D01*
+X156321772Y-142597966D01*
+X156196603Y-142610294D01*
+X156036000Y-142659012D01*
+X155887988Y-142738127D01*
+X155758254Y-142844596D01*
+X155731575Y-142877105D01*
+X153310691Y-145297990D01*
+X147891861Y-145297990D01*
+X147850012Y-145293868D01*
+X147808163Y-145297990D01*
+X147808160Y-145297990D01*
+X147682991Y-145310318D01*
+X147522388Y-145359036D01*
+X147374376Y-145438151D01*
+X147244642Y-145544620D01*
+X147217963Y-145577129D01*
+X145922092Y-146873000D01*
+X132727000Y-146873000D01*
+X132727000Y-133000000D01*
+X132713031Y-132858169D01*
+X132671660Y-132721789D01*
+X132604478Y-132596100D01*
+X132514067Y-132485933D01*
+X132403900Y-132395522D01*
+X132278211Y-132328340D01*
+X132141831Y-132286969D01*
+X132000000Y-132273000D01*
+X128727000Y-132273000D01*
+X128727000Y-131604685D01*
+X128781898Y-131549787D01*
+X128819187Y-131519185D01*
+X128941277Y-131370417D01*
+X129031998Y-131200690D01*
+X129087864Y-131016524D01*
+X129102001Y-130872992D01*
+X129106728Y-130824999D01*
+X129102001Y-130777006D01*
+X129102001Y-129497992D01*
+X129106728Y-129449999D01*
+X129087864Y-129258473D01*
+X129031998Y-129074309D01*
+X129031998Y-129074308D01*
+X128941277Y-128904581D01*
+X128819187Y-128755813D01*
+X128781903Y-128725215D01*
+X128727000Y-128670312D01*
+X128727000Y-120127000D01*
+X129961423Y-120127000D01*
+X129891310Y-120296266D01*
+G37*
+X129891310Y-120296266D02*
+X129848000Y-120514000D01*
+X129848000Y-120736000D01*
+X129891310Y-120953734D01*
+X129976266Y-121158835D01*
+X130099602Y-121343421D01*
+X130256579Y-121500398D01*
+X130441165Y-121623734D01*
+X130646266Y-121708690D01*
+X130864000Y-121752000D01*
+X131086000Y-121752000D01*
+X131303734Y-121708690D01*
+X131508835Y-121623734D01*
+X131693421Y-121500398D01*
+X131850398Y-121343421D01*
+X131973734Y-121158835D01*
+X132007631Y-121077001D01*
+X167133150Y-121077001D01*
+X167174999Y-121081123D01*
+X167216848Y-121077001D01*
+X167216851Y-121077001D01*
+X167342020Y-121064673D01*
+X167397989Y-121047695D01*
+X167397989Y-142147101D01*
+X166947125Y-142597966D01*
+X156405473Y-142597966D01*
+X156363624Y-142593844D01*
+X156321775Y-142597966D01*
+X156321772Y-142597966D01*
+X156196603Y-142610294D01*
+X156036000Y-142659012D01*
+X155887988Y-142738127D01*
+X155758254Y-142844596D01*
+X155731575Y-142877105D01*
+X153310691Y-145297990D01*
+X147891861Y-145297990D01*
+X147850012Y-145293868D01*
+X147808163Y-145297990D01*
+X147808160Y-145297990D01*
+X147682991Y-145310318D01*
+X147522388Y-145359036D01*
+X147374376Y-145438151D01*
+X147244642Y-145544620D01*
+X147217963Y-145577129D01*
+X145922092Y-146873000D01*
+X132727000Y-146873000D01*
+X132727000Y-133000000D01*
+X132713031Y-132858169D01*
+X132671660Y-132721789D01*
+X132604478Y-132596100D01*
+X132514067Y-132485933D01*
+X132403900Y-132395522D01*
+X132278211Y-132328340D01*
+X132141831Y-132286969D01*
+X132000000Y-132273000D01*
+X128727000Y-132273000D01*
+X128727000Y-131604685D01*
+X128781898Y-131549787D01*
+X128819187Y-131519185D01*
+X128941277Y-131370417D01*
+X129031998Y-131200690D01*
+X129087864Y-131016524D01*
+X129102001Y-130872992D01*
+X129106728Y-130824999D01*
+X129102001Y-130777006D01*
+X129102001Y-129497992D01*
+X129106728Y-129449999D01*
+X129087864Y-129258473D01*
+X129031998Y-129074309D01*
+X129031998Y-129074308D01*
+X128941277Y-128904581D01*
+X128819187Y-128755813D01*
+X128781903Y-128725215D01*
+X128727000Y-128670312D01*
+X128727000Y-120127000D01*
+X129961423Y-120127000D01*
+X129891310Y-120296266D01*
+G36*
+X151516624Y-95192501D02*
+G01*
+X151192501Y-95516624D01*
+X150937840Y-95897752D01*
+X150762426Y-96321239D01*
+X150673000Y-96770811D01*
+X150673000Y-97229189D01*
+X150762426Y-97678761D01*
+X150937840Y-98102248D01*
+X151192501Y-98483376D01*
+X151516624Y-98807499D01*
+X151897752Y-99062160D01*
+X152321239Y-99237574D01*
+X152770811Y-99327000D01*
+X153229189Y-99327000D01*
+X153678761Y-99237574D01*
+X154102248Y-99062160D01*
+X154483376Y-98807499D01*
+X154807499Y-98483376D01*
+X155062160Y-98102248D01*
+X155237574Y-97678761D01*
+X155327000Y-97229189D01*
+X155327000Y-96770811D01*
+X155237574Y-96321239D01*
+X155062160Y-95897752D01*
+X154807499Y-95516624D01*
+X154483376Y-95192501D01*
+X154385347Y-95127000D01*
+X164372091Y-95127000D01*
+X166848000Y-97602910D01*
+X166848001Y-119273000D01*
+X129226999Y-119273000D01*
+X129226999Y-109954687D01*
+X130204687Y-108977000D01*
+X131398115Y-108977000D01*
+X131505888Y-108987615D01*
+X131613661Y-108977000D01*
+X133468958Y-108977000D01*
+X133516951Y-108981727D01*
+X133708476Y-108962863D01*
+X133892642Y-108906997D01*
+X134062369Y-108816276D01*
+X134211137Y-108694186D01*
+X134241735Y-108656902D01*
+X136356902Y-106541735D01*
+X136394186Y-106511137D01*
+X136516276Y-106362369D01*
+X136606997Y-106192642D01*
+X136642471Y-106075701D01*
+X136662863Y-106008477D01*
+X136681727Y-105816951D01*
+X136677000Y-105768958D01*
+X136677000Y-98604686D01*
+X137190364Y-98091322D01*
+X137228734Y-98083690D01*
+X137433835Y-97998734D01*
+X137618421Y-97875398D01*
+X137775398Y-97718421D01*
+X137836483Y-97627000D01*
+X147500000Y-97627000D01*
+X147524776Y-97624560D01*
+X147548601Y-97617333D01*
+X147570557Y-97605597D01*
+X147589803Y-97589803D01*
+X147605597Y-97570557D01*
+X147617333Y-97548601D01*
+X147624560Y-97524776D01*
+X147627000Y-97500000D01*
+X147627000Y-95127000D01*
+X151614653Y-95127000D01*
+X151516624Y-95192501D01*
+G37*
+X151516624Y-95192501D02*
+X151192501Y-95516624D01*
+X150937840Y-95897752D01*
+X150762426Y-96321239D01*
+X150673000Y-96770811D01*
+X150673000Y-97229189D01*
+X150762426Y-97678761D01*
+X150937840Y-98102248D01*
+X151192501Y-98483376D01*
+X151516624Y-98807499D01*
+X151897752Y-99062160D01*
+X152321239Y-99237574D01*
+X152770811Y-99327000D01*
+X153229189Y-99327000D01*
+X153678761Y-99237574D01*
+X154102248Y-99062160D01*
+X154483376Y-98807499D01*
+X154807499Y-98483376D01*
+X155062160Y-98102248D01*
+X155237574Y-97678761D01*
+X155327000Y-97229189D01*
+X155327000Y-96770811D01*
+X155237574Y-96321239D01*
+X155062160Y-95897752D01*
+X154807499Y-95516624D01*
+X154483376Y-95192501D01*
+X154385347Y-95127000D01*
+X164372091Y-95127000D01*
+X166848000Y-97602910D01*
+X166848001Y-119273000D01*
+X129226999Y-119273000D01*
+X129226999Y-109954687D01*
+X130204687Y-108977000D01*
+X131398115Y-108977000D01*
+X131505888Y-108987615D01*
+X131613661Y-108977000D01*
+X133468958Y-108977000D01*
+X133516951Y-108981727D01*
+X133708476Y-108962863D01*
+X133892642Y-108906997D01*
+X134062369Y-108816276D01*
+X134211137Y-108694186D01*
+X134241735Y-108656902D01*
+X136356902Y-106541735D01*
+X136394186Y-106511137D01*
+X136516276Y-106362369D01*
+X136606997Y-106192642D01*
+X136642471Y-106075701D01*
+X136662863Y-106008477D01*
+X136681727Y-105816951D01*
+X136677000Y-105768958D01*
+X136677000Y-98604686D01*
+X137190364Y-98091322D01*
+X137228734Y-98083690D01*
+X137433835Y-97998734D01*
+X137618421Y-97875398D01*
+X137775398Y-97718421D01*
+X137836483Y-97627000D01*
+X147500000Y-97627000D01*
+X147524776Y-97624560D01*
+X147548601Y-97617333D01*
+X147570557Y-97605597D01*
+X147589803Y-97589803D01*
+X147605597Y-97570557D01*
+X147617333Y-97548601D01*
+X147624560Y-97524776D01*
+X147627000Y-97500000D01*
+X147627000Y-95127000D01*
+X151614653Y-95127000D01*
+X151516624Y-95192501D01*
+G36*
+X108516624Y-95192501D02*
+G01*
+X108192501Y-95516624D01*
+X107937840Y-95897752D01*
+X107762426Y-96321239D01*
+X107673000Y-96770811D01*
+X107673000Y-97229189D01*
+X107762426Y-97678761D01*
+X107937840Y-98102248D01*
+X108192501Y-98483376D01*
+X108516624Y-98807499D01*
+X108897752Y-99062160D01*
+X109321239Y-99237574D01*
+X109770811Y-99327000D01*
+X110229189Y-99327000D01*
+X110678761Y-99237574D01*
+X111102248Y-99062160D01*
+X111483376Y-98807499D01*
+X111807499Y-98483376D01*
+X112062160Y-98102248D01*
+X112237574Y-97678761D01*
+X112327000Y-97229189D01*
+X112327000Y-96770811D01*
+X112237574Y-96321239D01*
+X112062160Y-95897752D01*
+X111807499Y-95516624D01*
+X111483376Y-95192501D01*
+X111385347Y-95127000D01*
+X118447394Y-95127000D01*
+X120310197Y-96989803D01*
+X120329443Y-97005597D01*
+X120351399Y-97017333D01*
+X120375224Y-97024560D01*
+X120400000Y-97027000D01*
+X122447394Y-97027000D01*
+X123010197Y-97589803D01*
+X123029443Y-97605597D01*
+X123051399Y-97617333D01*
+X123075224Y-97624560D01*
+X123100000Y-97627000D01*
+X131273002Y-97627000D01*
+X131273001Y-103623000D01*
+X128581043Y-103623000D01*
+X128533050Y-103618273D01*
+X128341524Y-103637137D01*
+X128249442Y-103665070D01*
+X128157359Y-103693003D01*
+X127987632Y-103783724D01*
+X127838864Y-103905814D01*
+X127808266Y-103943098D01*
+X123993072Y-107758292D01*
+X123955794Y-107788885D01*
+X123925201Y-107826163D01*
+X123925200Y-107826164D01*
+X123833704Y-107937653D01*
+X123742982Y-108107381D01*
+X123687116Y-108291546D01*
+X123668252Y-108483071D01*
+X123672980Y-108531074D01*
+X123672979Y-116158638D01*
+X123651245Y-116191165D01*
+X123566289Y-116396266D01*
+X123522979Y-116614000D01*
+X123522979Y-116836000D01*
+X123566289Y-117053734D01*
+X123651245Y-117258835D01*
+X123774581Y-117443421D01*
+X123931558Y-117600398D01*
+X124116144Y-117723734D01*
+X124321245Y-117808690D01*
+X124538979Y-117852000D01*
+X124760979Y-117852000D01*
+X124922990Y-117819774D01*
+X124922989Y-129026996D01*
+X124918262Y-129074989D01*
+X124937126Y-129266514D01*
+X124992786Y-129449999D01*
+X124992992Y-129450679D01*
+X125083713Y-129620407D01*
+X125205803Y-129769175D01*
+X125243087Y-129799773D01*
+X125783678Y-130340364D01*
+X125791310Y-130378734D01*
+X125876266Y-130583835D01*
+X125999602Y-130768421D01*
+X126156579Y-130925398D01*
+X126320953Y-131035228D01*
+X126274602Y-131081579D01*
+X126151266Y-131266165D01*
+X126066310Y-131471266D01*
+X126023000Y-131689000D01*
+X126023000Y-131911000D01*
+X126066310Y-132128734D01*
+X126151266Y-132333835D01*
+X126274602Y-132518421D01*
+X126431579Y-132675398D01*
+X126616165Y-132798734D01*
+X126821266Y-132883690D01*
+X127039000Y-132927000D01*
+X127261000Y-132927000D01*
+X127478734Y-132883690D01*
+X127683835Y-132798734D01*
+X127868421Y-132675398D01*
+X127873000Y-132670819D01*
+X127873000Y-133000000D01*
+X127875440Y-133024776D01*
+X127882667Y-133048601D01*
+X127894403Y-133070557D01*
+X127910197Y-133089803D01*
+X127929443Y-133105597D01*
+X127951399Y-133117333D01*
+X127975224Y-133124560D01*
+X128000000Y-133127000D01*
+X131873000Y-133127000D01*
+X131873000Y-146873000D01*
+X110052606Y-146873000D01*
+X93127000Y-129947394D01*
+X93127000Y-124714492D01*
+X93141831Y-124713031D01*
+X93278211Y-124671660D01*
+X93361764Y-124627000D01*
+X94750000Y-124627000D01*
+X94774776Y-124624560D01*
+X94798601Y-124617333D01*
+X94820557Y-124605597D01*
+X94839803Y-124589803D01*
+X94855597Y-124570557D01*
+X94867333Y-124548601D01*
+X94874560Y-124524776D01*
+X94877000Y-124500000D01*
+X94877000Y-98227000D01*
+X100652887Y-98227000D01*
+X100750000Y-98236565D01*
+X100847113Y-98227000D01*
+X100847116Y-98227000D01*
+X101137560Y-98198394D01*
+X101510226Y-98085347D01*
+X101853676Y-97901768D01*
+X102154714Y-97654714D01*
+X102216629Y-97579270D01*
+X103329270Y-96466629D01*
+X103404714Y-96404714D01*
+X103641427Y-96116277D01*
+X103651768Y-96103677D01*
+X103835347Y-95760226D01*
+X103835347Y-95760225D01*
+X103948394Y-95387560D01*
+X103974057Y-95127000D01*
+X108614653Y-95127000D01*
+X108516624Y-95192501D01*
+G37*
+X108516624Y-95192501D02*
+X108192501Y-95516624D01*
+X107937840Y-95897752D01*
+X107762426Y-96321239D01*
+X107673000Y-96770811D01*
+X107673000Y-97229189D01*
+X107762426Y-97678761D01*
+X107937840Y-98102248D01*
+X108192501Y-98483376D01*
+X108516624Y-98807499D01*
+X108897752Y-99062160D01*
+X109321239Y-99237574D01*
+X109770811Y-99327000D01*
+X110229189Y-99327000D01*
+X110678761Y-99237574D01*
+X111102248Y-99062160D01*
+X111483376Y-98807499D01*
+X111807499Y-98483376D01*
+X112062160Y-98102248D01*
+X112237574Y-97678761D01*
+X112327000Y-97229189D01*
+X112327000Y-96770811D01*
+X112237574Y-96321239D01*
+X112062160Y-95897752D01*
+X111807499Y-95516624D01*
+X111483376Y-95192501D01*
+X111385347Y-95127000D01*
+X118447394Y-95127000D01*
+X120310197Y-96989803D01*
+X120329443Y-97005597D01*
+X120351399Y-97017333D01*
+X120375224Y-97024560D01*
+X120400000Y-97027000D01*
+X122447394Y-97027000D01*
+X123010197Y-97589803D01*
+X123029443Y-97605597D01*
+X123051399Y-97617333D01*
+X123075224Y-97624560D01*
+X123100000Y-97627000D01*
+X131273002Y-97627000D01*
+X131273001Y-103623000D01*
+X128581043Y-103623000D01*
+X128533050Y-103618273D01*
+X128341524Y-103637137D01*
+X128249442Y-103665070D01*
+X128157359Y-103693003D01*
+X127987632Y-103783724D01*
+X127838864Y-103905814D01*
+X127808266Y-103943098D01*
+X123993072Y-107758292D01*
+X123955794Y-107788885D01*
+X123925201Y-107826163D01*
+X123925200Y-107826164D01*
+X123833704Y-107937653D01*
+X123742982Y-108107381D01*
+X123687116Y-108291546D01*
+X123668252Y-108483071D01*
+X123672980Y-108531074D01*
+X123672979Y-116158638D01*
+X123651245Y-116191165D01*
+X123566289Y-116396266D01*
+X123522979Y-116614000D01*
+X123522979Y-116836000D01*
+X123566289Y-117053734D01*
+X123651245Y-117258835D01*
+X123774581Y-117443421D01*
+X123931558Y-117600398D01*
+X124116144Y-117723734D01*
+X124321245Y-117808690D01*
+X124538979Y-117852000D01*
+X124760979Y-117852000D01*
+X124922990Y-117819774D01*
+X124922989Y-129026996D01*
+X124918262Y-129074989D01*
+X124937126Y-129266514D01*
+X124992786Y-129449999D01*
+X124992992Y-129450679D01*
+X125083713Y-129620407D01*
+X125205803Y-129769175D01*
+X125243087Y-129799773D01*
+X125783678Y-130340364D01*
+X125791310Y-130378734D01*
+X125876266Y-130583835D01*
+X125999602Y-130768421D01*
+X126156579Y-130925398D01*
+X126320953Y-131035228D01*
+X126274602Y-131081579D01*
+X126151266Y-131266165D01*
+X126066310Y-131471266D01*
+X126023000Y-131689000D01*
+X126023000Y-131911000D01*
+X126066310Y-132128734D01*
+X126151266Y-132333835D01*
+X126274602Y-132518421D01*
+X126431579Y-132675398D01*
+X126616165Y-132798734D01*
+X126821266Y-132883690D01*
+X127039000Y-132927000D01*
+X127261000Y-132927000D01*
+X127478734Y-132883690D01*
+X127683835Y-132798734D01*
+X127868421Y-132675398D01*
+X127873000Y-132670819D01*
+X127873000Y-133000000D01*
+X127875440Y-133024776D01*
+X127882667Y-133048601D01*
+X127894403Y-133070557D01*
+X127910197Y-133089803D01*
+X127929443Y-133105597D01*
+X127951399Y-133117333D01*
+X127975224Y-133124560D01*
+X128000000Y-133127000D01*
+X131873000Y-133127000D01*
+X131873000Y-146873000D01*
+X110052606Y-146873000D01*
+X93127000Y-129947394D01*
+X93127000Y-124714492D01*
+X93141831Y-124713031D01*
+X93278211Y-124671660D01*
+X93361764Y-124627000D01*
+X94750000Y-124627000D01*
+X94774776Y-124624560D01*
+X94798601Y-124617333D01*
+X94820557Y-124605597D01*
+X94839803Y-124589803D01*
+X94855597Y-124570557D01*
+X94867333Y-124548601D01*
+X94874560Y-124524776D01*
+X94877000Y-124500000D01*
+X94877000Y-98227000D01*
+X100652887Y-98227000D01*
+X100750000Y-98236565D01*
+X100847113Y-98227000D01*
+X100847116Y-98227000D01*
+X101137560Y-98198394D01*
+X101510226Y-98085347D01*
+X101853676Y-97901768D01*
+X102154714Y-97654714D01*
+X102216629Y-97579270D01*
+X103329270Y-96466629D01*
+X103404714Y-96404714D01*
+X103641427Y-96116277D01*
+X103651768Y-96103677D01*
+X103835347Y-95760226D01*
+X103835347Y-95760225D01*
+X103948394Y-95387560D01*
+X103974057Y-95127000D01*
+X108614653Y-95127000D01*
+X108516624Y-95192501D01*
+M02*
diff --git a/driver/gerber/driver-B_Mask.gbr b/driver/gerber/driver-B_Mask.gbr
index 3efa9d9..e3d7c2c 100644
--- a/driver/gerber/driver-B_Mask.gbr
+++ b/driver/gerber/driver-B_Mask.gbr
@@ -1,2690 +1,2533 @@
-G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.0-344-gd281f051e)*
-G04 #@! TF.CreationDate,2019-04-25T22:00:11+09:00*
-G04 #@! TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,rev?*
-G04 #@! TF.SameCoordinates,Original*
-G04 #@! TF.FileFunction,Soldermask,Bot*
-G04 #@! TF.FilePolarity,Negative*
-%FSLAX46Y46*%
-G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW (5.1.0-344-gd281f051e)) date 2019-04-25 22:00:11*
-%MOMM*%
-%LPD*%
-G04 APERTURE LIST*
-%ADD10C,0.150000*%
-%ADD11C,0.100000*%
-G04 APERTURE END LIST*
-D10*
-G36*
-X131500000Y-146500000D02*
-G01*
-X114500000Y-146500000D01*
-X114500000Y-135000000D01*
-X131500000Y-135000000D01*
-X131500000Y-146500000D01*
-G37*
-X131500000Y-146500000D02*
-X114500000Y-146500000D01*
-X114500000Y-135000000D01*
-X131500000Y-135000000D01*
-X131500000Y-146500000D01*
-G36*
-X143000000Y-132000000D02*
-G01*
-X129000000Y-132000000D01*
-X129000000Y-121000000D01*
-X143000000Y-121000000D01*
-X143000000Y-132000000D01*
-G37*
-X143000000Y-132000000D02*
-X129000000Y-132000000D01*
-X129000000Y-121000000D01*
-X143000000Y-121000000D01*
-X143000000Y-132000000D01*
-G36*
-X131500000Y-106000000D02*
-G01*
-X116500000Y-106000000D01*
-X116500000Y-95000000D01*
-X131500000Y-95000000D01*
-X131500000Y-106000000D01*
-G37*
-X131500000Y-106000000D02*
-X116500000Y-106000000D01*
-X116500000Y-95000000D01*
-X131500000Y-95000000D01*
-X131500000Y-106000000D01*
-G36*
-X143000000Y-119000000D02*
-G01*
-X129300000Y-119000000D01*
-X129300000Y-108000000D01*
-X143000000Y-108000000D01*
-X143000000Y-119000000D01*
-G37*
-X143000000Y-119000000D02*
-X129300000Y-119000000D01*
-X129300000Y-108000000D01*
-X143000000Y-108000000D01*
-X143000000Y-119000000D01*
-D11*
-G36*
-X166181632Y-146104677D02*
-G01*
-X166487005Y-146231167D01*
-X166918868Y-146410050D01*
-X167582362Y-146853383D01*
-X168146617Y-147417638D01*
-X168589950Y-148081132D01*
-X168768833Y-148512995D01*
-X168895323Y-148818368D01*
-X169051000Y-149601010D01*
-X169051000Y-150398990D01*
-X168895323Y-151181632D01*
-X168768833Y-151487005D01*
-X168589950Y-151918868D01*
-X168146617Y-152582362D01*
-X167582362Y-153146617D01*
-X166918868Y-153589950D01*
-X166487005Y-153768833D01*
-X166181632Y-153895323D01*
-X165398990Y-154051000D01*
-X164601010Y-154051000D01*
-X163818368Y-153895323D01*
-X163512995Y-153768833D01*
-X163081132Y-153589950D01*
-X162417638Y-153146617D01*
-X161853383Y-152582362D01*
-X161410050Y-151918868D01*
-X161231167Y-151487005D01*
-X161104677Y-151181632D01*
-X160949000Y-150398990D01*
-X160949000Y-149601010D01*
-X161104677Y-148818368D01*
-X161231167Y-148512995D01*
-X161410050Y-148081132D01*
-X161853383Y-147417638D01*
-X162417638Y-146853383D01*
-X163081132Y-146410050D01*
-X163512995Y-146231167D01*
-X163818368Y-146104677D01*
-X164601010Y-145949000D01*
-X165398990Y-145949000D01*
-X166181632Y-146104677D01*
-X166181632Y-146104677D01*
-G37*
-G36*
-X76181632Y-146104677D02*
-G01*
-X76487005Y-146231167D01*
-X76918868Y-146410050D01*
-X77582362Y-146853383D01*
-X78146617Y-147417638D01*
-X78589950Y-148081132D01*
-X78768833Y-148512995D01*
-X78895323Y-148818368D01*
-X79051000Y-149601010D01*
-X79051000Y-150398990D01*
-X78895323Y-151181632D01*
-X78768833Y-151487005D01*
-X78589950Y-151918868D01*
-X78146617Y-152582362D01*
-X77582362Y-153146617D01*
-X76918868Y-153589950D01*
-X76487005Y-153768833D01*
-X76181632Y-153895323D01*
-X75398990Y-154051000D01*
-X74601010Y-154051000D01*
-X73818368Y-153895323D01*
-X73512995Y-153768833D01*
-X73081132Y-153589950D01*
-X72417638Y-153146617D01*
-X71853383Y-152582362D01*
-X71410050Y-151918868D01*
-X71231167Y-151487005D01*
-X71104677Y-151181632D01*
-X70949000Y-150398990D01*
-X70949000Y-149601010D01*
-X71104677Y-148818368D01*
-X71231167Y-148512995D01*
-X71410050Y-148081132D01*
-X71853383Y-147417638D01*
-X72417638Y-146853383D01*
-X73081132Y-146410050D01*
-X73512995Y-146231167D01*
-X73818368Y-146104677D01*
-X74601010Y-145949000D01*
-X75398990Y-145949000D01*
-X76181632Y-146104677D01*
-X76181632Y-146104677D01*
-G37*
-G36*
-X165394072Y-133780918D02*
-G01*
-X165639939Y-133882759D01*
-X165861212Y-134030610D01*
-X166049390Y-134218788D01*
-X166197241Y-134440061D01*
-X166299082Y-134685928D01*
-X166351000Y-134946938D01*
-X166351000Y-135213062D01*
-X166299082Y-135474072D01*
-X166197241Y-135719939D01*
-X166049390Y-135941212D01*
-X165861212Y-136129390D01*
-X165639939Y-136277241D01*
-X165639938Y-136277242D01*
-X165639937Y-136277242D01*
-X165394072Y-136379082D01*
-X165133063Y-136431000D01*
-X164866937Y-136431000D01*
-X164605928Y-136379082D01*
-X164360063Y-136277242D01*
-X164360062Y-136277242D01*
-X164360061Y-136277241D01*
-X164138788Y-136129390D01*
-X163950610Y-135941212D01*
-X163802759Y-135719939D01*
-X163700918Y-135474072D01*
-X163649000Y-135213062D01*
-X163649000Y-134946938D01*
-X163700918Y-134685928D01*
-X163802759Y-134440061D01*
-X163950610Y-134218788D01*
-X164138788Y-134030610D01*
-X164360061Y-133882759D01*
-X164605928Y-133780918D01*
-X164866937Y-133729000D01*
-X165133063Y-133729000D01*
-X165394072Y-133780918D01*
-X165394072Y-133780918D01*
-G37*
-G36*
-X76351000Y-136431000D02*
-G01*
-X73649000Y-136431000D01*
-X73649000Y-133729000D01*
-X76351000Y-133729000D01*
-X76351000Y-136431000D01*
-X76351000Y-136431000D01*
-G37*
-G36*
-X75394072Y-128700918D02*
-G01*
-X75639939Y-128802759D01*
-X75861212Y-128950610D01*
-X76049390Y-129138788D01*
-X76197241Y-129360061D01*
-X76299082Y-129605928D01*
-X76351000Y-129866938D01*
-X76351000Y-130133062D01*
-X76299082Y-130394072D01*
-X76197241Y-130639939D01*
-X76049390Y-130861212D01*
-X75861212Y-131049390D01*
-X75639939Y-131197241D01*
-X75639938Y-131197242D01*
-X75639937Y-131197242D01*
-X75394072Y-131299082D01*
-X75133063Y-131351000D01*
-X74866937Y-131351000D01*
-X74605928Y-131299082D01*
-X74360063Y-131197242D01*
-X74360062Y-131197242D01*
-X74360061Y-131197241D01*
-X74138788Y-131049390D01*
-X73950610Y-130861212D01*
-X73802759Y-130639939D01*
-X73700918Y-130394072D01*
-X73649000Y-130133062D01*
-X73649000Y-129866938D01*
-X73700918Y-129605928D01*
-X73802759Y-129360061D01*
-X73950610Y-129138788D01*
-X74138788Y-128950610D01*
-X74360061Y-128802759D01*
-X74605928Y-128700918D01*
-X74866937Y-128649000D01*
-X75133063Y-128649000D01*
-X75394072Y-128700918D01*
-X75394072Y-128700918D01*
-G37*
-G36*
-X165394072Y-128700918D02*
-G01*
-X165639939Y-128802759D01*
-X165861212Y-128950610D01*
-X166049390Y-129138788D01*
-X166197241Y-129360061D01*
-X166299082Y-129605928D01*
-X166351000Y-129866938D01*
-X166351000Y-130133062D01*
-X166299082Y-130394072D01*
-X166197241Y-130639939D01*
-X166049390Y-130861212D01*
-X165861212Y-131049390D01*
-X165639939Y-131197241D01*
-X165639938Y-131197242D01*
-X165639937Y-131197242D01*
-X165394072Y-131299082D01*
-X165133063Y-131351000D01*
-X164866937Y-131351000D01*
-X164605928Y-131299082D01*
-X164360063Y-131197242D01*
-X164360062Y-131197242D01*
-X164360061Y-131197241D01*
-X164138788Y-131049390D01*
-X163950610Y-130861212D01*
-X163802759Y-130639939D01*
-X163700918Y-130394072D01*
-X163649000Y-130133062D01*
-X163649000Y-129866938D01*
-X163700918Y-129605928D01*
-X163802759Y-129360061D01*
-X163950610Y-129138788D01*
-X164138788Y-128950610D01*
-X164360061Y-128802759D01*
-X164605928Y-128700918D01*
-X164866937Y-128649000D01*
-X165133063Y-128649000D01*
-X165394072Y-128700918D01*
-X165394072Y-128700918D01*
-G37*
-G36*
-X166351000Y-126271000D02*
-G01*
-X163649000Y-126271000D01*
-X163649000Y-123569000D01*
-X166351000Y-123569000D01*
-X166351000Y-126271000D01*
-X166351000Y-126271000D01*
-G37*
-G36*
-X75394072Y-123620918D02*
-G01*
-X75639939Y-123722759D01*
-X75861212Y-123870610D01*
-X76049390Y-124058788D01*
-X76197241Y-124280061D01*
-X76299082Y-124525928D01*
-X76351000Y-124786938D01*
-X76351000Y-125053062D01*
-X76299082Y-125314072D01*
-X76197241Y-125559939D01*
-X76049390Y-125781212D01*
-X75861212Y-125969390D01*
-X75639939Y-126117241D01*
-X75639938Y-126117242D01*
-X75639937Y-126117242D01*
-X75394072Y-126219082D01*
-X75133063Y-126271000D01*
-X74866937Y-126271000D01*
-X74605928Y-126219082D01*
-X74360063Y-126117242D01*
-X74360062Y-126117242D01*
-X74360061Y-126117241D01*
-X74138788Y-125969390D01*
-X73950610Y-125781212D01*
-X73802759Y-125559939D01*
-X73700918Y-125314072D01*
-X73649000Y-125053062D01*
-X73649000Y-124786938D01*
-X73700918Y-124525928D01*
-X73802759Y-124280061D01*
-X73950610Y-124058788D01*
-X74138788Y-123870610D01*
-X74360061Y-123722759D01*
-X74605928Y-123620918D01*
-X74866937Y-123569000D01*
-X75133063Y-123569000D01*
-X75394072Y-123620918D01*
-X75394072Y-123620918D01*
-G37*
-G36*
-X76351000Y-116431000D02*
-G01*
-X73649000Y-116431000D01*
-X73649000Y-113729000D01*
-X76351000Y-113729000D01*
-X76351000Y-116431000D01*
-X76351000Y-116431000D01*
-G37*
-G36*
-X165394072Y-113700918D02*
-G01*
-X165639939Y-113802759D01*
-X165861212Y-113950610D01*
-X166049390Y-114138788D01*
-X166197241Y-114360061D01*
-X166299082Y-114605928D01*
-X166351000Y-114866938D01*
-X166351000Y-115133062D01*
-X166299082Y-115394072D01*
-X166197241Y-115639939D01*
-X166049390Y-115861212D01*
-X165861212Y-116049390D01*
-X165639939Y-116197241D01*
-X165639938Y-116197242D01*
-X165639937Y-116197242D01*
-X165394072Y-116299082D01*
-X165133063Y-116351000D01*
-X164866937Y-116351000D01*
-X164605928Y-116299082D01*
-X164360063Y-116197242D01*
-X164360062Y-116197242D01*
-X164360061Y-116197241D01*
-X164138788Y-116049390D01*
-X163950610Y-115861212D01*
-X163802759Y-115639939D01*
-X163700918Y-115394072D01*
-X163649000Y-115133062D01*
-X163649000Y-114866938D01*
-X163700918Y-114605928D01*
-X163802759Y-114360061D01*
-X163950610Y-114138788D01*
-X164138788Y-113950610D01*
-X164360061Y-113802759D01*
-X164605928Y-113700918D01*
-X164866937Y-113649000D01*
-X165133063Y-113649000D01*
-X165394072Y-113700918D01*
-X165394072Y-113700918D01*
-G37*
-G36*
-X75394072Y-108700918D02*
-G01*
-X75639939Y-108802759D01*
-X75861212Y-108950610D01*
-X76049390Y-109138788D01*
-X76197241Y-109360061D01*
-X76299082Y-109605928D01*
-X76351000Y-109866938D01*
-X76351000Y-110133062D01*
-X76299082Y-110394072D01*
-X76197241Y-110639939D01*
-X76049390Y-110861212D01*
-X75861212Y-111049390D01*
-X75639939Y-111197241D01*
-X75639938Y-111197242D01*
-X75639937Y-111197242D01*
-X75394072Y-111299082D01*
-X75133063Y-111351000D01*
-X74866937Y-111351000D01*
-X74605928Y-111299082D01*
-X74360063Y-111197242D01*
-X74360062Y-111197242D01*
-X74360061Y-111197241D01*
-X74138788Y-111049390D01*
-X73950610Y-110861212D01*
-X73802759Y-110639939D01*
-X73700918Y-110394072D01*
-X73649000Y-110133062D01*
-X73649000Y-109866938D01*
-X73700918Y-109605928D01*
-X73802759Y-109360061D01*
-X73950610Y-109138788D01*
-X74138788Y-108950610D01*
-X74360061Y-108802759D01*
-X74605928Y-108700918D01*
-X74866937Y-108649000D01*
-X75133063Y-108649000D01*
-X75394072Y-108700918D01*
-X75394072Y-108700918D01*
-G37*
-G36*
-X165394072Y-108620918D02*
-G01*
-X165639939Y-108722759D01*
-X165861212Y-108870610D01*
-X166049390Y-109058788D01*
-X166197241Y-109280061D01*
-X166299082Y-109525928D01*
-X166351000Y-109786938D01*
-X166351000Y-110053062D01*
-X166299082Y-110314072D01*
-X166197241Y-110559939D01*
-X166049390Y-110781212D01*
-X165861212Y-110969390D01*
-X165639939Y-111117241D01*
-X165639938Y-111117242D01*
-X165639937Y-111117242D01*
-X165394072Y-111219082D01*
-X165133063Y-111271000D01*
-X164866937Y-111271000D01*
-X164605928Y-111219082D01*
-X164360063Y-111117242D01*
-X164360062Y-111117242D01*
-X164360061Y-111117241D01*
-X164138788Y-110969390D01*
-X163950610Y-110781212D01*
-X163802759Y-110559939D01*
-X163700918Y-110314072D01*
-X163649000Y-110053062D01*
-X163649000Y-109786938D01*
-X163700918Y-109525928D01*
-X163802759Y-109280061D01*
-X163950610Y-109058788D01*
-X164138788Y-108870610D01*
-X164360061Y-108722759D01*
-X164605928Y-108620918D01*
-X164866937Y-108569000D01*
-X165133063Y-108569000D01*
-X165394072Y-108620918D01*
-X165394072Y-108620918D01*
-G37*
-G36*
-X75394072Y-103620918D02*
-G01*
-X75639939Y-103722759D01*
-X75861212Y-103870610D01*
-X76049390Y-104058788D01*
-X76197241Y-104280061D01*
-X76299082Y-104525928D01*
-X76351000Y-104786938D01*
-X76351000Y-105053062D01*
-X76299082Y-105314072D01*
-X76197241Y-105559939D01*
-X76049390Y-105781212D01*
-X75861212Y-105969390D01*
-X75639939Y-106117241D01*
-X75639938Y-106117242D01*
-X75639937Y-106117242D01*
-X75394072Y-106219082D01*
-X75133063Y-106271000D01*
-X74866937Y-106271000D01*
-X74605928Y-106219082D01*
-X74360063Y-106117242D01*
-X74360062Y-106117242D01*
-X74360061Y-106117241D01*
-X74138788Y-105969390D01*
-X73950610Y-105781212D01*
-X73802759Y-105559939D01*
-X73700918Y-105314072D01*
-X73649000Y-105053062D01*
-X73649000Y-104786938D01*
-X73700918Y-104525928D01*
-X73802759Y-104280061D01*
-X73950610Y-104058788D01*
-X74138788Y-103870610D01*
-X74360061Y-103722759D01*
-X74605928Y-103620918D01*
-X74866937Y-103569000D01*
-X75133063Y-103569000D01*
-X75394072Y-103620918D01*
-X75394072Y-103620918D01*
-G37*
-G36*
-X166351000Y-106191000D02*
-G01*
-X163649000Y-106191000D01*
-X163649000Y-103489000D01*
-X166351000Y-103489000D01*
-X166351000Y-106191000D01*
-X166351000Y-106191000D01*
-G37*
-G36*
-X74106564Y-87089389D02*
-G01*
-X74297833Y-87168615D01*
-X74297835Y-87168616D01*
-X74469973Y-87283635D01*
-X74616365Y-87430027D01*
-X74731385Y-87602167D01*
-X74810611Y-87793436D01*
-X74851000Y-87996484D01*
-X74851000Y-88203516D01*
-X74810611Y-88406564D01*
-X74731385Y-88597833D01*
-X74731384Y-88597835D01*
-X74616365Y-88769973D01*
-X74469973Y-88916365D01*
-X74297835Y-89031384D01*
-X74297834Y-89031385D01*
-X74297833Y-89031385D01*
-X74106564Y-89110611D01*
-X73903516Y-89151000D01*
-X73696484Y-89151000D01*
-X73493436Y-89110611D01*
-X73302167Y-89031385D01*
-X73302166Y-89031385D01*
-X73302165Y-89031384D01*
-X73130027Y-88916365D01*
-X72983635Y-88769973D01*
-X72868616Y-88597835D01*
-X72868615Y-88597833D01*
-X72789389Y-88406564D01*
-X72749000Y-88203516D01*
-X72749000Y-87996484D01*
-X72789389Y-87793436D01*
-X72868615Y-87602167D01*
-X72983635Y-87430027D01*
-X73130027Y-87283635D01*
-X73302165Y-87168616D01*
-X73302167Y-87168615D01*
-X73493436Y-87089389D01*
-X73696484Y-87049000D01*
-X73903516Y-87049000D01*
-X74106564Y-87089389D01*
-X74106564Y-87089389D01*
-G37*
-G36*
-X79851000Y-89151000D02*
-G01*
-X77749000Y-89151000D01*
-X77749000Y-87049000D01*
-X79851000Y-87049000D01*
-X79851000Y-89151000D01*
-X79851000Y-89151000D01*
-G37*
-G36*
-X161066032Y-75864207D02*
-G01*
-X161264146Y-75924305D01*
-X161264149Y-75924306D01*
-X161360975Y-75976061D01*
-X161446729Y-76021897D01*
-X161606765Y-76153235D01*
-X161738103Y-76313271D01*
-X161769291Y-76371621D01*
-X161835694Y-76495851D01*
-X161835695Y-76495855D01*
-X161895793Y-76693969D01*
-X161911000Y-76848371D01*
-X161911000Y-77551630D01*
-X161895793Y-77706032D01*
-X161835695Y-77904145D01*
-X161835694Y-77904149D01*
-X161783939Y-78000975D01*
-X161738103Y-78086729D01*
-X161606765Y-78246765D01*
-X161446729Y-78378103D01*
-X161330030Y-78440479D01*
-X161264148Y-78475694D01*
-X161264145Y-78475695D01*
-X161066031Y-78535793D01*
-X160860000Y-78556085D01*
-X160653968Y-78535793D01*
-X160455854Y-78475695D01*
-X160455851Y-78475694D01*
-X160316109Y-78401000D01*
-X160273271Y-78378103D01*
-X160113235Y-78246765D01*
-X159981897Y-78086729D01*
-X159884307Y-77904149D01*
-X159884306Y-77904148D01*
-X159884305Y-77904145D01*
-X159824207Y-77706031D01*
-X159809000Y-77551629D01*
-X159809000Y-76848370D01*
-X159824207Y-76693968D01*
-X159843296Y-76631040D01*
-X159884305Y-76495853D01*
-X159959184Y-76355766D01*
-X159981898Y-76313271D01*
-X160113236Y-76153235D01*
-X160273272Y-76021897D01*
-X160359026Y-75976061D01*
-X160455852Y-75924306D01*
-X160455855Y-75924305D01*
-X160653969Y-75864207D01*
-X160860000Y-75843915D01*
-X161066032Y-75864207D01*
-X161066032Y-75864207D01*
-G37*
-G36*
-X158526032Y-75864207D02*
-G01*
-X158724146Y-75924305D01*
-X158724149Y-75924306D01*
-X158820975Y-75976061D01*
-X158906729Y-76021897D01*
-X159066765Y-76153235D01*
-X159198103Y-76313271D01*
-X159229291Y-76371621D01*
-X159295694Y-76495851D01*
-X159295695Y-76495855D01*
-X159355793Y-76693969D01*
-X159371000Y-76848371D01*
-X159371000Y-77551630D01*
-X159355793Y-77706032D01*
-X159295695Y-77904145D01*
-X159295694Y-77904149D01*
-X159243939Y-78000975D01*
-X159198103Y-78086729D01*
-X159066765Y-78246765D01*
-X158906729Y-78378103D01*
-X158790030Y-78440479D01*
-X158724148Y-78475694D01*
-X158724145Y-78475695D01*
-X158526031Y-78535793D01*
-X158320000Y-78556085D01*
-X158113968Y-78535793D01*
-X157915854Y-78475695D01*
-X157915851Y-78475694D01*
-X157776109Y-78401000D01*
-X157733271Y-78378103D01*
-X157573235Y-78246765D01*
-X157441897Y-78086729D01*
-X157344307Y-77904149D01*
-X157344306Y-77904148D01*
-X157344305Y-77904145D01*
-X157284207Y-77706031D01*
-X157269000Y-77551629D01*
-X157269000Y-76848370D01*
-X157284207Y-76693968D01*
-X157303296Y-76631040D01*
-X157344305Y-76495853D01*
-X157419184Y-76355766D01*
-X157441898Y-76313271D01*
-X157573236Y-76153235D01*
-X157733272Y-76021897D01*
-X157819026Y-75976061D01*
-X157915852Y-75924306D01*
-X157915855Y-75924305D01*
-X158113969Y-75864207D01*
-X158320000Y-75843915D01*
-X158526032Y-75864207D01*
-X158526032Y-75864207D01*
-G37*
-G36*
-X155986032Y-75864207D02*
-G01*
-X156184146Y-75924305D01*
-X156184149Y-75924306D01*
-X156280975Y-75976061D01*
-X156366729Y-76021897D01*
-X156526765Y-76153235D01*
-X156658103Y-76313271D01*
-X156689291Y-76371621D01*
-X156755694Y-76495851D01*
-X156755695Y-76495855D01*
-X156815793Y-76693969D01*
-X156831000Y-76848371D01*
-X156831000Y-77551630D01*
-X156815793Y-77706032D01*
-X156755695Y-77904145D01*
-X156755694Y-77904149D01*
-X156703939Y-78000975D01*
-X156658103Y-78086729D01*
-X156526765Y-78246765D01*
-X156366729Y-78378103D01*
-X156250030Y-78440479D01*
-X156184148Y-78475694D01*
-X156184145Y-78475695D01*
-X155986031Y-78535793D01*
-X155780000Y-78556085D01*
-X155573968Y-78535793D01*
-X155375854Y-78475695D01*
-X155375851Y-78475694D01*
-X155236109Y-78401000D01*
-X155193271Y-78378103D01*
-X155033235Y-78246765D01*
-X154901897Y-78086729D01*
-X154804307Y-77904149D01*
-X154804306Y-77904148D01*
-X154804305Y-77904145D01*
-X154744207Y-77706031D01*
-X154729000Y-77551629D01*
-X154729000Y-76848370D01*
-X154744207Y-76693968D01*
-X154763296Y-76631040D01*
-X154804305Y-76495853D01*
-X154879184Y-76355766D01*
-X154901898Y-76313271D01*
-X155033236Y-76153235D01*
-X155193272Y-76021897D01*
-X155279026Y-75976061D01*
-X155375852Y-75924306D01*
-X155375855Y-75924305D01*
-X155573969Y-75864207D01*
-X155780000Y-75843915D01*
-X155986032Y-75864207D01*
-X155986032Y-75864207D01*
-G37*
-G36*
-X164451000Y-78551000D02*
-G01*
-X162349000Y-78551000D01*
-X162349000Y-75849000D01*
-X164451000Y-75849000D01*
-X164451000Y-78551000D01*
-X164451000Y-78551000D01*
-G37*
-G36*
-X118026000Y-78401000D02*
-G01*
-X117174000Y-78401000D01*
-X117174000Y-77099000D01*
-X118026000Y-77099000D01*
-X118026000Y-78401000D01*
-X118026000Y-78401000D01*
-G37*
-G36*
-X74106564Y-74889389D02*
-G01*
-X74297833Y-74968615D01*
-X74297835Y-74968616D01*
-X74469973Y-75083635D01*
-X74616365Y-75230027D01*
-X74731385Y-75402167D01*
-X74810611Y-75593436D01*
-X74851000Y-75796484D01*
-X74851000Y-76003516D01*
-X74810611Y-76206564D01*
-X74766411Y-76313272D01*
-X74731384Y-76397835D01*
-X74616365Y-76569973D01*
-X74469973Y-76716365D01*
-X74297835Y-76831384D01*
-X74297834Y-76831385D01*
-X74297833Y-76831385D01*
-X74106564Y-76910611D01*
-X73903516Y-76951000D01*
-X73696484Y-76951000D01*
-X73493436Y-76910611D01*
-X73302167Y-76831385D01*
-X73302166Y-76831385D01*
-X73302165Y-76831384D01*
-X73130027Y-76716365D01*
-X72983635Y-76569973D01*
-X72868616Y-76397835D01*
-X72833589Y-76313272D01*
-X72789389Y-76206564D01*
-X72749000Y-76003516D01*
-X72749000Y-75796484D01*
-X72789389Y-75593436D01*
-X72868615Y-75402167D01*
-X72983635Y-75230027D01*
-X73130027Y-75083635D01*
-X73302165Y-74968616D01*
-X73302167Y-74968615D01*
-X73493436Y-74889389D01*
-X73696484Y-74849000D01*
-X73903516Y-74849000D01*
-X74106564Y-74889389D01*
-X74106564Y-74889389D01*
-G37*
-G36*
-X79851000Y-76951000D02*
-G01*
-X77749000Y-76951000D01*
-X77749000Y-74849000D01*
-X79851000Y-74849000D01*
-X79851000Y-76951000D01*
-X79851000Y-76951000D01*
-G37*
-G36*
-X118026000Y-76501000D02*
-G01*
-X117174000Y-76501000D01*
-X117174000Y-75199000D01*
-X118026000Y-75199000D01*
-X118026000Y-76501000D01*
-X118026000Y-76501000D01*
-G37*
-G36*
-X155453642Y-68529781D02*
-G01*
-X155599414Y-68590162D01*
-X155599416Y-68590163D01*
-X155730608Y-68677822D01*
-X155842178Y-68789392D01*
-X155929837Y-68920584D01*
-X155929838Y-68920586D01*
-X155990219Y-69066358D01*
-X156021000Y-69221107D01*
-X156021000Y-69378893D01*
-X155990219Y-69533642D01*
-X155929838Y-69679414D01*
-X155929837Y-69679416D01*
-X155842178Y-69810608D01*
-X155730608Y-69922178D01*
-X155599416Y-70009837D01*
-X155599415Y-70009838D01*
-X155599414Y-70009838D01*
-X155453642Y-70070219D01*
-X155298893Y-70101000D01*
-X155141107Y-70101000D01*
-X154986358Y-70070219D01*
-X154840586Y-70009838D01*
-X154840585Y-70009838D01*
-X154840584Y-70009837D01*
-X154709392Y-69922178D01*
-X154597822Y-69810608D01*
-X154510163Y-69679416D01*
-X154510162Y-69679414D01*
-X154449781Y-69533642D01*
-X154419000Y-69378893D01*
-X154419000Y-69221107D01*
-X154449781Y-69066358D01*
-X154510162Y-68920586D01*
-X154510163Y-68920584D01*
-X154597822Y-68789392D01*
-X154709392Y-68677822D01*
-X154840584Y-68590163D01*
-X154840586Y-68590162D01*
-X154986358Y-68529781D01*
-X155141107Y-68499000D01*
-X155298893Y-68499000D01*
-X155453642Y-68529781D01*
-X155453642Y-68529781D01*
-G37*
-G36*
-X153163642Y-68529781D02*
-G01*
-X153309414Y-68590162D01*
-X153309416Y-68590163D01*
-X153440608Y-68677822D01*
-X153552178Y-68789392D01*
-X153639837Y-68920584D01*
-X153639838Y-68920586D01*
-X153700219Y-69066358D01*
-X153731000Y-69221107D01*
-X153731000Y-69378893D01*
-X153700219Y-69533642D01*
-X153639838Y-69679414D01*
-X153639837Y-69679416D01*
-X153552178Y-69810608D01*
-X153440608Y-69922178D01*
-X153309416Y-70009837D01*
-X153309415Y-70009838D01*
-X153309414Y-70009838D01*
-X153163642Y-70070219D01*
-X153008893Y-70101000D01*
-X152851107Y-70101000D01*
-X152696358Y-70070219D01*
-X152550586Y-70009838D01*
-X152550585Y-70009838D01*
-X152550584Y-70009837D01*
-X152419392Y-69922178D01*
-X152307822Y-69810608D01*
-X152220163Y-69679416D01*
-X152220162Y-69679414D01*
-X152159781Y-69533642D01*
-X152129000Y-69378893D01*
-X152129000Y-69221107D01*
-X152159781Y-69066358D01*
-X152220162Y-68920586D01*
-X152220163Y-68920584D01*
-X152307822Y-68789392D01*
-X152419392Y-68677822D01*
-X152550584Y-68590163D01*
-X152550586Y-68590162D01*
-X152696358Y-68529781D01*
-X152851107Y-68499000D01*
-X153008893Y-68499000D01*
-X153163642Y-68529781D01*
-X153163642Y-68529781D01*
-G37*
-G36*
-X144023642Y-68529781D02*
-G01*
-X144169414Y-68590162D01*
-X144169416Y-68590163D01*
-X144300608Y-68677822D01*
-X144412178Y-68789392D01*
-X144499837Y-68920584D01*
-X144499838Y-68920586D01*
-X144560219Y-69066358D01*
-X144591000Y-69221107D01*
-X144591000Y-69378893D01*
-X144560219Y-69533642D01*
-X144499838Y-69679414D01*
-X144499837Y-69679416D01*
-X144412178Y-69810608D01*
-X144300608Y-69922178D01*
-X144169416Y-70009837D01*
-X144169415Y-70009838D01*
-X144169414Y-70009838D01*
-X144023642Y-70070219D01*
-X143868893Y-70101000D01*
-X143711107Y-70101000D01*
-X143556358Y-70070219D01*
-X143410586Y-70009838D01*
-X143410585Y-70009838D01*
-X143410584Y-70009837D01*
-X143279392Y-69922178D01*
-X143167822Y-69810608D01*
-X143080163Y-69679416D01*
-X143080162Y-69679414D01*
-X143019781Y-69533642D01*
-X142989000Y-69378893D01*
-X142989000Y-69221107D01*
-X143019781Y-69066358D01*
-X143080162Y-68920586D01*
-X143080163Y-68920584D01*
-X143167822Y-68789392D01*
-X143279392Y-68677822D01*
-X143410584Y-68590163D01*
-X143410586Y-68590162D01*
-X143556358Y-68529781D01*
-X143711107Y-68499000D01*
-X143868893Y-68499000D01*
-X144023642Y-68529781D01*
-X144023642Y-68529781D01*
-G37*
-G36*
-X141733642Y-68529781D02*
-G01*
-X141879414Y-68590162D01*
-X141879416Y-68590163D01*
-X142010608Y-68677822D01*
-X142122178Y-68789392D01*
-X142209837Y-68920584D01*
-X142209838Y-68920586D01*
-X142270219Y-69066358D01*
-X142301000Y-69221107D01*
-X142301000Y-69378893D01*
-X142270219Y-69533642D01*
-X142209838Y-69679414D01*
-X142209837Y-69679416D01*
-X142122178Y-69810608D01*
-X142010608Y-69922178D01*
-X141879416Y-70009837D01*
-X141879415Y-70009838D01*
-X141879414Y-70009838D01*
-X141733642Y-70070219D01*
-X141578893Y-70101000D01*
-X141421107Y-70101000D01*
-X141266358Y-70070219D01*
-X141120586Y-70009838D01*
-X141120585Y-70009838D01*
-X141120584Y-70009837D01*
-X140989392Y-69922178D01*
-X140877822Y-69810608D01*
-X140790163Y-69679416D01*
-X140790162Y-69679414D01*
-X140729781Y-69533642D01*
-X140699000Y-69378893D01*
-X140699000Y-69221107D01*
-X140729781Y-69066358D01*
-X140790162Y-68920586D01*
-X140790163Y-68920584D01*
-X140877822Y-68789392D01*
-X140989392Y-68677822D01*
-X141120584Y-68590163D01*
-X141120586Y-68590162D01*
-X141266358Y-68529781D01*
-X141421107Y-68499000D01*
-X141578893Y-68499000D01*
-X141733642Y-68529781D01*
-X141733642Y-68529781D01*
-G37*
-G36*
-X121883642Y-68529781D02*
-G01*
-X122029414Y-68590162D01*
-X122029416Y-68590163D01*
-X122160608Y-68677822D01*
-X122272178Y-68789392D01*
-X122359837Y-68920584D01*
-X122359838Y-68920586D01*
-X122420219Y-69066358D01*
-X122451000Y-69221107D01*
-X122451000Y-69378893D01*
-X122420219Y-69533642D01*
-X122359838Y-69679414D01*
-X122359837Y-69679416D01*
-X122272178Y-69810608D01*
-X122160608Y-69922178D01*
-X122029416Y-70009837D01*
-X122029415Y-70009838D01*
-X122029414Y-70009838D01*
-X121883642Y-70070219D01*
-X121728893Y-70101000D01*
-X121571107Y-70101000D01*
-X121416358Y-70070219D01*
-X121270586Y-70009838D01*
-X121270585Y-70009838D01*
-X121270584Y-70009837D01*
-X121139392Y-69922178D01*
-X121027822Y-69810608D01*
-X120940163Y-69679416D01*
-X120940162Y-69679414D01*
-X120879781Y-69533642D01*
-X120849000Y-69378893D01*
-X120849000Y-69221107D01*
-X120879781Y-69066358D01*
-X120940162Y-68920586D01*
-X120940163Y-68920584D01*
-X121027822Y-68789392D01*
-X121139392Y-68677822D01*
-X121270584Y-68590163D01*
-X121270586Y-68590162D01*
-X121416358Y-68529781D01*
-X121571107Y-68499000D01*
-X121728893Y-68499000D01*
-X121883642Y-68529781D01*
-X121883642Y-68529781D01*
-G37*
-G36*
-X124173642Y-68529781D02*
-G01*
-X124319414Y-68590162D01*
-X124319416Y-68590163D01*
-X124450608Y-68677822D01*
-X124562178Y-68789392D01*
-X124649837Y-68920584D01*
-X124649838Y-68920586D01*
-X124710219Y-69066358D01*
-X124741000Y-69221107D01*
-X124741000Y-69378893D01*
-X124710219Y-69533642D01*
-X124649838Y-69679414D01*
-X124649837Y-69679416D01*
-X124562178Y-69810608D01*
-X124450608Y-69922178D01*
-X124319416Y-70009837D01*
-X124319415Y-70009838D01*
-X124319414Y-70009838D01*
-X124173642Y-70070219D01*
-X124018893Y-70101000D01*
-X123861107Y-70101000D01*
-X123706358Y-70070219D01*
-X123560586Y-70009838D01*
-X123560585Y-70009838D01*
-X123560584Y-70009837D01*
-X123429392Y-69922178D01*
-X123317822Y-69810608D01*
-X123230163Y-69679416D01*
-X123230162Y-69679414D01*
-X123169781Y-69533642D01*
-X123139000Y-69378893D01*
-X123139000Y-69221107D01*
-X123169781Y-69066358D01*
-X123230162Y-68920586D01*
-X123230163Y-68920584D01*
-X123317822Y-68789392D01*
-X123429392Y-68677822D01*
-X123560584Y-68590163D01*
-X123560586Y-68590162D01*
-X123706358Y-68529781D01*
-X123861107Y-68499000D01*
-X124018893Y-68499000D01*
-X124173642Y-68529781D01*
-X124173642Y-68529781D01*
-G37*
-G36*
-X133313642Y-68529781D02*
-G01*
-X133459414Y-68590162D01*
-X133459416Y-68590163D01*
-X133590608Y-68677822D01*
-X133702178Y-68789392D01*
-X133789837Y-68920584D01*
-X133789838Y-68920586D01*
-X133850219Y-69066358D01*
-X133881000Y-69221107D01*
-X133881000Y-69378893D01*
-X133850219Y-69533642D01*
-X133789838Y-69679414D01*
-X133789837Y-69679416D01*
-X133702178Y-69810608D01*
-X133590608Y-69922178D01*
-X133459416Y-70009837D01*
-X133459415Y-70009838D01*
-X133459414Y-70009838D01*
-X133313642Y-70070219D01*
-X133158893Y-70101000D01*
-X133001107Y-70101000D01*
-X132846358Y-70070219D01*
-X132700586Y-70009838D01*
-X132700585Y-70009838D01*
-X132700584Y-70009837D01*
-X132569392Y-69922178D01*
-X132457822Y-69810608D01*
-X132370163Y-69679416D01*
-X132370162Y-69679414D01*
-X132309781Y-69533642D01*
-X132279000Y-69378893D01*
-X132279000Y-69221107D01*
-X132309781Y-69066358D01*
-X132370162Y-68920586D01*
-X132370163Y-68920584D01*
-X132457822Y-68789392D01*
-X132569392Y-68677822D01*
-X132700584Y-68590163D01*
-X132700586Y-68590162D01*
-X132846358Y-68529781D01*
-X133001107Y-68499000D01*
-X133158893Y-68499000D01*
-X133313642Y-68529781D01*
-X133313642Y-68529781D01*
-G37*
-G36*
-X135603642Y-68529781D02*
-G01*
-X135749414Y-68590162D01*
-X135749416Y-68590163D01*
-X135880608Y-68677822D01*
-X135992178Y-68789392D01*
-X136079837Y-68920584D01*
-X136079838Y-68920586D01*
-X136140219Y-69066358D01*
-X136171000Y-69221107D01*
-X136171000Y-69378893D01*
-X136140219Y-69533642D01*
-X136079838Y-69679414D01*
-X136079837Y-69679416D01*
-X135992178Y-69810608D01*
-X135880608Y-69922178D01*
-X135749416Y-70009837D01*
-X135749415Y-70009838D01*
-X135749414Y-70009838D01*
-X135603642Y-70070219D01*
-X135448893Y-70101000D01*
-X135291107Y-70101000D01*
-X135136358Y-70070219D01*
-X134990586Y-70009838D01*
-X134990585Y-70009838D01*
-X134990584Y-70009837D01*
-X134859392Y-69922178D01*
-X134747822Y-69810608D01*
-X134660163Y-69679416D01*
-X134660162Y-69679414D01*
-X134599781Y-69533642D01*
-X134569000Y-69378893D01*
-X134569000Y-69221107D01*
-X134599781Y-69066358D01*
-X134660162Y-68920586D01*
-X134660163Y-68920584D01*
-X134747822Y-68789392D01*
-X134859392Y-68677822D01*
-X134990584Y-68590163D01*
-X134990586Y-68590162D01*
-X135136358Y-68529781D01*
-X135291107Y-68499000D01*
-X135448893Y-68499000D01*
-X135603642Y-68529781D01*
-X135603642Y-68529781D01*
-G37*
-G36*
-X117451000Y-67491000D02*
-G01*
-X115649000Y-67491000D01*
-X115649000Y-65689000D01*
-X117451000Y-65689000D01*
-X117451000Y-67491000D01*
-X117451000Y-67491000D01*
-G37*
-G36*
-X148093642Y-63709781D02*
-G01*
-X148239414Y-63770162D01*
-X148239416Y-63770163D01*
-X148370608Y-63857822D01*
-X148482178Y-63969392D01*
-X148536038Y-64050000D01*
-X148569838Y-64100586D01*
-X148630219Y-64246358D01*
-X148661000Y-64401107D01*
-X148661000Y-64558893D01*
-X148630219Y-64713642D01*
-X148593297Y-64802779D01*
-X148569837Y-64859416D01*
-X148482178Y-64990608D01*
-X148370608Y-65102178D01*
-X148239416Y-65189837D01*
-X148239415Y-65189838D01*
-X148239414Y-65189838D01*
-X148093642Y-65250219D01*
-X147938893Y-65281000D01*
-X147781107Y-65281000D01*
-X147626358Y-65250219D01*
-X147480586Y-65189838D01*
-X147480585Y-65189838D01*
-X147480584Y-65189837D01*
-X147349392Y-65102178D01*
-X147237822Y-64990608D01*
-X147150163Y-64859416D01*
-X147126703Y-64802779D01*
-X147089781Y-64713642D01*
-X147059000Y-64558893D01*
-X147059000Y-64401107D01*
-X147089781Y-64246358D01*
-X147150162Y-64100586D01*
-X147183962Y-64050000D01*
-X147237822Y-63969392D01*
-X147349392Y-63857822D01*
-X147480584Y-63770163D01*
-X147480586Y-63770162D01*
-X147626358Y-63709781D01*
-X147781107Y-63679000D01*
-X147938893Y-63679000D01*
-X148093642Y-63709781D01*
-X148093642Y-63709781D01*
-G37*
-G36*
-X132323642Y-63709781D02*
-G01*
-X132469414Y-63770162D01*
-X132469416Y-63770163D01*
-X132600608Y-63857822D01*
-X132712178Y-63969392D01*
-X132766038Y-64050000D01*
-X132799838Y-64100586D01*
-X132860219Y-64246358D01*
-X132891000Y-64401107D01*
-X132891000Y-64558893D01*
-X132860219Y-64713642D01*
-X132823297Y-64802779D01*
-X132799837Y-64859416D01*
-X132712178Y-64990608D01*
-X132600608Y-65102178D01*
-X132469416Y-65189837D01*
-X132469415Y-65189838D01*
-X132469414Y-65189838D01*
-X132323642Y-65250219D01*
-X132168893Y-65281000D01*
-X132011107Y-65281000D01*
-X131856358Y-65250219D01*
-X131710586Y-65189838D01*
-X131710585Y-65189838D01*
-X131710584Y-65189837D01*
-X131579392Y-65102178D01*
-X131467822Y-64990608D01*
-X131380163Y-64859416D01*
-X131356703Y-64802779D01*
-X131319781Y-64713642D01*
-X131289000Y-64558893D01*
-X131289000Y-64401107D01*
-X131319781Y-64246358D01*
-X131380162Y-64100586D01*
-X131413962Y-64050000D01*
-X131467822Y-63969392D01*
-X131579392Y-63857822D01*
-X131710584Y-63770163D01*
-X131710586Y-63770162D01*
-X131856358Y-63709781D01*
-X132011107Y-63679000D01*
-X132168893Y-63679000D01*
-X132323642Y-63709781D01*
-X132323642Y-63709781D01*
-G37*
-G36*
-X145601000Y-63554558D02*
-G01*
-X145603402Y-63578944D01*
-X145610515Y-63602393D01*
-X145622066Y-63624004D01*
-X145637611Y-63642946D01*
-X145656553Y-63658491D01*
-X145678164Y-63670042D01*
-X145701613Y-63677155D01*
-X145725999Y-63679557D01*
-X145731649Y-63679000D01*
-X145898893Y-63679000D01*
-X146053642Y-63709781D01*
-X146199414Y-63770162D01*
-X146199416Y-63770163D01*
-X146330608Y-63857822D01*
-X146442178Y-63969392D01*
-X146496038Y-64050000D01*
-X146529838Y-64100586D01*
-X146590219Y-64246358D01*
-X146621000Y-64401107D01*
-X146621000Y-64558893D01*
-X146590219Y-64713642D01*
-X146553297Y-64802779D01*
-X146529837Y-64859416D01*
-X146442178Y-64990608D01*
-X146330608Y-65102178D01*
-X146199416Y-65189837D01*
-X146199415Y-65189838D01*
-X146199414Y-65189838D01*
-X146053642Y-65250219D01*
-X145898893Y-65281000D01*
-X145741107Y-65281000D01*
-X145586358Y-65250219D01*
-X145440586Y-65189838D01*
-X145440585Y-65189838D01*
-X145440584Y-65189837D01*
-X145309392Y-65102178D01*
-X145197822Y-64990608D01*
-X145110163Y-64859416D01*
-X145086703Y-64802779D01*
-X145049781Y-64713642D01*
-X145019000Y-64558893D01*
-X145019000Y-64401107D01*
-X145049781Y-64246358D01*
-X145110162Y-64100586D01*
-X145143962Y-64050000D01*
-X145197822Y-63969392D01*
-X145309392Y-63857822D01*
-X145440584Y-63770163D01*
-X145440586Y-63770162D01*
-X145509823Y-63741483D01*
-X145531434Y-63729932D01*
-X145550376Y-63714387D01*
-X145565921Y-63695445D01*
-X145577472Y-63673834D01*
-X145584585Y-63650385D01*
-X145586987Y-63625999D01*
-X145584585Y-63601613D01*
-X145577472Y-63578164D01*
-X145565921Y-63556553D01*
-X145550376Y-63537611D01*
-X145531434Y-63522066D01*
-X145509823Y-63510515D01*
-X145486374Y-63503402D01*
-X145461988Y-63501000D01*
-X143999000Y-63501000D01*
-X143999000Y-61899000D01*
-X145601000Y-61899000D01*
-X145601000Y-63554558D01*
-X145601000Y-63554558D01*
-G37*
-G36*
-X150133642Y-63709781D02*
-G01*
-X150279414Y-63770162D01*
-X150279416Y-63770163D01*
-X150410608Y-63857822D01*
-X150522178Y-63969392D01*
-X150576038Y-64050000D01*
-X150609838Y-64100586D01*
-X150670219Y-64246358D01*
-X150701000Y-64401107D01*
-X150701000Y-64558893D01*
-X150670219Y-64713642D01*
-X150633297Y-64802779D01*
-X150609837Y-64859416D01*
-X150522178Y-64990608D01*
-X150410608Y-65102178D01*
-X150279416Y-65189837D01*
-X150279415Y-65189838D01*
-X150279414Y-65189838D01*
-X150133642Y-65250219D01*
-X149978893Y-65281000D01*
-X149821107Y-65281000D01*
-X149666358Y-65250219D01*
-X149520586Y-65189838D01*
-X149520585Y-65189838D01*
-X149520584Y-65189837D01*
-X149389392Y-65102178D01*
-X149277822Y-64990608D01*
-X149190163Y-64859416D01*
-X149166703Y-64802779D01*
-X149129781Y-64713642D01*
-X149099000Y-64558893D01*
-X149099000Y-64401107D01*
-X149129781Y-64246358D01*
-X149190162Y-64100586D01*
-X149223962Y-64050000D01*
-X149277822Y-63969392D01*
-X149389392Y-63857822D01*
-X149520584Y-63770163D01*
-X149520586Y-63770162D01*
-X149666358Y-63709781D01*
-X149821107Y-63679000D01*
-X149978893Y-63679000D01*
-X150133642Y-63709781D01*
-X150133642Y-63709781D01*
-G37*
-G36*
-X130283642Y-63709781D02*
-G01*
-X130429414Y-63770162D01*
-X130429416Y-63770163D01*
-X130560608Y-63857822D01*
-X130672178Y-63969392D01*
-X130726038Y-64050000D01*
-X130759838Y-64100586D01*
-X130820219Y-64246358D01*
-X130851000Y-64401107D01*
-X130851000Y-64558893D01*
-X130820219Y-64713642D01*
-X130783297Y-64802779D01*
-X130759837Y-64859416D01*
-X130672178Y-64990608D01*
-X130560608Y-65102178D01*
-X130429416Y-65189837D01*
-X130429415Y-65189838D01*
-X130429414Y-65189838D01*
-X130283642Y-65250219D01*
-X130128893Y-65281000D01*
-X129971107Y-65281000D01*
-X129816358Y-65250219D01*
-X129670586Y-65189838D01*
-X129670585Y-65189838D01*
-X129670584Y-65189837D01*
-X129539392Y-65102178D01*
-X129427822Y-64990608D01*
-X129340163Y-64859416D01*
-X129316703Y-64802779D01*
-X129279781Y-64713642D01*
-X129249000Y-64558893D01*
-X129249000Y-64401107D01*
-X129279781Y-64246358D01*
-X129340162Y-64100586D01*
-X129373962Y-64050000D01*
-X129427822Y-63969392D01*
-X129539392Y-63857822D01*
-X129670584Y-63770163D01*
-X129670586Y-63770162D01*
-X129816358Y-63709781D01*
-X129971107Y-63679000D01*
-X130128893Y-63679000D01*
-X130283642Y-63709781D01*
-X130283642Y-63709781D01*
-G37*
-G36*
-X128243642Y-63709781D02*
-G01*
-X128389414Y-63770162D01*
-X128389416Y-63770163D01*
-X128520608Y-63857822D01*
-X128632178Y-63969392D01*
-X128686038Y-64050000D01*
-X128719838Y-64100586D01*
-X128780219Y-64246358D01*
-X128811000Y-64401107D01*
-X128811000Y-64558893D01*
-X128780219Y-64713642D01*
-X128743297Y-64802779D01*
-X128719837Y-64859416D01*
-X128632178Y-64990608D01*
-X128520608Y-65102178D01*
-X128389416Y-65189837D01*
-X128389415Y-65189838D01*
-X128389414Y-65189838D01*
-X128243642Y-65250219D01*
-X128088893Y-65281000D01*
-X127931107Y-65281000D01*
-X127776358Y-65250219D01*
-X127630586Y-65189838D01*
-X127630585Y-65189838D01*
-X127630584Y-65189837D01*
-X127499392Y-65102178D01*
-X127387822Y-64990608D01*
-X127300163Y-64859416D01*
-X127276703Y-64802779D01*
-X127239781Y-64713642D01*
-X127209000Y-64558893D01*
-X127209000Y-64401107D01*
-X127239781Y-64246358D01*
-X127300162Y-64100586D01*
-X127333962Y-64050000D01*
-X127387822Y-63969392D01*
-X127499392Y-63857822D01*
-X127630584Y-63770163D01*
-X127630586Y-63770162D01*
-X127776358Y-63709781D01*
-X127931107Y-63679000D01*
-X128088893Y-63679000D01*
-X128243642Y-63709781D01*
-X128243642Y-63709781D01*
-G37*
-G36*
-X125751000Y-63554558D02*
-G01*
-X125753402Y-63578944D01*
-X125760515Y-63602393D01*
-X125772066Y-63624004D01*
-X125787611Y-63642946D01*
-X125806553Y-63658491D01*
-X125828164Y-63670042D01*
-X125851613Y-63677155D01*
-X125875999Y-63679557D01*
-X125881649Y-63679000D01*
-X126048893Y-63679000D01*
-X126203642Y-63709781D01*
-X126349414Y-63770162D01*
-X126349416Y-63770163D01*
-X126480608Y-63857822D01*
-X126592178Y-63969392D01*
-X126646038Y-64050000D01*
-X126679838Y-64100586D01*
-X126740219Y-64246358D01*
-X126771000Y-64401107D01*
-X126771000Y-64558893D01*
-X126740219Y-64713642D01*
-X126703297Y-64802779D01*
-X126679837Y-64859416D01*
-X126592178Y-64990608D01*
-X126480608Y-65102178D01*
-X126349416Y-65189837D01*
-X126349415Y-65189838D01*
-X126349414Y-65189838D01*
-X126203642Y-65250219D01*
-X126048893Y-65281000D01*
-X125891107Y-65281000D01*
-X125736358Y-65250219D01*
-X125590586Y-65189838D01*
-X125590585Y-65189838D01*
-X125590584Y-65189837D01*
-X125459392Y-65102178D01*
-X125347822Y-64990608D01*
-X125260163Y-64859416D01*
-X125236703Y-64802779D01*
-X125199781Y-64713642D01*
-X125169000Y-64558893D01*
-X125169000Y-64401107D01*
-X125199781Y-64246358D01*
-X125260162Y-64100586D01*
-X125293962Y-64050000D01*
-X125347822Y-63969392D01*
-X125459392Y-63857822D01*
-X125590584Y-63770163D01*
-X125590586Y-63770162D01*
-X125659823Y-63741483D01*
-X125681434Y-63729932D01*
-X125700376Y-63714387D01*
-X125715921Y-63695445D01*
-X125727472Y-63673834D01*
-X125734585Y-63650385D01*
-X125736987Y-63625999D01*
-X125734585Y-63601613D01*
-X125727472Y-63578164D01*
-X125715921Y-63556553D01*
-X125700376Y-63537611D01*
-X125681434Y-63522066D01*
-X125659823Y-63510515D01*
-X125636374Y-63503402D01*
-X125611988Y-63501000D01*
-X124149000Y-63501000D01*
-X124149000Y-61899000D01*
-X125751000Y-61899000D01*
-X125751000Y-63554558D01*
-X125751000Y-63554558D01*
-G37*
-G36*
-X152173642Y-63709781D02*
-G01*
-X152319414Y-63770162D01*
-X152319416Y-63770163D01*
-X152450608Y-63857822D01*
-X152562178Y-63969392D01*
-X152616038Y-64050000D01*
-X152649838Y-64100586D01*
-X152710219Y-64246358D01*
-X152741000Y-64401107D01*
-X152741000Y-64558893D01*
-X152710219Y-64713642D01*
-X152673297Y-64802779D01*
-X152649837Y-64859416D01*
-X152562178Y-64990608D01*
-X152450608Y-65102178D01*
-X152319416Y-65189837D01*
-X152319415Y-65189838D01*
-X152319414Y-65189838D01*
-X152173642Y-65250219D01*
-X152018893Y-65281000D01*
-X151861107Y-65281000D01*
-X151706358Y-65250219D01*
-X151560586Y-65189838D01*
-X151560585Y-65189838D01*
-X151560584Y-65189837D01*
-X151429392Y-65102178D01*
-X151317822Y-64990608D01*
-X151230163Y-64859416D01*
-X151206703Y-64802779D01*
-X151169781Y-64713642D01*
-X151139000Y-64558893D01*
-X151139000Y-64401107D01*
-X151169781Y-64246358D01*
-X151230162Y-64100586D01*
-X151263962Y-64050000D01*
-X151317822Y-63969392D01*
-X151429392Y-63857822D01*
-X151560584Y-63770163D01*
-X151560586Y-63770162D01*
-X151706358Y-63709781D01*
-X151861107Y-63679000D01*
-X152018893Y-63679000D01*
-X152173642Y-63709781D01*
-X152173642Y-63709781D01*
-G37*
-G36*
-X116660443Y-63155519D02*
-G01*
-X116726627Y-63162037D01*
-X116896466Y-63213557D01*
-X117052991Y-63297222D01*
-X117083400Y-63322178D01*
-X117190186Y-63409814D01*
-X117272828Y-63510515D01*
-X117302778Y-63547009D01*
-X117386443Y-63703534D01*
-X117437963Y-63873373D01*
-X117455359Y-64050000D01*
-X117437963Y-64226627D01*
-X117386443Y-64396466D01*
-X117302778Y-64552991D01*
-X117297935Y-64558892D01*
-X117190186Y-64690186D01*
-X117088729Y-64773448D01*
-X117052991Y-64802778D01*
-X116896466Y-64886443D01*
-X116726627Y-64937963D01*
-X116660442Y-64944482D01*
-X116594260Y-64951000D01*
-X116505740Y-64951000D01*
-X116439558Y-64944482D01*
-X116373373Y-64937963D01*
-X116203534Y-64886443D01*
-X116047009Y-64802778D01*
-X116011271Y-64773448D01*
-X115909814Y-64690186D01*
-X115802065Y-64558892D01*
-X115797222Y-64552991D01*
-X115713557Y-64396466D01*
-X115662037Y-64226627D01*
-X115644641Y-64050000D01*
-X115662037Y-63873373D01*
-X115713557Y-63703534D01*
-X115797222Y-63547009D01*
-X115827172Y-63510515D01*
-X115909814Y-63409814D01*
-X116016600Y-63322178D01*
-X116047009Y-63297222D01*
-X116203534Y-63213557D01*
-X116373373Y-63162037D01*
-X116439557Y-63155519D01*
-X116505740Y-63149000D01*
-X116594260Y-63149000D01*
-X116660443Y-63155519D01*
-X116660443Y-63155519D01*
-G37*
-G36*
-X140536564Y-62579389D02*
-G01*
-X140727833Y-62658615D01*
-X140727835Y-62658616D01*
-X140899973Y-62773635D01*
-X141046365Y-62920027D01*
-X141161385Y-63092167D01*
-X141240611Y-63283436D01*
-X141281000Y-63486484D01*
-X141281000Y-63693516D01*
-X141240611Y-63896564D01*
-X141161385Y-64087833D01*
-X141161384Y-64087835D01*
-X141046365Y-64259973D01*
-X140899973Y-64406365D01*
-X140727835Y-64521384D01*
-X140727834Y-64521385D01*
-X140727833Y-64521385D01*
-X140536564Y-64600611D01*
-X140333516Y-64641000D01*
-X140126484Y-64641000D01*
-X139923436Y-64600611D01*
-X139732167Y-64521385D01*
-X139732166Y-64521385D01*
-X139732165Y-64521384D01*
-X139560027Y-64406365D01*
-X139413635Y-64259973D01*
-X139298616Y-64087835D01*
-X139298615Y-64087833D01*
-X139219389Y-63896564D01*
-X139179000Y-63693516D01*
-X139179000Y-63486484D01*
-X139219389Y-63283436D01*
-X139298615Y-63092167D01*
-X139413635Y-62920027D01*
-X139560027Y-62773635D01*
-X139732165Y-62658616D01*
-X139732167Y-62658615D01*
-X139923436Y-62579389D01*
-X140126484Y-62539000D01*
-X140333516Y-62539000D01*
-X140536564Y-62579389D01*
-X140536564Y-62579389D01*
-G37*
-G36*
-X156796564Y-62579389D02*
-G01*
-X156987833Y-62658615D01*
-X156987835Y-62658616D01*
-X157159973Y-62773635D01*
-X157306365Y-62920027D01*
-X157421385Y-63092167D01*
-X157500611Y-63283436D01*
-X157541000Y-63486484D01*
-X157541000Y-63693516D01*
-X157500611Y-63896564D01*
-X157421385Y-64087833D01*
-X157421384Y-64087835D01*
-X157306365Y-64259973D01*
-X157159973Y-64406365D01*
-X156987835Y-64521384D01*
-X156987834Y-64521385D01*
-X156987833Y-64521385D01*
-X156796564Y-64600611D01*
-X156593516Y-64641000D01*
-X156386484Y-64641000D01*
-X156183436Y-64600611D01*
-X155992167Y-64521385D01*
-X155992166Y-64521385D01*
-X155992165Y-64521384D01*
-X155820027Y-64406365D01*
-X155673635Y-64259973D01*
-X155558616Y-64087835D01*
-X155558615Y-64087833D01*
-X155479389Y-63896564D01*
-X155439000Y-63693516D01*
-X155439000Y-63486484D01*
-X155479389Y-63283436D01*
-X155558615Y-63092167D01*
-X155673635Y-62920027D01*
-X155820027Y-62773635D01*
-X155992165Y-62658616D01*
-X155992167Y-62658615D01*
-X156183436Y-62579389D01*
-X156386484Y-62539000D01*
-X156593516Y-62539000D01*
-X156796564Y-62579389D01*
-X156796564Y-62579389D01*
-G37*
-G36*
-X120686564Y-62579389D02*
-G01*
-X120877833Y-62658615D01*
-X120877835Y-62658616D01*
-X121049973Y-62773635D01*
-X121196365Y-62920027D01*
-X121311385Y-63092167D01*
-X121390611Y-63283436D01*
-X121431000Y-63486484D01*
-X121431000Y-63693516D01*
-X121390611Y-63896564D01*
-X121311385Y-64087833D01*
-X121311384Y-64087835D01*
-X121196365Y-64259973D01*
-X121049973Y-64406365D01*
-X120877835Y-64521384D01*
-X120877834Y-64521385D01*
-X120877833Y-64521385D01*
-X120686564Y-64600611D01*
-X120483516Y-64641000D01*
-X120276484Y-64641000D01*
-X120073436Y-64600611D01*
-X119882167Y-64521385D01*
-X119882166Y-64521385D01*
-X119882165Y-64521384D01*
-X119710027Y-64406365D01*
-X119563635Y-64259973D01*
-X119448616Y-64087835D01*
-X119448615Y-64087833D01*
-X119369389Y-63896564D01*
-X119329000Y-63693516D01*
-X119329000Y-63486484D01*
-X119369389Y-63283436D01*
-X119448615Y-63092167D01*
-X119563635Y-62920027D01*
-X119710027Y-62773635D01*
-X119882165Y-62658616D01*
-X119882167Y-62658615D01*
-X120073436Y-62579389D01*
-X120276484Y-62539000D01*
-X120483516Y-62539000D01*
-X120686564Y-62579389D01*
-X120686564Y-62579389D01*
-G37*
-G36*
-X136946564Y-62579389D02*
-G01*
-X137137833Y-62658615D01*
-X137137835Y-62658616D01*
-X137309973Y-62773635D01*
-X137456365Y-62920027D01*
-X137571385Y-63092167D01*
-X137650611Y-63283436D01*
-X137691000Y-63486484D01*
-X137691000Y-63693516D01*
-X137650611Y-63896564D01*
-X137571385Y-64087833D01*
-X137571384Y-64087835D01*
-X137456365Y-64259973D01*
-X137309973Y-64406365D01*
-X137137835Y-64521384D01*
-X137137834Y-64521385D01*
-X137137833Y-64521385D01*
-X136946564Y-64600611D01*
-X136743516Y-64641000D01*
-X136536484Y-64641000D01*
-X136333436Y-64600611D01*
-X136142167Y-64521385D01*
-X136142166Y-64521385D01*
-X136142165Y-64521384D01*
-X135970027Y-64406365D01*
-X135823635Y-64259973D01*
-X135708616Y-64087835D01*
-X135708615Y-64087833D01*
-X135629389Y-63896564D01*
-X135589000Y-63693516D01*
-X135589000Y-63486484D01*
-X135629389Y-63283436D01*
-X135708615Y-63092167D01*
-X135823635Y-62920027D01*
-X135970027Y-62773635D01*
-X136142165Y-62658616D01*
-X136142167Y-62658615D01*
-X136333436Y-62579389D01*
-X136536484Y-62539000D01*
-X136743516Y-62539000D01*
-X136946564Y-62579389D01*
-X136946564Y-62579389D01*
-G37*
-G36*
-X108851000Y-64451000D02*
-G01*
-X106749000Y-64451000D01*
-X106749000Y-62349000D01*
-X108851000Y-62349000D01*
-X108851000Y-64451000D01*
-X108851000Y-64451000D01*
-G37*
-G36*
-X97151000Y-64451000D02*
-G01*
-X95049000Y-64451000D01*
-X95049000Y-62349000D01*
-X97151000Y-62349000D01*
-X97151000Y-64451000D01*
-X97151000Y-64451000D01*
-G37*
-G36*
-X76181632Y-56104677D02*
-G01*
-X76487005Y-56231167D01*
-X76918868Y-56410050D01*
-X77582362Y-56853383D01*
-X78146617Y-57417638D01*
-X78589950Y-58081132D01*
-X78749785Y-58467009D01*
-X78895323Y-58818368D01*
-X79051000Y-59601010D01*
-X79051000Y-60398990D01*
-X78895323Y-61181632D01*
-X78832469Y-61333375D01*
-X78589950Y-61918868D01*
-X78146617Y-62582362D01*
-X77582362Y-63146617D01*
-X76918868Y-63589950D01*
-X76553034Y-63741483D01*
-X76181632Y-63895323D01*
-X75398990Y-64051000D01*
-X74601010Y-64051000D01*
-X73818368Y-63895323D01*
-X73446966Y-63741483D01*
-X73081132Y-63589950D01*
-X72417638Y-63146617D01*
-X71853383Y-62582362D01*
-X71410050Y-61918868D01*
-X71167531Y-61333375D01*
-X71104677Y-61181632D01*
-X70949000Y-60398990D01*
-X70949000Y-59601010D01*
-X71104677Y-58818368D01*
-X71250215Y-58467009D01*
-X71410050Y-58081132D01*
-X71853383Y-57417638D01*
-X72417638Y-56853383D01*
-X73081132Y-56410050D01*
-X73512995Y-56231167D01*
-X73818368Y-56104677D01*
-X74601010Y-55949000D01*
-X75398990Y-55949000D01*
-X76181632Y-56104677D01*
-X76181632Y-56104677D01*
-G37*
-G36*
-X166181632Y-56104677D02*
-G01*
-X166487005Y-56231167D01*
-X166918868Y-56410050D01*
-X167582362Y-56853383D01*
-X168146617Y-57417638D01*
-X168589950Y-58081132D01*
-X168749785Y-58467009D01*
-X168895323Y-58818368D01*
-X169051000Y-59601010D01*
-X169051000Y-60398990D01*
-X168895323Y-61181632D01*
-X168832469Y-61333375D01*
-X168589950Y-61918868D01*
-X168146617Y-62582362D01*
-X167582362Y-63146617D01*
-X166918868Y-63589950D01*
-X166553034Y-63741483D01*
-X166181632Y-63895323D01*
-X165398990Y-64051000D01*
-X164601010Y-64051000D01*
-X163818368Y-63895323D01*
-X163446966Y-63741483D01*
-X163081132Y-63589950D01*
-X162417638Y-63146617D01*
-X161853383Y-62582362D01*
-X161410050Y-61918868D01*
-X161167531Y-61333375D01*
-X161104677Y-61181632D01*
-X160949000Y-60398990D01*
-X160949000Y-59601010D01*
-X161104677Y-58818368D01*
-X161250215Y-58467009D01*
-X161410050Y-58081132D01*
-X161853383Y-57417638D01*
-X162417638Y-56853383D01*
-X163081132Y-56410050D01*
-X163512995Y-56231167D01*
-X163818368Y-56104677D01*
-X164601010Y-55949000D01*
-X165398990Y-55949000D01*
-X166181632Y-56104677D01*
-X166181632Y-56104677D01*
-G37*
-G36*
-X86351000Y-63551000D02*
-G01*
-X83649000Y-63551000D01*
-X83649000Y-61449000D01*
-X86351000Y-61449000D01*
-X86351000Y-63551000D01*
-X86351000Y-63551000D01*
-G37*
-G36*
-X147073642Y-61929781D02*
-G01*
-X147219414Y-61990162D01*
-X147219416Y-61990163D01*
-X147350608Y-62077822D01*
-X147462178Y-62189392D01*
-X147511213Y-62262779D01*
-X147549838Y-62320586D01*
-X147610219Y-62466358D01*
-X147641000Y-62621107D01*
-X147641000Y-62778893D01*
-X147610219Y-62933642D01*
-X147549838Y-63079414D01*
-X147549837Y-63079416D01*
-X147462178Y-63210608D01*
-X147350608Y-63322178D01*
-X147219416Y-63409837D01*
-X147219415Y-63409838D01*
-X147219414Y-63409838D01*
-X147073642Y-63470219D01*
-X146918893Y-63501000D01*
-X146761107Y-63501000D01*
-X146606358Y-63470219D01*
-X146460586Y-63409838D01*
-X146460585Y-63409838D01*
-X146460584Y-63409837D01*
-X146329392Y-63322178D01*
-X146217822Y-63210608D01*
-X146130163Y-63079416D01*
-X146130162Y-63079414D01*
-X146069781Y-62933642D01*
-X146039000Y-62778893D01*
-X146039000Y-62621107D01*
-X146069781Y-62466358D01*
-X146130162Y-62320586D01*
-X146168787Y-62262779D01*
-X146217822Y-62189392D01*
-X146329392Y-62077822D01*
-X146460584Y-61990163D01*
-X146460586Y-61990162D01*
-X146606358Y-61929781D01*
-X146761107Y-61899000D01*
-X146918893Y-61899000D01*
-X147073642Y-61929781D01*
-X147073642Y-61929781D01*
-G37*
-G36*
-X151153642Y-61929781D02*
-G01*
-X151299414Y-61990162D01*
-X151299416Y-61990163D01*
-X151430608Y-62077822D01*
-X151542178Y-62189392D01*
-X151591213Y-62262779D01*
-X151629838Y-62320586D01*
-X151690219Y-62466358D01*
-X151721000Y-62621107D01*
-X151721000Y-62778893D01*
-X151690219Y-62933642D01*
-X151629838Y-63079414D01*
-X151629837Y-63079416D01*
-X151542178Y-63210608D01*
-X151430608Y-63322178D01*
-X151299416Y-63409837D01*
-X151299415Y-63409838D01*
-X151299414Y-63409838D01*
-X151153642Y-63470219D01*
-X150998893Y-63501000D01*
-X150841107Y-63501000D01*
-X150686358Y-63470219D01*
-X150540586Y-63409838D01*
-X150540585Y-63409838D01*
-X150540584Y-63409837D01*
-X150409392Y-63322178D01*
-X150297822Y-63210608D01*
-X150210163Y-63079416D01*
-X150210162Y-63079414D01*
-X150149781Y-62933642D01*
-X150119000Y-62778893D01*
-X150119000Y-62621107D01*
-X150149781Y-62466358D01*
-X150210162Y-62320586D01*
-X150248787Y-62262779D01*
-X150297822Y-62189392D01*
-X150409392Y-62077822D01*
-X150540584Y-61990163D01*
-X150540586Y-61990162D01*
-X150686358Y-61929781D01*
-X150841107Y-61899000D01*
-X150998893Y-61899000D01*
-X151153642Y-61929781D01*
-X151153642Y-61929781D01*
-G37*
-G36*
-X149113642Y-61929781D02*
-G01*
-X149259414Y-61990162D01*
-X149259416Y-61990163D01*
-X149390608Y-62077822D01*
-X149502178Y-62189392D01*
-X149551213Y-62262779D01*
-X149589838Y-62320586D01*
-X149650219Y-62466358D01*
-X149681000Y-62621107D01*
-X149681000Y-62778893D01*
-X149650219Y-62933642D01*
-X149589838Y-63079414D01*
-X149589837Y-63079416D01*
-X149502178Y-63210608D01*
-X149390608Y-63322178D01*
-X149259416Y-63409837D01*
-X149259415Y-63409838D01*
-X149259414Y-63409838D01*
-X149113642Y-63470219D01*
-X148958893Y-63501000D01*
-X148801107Y-63501000D01*
-X148646358Y-63470219D01*
-X148500586Y-63409838D01*
-X148500585Y-63409838D01*
-X148500584Y-63409837D01*
-X148369392Y-63322178D01*
-X148257822Y-63210608D01*
-X148170163Y-63079416D01*
-X148170162Y-63079414D01*
-X148109781Y-62933642D01*
-X148079000Y-62778893D01*
-X148079000Y-62621107D01*
-X148109781Y-62466358D01*
-X148170162Y-62320586D01*
-X148208787Y-62262779D01*
-X148257822Y-62189392D01*
-X148369392Y-62077822D01*
-X148500584Y-61990163D01*
-X148500586Y-61990162D01*
-X148646358Y-61929781D01*
-X148801107Y-61899000D01*
-X148958893Y-61899000D01*
-X149113642Y-61929781D01*
-X149113642Y-61929781D01*
-G37*
-G36*
-X127223642Y-61929781D02*
-G01*
-X127369414Y-61990162D01*
-X127369416Y-61990163D01*
-X127500608Y-62077822D01*
-X127612178Y-62189392D01*
-X127661213Y-62262779D01*
-X127699838Y-62320586D01*
-X127760219Y-62466358D01*
-X127791000Y-62621107D01*
-X127791000Y-62778893D01*
-X127760219Y-62933642D01*
-X127699838Y-63079414D01*
-X127699837Y-63079416D01*
-X127612178Y-63210608D01*
-X127500608Y-63322178D01*
-X127369416Y-63409837D01*
-X127369415Y-63409838D01*
-X127369414Y-63409838D01*
-X127223642Y-63470219D01*
-X127068893Y-63501000D01*
-X126911107Y-63501000D01*
-X126756358Y-63470219D01*
-X126610586Y-63409838D01*
-X126610585Y-63409838D01*
-X126610584Y-63409837D01*
-X126479392Y-63322178D01*
-X126367822Y-63210608D01*
-X126280163Y-63079416D01*
-X126280162Y-63079414D01*
-X126219781Y-62933642D01*
-X126189000Y-62778893D01*
-X126189000Y-62621107D01*
-X126219781Y-62466358D01*
-X126280162Y-62320586D01*
-X126318787Y-62262779D01*
-X126367822Y-62189392D01*
-X126479392Y-62077822D01*
-X126610584Y-61990163D01*
-X126610586Y-61990162D01*
-X126756358Y-61929781D01*
-X126911107Y-61899000D01*
-X127068893Y-61899000D01*
-X127223642Y-61929781D01*
-X127223642Y-61929781D01*
-G37*
-G36*
-X129263642Y-61929781D02*
-G01*
-X129409414Y-61990162D01*
-X129409416Y-61990163D01*
-X129540608Y-62077822D01*
-X129652178Y-62189392D01*
-X129701213Y-62262779D01*
-X129739838Y-62320586D01*
-X129800219Y-62466358D01*
-X129831000Y-62621107D01*
-X129831000Y-62778893D01*
-X129800219Y-62933642D01*
-X129739838Y-63079414D01*
-X129739837Y-63079416D01*
-X129652178Y-63210608D01*
-X129540608Y-63322178D01*
-X129409416Y-63409837D01*
-X129409415Y-63409838D01*
-X129409414Y-63409838D01*
-X129263642Y-63470219D01*
-X129108893Y-63501000D01*
-X128951107Y-63501000D01*
-X128796358Y-63470219D01*
-X128650586Y-63409838D01*
-X128650585Y-63409838D01*
-X128650584Y-63409837D01*
-X128519392Y-63322178D01*
-X128407822Y-63210608D01*
-X128320163Y-63079416D01*
-X128320162Y-63079414D01*
-X128259781Y-62933642D01*
-X128229000Y-62778893D01*
-X128229000Y-62621107D01*
-X128259781Y-62466358D01*
-X128320162Y-62320586D01*
-X128358787Y-62262779D01*
-X128407822Y-62189392D01*
-X128519392Y-62077822D01*
-X128650584Y-61990163D01*
-X128650586Y-61990162D01*
-X128796358Y-61929781D01*
-X128951107Y-61899000D01*
-X129108893Y-61899000D01*
-X129263642Y-61929781D01*
-X129263642Y-61929781D01*
-G37*
-G36*
-X131303642Y-61929781D02*
-G01*
-X131449414Y-61990162D01*
-X131449416Y-61990163D01*
-X131580608Y-62077822D01*
-X131692178Y-62189392D01*
-X131741213Y-62262779D01*
-X131779838Y-62320586D01*
-X131840219Y-62466358D01*
-X131871000Y-62621107D01*
-X131871000Y-62778893D01*
-X131840219Y-62933642D01*
-X131779838Y-63079414D01*
-X131779837Y-63079416D01*
-X131692178Y-63210608D01*
-X131580608Y-63322178D01*
-X131449416Y-63409837D01*
-X131449415Y-63409838D01*
-X131449414Y-63409838D01*
-X131303642Y-63470219D01*
-X131148893Y-63501000D01*
-X130991107Y-63501000D01*
-X130836358Y-63470219D01*
-X130690586Y-63409838D01*
-X130690585Y-63409838D01*
-X130690584Y-63409837D01*
-X130559392Y-63322178D01*
-X130447822Y-63210608D01*
-X130360163Y-63079416D01*
-X130360162Y-63079414D01*
-X130299781Y-62933642D01*
-X130269000Y-62778893D01*
-X130269000Y-62621107D01*
-X130299781Y-62466358D01*
-X130360162Y-62320586D01*
-X130398787Y-62262779D01*
-X130447822Y-62189392D01*
-X130559392Y-62077822D01*
-X130690584Y-61990163D01*
-X130690586Y-61990162D01*
-X130836358Y-61929781D01*
-X130991107Y-61899000D01*
-X131148893Y-61899000D01*
-X131303642Y-61929781D01*
-X131303642Y-61929781D01*
-G37*
-G36*
-X116660443Y-60615519D02*
-G01*
-X116726627Y-60622037D01*
-X116896466Y-60673557D01*
-X117052991Y-60757222D01*
-X117088729Y-60786552D01*
-X117190186Y-60869814D01*
-X117273448Y-60971271D01*
-X117302778Y-61007009D01*
-X117386443Y-61163534D01*
-X117437963Y-61333373D01*
-X117455359Y-61510000D01*
-X117437963Y-61686627D01*
-X117386443Y-61856466D01*
-X117302778Y-62012991D01*
-X117273448Y-62048729D01*
-X117190186Y-62150186D01*
-X117088729Y-62233448D01*
-X117052991Y-62262778D01*
-X116896466Y-62346443D01*
-X116726627Y-62397963D01*
-X116660443Y-62404481D01*
-X116594260Y-62411000D01*
-X116505740Y-62411000D01*
-X116439557Y-62404481D01*
-X116373373Y-62397963D01*
-X116203534Y-62346443D01*
-X116047009Y-62262778D01*
-X116011271Y-62233448D01*
-X115909814Y-62150186D01*
-X115826552Y-62048729D01*
-X115797222Y-62012991D01*
-X115713557Y-61856466D01*
-X115662037Y-61686627D01*
-X115644641Y-61510000D01*
-X115662037Y-61333373D01*
-X115713557Y-61163534D01*
-X115797222Y-61007009D01*
-X115826552Y-60971271D01*
-X115909814Y-60869814D01*
-X116011271Y-60786552D01*
-X116047009Y-60757222D01*
-X116203534Y-60673557D01*
-X116373373Y-60622037D01*
-X116439557Y-60615519D01*
-X116505740Y-60609000D01*
-X116594260Y-60609000D01*
-X116660443Y-60615519D01*
-X116660443Y-60615519D01*
-G37*
-G36*
-X154973175Y-58503514D02*
-G01*
-X155198871Y-58548408D01*
-X155503883Y-58674748D01*
-X155778387Y-58858166D01*
-X156011834Y-59091613D01*
-X156195252Y-59366117D01*
-X156321592Y-59671129D01*
-X156321592Y-59671130D01*
-X156379053Y-59960000D01*
-X156386000Y-59994928D01*
-X156386000Y-60325072D01*
-X156321592Y-60648871D01*
-X156195252Y-60953883D01*
-X156011834Y-61228387D01*
-X155778387Y-61461834D01*
-X155503883Y-61645252D01*
-X155198871Y-61771592D01*
-X154875073Y-61836000D01*
-X154544927Y-61836000D01*
-X154221129Y-61771592D01*
-X153916117Y-61645252D01*
-X153641613Y-61461834D01*
-X153408166Y-61228387D01*
-X153224748Y-60953883D01*
-X153098408Y-60648871D01*
-X153034000Y-60325072D01*
-X153034000Y-59994928D01*
-X153040948Y-59960000D01*
-X153098408Y-59671130D01*
-X153098408Y-59671129D01*
-X153224748Y-59366117D01*
-X153408166Y-59091613D01*
-X153641613Y-58858166D01*
-X153916117Y-58674748D01*
-X154221129Y-58548408D01*
-X154446825Y-58503514D01*
-X154544927Y-58484000D01*
-X154875073Y-58484000D01*
-X154973175Y-58503514D01*
-X154973175Y-58503514D01*
-G37*
-G36*
-X122423175Y-58503514D02*
-G01*
-X122648871Y-58548408D01*
-X122953883Y-58674748D01*
-X123228387Y-58858166D01*
-X123461834Y-59091613D01*
-X123645252Y-59366117D01*
-X123771592Y-59671129D01*
-X123771592Y-59671130D01*
-X123829053Y-59960000D01*
-X123836000Y-59994928D01*
-X123836000Y-60325072D01*
-X123771592Y-60648871D01*
-X123645252Y-60953883D01*
-X123461834Y-61228387D01*
-X123228387Y-61461834D01*
-X122953883Y-61645252D01*
-X122648871Y-61771592D01*
-X122325073Y-61836000D01*
-X121994927Y-61836000D01*
-X121671129Y-61771592D01*
-X121366117Y-61645252D01*
-X121091613Y-61461834D01*
-X120858166Y-61228387D01*
-X120674748Y-60953883D01*
-X120548408Y-60648871D01*
-X120484000Y-60325072D01*
-X120484000Y-59994928D01*
-X120490948Y-59960000D01*
-X120548408Y-59671130D01*
-X120548408Y-59671129D01*
-X120674748Y-59366117D01*
-X120858166Y-59091613D01*
-X121091613Y-58858166D01*
-X121366117Y-58674748D01*
-X121671129Y-58548408D01*
-X121896825Y-58503514D01*
-X121994927Y-58484000D01*
-X122325073Y-58484000D01*
-X122423175Y-58503514D01*
-X122423175Y-58503514D01*
-G37*
-G36*
-X135123175Y-58503514D02*
-G01*
-X135348871Y-58548408D01*
-X135653883Y-58674748D01*
-X135928387Y-58858166D01*
-X136161834Y-59091613D01*
-X136345252Y-59366117D01*
-X136471592Y-59671129D01*
-X136471592Y-59671130D01*
-X136529053Y-59960000D01*
-X136536000Y-59994928D01*
-X136536000Y-60325072D01*
-X136471592Y-60648871D01*
-X136345252Y-60953883D01*
-X136161834Y-61228387D01*
-X135928387Y-61461834D01*
-X135653883Y-61645252D01*
-X135348871Y-61771592D01*
-X135025073Y-61836000D01*
-X134694927Y-61836000D01*
-X134371129Y-61771592D01*
-X134066117Y-61645252D01*
-X133791613Y-61461834D01*
-X133558166Y-61228387D01*
-X133374748Y-60953883D01*
-X133248408Y-60648871D01*
-X133184000Y-60325072D01*
-X133184000Y-59994928D01*
-X133190948Y-59960000D01*
-X133248408Y-59671130D01*
-X133248408Y-59671129D01*
-X133374748Y-59366117D01*
-X133558166Y-59091613D01*
-X133791613Y-58858166D01*
-X134066117Y-58674748D01*
-X134371129Y-58548408D01*
-X134596825Y-58503514D01*
-X134694927Y-58484000D01*
-X135025073Y-58484000D01*
-X135123175Y-58503514D01*
-X135123175Y-58503514D01*
-G37*
-G36*
-X142273175Y-58503514D02*
-G01*
-X142498871Y-58548408D01*
-X142803883Y-58674748D01*
-X143078387Y-58858166D01*
-X143311834Y-59091613D01*
-X143495252Y-59366117D01*
-X143621592Y-59671129D01*
-X143621592Y-59671130D01*
-X143679053Y-59960000D01*
-X143686000Y-59994928D01*
-X143686000Y-60325072D01*
-X143621592Y-60648871D01*
-X143495252Y-60953883D01*
-X143311834Y-61228387D01*
-X143078387Y-61461834D01*
-X142803883Y-61645252D01*
-X142498871Y-61771592D01*
-X142175073Y-61836000D01*
-X141844927Y-61836000D01*
-X141521129Y-61771592D01*
-X141216117Y-61645252D01*
-X140941613Y-61461834D01*
-X140708166Y-61228387D01*
-X140524748Y-60953883D01*
-X140398408Y-60648871D01*
-X140334000Y-60325072D01*
-X140334000Y-59994928D01*
-X140340948Y-59960000D01*
-X140398408Y-59671130D01*
-X140398408Y-59671129D01*
-X140524748Y-59366117D01*
-X140708166Y-59091613D01*
-X140941613Y-58858166D01*
-X141216117Y-58674748D01*
-X141521129Y-58548408D01*
-X141746825Y-58503514D01*
-X141844927Y-58484000D01*
-X142175073Y-58484000D01*
-X142273175Y-58503514D01*
-X142273175Y-58503514D01*
-G37*
-G36*
-X85403097Y-58914069D02*
-G01*
-X85506032Y-58924207D01*
-X85656989Y-58970000D01*
-X85704149Y-58984306D01*
-X85800975Y-59036061D01*
-X85886729Y-59081897D01*
-X86046765Y-59213235D01*
-X86178103Y-59373271D01*
-X86219650Y-59451000D01*
-X86275694Y-59555851D01*
-X86275695Y-59555854D01*
-X86335793Y-59753968D01*
-X86356085Y-59960000D01*
-X86335793Y-60166032D01*
-X86287548Y-60325072D01*
-X86275694Y-60364149D01*
-X86257071Y-60398990D01*
-X86178103Y-60546729D01*
-X86046765Y-60706765D01*
-X85886729Y-60838103D01*
-X85800975Y-60883939D01*
-X85704149Y-60935694D01*
-X85704146Y-60935695D01*
-X85506032Y-60995793D01*
-X85403097Y-61005931D01*
-X85351631Y-61011000D01*
-X84648369Y-61011000D01*
-X84596903Y-61005931D01*
-X84493968Y-60995793D01*
-X84295854Y-60935695D01*
-X84295851Y-60935694D01*
-X84199025Y-60883939D01*
-X84113271Y-60838103D01*
-X83953235Y-60706765D01*
-X83821897Y-60546729D01*
-X83742929Y-60398990D01*
-X83724306Y-60364149D01*
-X83712452Y-60325072D01*
-X83664207Y-60166032D01*
-X83643915Y-59960000D01*
-X83664207Y-59753968D01*
-X83724305Y-59555854D01*
-X83724306Y-59555851D01*
-X83780350Y-59451000D01*
-X83821897Y-59373271D01*
-X83953235Y-59213235D01*
-X84113271Y-59081897D01*
-X84199025Y-59036061D01*
-X84295851Y-58984306D01*
-X84343011Y-58970000D01*
-X84493968Y-58924207D01*
-X84596903Y-58914069D01*
-X84648369Y-58909000D01*
-X85351631Y-58909000D01*
-X85403097Y-58914069D01*
-X85403097Y-58914069D01*
-G37*
-G36*
-X116660442Y-58075518D02*
-G01*
-X116726627Y-58082037D01*
-X116896466Y-58133557D01*
-X117052991Y-58217222D01*
-X117088729Y-58246552D01*
-X117190186Y-58329814D01*
-X117273448Y-58431271D01*
-X117302778Y-58467009D01*
-X117386443Y-58623534D01*
-X117437963Y-58793373D01*
-X117455359Y-58970000D01*
-X117437963Y-59146627D01*
-X117386443Y-59316466D01*
-X117302778Y-59472991D01*
-X117273448Y-59508729D01*
-X117190186Y-59610186D01*
-X117115924Y-59671130D01*
-X117052991Y-59722778D01*
-X116896466Y-59806443D01*
-X116726627Y-59857963D01*
-X116660442Y-59864482D01*
-X116594260Y-59871000D01*
-X116505740Y-59871000D01*
-X116439558Y-59864482D01*
-X116373373Y-59857963D01*
-X116203534Y-59806443D01*
-X116047009Y-59722778D01*
-X115984076Y-59671130D01*
-X115909814Y-59610186D01*
-X115826552Y-59508729D01*
-X115797222Y-59472991D01*
-X115713557Y-59316466D01*
-X115662037Y-59146627D01*
-X115644641Y-58970000D01*
-X115662037Y-58793373D01*
-X115713557Y-58623534D01*
-X115797222Y-58467009D01*
-X115826552Y-58431271D01*
-X115909814Y-58329814D01*
-X116011271Y-58246552D01*
-X116047009Y-58217222D01*
-X116203534Y-58133557D01*
-X116373373Y-58082037D01*
-X116439558Y-58075518D01*
-X116505740Y-58069000D01*
-X116594260Y-58069000D01*
-X116660442Y-58075518D01*
-X116660442Y-58075518D01*
-G37*
-G36*
-X108106564Y-57389389D02*
-G01*
-X108297833Y-57468615D01*
-X108297835Y-57468616D01*
-X108469973Y-57583635D01*
-X108616365Y-57730027D01*
-X108731385Y-57902167D01*
-X108810611Y-58093436D01*
-X108851000Y-58296484D01*
-X108851000Y-58503516D01*
-X108810611Y-58706564D01*
-X108731385Y-58897833D01*
-X108731384Y-58897835D01*
-X108616365Y-59069973D01*
-X108469973Y-59216365D01*
-X108297835Y-59331384D01*
-X108297834Y-59331385D01*
-X108297833Y-59331385D01*
-X108106564Y-59410611D01*
-X107903516Y-59451000D01*
-X107696484Y-59451000D01*
-X107493436Y-59410611D01*
-X107302167Y-59331385D01*
-X107302166Y-59331385D01*
-X107302165Y-59331384D01*
-X107130027Y-59216365D01*
-X106983635Y-59069973D01*
-X106868616Y-58897835D01*
-X106868615Y-58897833D01*
-X106789389Y-58706564D01*
-X106749000Y-58503516D01*
-X106749000Y-58296484D01*
-X106789389Y-58093436D01*
-X106868615Y-57902167D01*
-X106983635Y-57730027D01*
-X107130027Y-57583635D01*
-X107302165Y-57468616D01*
-X107302167Y-57468615D01*
-X107493436Y-57389389D01*
-X107696484Y-57349000D01*
-X107903516Y-57349000D01*
-X108106564Y-57389389D01*
-X108106564Y-57389389D01*
-G37*
-G36*
-X96406564Y-57389389D02*
-G01*
-X96597833Y-57468615D01*
-X96597835Y-57468616D01*
-X96769973Y-57583635D01*
-X96916365Y-57730027D01*
-X97031385Y-57902167D01*
-X97110611Y-58093436D01*
-X97151000Y-58296484D01*
-X97151000Y-58503516D01*
-X97110611Y-58706564D01*
-X97031385Y-58897833D01*
-X97031384Y-58897835D01*
-X96916365Y-59069973D01*
-X96769973Y-59216365D01*
-X96597835Y-59331384D01*
-X96597834Y-59331385D01*
-X96597833Y-59331385D01*
-X96406564Y-59410611D01*
-X96203516Y-59451000D01*
-X95996484Y-59451000D01*
-X95793436Y-59410611D01*
-X95602167Y-59331385D01*
-X95602166Y-59331385D01*
-X95602165Y-59331384D01*
-X95430027Y-59216365D01*
-X95283635Y-59069973D01*
-X95168616Y-58897835D01*
-X95168615Y-58897833D01*
-X95089389Y-58706564D01*
-X95049000Y-58503516D01*
-X95049000Y-58296484D01*
-X95089389Y-58093436D01*
-X95168615Y-57902167D01*
-X95283635Y-57730027D01*
-X95430027Y-57583635D01*
-X95602165Y-57468616D01*
-X95602167Y-57468615D01*
-X95793436Y-57389389D01*
-X95996484Y-57349000D01*
-X96203516Y-57349000D01*
-X96406564Y-57389389D01*
-X96406564Y-57389389D01*
-G37*
-M02*
+G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5)-3*
+G04 #@! TF.CreationDate,2020-05-02T20:20:03+02:00*
+G04 #@! TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,rev?*
+G04 #@! TF.SameCoordinates,Original*
+G04 #@! TF.FileFunction,Soldermask,Bot*
+G04 #@! TF.FilePolarity,Negative*
+%FSLAX46Y46*%
+G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
+G04 Created by KiCad (PCBNEW (5.1.5)-3) date 2020-05-02 20:20:03*
+%MOMM*%
+%LPD*%
+G04 APERTURE LIST*
+%ADD10C,0.150000*%
+%ADD11C,0.100000*%
+G04 APERTURE END LIST*
+D10*
+G36*
+X131300000Y-96300000D02*
+G01*
+X131300000Y-103400000D01*
+X128100000Y-103400000D01*
+X125500000Y-106000000D01*
+X116500000Y-106000000D01*
+X116500000Y-95600000D01*
+X130600000Y-95600000D01*
+X131300000Y-96300000D01*
+G37*
+X131300000Y-96300000D02*
+X131300000Y-103400000D01*
+X128100000Y-103400000D01*
+X125500000Y-106000000D01*
+X116500000Y-106000000D01*
+X116500000Y-95600000D01*
+X130600000Y-95600000D01*
+X131300000Y-96300000D01*
+G36*
+X131500000Y-146500000D02*
+G01*
+X114500000Y-146500000D01*
+X114500000Y-135000000D01*
+X131500000Y-135000000D01*
+X131500000Y-146500000D01*
+G37*
+X131500000Y-146500000D02*
+X114500000Y-146500000D01*
+X114500000Y-135000000D01*
+X131500000Y-135000000D01*
+X131500000Y-146500000D01*
+G36*
+X143000000Y-132000000D02*
+G01*
+X129000000Y-132000000D01*
+X129000000Y-121900000D01*
+X143000000Y-121900000D01*
+X143000000Y-132000000D01*
+G37*
+X143000000Y-132000000D02*
+X129000000Y-132000000D01*
+X129000000Y-121900000D01*
+X143000000Y-121900000D01*
+X143000000Y-132000000D01*
+G36*
+X143000000Y-119000000D02*
+G01*
+X129300000Y-119000000D01*
+X129300000Y-110700000D01*
+X130900000Y-109100000D01*
+X143000000Y-109100000D01*
+X143000000Y-119000000D01*
+G37*
+X143000000Y-119000000D02*
+X129300000Y-119000000D01*
+X129300000Y-110700000D01*
+X130900000Y-109100000D01*
+X143000000Y-109100000D01*
+X143000000Y-119000000D01*
+D11*
+G36*
+X76181632Y-146104677D02*
+G01*
+X76487005Y-146231167D01*
+X76918868Y-146410050D01*
+X77582362Y-146853383D01*
+X78146617Y-147417638D01*
+X78589950Y-148081132D01*
+X78768833Y-148512995D01*
+X78895323Y-148818368D01*
+X79051000Y-149601010D01*
+X79051000Y-150398990D01*
+X78895323Y-151181632D01*
+X78768833Y-151487005D01*
+X78589950Y-151918868D01*
+X78146617Y-152582362D01*
+X77582362Y-153146617D01*
+X76918868Y-153589950D01*
+X76487005Y-153768833D01*
+X76181632Y-153895323D01*
+X75398990Y-154051000D01*
+X74601010Y-154051000D01*
+X73818368Y-153895323D01*
+X73512995Y-153768833D01*
+X73081132Y-153589950D01*
+X72417638Y-153146617D01*
+X71853383Y-152582362D01*
+X71410050Y-151918868D01*
+X71231167Y-151487005D01*
+X71104677Y-151181632D01*
+X70949000Y-150398990D01*
+X70949000Y-149601010D01*
+X71104677Y-148818368D01*
+X71231167Y-148512995D01*
+X71410050Y-148081132D01*
+X71853383Y-147417638D01*
+X72417638Y-146853383D01*
+X73081132Y-146410050D01*
+X73512995Y-146231167D01*
+X73818368Y-146104677D01*
+X74601010Y-145949000D01*
+X75398990Y-145949000D01*
+X76181632Y-146104677D01*
+G37*
+G36*
+X166181632Y-146104677D02*
+G01*
+X166487005Y-146231167D01*
+X166918868Y-146410050D01*
+X167582362Y-146853383D01*
+X168146617Y-147417638D01*
+X168589950Y-148081132D01*
+X168768833Y-148512995D01*
+X168895323Y-148818368D01*
+X169051000Y-149601010D01*
+X169051000Y-150398990D01*
+X168895323Y-151181632D01*
+X168768833Y-151487005D01*
+X168589950Y-151918868D01*
+X168146617Y-152582362D01*
+X167582362Y-153146617D01*
+X166918868Y-153589950D01*
+X166487005Y-153768833D01*
+X166181632Y-153895323D01*
+X165398990Y-154051000D01*
+X164601010Y-154051000D01*
+X163818368Y-153895323D01*
+X163512995Y-153768833D01*
+X163081132Y-153589950D01*
+X162417638Y-153146617D01*
+X161853383Y-152582362D01*
+X161410050Y-151918868D01*
+X161231167Y-151487005D01*
+X161104677Y-151181632D01*
+X160949000Y-150398990D01*
+X160949000Y-149601010D01*
+X161104677Y-148818368D01*
+X161231167Y-148512995D01*
+X161410050Y-148081132D01*
+X161853383Y-147417638D01*
+X162417638Y-146853383D01*
+X163081132Y-146410050D01*
+X163512995Y-146231167D01*
+X163818368Y-146104677D01*
+X164601010Y-145949000D01*
+X165398990Y-145949000D01*
+X166181632Y-146104677D01*
+G37*
+G36*
+X76351000Y-136431000D02*
+G01*
+X73649000Y-136431000D01*
+X73649000Y-133729000D01*
+X76351000Y-133729000D01*
+X76351000Y-136431000D01*
+G37*
+G36*
+X165394072Y-133620918D02*
+G01*
+X165639939Y-133722759D01*
+X165861212Y-133870610D01*
+X166049390Y-134058788D01*
+X166197241Y-134280061D01*
+X166299082Y-134525928D01*
+X166351000Y-134786938D01*
+X166351000Y-135053062D01*
+X166299082Y-135314072D01*
+X166197241Y-135559939D01*
+X166049390Y-135781212D01*
+X165861212Y-135969390D01*
+X165639939Y-136117241D01*
+X165639938Y-136117242D01*
+X165639937Y-136117242D01*
+X165394072Y-136219082D01*
+X165133063Y-136271000D01*
+X164866937Y-136271000D01*
+X164605928Y-136219082D01*
+X164360063Y-136117242D01*
+X164360062Y-136117242D01*
+X164360061Y-136117241D01*
+X164138788Y-135969390D01*
+X163950610Y-135781212D01*
+X163802759Y-135559939D01*
+X163700918Y-135314072D01*
+X163649000Y-135053062D01*
+X163649000Y-134786938D01*
+X163700918Y-134525928D01*
+X163802759Y-134280061D01*
+X163950610Y-134058788D01*
+X164138788Y-133870610D01*
+X164360061Y-133722759D01*
+X164605928Y-133620918D01*
+X164866937Y-133569000D01*
+X165133063Y-133569000D01*
+X165394072Y-133620918D01*
+G37*
+G36*
+X75394072Y-128780918D02*
+G01*
+X75610607Y-128870609D01*
+X75639939Y-128882759D01*
+X75861212Y-129030610D01*
+X76049390Y-129218788D01*
+X76197241Y-129440061D01*
+X76299082Y-129685928D01*
+X76351000Y-129946938D01*
+X76351000Y-130213062D01*
+X76299082Y-130474072D01*
+X76197241Y-130719939D01*
+X76049390Y-130941212D01*
+X75861212Y-131129390D01*
+X75639939Y-131277241D01*
+X75639938Y-131277242D01*
+X75639937Y-131277242D01*
+X75394072Y-131379082D01*
+X75133063Y-131431000D01*
+X74866937Y-131431000D01*
+X74605928Y-131379082D01*
+X74360063Y-131277242D01*
+X74360062Y-131277242D01*
+X74360061Y-131277241D01*
+X74138788Y-131129390D01*
+X73950610Y-130941212D01*
+X73802759Y-130719939D01*
+X73700918Y-130474072D01*
+X73649000Y-130213062D01*
+X73649000Y-129946938D01*
+X73700918Y-129685928D01*
+X73802759Y-129440061D01*
+X73950610Y-129218788D01*
+X74138788Y-129030610D01*
+X74360061Y-128882759D01*
+X74389394Y-128870609D01*
+X74605928Y-128780918D01*
+X74866937Y-128729000D01*
+X75133063Y-128729000D01*
+X75394072Y-128780918D01*
+G37*
+G36*
+X165394072Y-128620918D02*
+G01*
+X165639939Y-128722759D01*
+X165861212Y-128870610D01*
+X166049390Y-129058788D01*
+X166197241Y-129280061D01*
+X166299082Y-129525928D01*
+X166351000Y-129786938D01*
+X166351000Y-130053062D01*
+X166299082Y-130314072D01*
+X166197241Y-130559939D01*
+X166049390Y-130781212D01*
+X165861212Y-130969390D01*
+X165639939Y-131117241D01*
+X165639938Y-131117242D01*
+X165639937Y-131117242D01*
+X165394072Y-131219082D01*
+X165133063Y-131271000D01*
+X164866937Y-131271000D01*
+X164605928Y-131219082D01*
+X164360063Y-131117242D01*
+X164360062Y-131117242D01*
+X164360061Y-131117241D01*
+X164138788Y-130969390D01*
+X163950610Y-130781212D01*
+X163802759Y-130559939D01*
+X163700918Y-130314072D01*
+X163649000Y-130053062D01*
+X163649000Y-129786938D01*
+X163700918Y-129525928D01*
+X163802759Y-129280061D01*
+X163950610Y-129058788D01*
+X164138788Y-128870610D01*
+X164360061Y-128722759D01*
+X164605928Y-128620918D01*
+X164866937Y-128569000D01*
+X165133063Y-128569000D01*
+X165394072Y-128620918D01*
+G37*
+G36*
+X75394072Y-123780918D02*
+G01*
+X75639939Y-123882759D01*
+X75861212Y-124030610D01*
+X76049390Y-124218788D01*
+X76197241Y-124440061D01*
+X76299082Y-124685928D01*
+X76351000Y-124946938D01*
+X76351000Y-125213062D01*
+X76299082Y-125474072D01*
+X76197241Y-125719939D01*
+X76049390Y-125941212D01*
+X75861212Y-126129390D01*
+X75639939Y-126277241D01*
+X75639938Y-126277242D01*
+X75639937Y-126277242D01*
+X75394072Y-126379082D01*
+X75133063Y-126431000D01*
+X74866937Y-126431000D01*
+X74605928Y-126379082D01*
+X74360063Y-126277242D01*
+X74360062Y-126277242D01*
+X74360061Y-126277241D01*
+X74138788Y-126129390D01*
+X73950610Y-125941212D01*
+X73802759Y-125719939D01*
+X73700918Y-125474072D01*
+X73649000Y-125213062D01*
+X73649000Y-124946938D01*
+X73700918Y-124685928D01*
+X73802759Y-124440061D01*
+X73950610Y-124218788D01*
+X74138788Y-124030610D01*
+X74360061Y-123882759D01*
+X74605928Y-123780918D01*
+X74866937Y-123729000D01*
+X75133063Y-123729000D01*
+X75394072Y-123780918D01*
+G37*
+G36*
+X166351000Y-126271000D02*
+G01*
+X163649000Y-126271000D01*
+X163649000Y-123569000D01*
+X166351000Y-123569000D01*
+X166351000Y-126271000D01*
+G37*
+G36*
+X76351000Y-116431000D02*
+G01*
+X73649000Y-116431000D01*
+X73649000Y-113729000D01*
+X76351000Y-113729000D01*
+X76351000Y-116431000D01*
+G37*
+G36*
+X165394072Y-113540918D02*
+G01*
+X165639939Y-113642759D01*
+X165861212Y-113790610D01*
+X166049390Y-113978788D01*
+X166197241Y-114200061D01*
+X166299082Y-114445928D01*
+X166351000Y-114706938D01*
+X166351000Y-114973062D01*
+X166299082Y-115234072D01*
+X166197241Y-115479939D01*
+X166049390Y-115701212D01*
+X165861212Y-115889390D01*
+X165639939Y-116037241D01*
+X165639938Y-116037242D01*
+X165639937Y-116037242D01*
+X165394072Y-116139082D01*
+X165133063Y-116191000D01*
+X164866937Y-116191000D01*
+X164605928Y-116139082D01*
+X164360063Y-116037242D01*
+X164360062Y-116037242D01*
+X164360061Y-116037241D01*
+X164138788Y-115889390D01*
+X163950610Y-115701212D01*
+X163802759Y-115479939D01*
+X163700918Y-115234072D01*
+X163649000Y-114973062D01*
+X163649000Y-114706938D01*
+X163700918Y-114445928D01*
+X163802759Y-114200061D01*
+X163950610Y-113978788D01*
+X164138788Y-113790610D01*
+X164360061Y-113642759D01*
+X164605928Y-113540918D01*
+X164866937Y-113489000D01*
+X165133063Y-113489000D01*
+X165394072Y-113540918D01*
+G37*
+G36*
+X75394072Y-108780918D02*
+G01*
+X75639939Y-108882759D01*
+X75751328Y-108957187D01*
+X75861211Y-109030609D01*
+X76049391Y-109218789D01*
+X76197242Y-109440063D01*
+X76299082Y-109685928D01*
+X76351000Y-109946937D01*
+X76351000Y-110213063D01*
+X76299082Y-110474072D01*
+X76204999Y-110701211D01*
+X76197241Y-110719939D01*
+X76049390Y-110941212D01*
+X75861212Y-111129390D01*
+X75639939Y-111277241D01*
+X75639938Y-111277242D01*
+X75639937Y-111277242D01*
+X75394072Y-111379082D01*
+X75133063Y-111431000D01*
+X74866937Y-111431000D01*
+X74605928Y-111379082D01*
+X74360063Y-111277242D01*
+X74360062Y-111277242D01*
+X74360061Y-111277241D01*
+X74138788Y-111129390D01*
+X73950610Y-110941212D01*
+X73802759Y-110719939D01*
+X73795002Y-110701211D01*
+X73700918Y-110474072D01*
+X73649000Y-110213063D01*
+X73649000Y-109946937D01*
+X73700918Y-109685928D01*
+X73802758Y-109440063D01*
+X73950609Y-109218789D01*
+X74138789Y-109030609D01*
+X74248672Y-108957187D01*
+X74360061Y-108882759D01*
+X74605928Y-108780918D01*
+X74866937Y-108729000D01*
+X75133063Y-108729000D01*
+X75394072Y-108780918D01*
+G37*
+G36*
+X165394072Y-108540918D02*
+G01*
+X165639939Y-108642759D01*
+X165751328Y-108717187D01*
+X165861211Y-108790609D01*
+X166049391Y-108978789D01*
+X166197242Y-109200063D01*
+X166299082Y-109445928D01*
+X166351000Y-109706937D01*
+X166351000Y-109973063D01*
+X166299082Y-110234072D01*
+X166197242Y-110479937D01*
+X166049391Y-110701211D01*
+X165861211Y-110889391D01*
+X165751328Y-110962813D01*
+X165639939Y-111037241D01*
+X165639938Y-111037242D01*
+X165639937Y-111037242D01*
+X165394072Y-111139082D01*
+X165133063Y-111191000D01*
+X164866937Y-111191000D01*
+X164605928Y-111139082D01*
+X164360063Y-111037242D01*
+X164360062Y-111037242D01*
+X164360061Y-111037241D01*
+X164248672Y-110962813D01*
+X164138789Y-110889391D01*
+X163950609Y-110701211D01*
+X163802758Y-110479937D01*
+X163700918Y-110234072D01*
+X163649000Y-109973063D01*
+X163649000Y-109706937D01*
+X163700918Y-109445928D01*
+X163802758Y-109200063D01*
+X163950609Y-108978789D01*
+X164138789Y-108790609D01*
+X164248672Y-108717187D01*
+X164360061Y-108642759D01*
+X164605928Y-108540918D01*
+X164866937Y-108489000D01*
+X165133063Y-108489000D01*
+X165394072Y-108540918D01*
+G37*
+G36*
+X75394072Y-103780918D02*
+G01*
+X75639939Y-103882759D01*
+X75861212Y-104030610D01*
+X76049390Y-104218788D01*
+X76197241Y-104440061D01*
+X76299082Y-104685928D01*
+X76351000Y-104946938D01*
+X76351000Y-105213062D01*
+X76299082Y-105474072D01*
+X76197241Y-105719939D01*
+X76049390Y-105941212D01*
+X75861212Y-106129390D01*
+X75639939Y-106277241D01*
+X75639938Y-106277242D01*
+X75639937Y-106277242D01*
+X75394072Y-106379082D01*
+X75133063Y-106431000D01*
+X74866937Y-106431000D01*
+X74605928Y-106379082D01*
+X74360063Y-106277242D01*
+X74360062Y-106277242D01*
+X74360061Y-106277241D01*
+X74138788Y-106129390D01*
+X73950610Y-105941212D01*
+X73802759Y-105719939D01*
+X73700918Y-105474072D01*
+X73649000Y-105213062D01*
+X73649000Y-104946938D01*
+X73700918Y-104685928D01*
+X73802759Y-104440061D01*
+X73950610Y-104218788D01*
+X74138788Y-104030610D01*
+X74360061Y-103882759D01*
+X74605928Y-103780918D01*
+X74866937Y-103729000D01*
+X75133063Y-103729000D01*
+X75394072Y-103780918D01*
+G37*
+G36*
+X166351000Y-106191000D02*
+G01*
+X163649000Y-106191000D01*
+X163649000Y-103489000D01*
+X166351000Y-103489000D01*
+X166351000Y-106191000D01*
+G37*
+G36*
+X76206564Y-86939389D02*
+G01*
+X76397833Y-87018615D01*
+X76397835Y-87018616D01*
+X76569973Y-87133635D01*
+X76716365Y-87280027D01*
+X76831385Y-87452167D01*
+X76910611Y-87643436D01*
+X76951000Y-87846484D01*
+X76951000Y-88053516D01*
+X76910611Y-88256564D01*
+X76831385Y-88447833D01*
+X76831384Y-88447835D01*
+X76716365Y-88619973D01*
+X76569973Y-88766365D01*
+X76397835Y-88881384D01*
+X76397834Y-88881385D01*
+X76397833Y-88881385D01*
+X76206564Y-88960611D01*
+X76003516Y-89001000D01*
+X75796484Y-89001000D01*
+X75593436Y-88960611D01*
+X75402167Y-88881385D01*
+X75402166Y-88881385D01*
+X75402165Y-88881384D01*
+X75230027Y-88766365D01*
+X75083635Y-88619973D01*
+X74968616Y-88447835D01*
+X74968615Y-88447833D01*
+X74889389Y-88256564D01*
+X74849000Y-88053516D01*
+X74849000Y-87846484D01*
+X74889389Y-87643436D01*
+X74968615Y-87452167D01*
+X75083635Y-87280027D01*
+X75230027Y-87133635D01*
+X75402165Y-87018616D01*
+X75402167Y-87018615D01*
+X75593436Y-86939389D01*
+X75796484Y-86899000D01*
+X76003516Y-86899000D01*
+X76206564Y-86939389D01*
+G37*
+G36*
+X81951000Y-89001000D02*
+G01*
+X79849000Y-89001000D01*
+X79849000Y-86899000D01*
+X81951000Y-86899000D01*
+X81951000Y-89001000D01*
+G37*
+G36*
+X79614903Y-76547075D02*
+G01*
+X79842571Y-76641378D01*
+X80047466Y-76778285D01*
+X80221715Y-76952534D01*
+X80221716Y-76952536D01*
+X80358623Y-77157431D01*
+X80452925Y-77385097D01*
+X80501000Y-77626786D01*
+X80501000Y-77873214D01*
+X80458530Y-78086727D01*
+X80452925Y-78114903D01*
+X80358622Y-78342571D01*
+X80221715Y-78547466D01*
+X80047466Y-78721715D01*
+X79842571Y-78858622D01*
+X79842570Y-78858623D01*
+X79842569Y-78858623D01*
+X79614903Y-78952925D01*
+X79373214Y-79001000D01*
+X79126786Y-79001000D01*
+X78885097Y-78952925D01*
+X78657431Y-78858623D01*
+X78657430Y-78858623D01*
+X78657429Y-78858622D01*
+X78452534Y-78721715D01*
+X78278285Y-78547466D01*
+X78141378Y-78342571D01*
+X78047075Y-78114903D01*
+X78041470Y-78086727D01*
+X77999000Y-77873214D01*
+X77999000Y-77626786D01*
+X78047075Y-77385097D01*
+X78141377Y-77157431D01*
+X78278284Y-76952536D01*
+X78278285Y-76952534D01*
+X78452534Y-76778285D01*
+X78657429Y-76641378D01*
+X78885097Y-76547075D01*
+X79126786Y-76499000D01*
+X79373214Y-76499000D01*
+X79614903Y-76547075D01*
+G37*
+G36*
+X155986032Y-75864207D02*
+G01*
+X156184146Y-75924305D01*
+X156184149Y-75924306D01*
+X156280975Y-75976061D01*
+X156366729Y-76021897D01*
+X156526765Y-76153235D01*
+X156658103Y-76313271D01*
+X156689291Y-76371621D01*
+X156755694Y-76495851D01*
+X156755695Y-76495855D01*
+X156815793Y-76693969D01*
+X156831000Y-76848371D01*
+X156831000Y-77551630D01*
+X156815793Y-77706032D01*
+X156765078Y-77873214D01*
+X156755694Y-77904149D01*
+X156703939Y-78000975D01*
+X156658103Y-78086729D01*
+X156526765Y-78246765D01*
+X156366729Y-78378103D01*
+X156250030Y-78440479D01*
+X156184148Y-78475694D01*
+X156184145Y-78475695D01*
+X155986031Y-78535793D01*
+X155780000Y-78556085D01*
+X155573968Y-78535793D01*
+X155375854Y-78475695D01*
+X155375851Y-78475694D01*
+X155236109Y-78401000D01*
+X155193271Y-78378103D01*
+X155033235Y-78246765D01*
+X154901897Y-78086729D01*
+X154804307Y-77904149D01*
+X154804306Y-77904148D01*
+X154794922Y-77873213D01*
+X154744207Y-77706031D01*
+X154729000Y-77551629D01*
+X154729000Y-76848370D01*
+X154744207Y-76693968D01*
+X154763296Y-76631040D01*
+X154804305Y-76495853D01*
+X154879184Y-76355766D01*
+X154901898Y-76313271D01*
+X155033236Y-76153235D01*
+X155193272Y-76021897D01*
+X155279026Y-75976061D01*
+X155375852Y-75924306D01*
+X155375855Y-75924305D01*
+X155573969Y-75864207D01*
+X155780000Y-75843915D01*
+X155986032Y-75864207D01*
+G37*
+G36*
+X158526032Y-75864207D02*
+G01*
+X158724146Y-75924305D01*
+X158724149Y-75924306D01*
+X158820975Y-75976061D01*
+X158906729Y-76021897D01*
+X159066765Y-76153235D01*
+X159198103Y-76313271D01*
+X159229291Y-76371621D01*
+X159295694Y-76495851D01*
+X159295695Y-76495855D01*
+X159355793Y-76693969D01*
+X159371000Y-76848371D01*
+X159371000Y-77551630D01*
+X159355793Y-77706032D01*
+X159305078Y-77873214D01*
+X159295694Y-77904149D01*
+X159243939Y-78000975D01*
+X159198103Y-78086729D01*
+X159066765Y-78246765D01*
+X158906729Y-78378103D01*
+X158790030Y-78440479D01*
+X158724148Y-78475694D01*
+X158724145Y-78475695D01*
+X158526031Y-78535793D01*
+X158320000Y-78556085D01*
+X158113968Y-78535793D01*
+X157915854Y-78475695D01*
+X157915851Y-78475694D01*
+X157776109Y-78401000D01*
+X157733271Y-78378103D01*
+X157573235Y-78246765D01*
+X157441897Y-78086729D01*
+X157344307Y-77904149D01*
+X157344306Y-77904148D01*
+X157334922Y-77873213D01*
+X157284207Y-77706031D01*
+X157269000Y-77551629D01*
+X157269000Y-76848370D01*
+X157284207Y-76693968D01*
+X157303296Y-76631040D01*
+X157344305Y-76495853D01*
+X157419184Y-76355766D01*
+X157441898Y-76313271D01*
+X157573236Y-76153235D01*
+X157733272Y-76021897D01*
+X157819026Y-75976061D01*
+X157915852Y-75924306D01*
+X157915855Y-75924305D01*
+X158113969Y-75864207D01*
+X158320000Y-75843915D01*
+X158526032Y-75864207D01*
+G37*
+G36*
+X161066032Y-75864207D02*
+G01*
+X161264146Y-75924305D01*
+X161264149Y-75924306D01*
+X161360975Y-75976061D01*
+X161446729Y-76021897D01*
+X161606765Y-76153235D01*
+X161738103Y-76313271D01*
+X161769291Y-76371621D01*
+X161835694Y-76495851D01*
+X161835695Y-76495855D01*
+X161895793Y-76693969D01*
+X161911000Y-76848371D01*
+X161911000Y-77551630D01*
+X161895793Y-77706032D01*
+X161845078Y-77873214D01*
+X161835694Y-77904149D01*
+X161783939Y-78000975D01*
+X161738103Y-78086729D01*
+X161606765Y-78246765D01*
+X161446729Y-78378103D01*
+X161330030Y-78440479D01*
+X161264148Y-78475694D01*
+X161264145Y-78475695D01*
+X161066031Y-78535793D01*
+X160860000Y-78556085D01*
+X160653968Y-78535793D01*
+X160455854Y-78475695D01*
+X160455851Y-78475694D01*
+X160316109Y-78401000D01*
+X160273271Y-78378103D01*
+X160113235Y-78246765D01*
+X159981897Y-78086729D01*
+X159884307Y-77904149D01*
+X159884306Y-77904148D01*
+X159874922Y-77873213D01*
+X159824207Y-77706031D01*
+X159809000Y-77551629D01*
+X159809000Y-76848370D01*
+X159824207Y-76693968D01*
+X159843296Y-76631040D01*
+X159884305Y-76495853D01*
+X159959184Y-76355766D01*
+X159981898Y-76313271D01*
+X160113236Y-76153235D01*
+X160273272Y-76021897D01*
+X160359026Y-75976061D01*
+X160455852Y-75924306D01*
+X160455855Y-75924305D01*
+X160653969Y-75864207D01*
+X160860000Y-75843915D01*
+X161066032Y-75864207D01*
+G37*
+G36*
+X164451000Y-78551000D02*
+G01*
+X162349000Y-78551000D01*
+X162349000Y-75849000D01*
+X164451000Y-75849000D01*
+X164451000Y-78551000D01*
+G37*
+G36*
+X118026000Y-78401000D02*
+G01*
+X117174000Y-78401000D01*
+X117174000Y-77099000D01*
+X118026000Y-77099000D01*
+X118026000Y-78401000D01*
+G37*
+G36*
+X118026000Y-76501000D02*
+G01*
+X117174000Y-76501000D01*
+X117174000Y-75199000D01*
+X118026000Y-75199000D01*
+X118026000Y-76501000D01*
+G37*
+G36*
+X80501000Y-74001000D02*
+G01*
+X77999000Y-74001000D01*
+X77999000Y-71499000D01*
+X80501000Y-71499000D01*
+X80501000Y-74001000D01*
+G37*
+G36*
+X155453642Y-68529781D02*
+G01*
+X155599414Y-68590162D01*
+X155599416Y-68590163D01*
+X155730608Y-68677822D01*
+X155842178Y-68789392D01*
+X155929837Y-68920584D01*
+X155929838Y-68920586D01*
+X155990219Y-69066358D01*
+X156021000Y-69221107D01*
+X156021000Y-69378893D01*
+X155990219Y-69533642D01*
+X155929838Y-69679414D01*
+X155929837Y-69679416D01*
+X155842178Y-69810608D01*
+X155730608Y-69922178D01*
+X155599416Y-70009837D01*
+X155599415Y-70009838D01*
+X155599414Y-70009838D01*
+X155453642Y-70070219D01*
+X155298893Y-70101000D01*
+X155141107Y-70101000D01*
+X154986358Y-70070219D01*
+X154840586Y-70009838D01*
+X154840585Y-70009838D01*
+X154840584Y-70009837D01*
+X154709392Y-69922178D01*
+X154597822Y-69810608D01*
+X154510163Y-69679416D01*
+X154510162Y-69679414D01*
+X154449781Y-69533642D01*
+X154419000Y-69378893D01*
+X154419000Y-69221107D01*
+X154449781Y-69066358D01*
+X154510162Y-68920586D01*
+X154510163Y-68920584D01*
+X154597822Y-68789392D01*
+X154709392Y-68677822D01*
+X154840584Y-68590163D01*
+X154840586Y-68590162D01*
+X154986358Y-68529781D01*
+X155141107Y-68499000D01*
+X155298893Y-68499000D01*
+X155453642Y-68529781D01*
+G37*
+G36*
+X153163642Y-68529781D02*
+G01*
+X153309414Y-68590162D01*
+X153309416Y-68590163D01*
+X153440608Y-68677822D01*
+X153552178Y-68789392D01*
+X153639837Y-68920584D01*
+X153639838Y-68920586D01*
+X153700219Y-69066358D01*
+X153731000Y-69221107D01*
+X153731000Y-69378893D01*
+X153700219Y-69533642D01*
+X153639838Y-69679414D01*
+X153639837Y-69679416D01*
+X153552178Y-69810608D01*
+X153440608Y-69922178D01*
+X153309416Y-70009837D01*
+X153309415Y-70009838D01*
+X153309414Y-70009838D01*
+X153163642Y-70070219D01*
+X153008893Y-70101000D01*
+X152851107Y-70101000D01*
+X152696358Y-70070219D01*
+X152550586Y-70009838D01*
+X152550585Y-70009838D01*
+X152550584Y-70009837D01*
+X152419392Y-69922178D01*
+X152307822Y-69810608D01*
+X152220163Y-69679416D01*
+X152220162Y-69679414D01*
+X152159781Y-69533642D01*
+X152129000Y-69378893D01*
+X152129000Y-69221107D01*
+X152159781Y-69066358D01*
+X152220162Y-68920586D01*
+X152220163Y-68920584D01*
+X152307822Y-68789392D01*
+X152419392Y-68677822D01*
+X152550584Y-68590163D01*
+X152550586Y-68590162D01*
+X152696358Y-68529781D01*
+X152851107Y-68499000D01*
+X153008893Y-68499000D01*
+X153163642Y-68529781D01*
+G37*
+G36*
+X144023642Y-68529781D02*
+G01*
+X144169414Y-68590162D01*
+X144169416Y-68590163D01*
+X144300608Y-68677822D01*
+X144412178Y-68789392D01*
+X144499837Y-68920584D01*
+X144499838Y-68920586D01*
+X144560219Y-69066358D01*
+X144591000Y-69221107D01*
+X144591000Y-69378893D01*
+X144560219Y-69533642D01*
+X144499838Y-69679414D01*
+X144499837Y-69679416D01*
+X144412178Y-69810608D01*
+X144300608Y-69922178D01*
+X144169416Y-70009837D01*
+X144169415Y-70009838D01*
+X144169414Y-70009838D01*
+X144023642Y-70070219D01*
+X143868893Y-70101000D01*
+X143711107Y-70101000D01*
+X143556358Y-70070219D01*
+X143410586Y-70009838D01*
+X143410585Y-70009838D01*
+X143410584Y-70009837D01*
+X143279392Y-69922178D01*
+X143167822Y-69810608D01*
+X143080163Y-69679416D01*
+X143080162Y-69679414D01*
+X143019781Y-69533642D01*
+X142989000Y-69378893D01*
+X142989000Y-69221107D01*
+X143019781Y-69066358D01*
+X143080162Y-68920586D01*
+X143080163Y-68920584D01*
+X143167822Y-68789392D01*
+X143279392Y-68677822D01*
+X143410584Y-68590163D01*
+X143410586Y-68590162D01*
+X143556358Y-68529781D01*
+X143711107Y-68499000D01*
+X143868893Y-68499000D01*
+X144023642Y-68529781D01*
+G37*
+G36*
+X141733642Y-68529781D02*
+G01*
+X141879414Y-68590162D01*
+X141879416Y-68590163D01*
+X142010608Y-68677822D01*
+X142122178Y-68789392D01*
+X142209837Y-68920584D01*
+X142209838Y-68920586D01*
+X142270219Y-69066358D01*
+X142301000Y-69221107D01*
+X142301000Y-69378893D01*
+X142270219Y-69533642D01*
+X142209838Y-69679414D01*
+X142209837Y-69679416D01*
+X142122178Y-69810608D01*
+X142010608Y-69922178D01*
+X141879416Y-70009837D01*
+X141879415Y-70009838D01*
+X141879414Y-70009838D01*
+X141733642Y-70070219D01*
+X141578893Y-70101000D01*
+X141421107Y-70101000D01*
+X141266358Y-70070219D01*
+X141120586Y-70009838D01*
+X141120585Y-70009838D01*
+X141120584Y-70009837D01*
+X140989392Y-69922178D01*
+X140877822Y-69810608D01*
+X140790163Y-69679416D01*
+X140790162Y-69679414D01*
+X140729781Y-69533642D01*
+X140699000Y-69378893D01*
+X140699000Y-69221107D01*
+X140729781Y-69066358D01*
+X140790162Y-68920586D01*
+X140790163Y-68920584D01*
+X140877822Y-68789392D01*
+X140989392Y-68677822D01*
+X141120584Y-68590163D01*
+X141120586Y-68590162D01*
+X141266358Y-68529781D01*
+X141421107Y-68499000D01*
+X141578893Y-68499000D01*
+X141733642Y-68529781D01*
+G37*
+G36*
+X121883642Y-68529781D02*
+G01*
+X122029414Y-68590162D01*
+X122029416Y-68590163D01*
+X122160608Y-68677822D01*
+X122272178Y-68789392D01*
+X122359837Y-68920584D01*
+X122359838Y-68920586D01*
+X122420219Y-69066358D01*
+X122451000Y-69221107D01*
+X122451000Y-69378893D01*
+X122420219Y-69533642D01*
+X122359838Y-69679414D01*
+X122359837Y-69679416D01*
+X122272178Y-69810608D01*
+X122160608Y-69922178D01*
+X122029416Y-70009837D01*
+X122029415Y-70009838D01*
+X122029414Y-70009838D01*
+X121883642Y-70070219D01*
+X121728893Y-70101000D01*
+X121571107Y-70101000D01*
+X121416358Y-70070219D01*
+X121270586Y-70009838D01*
+X121270585Y-70009838D01*
+X121270584Y-70009837D01*
+X121139392Y-69922178D01*
+X121027822Y-69810608D01*
+X120940163Y-69679416D01*
+X120940162Y-69679414D01*
+X120879781Y-69533642D01*
+X120849000Y-69378893D01*
+X120849000Y-69221107D01*
+X120879781Y-69066358D01*
+X120940162Y-68920586D01*
+X120940163Y-68920584D01*
+X121027822Y-68789392D01*
+X121139392Y-68677822D01*
+X121270584Y-68590163D01*
+X121270586Y-68590162D01*
+X121416358Y-68529781D01*
+X121571107Y-68499000D01*
+X121728893Y-68499000D01*
+X121883642Y-68529781D01*
+G37*
+G36*
+X124173642Y-68529781D02*
+G01*
+X124319414Y-68590162D01*
+X124319416Y-68590163D01*
+X124450608Y-68677822D01*
+X124562178Y-68789392D01*
+X124649837Y-68920584D01*
+X124649838Y-68920586D01*
+X124710219Y-69066358D01*
+X124741000Y-69221107D01*
+X124741000Y-69378893D01*
+X124710219Y-69533642D01*
+X124649838Y-69679414D01*
+X124649837Y-69679416D01*
+X124562178Y-69810608D01*
+X124450608Y-69922178D01*
+X124319416Y-70009837D01*
+X124319415Y-70009838D01*
+X124319414Y-70009838D01*
+X124173642Y-70070219D01*
+X124018893Y-70101000D01*
+X123861107Y-70101000D01*
+X123706358Y-70070219D01*
+X123560586Y-70009838D01*
+X123560585Y-70009838D01*
+X123560584Y-70009837D01*
+X123429392Y-69922178D01*
+X123317822Y-69810608D01*
+X123230163Y-69679416D01*
+X123230162Y-69679414D01*
+X123169781Y-69533642D01*
+X123139000Y-69378893D01*
+X123139000Y-69221107D01*
+X123169781Y-69066358D01*
+X123230162Y-68920586D01*
+X123230163Y-68920584D01*
+X123317822Y-68789392D01*
+X123429392Y-68677822D01*
+X123560584Y-68590163D01*
+X123560586Y-68590162D01*
+X123706358Y-68529781D01*
+X123861107Y-68499000D01*
+X124018893Y-68499000D01*
+X124173642Y-68529781D01*
+G37*
+G36*
+X133313642Y-68529781D02*
+G01*
+X133459414Y-68590162D01*
+X133459416Y-68590163D01*
+X133590608Y-68677822D01*
+X133702178Y-68789392D01*
+X133789837Y-68920584D01*
+X133789838Y-68920586D01*
+X133850219Y-69066358D01*
+X133881000Y-69221107D01*
+X133881000Y-69378893D01*
+X133850219Y-69533642D01*
+X133789838Y-69679414D01*
+X133789837Y-69679416D01*
+X133702178Y-69810608D01*
+X133590608Y-69922178D01*
+X133459416Y-70009837D01*
+X133459415Y-70009838D01*
+X133459414Y-70009838D01*
+X133313642Y-70070219D01*
+X133158893Y-70101000D01*
+X133001107Y-70101000D01*
+X132846358Y-70070219D01*
+X132700586Y-70009838D01*
+X132700585Y-70009838D01*
+X132700584Y-70009837D01*
+X132569392Y-69922178D01*
+X132457822Y-69810608D01*
+X132370163Y-69679416D01*
+X132370162Y-69679414D01*
+X132309781Y-69533642D01*
+X132279000Y-69378893D01*
+X132279000Y-69221107D01*
+X132309781Y-69066358D01*
+X132370162Y-68920586D01*
+X132370163Y-68920584D01*
+X132457822Y-68789392D01*
+X132569392Y-68677822D01*
+X132700584Y-68590163D01*
+X132700586Y-68590162D01*
+X132846358Y-68529781D01*
+X133001107Y-68499000D01*
+X133158893Y-68499000D01*
+X133313642Y-68529781D01*
+G37*
+G36*
+X135603642Y-68529781D02*
+G01*
+X135749414Y-68590162D01*
+X135749416Y-68590163D01*
+X135880608Y-68677822D01*
+X135992178Y-68789392D01*
+X136079837Y-68920584D01*
+X136079838Y-68920586D01*
+X136140219Y-69066358D01*
+X136171000Y-69221107D01*
+X136171000Y-69378893D01*
+X136140219Y-69533642D01*
+X136079838Y-69679414D01*
+X136079837Y-69679416D01*
+X135992178Y-69810608D01*
+X135880608Y-69922178D01*
+X135749416Y-70009837D01*
+X135749415Y-70009838D01*
+X135749414Y-70009838D01*
+X135603642Y-70070219D01*
+X135448893Y-70101000D01*
+X135291107Y-70101000D01*
+X135136358Y-70070219D01*
+X134990586Y-70009838D01*
+X134990585Y-70009838D01*
+X134990584Y-70009837D01*
+X134859392Y-69922178D01*
+X134747822Y-69810608D01*
+X134660163Y-69679416D01*
+X134660162Y-69679414D01*
+X134599781Y-69533642D01*
+X134569000Y-69378893D01*
+X134569000Y-69221107D01*
+X134599781Y-69066358D01*
+X134660162Y-68920586D01*
+X134660163Y-68920584D01*
+X134747822Y-68789392D01*
+X134859392Y-68677822D01*
+X134990584Y-68590163D01*
+X134990586Y-68590162D01*
+X135136358Y-68529781D01*
+X135291107Y-68499000D01*
+X135448893Y-68499000D01*
+X135603642Y-68529781D01*
+G37*
+G36*
+X109648228Y-67381703D02*
+G01*
+X109803100Y-67445853D01*
+X109942481Y-67538985D01*
+X110061015Y-67657519D01*
+X110154147Y-67796900D01*
+X110218297Y-67951772D01*
+X110251000Y-68116184D01*
+X110251000Y-68283816D01*
+X110218297Y-68448228D01*
+X110154147Y-68603100D01*
+X110061015Y-68742481D01*
+X109942481Y-68861015D01*
+X109803100Y-68954147D01*
+X109648228Y-69018297D01*
+X109483816Y-69051000D01*
+X109316184Y-69051000D01*
+X109151772Y-69018297D01*
+X108996900Y-68954147D01*
+X108857519Y-68861015D01*
+X108738985Y-68742481D01*
+X108645853Y-68603100D01*
+X108581703Y-68448228D01*
+X108549000Y-68283816D01*
+X108549000Y-68116184D01*
+X108581703Y-67951772D01*
+X108645853Y-67796900D01*
+X108738985Y-67657519D01*
+X108857519Y-67538985D01*
+X108996900Y-67445853D01*
+X109151772Y-67381703D01*
+X109316184Y-67349000D01*
+X109483816Y-67349000D01*
+X109648228Y-67381703D01*
+G37*
+G36*
+X117451000Y-67491000D02*
+G01*
+X115649000Y-67491000D01*
+X115649000Y-65689000D01*
+X117451000Y-65689000D01*
+X117451000Y-67491000D01*
+G37*
+G36*
+X110251000Y-67051000D02*
+G01*
+X108549000Y-67051000D01*
+X108549000Y-65349000D01*
+X110251000Y-65349000D01*
+X110251000Y-67051000D01*
+G37*
+G36*
+X152173642Y-63709781D02*
+G01*
+X152319414Y-63770162D01*
+X152319416Y-63770163D01*
+X152450608Y-63857822D01*
+X152562178Y-63969392D01*
+X152616706Y-64051000D01*
+X152649838Y-64100586D01*
+X152710219Y-64246358D01*
+X152741000Y-64401107D01*
+X152741000Y-64558893D01*
+X152710219Y-64713642D01*
+X152654377Y-64848456D01*
+X152649837Y-64859416D01*
+X152562178Y-64990608D01*
+X152450608Y-65102178D01*
+X152319416Y-65189837D01*
+X152319415Y-65189838D01*
+X152319414Y-65189838D01*
+X152173642Y-65250219D01*
+X152018893Y-65281000D01*
+X151861107Y-65281000D01*
+X151706358Y-65250219D01*
+X151560586Y-65189838D01*
+X151560585Y-65189838D01*
+X151560584Y-65189837D01*
+X151429392Y-65102178D01*
+X151317822Y-64990608D01*
+X151230163Y-64859416D01*
+X151225623Y-64848456D01*
+X151169781Y-64713642D01*
+X151139000Y-64558893D01*
+X151139000Y-64401107D01*
+X151169781Y-64246358D01*
+X151230162Y-64100586D01*
+X151263294Y-64051000D01*
+X151317822Y-63969392D01*
+X151429392Y-63857822D01*
+X151560584Y-63770163D01*
+X151560586Y-63770162D01*
+X151706358Y-63709781D01*
+X151861107Y-63679000D01*
+X152018893Y-63679000D01*
+X152173642Y-63709781D01*
+G37*
+G36*
+X148093642Y-63709781D02*
+G01*
+X148239414Y-63770162D01*
+X148239416Y-63770163D01*
+X148370608Y-63857822D01*
+X148482178Y-63969392D01*
+X148536706Y-64051000D01*
+X148569838Y-64100586D01*
+X148630219Y-64246358D01*
+X148661000Y-64401107D01*
+X148661000Y-64558893D01*
+X148630219Y-64713642D01*
+X148574377Y-64848456D01*
+X148569837Y-64859416D01*
+X148482178Y-64990608D01*
+X148370608Y-65102178D01*
+X148239416Y-65189837D01*
+X148239415Y-65189838D01*
+X148239414Y-65189838D01*
+X148093642Y-65250219D01*
+X147938893Y-65281000D01*
+X147781107Y-65281000D01*
+X147626358Y-65250219D01*
+X147480586Y-65189838D01*
+X147480585Y-65189838D01*
+X147480584Y-65189837D01*
+X147349392Y-65102178D01*
+X147237822Y-64990608D01*
+X147150163Y-64859416D01*
+X147145623Y-64848456D01*
+X147089781Y-64713642D01*
+X147059000Y-64558893D01*
+X147059000Y-64401107D01*
+X147089781Y-64246358D01*
+X147150162Y-64100586D01*
+X147183294Y-64051000D01*
+X147237822Y-63969392D01*
+X147349392Y-63857822D01*
+X147480584Y-63770163D01*
+X147480586Y-63770162D01*
+X147626358Y-63709781D01*
+X147781107Y-63679000D01*
+X147938893Y-63679000D01*
+X148093642Y-63709781D01*
+G37*
+G36*
+X150133642Y-63709781D02*
+G01*
+X150279414Y-63770162D01*
+X150279416Y-63770163D01*
+X150410608Y-63857822D01*
+X150522178Y-63969392D01*
+X150576706Y-64051000D01*
+X150609838Y-64100586D01*
+X150670219Y-64246358D01*
+X150701000Y-64401107D01*
+X150701000Y-64558893D01*
+X150670219Y-64713642D01*
+X150614377Y-64848456D01*
+X150609837Y-64859416D01*
+X150522178Y-64990608D01*
+X150410608Y-65102178D01*
+X150279416Y-65189837D01*
+X150279415Y-65189838D01*
+X150279414Y-65189838D01*
+X150133642Y-65250219D01*
+X149978893Y-65281000D01*
+X149821107Y-65281000D01*
+X149666358Y-65250219D01*
+X149520586Y-65189838D01*
+X149520585Y-65189838D01*
+X149520584Y-65189837D01*
+X149389392Y-65102178D01*
+X149277822Y-64990608D01*
+X149190163Y-64859416D01*
+X149185623Y-64848456D01*
+X149129781Y-64713642D01*
+X149099000Y-64558893D01*
+X149099000Y-64401107D01*
+X149129781Y-64246358D01*
+X149190162Y-64100586D01*
+X149223294Y-64051000D01*
+X149277822Y-63969392D01*
+X149389392Y-63857822D01*
+X149520584Y-63770163D01*
+X149520586Y-63770162D01*
+X149666358Y-63709781D01*
+X149821107Y-63679000D01*
+X149978893Y-63679000D01*
+X150133642Y-63709781D01*
+G37*
+G36*
+X125751000Y-63554558D02*
+G01*
+X125753402Y-63578944D01*
+X125760515Y-63602393D01*
+X125772066Y-63624004D01*
+X125787611Y-63642946D01*
+X125806553Y-63658491D01*
+X125828164Y-63670042D01*
+X125851613Y-63677155D01*
+X125875999Y-63679557D01*
+X125881649Y-63679000D01*
+X126048893Y-63679000D01*
+X126203642Y-63709781D01*
+X126349414Y-63770162D01*
+X126349416Y-63770163D01*
+X126480608Y-63857822D01*
+X126592178Y-63969392D01*
+X126646706Y-64051000D01*
+X126679838Y-64100586D01*
+X126740219Y-64246358D01*
+X126771000Y-64401107D01*
+X126771000Y-64558893D01*
+X126740219Y-64713642D01*
+X126684377Y-64848456D01*
+X126679837Y-64859416D01*
+X126592178Y-64990608D01*
+X126480608Y-65102178D01*
+X126349416Y-65189837D01*
+X126349415Y-65189838D01*
+X126349414Y-65189838D01*
+X126203642Y-65250219D01*
+X126048893Y-65281000D01*
+X125891107Y-65281000D01*
+X125736358Y-65250219D01*
+X125590586Y-65189838D01*
+X125590585Y-65189838D01*
+X125590584Y-65189837D01*
+X125459392Y-65102178D01*
+X125347822Y-64990608D01*
+X125260163Y-64859416D01*
+X125255623Y-64848456D01*
+X125199781Y-64713642D01*
+X125169000Y-64558893D01*
+X125169000Y-64401107D01*
+X125199781Y-64246358D01*
+X125260162Y-64100586D01*
+X125293294Y-64051000D01*
+X125347822Y-63969392D01*
+X125459392Y-63857822D01*
+X125590584Y-63770163D01*
+X125590586Y-63770162D01*
+X125659823Y-63741483D01*
+X125681434Y-63729932D01*
+X125700376Y-63714387D01*
+X125715921Y-63695445D01*
+X125727472Y-63673834D01*
+X125734585Y-63650385D01*
+X125736987Y-63625999D01*
+X125734585Y-63601613D01*
+X125727472Y-63578164D01*
+X125715921Y-63556553D01*
+X125700376Y-63537611D01*
+X125681434Y-63522066D01*
+X125659823Y-63510515D01*
+X125636374Y-63503402D01*
+X125611988Y-63501000D01*
+X124149000Y-63501000D01*
+X124149000Y-61899000D01*
+X125751000Y-61899000D01*
+X125751000Y-63554558D01*
+G37*
+G36*
+X128243642Y-63709781D02*
+G01*
+X128389414Y-63770162D01*
+X128389416Y-63770163D01*
+X128520608Y-63857822D01*
+X128632178Y-63969392D01*
+X128686706Y-64051000D01*
+X128719838Y-64100586D01*
+X128780219Y-64246358D01*
+X128811000Y-64401107D01*
+X128811000Y-64558893D01*
+X128780219Y-64713642D01*
+X128724377Y-64848456D01*
+X128719837Y-64859416D01*
+X128632178Y-64990608D01*
+X128520608Y-65102178D01*
+X128389416Y-65189837D01*
+X128389415Y-65189838D01*
+X128389414Y-65189838D01*
+X128243642Y-65250219D01*
+X128088893Y-65281000D01*
+X127931107Y-65281000D01*
+X127776358Y-65250219D01*
+X127630586Y-65189838D01*
+X127630585Y-65189838D01*
+X127630584Y-65189837D01*
+X127499392Y-65102178D01*
+X127387822Y-64990608D01*
+X127300163Y-64859416D01*
+X127295623Y-64848456D01*
+X127239781Y-64713642D01*
+X127209000Y-64558893D01*
+X127209000Y-64401107D01*
+X127239781Y-64246358D01*
+X127300162Y-64100586D01*
+X127333294Y-64051000D01*
+X127387822Y-63969392D01*
+X127499392Y-63857822D01*
+X127630584Y-63770163D01*
+X127630586Y-63770162D01*
+X127776358Y-63709781D01*
+X127931107Y-63679000D01*
+X128088893Y-63679000D01*
+X128243642Y-63709781D01*
+G37*
+G36*
+X130283642Y-63709781D02*
+G01*
+X130429414Y-63770162D01*
+X130429416Y-63770163D01*
+X130560608Y-63857822D01*
+X130672178Y-63969392D01*
+X130726706Y-64051000D01*
+X130759838Y-64100586D01*
+X130820219Y-64246358D01*
+X130851000Y-64401107D01*
+X130851000Y-64558893D01*
+X130820219Y-64713642D01*
+X130764377Y-64848456D01*
+X130759837Y-64859416D01*
+X130672178Y-64990608D01*
+X130560608Y-65102178D01*
+X130429416Y-65189837D01*
+X130429415Y-65189838D01*
+X130429414Y-65189838D01*
+X130283642Y-65250219D01*
+X130128893Y-65281000D01*
+X129971107Y-65281000D01*
+X129816358Y-65250219D01*
+X129670586Y-65189838D01*
+X129670585Y-65189838D01*
+X129670584Y-65189837D01*
+X129539392Y-65102178D01*
+X129427822Y-64990608D01*
+X129340163Y-64859416D01*
+X129335623Y-64848456D01*
+X129279781Y-64713642D01*
+X129249000Y-64558893D01*
+X129249000Y-64401107D01*
+X129279781Y-64246358D01*
+X129340162Y-64100586D01*
+X129373294Y-64051000D01*
+X129427822Y-63969392D01*
+X129539392Y-63857822D01*
+X129670584Y-63770163D01*
+X129670586Y-63770162D01*
+X129816358Y-63709781D01*
+X129971107Y-63679000D01*
+X130128893Y-63679000D01*
+X130283642Y-63709781D01*
+G37*
+G36*
+X132323642Y-63709781D02*
+G01*
+X132469414Y-63770162D01*
+X132469416Y-63770163D01*
+X132600608Y-63857822D01*
+X132712178Y-63969392D01*
+X132766706Y-64051000D01*
+X132799838Y-64100586D01*
+X132860219Y-64246358D01*
+X132891000Y-64401107D01*
+X132891000Y-64558893D01*
+X132860219Y-64713642D01*
+X132804377Y-64848456D01*
+X132799837Y-64859416D01*
+X132712178Y-64990608D01*
+X132600608Y-65102178D01*
+X132469416Y-65189837D01*
+X132469415Y-65189838D01*
+X132469414Y-65189838D01*
+X132323642Y-65250219D01*
+X132168893Y-65281000D01*
+X132011107Y-65281000D01*
+X131856358Y-65250219D01*
+X131710586Y-65189838D01*
+X131710585Y-65189838D01*
+X131710584Y-65189837D01*
+X131579392Y-65102178D01*
+X131467822Y-64990608D01*
+X131380163Y-64859416D01*
+X131375623Y-64848456D01*
+X131319781Y-64713642D01*
+X131289000Y-64558893D01*
+X131289000Y-64401107D01*
+X131319781Y-64246358D01*
+X131380162Y-64100586D01*
+X131413294Y-64051000D01*
+X131467822Y-63969392D01*
+X131579392Y-63857822D01*
+X131710584Y-63770163D01*
+X131710586Y-63770162D01*
+X131856358Y-63709781D01*
+X132011107Y-63679000D01*
+X132168893Y-63679000D01*
+X132323642Y-63709781D01*
+G37*
+G36*
+X145601000Y-63554558D02*
+G01*
+X145603402Y-63578944D01*
+X145610515Y-63602393D01*
+X145622066Y-63624004D01*
+X145637611Y-63642946D01*
+X145656553Y-63658491D01*
+X145678164Y-63670042D01*
+X145701613Y-63677155D01*
+X145725999Y-63679557D01*
+X145731649Y-63679000D01*
+X145898893Y-63679000D01*
+X146053642Y-63709781D01*
+X146199414Y-63770162D01*
+X146199416Y-63770163D01*
+X146330608Y-63857822D01*
+X146442178Y-63969392D01*
+X146496706Y-64051000D01*
+X146529838Y-64100586D01*
+X146590219Y-64246358D01*
+X146621000Y-64401107D01*
+X146621000Y-64558893D01*
+X146590219Y-64713642D01*
+X146534377Y-64848456D01*
+X146529837Y-64859416D01*
+X146442178Y-64990608D01*
+X146330608Y-65102178D01*
+X146199416Y-65189837D01*
+X146199415Y-65189838D01*
+X146199414Y-65189838D01*
+X146053642Y-65250219D01*
+X145898893Y-65281000D01*
+X145741107Y-65281000D01*
+X145586358Y-65250219D01*
+X145440586Y-65189838D01*
+X145440585Y-65189838D01*
+X145440584Y-65189837D01*
+X145309392Y-65102178D01*
+X145197822Y-64990608D01*
+X145110163Y-64859416D01*
+X145105623Y-64848456D01*
+X145049781Y-64713642D01*
+X145019000Y-64558893D01*
+X145019000Y-64401107D01*
+X145049781Y-64246358D01*
+X145110162Y-64100586D01*
+X145143294Y-64051000D01*
+X145197822Y-63969392D01*
+X145309392Y-63857822D01*
+X145440584Y-63770163D01*
+X145440586Y-63770162D01*
+X145509823Y-63741483D01*
+X145531434Y-63729932D01*
+X145550376Y-63714387D01*
+X145565921Y-63695445D01*
+X145577472Y-63673834D01*
+X145584585Y-63650385D01*
+X145586987Y-63625999D01*
+X145584585Y-63601613D01*
+X145577472Y-63578164D01*
+X145565921Y-63556553D01*
+X145550376Y-63537611D01*
+X145531434Y-63522066D01*
+X145509823Y-63510515D01*
+X145486374Y-63503402D01*
+X145461988Y-63501000D01*
+X143999000Y-63501000D01*
+X143999000Y-61899000D01*
+X145601000Y-61899000D01*
+X145601000Y-63554558D01*
+G37*
+G36*
+X116663512Y-63153927D02*
+G01*
+X116812812Y-63183624D01*
+X116976784Y-63251544D01*
+X117124354Y-63350147D01*
+X117249853Y-63475646D01*
+X117348456Y-63623216D01*
+X117416376Y-63787188D01*
+X117451000Y-63961259D01*
+X117451000Y-64138741D01*
+X117416376Y-64312812D01*
+X117348456Y-64476784D01*
+X117249853Y-64624354D01*
+X117124354Y-64749853D01*
+X116976784Y-64848456D01*
+X116812812Y-64916376D01*
+X116663512Y-64946073D01*
+X116638742Y-64951000D01*
+X116461258Y-64951000D01*
+X116436488Y-64946073D01*
+X116287188Y-64916376D01*
+X116123216Y-64848456D01*
+X115975646Y-64749853D01*
+X115850147Y-64624354D01*
+X115751544Y-64476784D01*
+X115683624Y-64312812D01*
+X115649000Y-64138741D01*
+X115649000Y-63961259D01*
+X115683624Y-63787188D01*
+X115751544Y-63623216D01*
+X115850147Y-63475646D01*
+X115975646Y-63350147D01*
+X116123216Y-63251544D01*
+X116287188Y-63183624D01*
+X116436488Y-63153927D01*
+X116461258Y-63149000D01*
+X116638742Y-63149000D01*
+X116663512Y-63153927D01*
+G37*
+G36*
+X140536564Y-62579389D02*
+G01*
+X140727833Y-62658615D01*
+X140727835Y-62658616D01*
+X140899973Y-62773635D01*
+X141046365Y-62920027D01*
+X141161385Y-63092167D01*
+X141240611Y-63283436D01*
+X141281000Y-63486484D01*
+X141281000Y-63693516D01*
+X141240611Y-63896564D01*
+X141161385Y-64087833D01*
+X141161384Y-64087835D01*
+X141046365Y-64259973D01*
+X140899973Y-64406365D01*
+X140727835Y-64521384D01*
+X140727834Y-64521385D01*
+X140727833Y-64521385D01*
+X140536564Y-64600611D01*
+X140333516Y-64641000D01*
+X140126484Y-64641000D01*
+X139923436Y-64600611D01*
+X139732167Y-64521385D01*
+X139732166Y-64521385D01*
+X139732165Y-64521384D01*
+X139560027Y-64406365D01*
+X139413635Y-64259973D01*
+X139298616Y-64087835D01*
+X139298615Y-64087833D01*
+X139219389Y-63896564D01*
+X139179000Y-63693516D01*
+X139179000Y-63486484D01*
+X139219389Y-63283436D01*
+X139298615Y-63092167D01*
+X139413635Y-62920027D01*
+X139560027Y-62773635D01*
+X139732165Y-62658616D01*
+X139732167Y-62658615D01*
+X139923436Y-62579389D01*
+X140126484Y-62539000D01*
+X140333516Y-62539000D01*
+X140536564Y-62579389D01*
+G37*
+G36*
+X156796564Y-62579389D02*
+G01*
+X156987833Y-62658615D01*
+X156987835Y-62658616D01*
+X157159973Y-62773635D01*
+X157306365Y-62920027D01*
+X157421385Y-63092167D01*
+X157500611Y-63283436D01*
+X157541000Y-63486484D01*
+X157541000Y-63693516D01*
+X157500611Y-63896564D01*
+X157421385Y-64087833D01*
+X157421384Y-64087835D01*
+X157306365Y-64259973D01*
+X157159973Y-64406365D01*
+X156987835Y-64521384D01*
+X156987834Y-64521385D01*
+X156987833Y-64521385D01*
+X156796564Y-64600611D01*
+X156593516Y-64641000D01*
+X156386484Y-64641000D01*
+X156183436Y-64600611D01*
+X155992167Y-64521385D01*
+X155992166Y-64521385D01*
+X155992165Y-64521384D01*
+X155820027Y-64406365D01*
+X155673635Y-64259973D01*
+X155558616Y-64087835D01*
+X155558615Y-64087833D01*
+X155479389Y-63896564D01*
+X155439000Y-63693516D01*
+X155439000Y-63486484D01*
+X155479389Y-63283436D01*
+X155558615Y-63092167D01*
+X155673635Y-62920027D01*
+X155820027Y-62773635D01*
+X155992165Y-62658616D01*
+X155992167Y-62658615D01*
+X156183436Y-62579389D01*
+X156386484Y-62539000D01*
+X156593516Y-62539000D01*
+X156796564Y-62579389D01*
+G37*
+G36*
+X136946564Y-62579389D02*
+G01*
+X137137833Y-62658615D01*
+X137137835Y-62658616D01*
+X137309973Y-62773635D01*
+X137456365Y-62920027D01*
+X137571385Y-63092167D01*
+X137650611Y-63283436D01*
+X137691000Y-63486484D01*
+X137691000Y-63693516D01*
+X137650611Y-63896564D01*
+X137571385Y-64087833D01*
+X137571384Y-64087835D01*
+X137456365Y-64259973D01*
+X137309973Y-64406365D01*
+X137137835Y-64521384D01*
+X137137834Y-64521385D01*
+X137137833Y-64521385D01*
+X136946564Y-64600611D01*
+X136743516Y-64641000D01*
+X136536484Y-64641000D01*
+X136333436Y-64600611D01*
+X136142167Y-64521385D01*
+X136142166Y-64521385D01*
+X136142165Y-64521384D01*
+X135970027Y-64406365D01*
+X135823635Y-64259973D01*
+X135708616Y-64087835D01*
+X135708615Y-64087833D01*
+X135629389Y-63896564D01*
+X135589000Y-63693516D01*
+X135589000Y-63486484D01*
+X135629389Y-63283436D01*
+X135708615Y-63092167D01*
+X135823635Y-62920027D01*
+X135970027Y-62773635D01*
+X136142165Y-62658616D01*
+X136142167Y-62658615D01*
+X136333436Y-62579389D01*
+X136536484Y-62539000D01*
+X136743516Y-62539000D01*
+X136946564Y-62579389D01*
+G37*
+G36*
+X120686564Y-62579389D02*
+G01*
+X120877833Y-62658615D01*
+X120877835Y-62658616D01*
+X121049973Y-62773635D01*
+X121196365Y-62920027D01*
+X121311385Y-63092167D01*
+X121390611Y-63283436D01*
+X121431000Y-63486484D01*
+X121431000Y-63693516D01*
+X121390611Y-63896564D01*
+X121311385Y-64087833D01*
+X121311384Y-64087835D01*
+X121196365Y-64259973D01*
+X121049973Y-64406365D01*
+X120877835Y-64521384D01*
+X120877834Y-64521385D01*
+X120877833Y-64521385D01*
+X120686564Y-64600611D01*
+X120483516Y-64641000D01*
+X120276484Y-64641000D01*
+X120073436Y-64600611D01*
+X119882167Y-64521385D01*
+X119882166Y-64521385D01*
+X119882165Y-64521384D01*
+X119710027Y-64406365D01*
+X119563635Y-64259973D01*
+X119448616Y-64087835D01*
+X119448615Y-64087833D01*
+X119369389Y-63896564D01*
+X119329000Y-63693516D01*
+X119329000Y-63486484D01*
+X119369389Y-63283436D01*
+X119448615Y-63092167D01*
+X119563635Y-62920027D01*
+X119710027Y-62773635D01*
+X119882165Y-62658616D01*
+X119882167Y-62658615D01*
+X120073436Y-62579389D01*
+X120276484Y-62539000D01*
+X120483516Y-62539000D01*
+X120686564Y-62579389D01*
+G37*
+G36*
+X76181632Y-56104677D02*
+G01*
+X76487005Y-56231167D01*
+X76918868Y-56410050D01*
+X77582362Y-56853383D01*
+X78146617Y-57417638D01*
+X78589950Y-58081132D01*
+X78720226Y-58395647D01*
+X78895323Y-58818368D01*
+X79051000Y-59601010D01*
+X79051000Y-60398990D01*
+X78895323Y-61181632D01*
+X78796066Y-61421258D01*
+X78589950Y-61918868D01*
+X78146617Y-62582362D01*
+X77582362Y-63146617D01*
+X76918868Y-63589950D01*
+X76553034Y-63741483D01*
+X76181632Y-63895323D01*
+X75398990Y-64051000D01*
+X74601010Y-64051000D01*
+X73818368Y-63895323D01*
+X73446966Y-63741483D01*
+X73081132Y-63589950D01*
+X72417638Y-63146617D01*
+X71853383Y-62582362D01*
+X71410050Y-61918868D01*
+X71203934Y-61421258D01*
+X71104677Y-61181632D01*
+X70949000Y-60398990D01*
+X70949000Y-59601010D01*
+X71104677Y-58818368D01*
+X71279774Y-58395647D01*
+X71410050Y-58081132D01*
+X71853383Y-57417638D01*
+X72417638Y-56853383D01*
+X73081132Y-56410050D01*
+X73512995Y-56231167D01*
+X73818368Y-56104677D01*
+X74601010Y-55949000D01*
+X75398990Y-55949000D01*
+X76181632Y-56104677D01*
+G37*
+G36*
+X166181632Y-56104677D02*
+G01*
+X166487005Y-56231167D01*
+X166918868Y-56410050D01*
+X167582362Y-56853383D01*
+X168146617Y-57417638D01*
+X168589950Y-58081132D01*
+X168720226Y-58395647D01*
+X168895323Y-58818368D01*
+X169051000Y-59601010D01*
+X169051000Y-60398990D01*
+X168895323Y-61181632D01*
+X168796066Y-61421258D01*
+X168589950Y-61918868D01*
+X168146617Y-62582362D01*
+X167582362Y-63146617D01*
+X166918868Y-63589950D01*
+X166553034Y-63741483D01*
+X166181632Y-63895323D01*
+X165398990Y-64051000D01*
+X164601010Y-64051000D01*
+X163818368Y-63895323D01*
+X163446966Y-63741483D01*
+X163081132Y-63589950D01*
+X162417638Y-63146617D01*
+X161853383Y-62582362D01*
+X161410050Y-61918868D01*
+X161203934Y-61421258D01*
+X161104677Y-61181632D01*
+X160949000Y-60398990D01*
+X160949000Y-59601010D01*
+X161104677Y-58818368D01*
+X161279774Y-58395647D01*
+X161410050Y-58081132D01*
+X161853383Y-57417638D01*
+X162417638Y-56853383D01*
+X163081132Y-56410050D01*
+X163512995Y-56231167D01*
+X163818368Y-56104677D01*
+X164601010Y-55949000D01*
+X165398990Y-55949000D01*
+X166181632Y-56104677D01*
+G37*
+G36*
+X129263642Y-61929781D02*
+G01*
+X129409414Y-61990162D01*
+X129409416Y-61990163D01*
+X129540608Y-62077822D01*
+X129652178Y-62189392D01*
+X129696704Y-62256031D01*
+X129739838Y-62320586D01*
+X129800219Y-62466358D01*
+X129831000Y-62621107D01*
+X129831000Y-62778893D01*
+X129800219Y-62933642D01*
+X129762089Y-63025695D01*
+X129739837Y-63079416D01*
+X129652178Y-63210608D01*
+X129540608Y-63322178D01*
+X129409416Y-63409837D01*
+X129409415Y-63409838D01*
+X129409414Y-63409838D01*
+X129263642Y-63470219D01*
+X129108893Y-63501000D01*
+X128951107Y-63501000D01*
+X128796358Y-63470219D01*
+X128650586Y-63409838D01*
+X128650585Y-63409838D01*
+X128650584Y-63409837D01*
+X128519392Y-63322178D01*
+X128407822Y-63210608D01*
+X128320163Y-63079416D01*
+X128297911Y-63025695D01*
+X128259781Y-62933642D01*
+X128229000Y-62778893D01*
+X128229000Y-62621107D01*
+X128259781Y-62466358D01*
+X128320162Y-62320586D01*
+X128363296Y-62256031D01*
+X128407822Y-62189392D01*
+X128519392Y-62077822D01*
+X128650584Y-61990163D01*
+X128650586Y-61990162D01*
+X128796358Y-61929781D01*
+X128951107Y-61899000D01*
+X129108893Y-61899000D01*
+X129263642Y-61929781D01*
+G37*
+G36*
+X127223642Y-61929781D02*
+G01*
+X127369414Y-61990162D01*
+X127369416Y-61990163D01*
+X127500608Y-62077822D01*
+X127612178Y-62189392D01*
+X127656704Y-62256031D01*
+X127699838Y-62320586D01*
+X127760219Y-62466358D01*
+X127791000Y-62621107D01*
+X127791000Y-62778893D01*
+X127760219Y-62933642D01*
+X127722089Y-63025695D01*
+X127699837Y-63079416D01*
+X127612178Y-63210608D01*
+X127500608Y-63322178D01*
+X127369416Y-63409837D01*
+X127369415Y-63409838D01*
+X127369414Y-63409838D01*
+X127223642Y-63470219D01*
+X127068893Y-63501000D01*
+X126911107Y-63501000D01*
+X126756358Y-63470219D01*
+X126610586Y-63409838D01*
+X126610585Y-63409838D01*
+X126610584Y-63409837D01*
+X126479392Y-63322178D01*
+X126367822Y-63210608D01*
+X126280163Y-63079416D01*
+X126257911Y-63025695D01*
+X126219781Y-62933642D01*
+X126189000Y-62778893D01*
+X126189000Y-62621107D01*
+X126219781Y-62466358D01*
+X126280162Y-62320586D01*
+X126323296Y-62256031D01*
+X126367822Y-62189392D01*
+X126479392Y-62077822D01*
+X126610584Y-61990163D01*
+X126610586Y-61990162D01*
+X126756358Y-61929781D01*
+X126911107Y-61899000D01*
+X127068893Y-61899000D01*
+X127223642Y-61929781D01*
+G37*
+G36*
+X147073642Y-61929781D02*
+G01*
+X147219414Y-61990162D01*
+X147219416Y-61990163D01*
+X147350608Y-62077822D01*
+X147462178Y-62189392D01*
+X147506704Y-62256031D01*
+X147549838Y-62320586D01*
+X147610219Y-62466358D01*
+X147641000Y-62621107D01*
+X147641000Y-62778893D01*
+X147610219Y-62933642D01*
+X147572089Y-63025695D01*
+X147549837Y-63079416D01*
+X147462178Y-63210608D01*
+X147350608Y-63322178D01*
+X147219416Y-63409837D01*
+X147219415Y-63409838D01*
+X147219414Y-63409838D01*
+X147073642Y-63470219D01*
+X146918893Y-63501000D01*
+X146761107Y-63501000D01*
+X146606358Y-63470219D01*
+X146460586Y-63409838D01*
+X146460585Y-63409838D01*
+X146460584Y-63409837D01*
+X146329392Y-63322178D01*
+X146217822Y-63210608D01*
+X146130163Y-63079416D01*
+X146107911Y-63025695D01*
+X146069781Y-62933642D01*
+X146039000Y-62778893D01*
+X146039000Y-62621107D01*
+X146069781Y-62466358D01*
+X146130162Y-62320586D01*
+X146173296Y-62256031D01*
+X146217822Y-62189392D01*
+X146329392Y-62077822D01*
+X146460584Y-61990163D01*
+X146460586Y-61990162D01*
+X146606358Y-61929781D01*
+X146761107Y-61899000D01*
+X146918893Y-61899000D01*
+X147073642Y-61929781D01*
+G37*
+G36*
+X149113642Y-61929781D02*
+G01*
+X149259414Y-61990162D01*
+X149259416Y-61990163D01*
+X149390608Y-62077822D01*
+X149502178Y-62189392D01*
+X149546704Y-62256031D01*
+X149589838Y-62320586D01*
+X149650219Y-62466358D01*
+X149681000Y-62621107D01*
+X149681000Y-62778893D01*
+X149650219Y-62933642D01*
+X149612089Y-63025695D01*
+X149589837Y-63079416D01*
+X149502178Y-63210608D01*
+X149390608Y-63322178D01*
+X149259416Y-63409837D01*
+X149259415Y-63409838D01*
+X149259414Y-63409838D01*
+X149113642Y-63470219D01*
+X148958893Y-63501000D01*
+X148801107Y-63501000D01*
+X148646358Y-63470219D01*
+X148500586Y-63409838D01*
+X148500585Y-63409838D01*
+X148500584Y-63409837D01*
+X148369392Y-63322178D01*
+X148257822Y-63210608D01*
+X148170163Y-63079416D01*
+X148147911Y-63025695D01*
+X148109781Y-62933642D01*
+X148079000Y-62778893D01*
+X148079000Y-62621107D01*
+X148109781Y-62466358D01*
+X148170162Y-62320586D01*
+X148213296Y-62256031D01*
+X148257822Y-62189392D01*
+X148369392Y-62077822D01*
+X148500584Y-61990163D01*
+X148500586Y-61990162D01*
+X148646358Y-61929781D01*
+X148801107Y-61899000D01*
+X148958893Y-61899000D01*
+X149113642Y-61929781D01*
+G37*
+G36*
+X151153642Y-61929781D02*
+G01*
+X151299414Y-61990162D01*
+X151299416Y-61990163D01*
+X151430608Y-62077822D01*
+X151542178Y-62189392D01*
+X151586704Y-62256031D01*
+X151629838Y-62320586D01*
+X151690219Y-62466358D01*
+X151721000Y-62621107D01*
+X151721000Y-62778893D01*
+X151690219Y-62933642D01*
+X151652089Y-63025695D01*
+X151629837Y-63079416D01*
+X151542178Y-63210608D01*
+X151430608Y-63322178D01*
+X151299416Y-63409837D01*
+X151299415Y-63409838D01*
+X151299414Y-63409838D01*
+X151153642Y-63470219D01*
+X150998893Y-63501000D01*
+X150841107Y-63501000D01*
+X150686358Y-63470219D01*
+X150540586Y-63409838D01*
+X150540585Y-63409838D01*
+X150540584Y-63409837D01*
+X150409392Y-63322178D01*
+X150297822Y-63210608D01*
+X150210163Y-63079416D01*
+X150187911Y-63025695D01*
+X150149781Y-62933642D01*
+X150119000Y-62778893D01*
+X150119000Y-62621107D01*
+X150149781Y-62466358D01*
+X150210162Y-62320586D01*
+X150253296Y-62256031D01*
+X150297822Y-62189392D01*
+X150409392Y-62077822D01*
+X150540584Y-61990163D01*
+X150540586Y-61990162D01*
+X150686358Y-61929781D01*
+X150841107Y-61899000D01*
+X150998893Y-61899000D01*
+X151153642Y-61929781D01*
+G37*
+G36*
+X131303642Y-61929781D02*
+G01*
+X131449414Y-61990162D01*
+X131449416Y-61990163D01*
+X131580608Y-62077822D01*
+X131692178Y-62189392D01*
+X131736704Y-62256031D01*
+X131779838Y-62320586D01*
+X131840219Y-62466358D01*
+X131871000Y-62621107D01*
+X131871000Y-62778893D01*
+X131840219Y-62933642D01*
+X131802089Y-63025695D01*
+X131779837Y-63079416D01*
+X131692178Y-63210608D01*
+X131580608Y-63322178D01*
+X131449416Y-63409837D01*
+X131449415Y-63409838D01*
+X131449414Y-63409838D01*
+X131303642Y-63470219D01*
+X131148893Y-63501000D01*
+X130991107Y-63501000D01*
+X130836358Y-63470219D01*
+X130690586Y-63409838D01*
+X130690585Y-63409838D01*
+X130690584Y-63409837D01*
+X130559392Y-63322178D01*
+X130447822Y-63210608D01*
+X130360163Y-63079416D01*
+X130337911Y-63025695D01*
+X130299781Y-62933642D01*
+X130269000Y-62778893D01*
+X130269000Y-62621107D01*
+X130299781Y-62466358D01*
+X130360162Y-62320586D01*
+X130403296Y-62256031D01*
+X130447822Y-62189392D01*
+X130559392Y-62077822D01*
+X130690584Y-61990163D01*
+X130690586Y-61990162D01*
+X130836358Y-61929781D01*
+X130991107Y-61899000D01*
+X131148893Y-61899000D01*
+X131303642Y-61929781D01*
+G37*
+G36*
+X89746031Y-60414207D02*
+G01*
+X89944145Y-60474305D01*
+X89944148Y-60474306D01*
+X90010030Y-60509521D01*
+X90126729Y-60571897D01*
+X90286765Y-60703235D01*
+X90418103Y-60863271D01*
+X90463939Y-60949025D01*
+X90515694Y-61045851D01*
+X90515694Y-61045852D01*
+X90515695Y-61045854D01*
+X90575793Y-61243968D01*
+X90591000Y-61398370D01*
+X90591000Y-62101629D01*
+X90575793Y-62256031D01*
+X90539286Y-62376376D01*
+X90515694Y-62454149D01*
+X90470340Y-62539000D01*
+X90418103Y-62636729D01*
+X90286765Y-62796765D01*
+X90126729Y-62928103D01*
+X90040975Y-62973939D01*
+X89944149Y-63025694D01*
+X89944146Y-63025695D01*
+X89746032Y-63085793D01*
+X89540000Y-63106085D01*
+X89333969Y-63085793D01*
+X89135855Y-63025695D01*
+X89135852Y-63025694D01*
+X89039026Y-62973939D01*
+X88953272Y-62928103D01*
+X88793236Y-62796765D01*
+X88661898Y-62636729D01*
+X88609661Y-62539000D01*
+X88564305Y-62454147D01*
+X88520110Y-62308456D01*
+X88504207Y-62256032D01*
+X88489000Y-62101630D01*
+X88489000Y-61398371D01*
+X88504207Y-61243969D01*
+X88564305Y-61045855D01*
+X88564306Y-61045852D01*
+X88599521Y-60979970D01*
+X88661897Y-60863271D01*
+X88793235Y-60703235D01*
+X88953271Y-60571897D01*
+X89039025Y-60526061D01*
+X89135851Y-60474306D01*
+X89135854Y-60474305D01*
+X89333968Y-60414207D01*
+X89540000Y-60393915D01*
+X89746031Y-60414207D01*
+G37*
+G36*
+X88051000Y-63101000D02*
+G01*
+X85949000Y-63101000D01*
+X85949000Y-60399000D01*
+X88051000Y-60399000D01*
+X88051000Y-63101000D01*
+G37*
+G36*
+X116663512Y-60613927D02*
+G01*
+X116812812Y-60643624D01*
+X116976784Y-60711544D01*
+X117124354Y-60810147D01*
+X117249853Y-60935646D01*
+X117348456Y-61083216D01*
+X117416376Y-61247188D01*
+X117451000Y-61421259D01*
+X117451000Y-61598741D01*
+X117416376Y-61772812D01*
+X117348456Y-61936784D01*
+X117249853Y-62084354D01*
+X117124354Y-62209853D01*
+X116976784Y-62308456D01*
+X116812812Y-62376376D01*
+X116663512Y-62406073D01*
+X116638742Y-62411000D01*
+X116461258Y-62411000D01*
+X116436488Y-62406073D01*
+X116287188Y-62376376D01*
+X116123216Y-62308456D01*
+X115975646Y-62209853D01*
+X115850147Y-62084354D01*
+X115751544Y-61936784D01*
+X115683624Y-61772812D01*
+X115649000Y-61598741D01*
+X115649000Y-61421259D01*
+X115683624Y-61247188D01*
+X115751544Y-61083216D01*
+X115850147Y-60935646D01*
+X115975646Y-60810147D01*
+X116123216Y-60711544D01*
+X116287188Y-60643624D01*
+X116436488Y-60613927D01*
+X116461258Y-60609000D01*
+X116638742Y-60609000D01*
+X116663512Y-60613927D01*
+G37*
+G36*
+X142336971Y-58516204D02*
+G01*
+X142498871Y-58548408D01*
+X142803883Y-58674748D01*
+X143078387Y-58858166D01*
+X143311834Y-59091613D01*
+X143495252Y-59366117D01*
+X143621592Y-59671129D01*
+X143686000Y-59994928D01*
+X143686000Y-60325072D01*
+X143621592Y-60648871D01*
+X143495252Y-60953883D01*
+X143311834Y-61228387D01*
+X143078387Y-61461834D01*
+X142803883Y-61645252D01*
+X142498871Y-61771592D01*
+X142175073Y-61836000D01*
+X141844927Y-61836000D01*
+X141521129Y-61771592D01*
+X141216117Y-61645252D01*
+X140941613Y-61461834D01*
+X140708166Y-61228387D01*
+X140524748Y-60953883D01*
+X140398408Y-60648871D01*
+X140334000Y-60325072D01*
+X140334000Y-59994928D01*
+X140398408Y-59671129D01*
+X140524748Y-59366117D01*
+X140708166Y-59091613D01*
+X140941613Y-58858166D01*
+X141216117Y-58674748D01*
+X141521129Y-58548408D01*
+X141683029Y-58516204D01*
+X141844927Y-58484000D01*
+X142175073Y-58484000D01*
+X142336971Y-58516204D01*
+G37*
+G36*
+X155036971Y-58516204D02*
+G01*
+X155198871Y-58548408D01*
+X155503883Y-58674748D01*
+X155778387Y-58858166D01*
+X156011834Y-59091613D01*
+X156195252Y-59366117D01*
+X156321592Y-59671129D01*
+X156386000Y-59994928D01*
+X156386000Y-60325072D01*
+X156321592Y-60648871D01*
+X156195252Y-60953883D01*
+X156011834Y-61228387D01*
+X155778387Y-61461834D01*
+X155503883Y-61645252D01*
+X155198871Y-61771592D01*
+X154875073Y-61836000D01*
+X154544927Y-61836000D01*
+X154221129Y-61771592D01*
+X153916117Y-61645252D01*
+X153641613Y-61461834D01*
+X153408166Y-61228387D01*
+X153224748Y-60953883D01*
+X153098408Y-60648871D01*
+X153034000Y-60325072D01*
+X153034000Y-59994928D01*
+X153098408Y-59671129D01*
+X153224748Y-59366117D01*
+X153408166Y-59091613D01*
+X153641613Y-58858166D01*
+X153916117Y-58674748D01*
+X154221129Y-58548408D01*
+X154383029Y-58516204D01*
+X154544927Y-58484000D01*
+X154875073Y-58484000D01*
+X155036971Y-58516204D01*
+G37*
+G36*
+X122486971Y-58516204D02*
+G01*
+X122648871Y-58548408D01*
+X122953883Y-58674748D01*
+X123228387Y-58858166D01*
+X123461834Y-59091613D01*
+X123645252Y-59366117D01*
+X123771592Y-59671129D01*
+X123836000Y-59994928D01*
+X123836000Y-60325072D01*
+X123771592Y-60648871D01*
+X123645252Y-60953883D01*
+X123461834Y-61228387D01*
+X123228387Y-61461834D01*
+X122953883Y-61645252D01*
+X122648871Y-61771592D01*
+X122325073Y-61836000D01*
+X121994927Y-61836000D01*
+X121671129Y-61771592D01*
+X121366117Y-61645252D01*
+X121091613Y-61461834D01*
+X120858166Y-61228387D01*
+X120674748Y-60953883D01*
+X120548408Y-60648871D01*
+X120484000Y-60325072D01*
+X120484000Y-59994928D01*
+X120548408Y-59671129D01*
+X120674748Y-59366117D01*
+X120858166Y-59091613D01*
+X121091613Y-58858166D01*
+X121366117Y-58674748D01*
+X121671129Y-58548408D01*
+X121833029Y-58516204D01*
+X121994927Y-58484000D01*
+X122325073Y-58484000D01*
+X122486971Y-58516204D01*
+G37*
+G36*
+X135186971Y-58516204D02*
+G01*
+X135348871Y-58548408D01*
+X135653883Y-58674748D01*
+X135928387Y-58858166D01*
+X136161834Y-59091613D01*
+X136345252Y-59366117D01*
+X136471592Y-59671129D01*
+X136536000Y-59994928D01*
+X136536000Y-60325072D01*
+X136471592Y-60648871D01*
+X136345252Y-60953883D01*
+X136161834Y-61228387D01*
+X135928387Y-61461834D01*
+X135653883Y-61645252D01*
+X135348871Y-61771592D01*
+X135025073Y-61836000D01*
+X134694927Y-61836000D01*
+X134371129Y-61771592D01*
+X134066117Y-61645252D01*
+X133791613Y-61461834D01*
+X133558166Y-61228387D01*
+X133374748Y-60953883D01*
+X133248408Y-60648871D01*
+X133184000Y-60325072D01*
+X133184000Y-59994928D01*
+X133248408Y-59671129D01*
+X133374748Y-59366117D01*
+X133558166Y-59091613D01*
+X133791613Y-58858166D01*
+X134066117Y-58674748D01*
+X134371129Y-58548408D01*
+X134533029Y-58516204D01*
+X134694927Y-58484000D01*
+X135025073Y-58484000D01*
+X135186971Y-58516204D01*
+G37*
+G36*
+X116663512Y-58073927D02*
+G01*
+X116812812Y-58103624D01*
+X116976784Y-58171544D01*
+X117124354Y-58270147D01*
+X117249853Y-58395646D01*
+X117348456Y-58543216D01*
+X117416376Y-58707188D01*
+X117451000Y-58881259D01*
+X117451000Y-59058741D01*
+X117416376Y-59232812D01*
+X117348456Y-59396784D01*
+X117249853Y-59544354D01*
+X117124354Y-59669853D01*
+X116976784Y-59768456D01*
+X116812812Y-59836376D01*
+X116663512Y-59866073D01*
+X116638742Y-59871000D01*
+X116461258Y-59871000D01*
+X116436488Y-59866073D01*
+X116287188Y-59836376D01*
+X116123216Y-59768456D01*
+X115975646Y-59669853D01*
+X115850147Y-59544354D01*
+X115751544Y-59396784D01*
+X115683624Y-59232812D01*
+X115649000Y-59058741D01*
+X115649000Y-58881259D01*
+X115683624Y-58707188D01*
+X115751544Y-58543216D01*
+X115850147Y-58395646D01*
+X115975646Y-58270147D01*
+X116123216Y-58171544D01*
+X116287188Y-58103624D01*
+X116436488Y-58073927D01*
+X116461258Y-58069000D01*
+X116638742Y-58069000D01*
+X116663512Y-58073927D01*
+G37*
+M02*
diff --git a/driver/gerber/driver-B_Paste.gbr b/driver/gerber/driver-B_Paste.gbr
index 8bed2bf..c786221 100644
--- a/driver/gerber/driver-B_Paste.gbr
+++ b/driver/gerber/driver-B_Paste.gbr
@@ -1,18 +1,18 @@
-G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.0-344-gd281f051e)*
-G04 #@! TF.CreationDate,2019-04-25T22:00:11+09:00*
-G04 #@! TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,rev?*
-G04 #@! TF.SameCoordinates,Original*
-G04 #@! TF.FileFunction,Paste,Bot*
-G04 #@! TF.FilePolarity,Positive*
-%FSLAX46Y46*%
-G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW (5.1.0-344-gd281f051e)) date 2019-04-25 22:00:11*
-%MOMM*%
-%LPD*%
-G04 APERTURE LIST*
-%ADD10R,0.750000X1.200000*%
-G04 APERTURE END LIST*
-D10*
-X117600000Y-75850000D03*
-X117600000Y-77750000D03*
-M02*
+G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5)-3*
+G04 #@! TF.CreationDate,2020-05-02T20:20:03+02:00*
+G04 #@! TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,rev?*
+G04 #@! TF.SameCoordinates,Original*
+G04 #@! TF.FileFunction,Paste,Bot*
+G04 #@! TF.FilePolarity,Positive*
+%FSLAX46Y46*%
+G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
+G04 Created by KiCad (PCBNEW (5.1.5)-3) date 2020-05-02 20:20:03*
+%MOMM*%
+%LPD*%
+G04 APERTURE LIST*
+%ADD10R,0.750000X1.200000*%
+G04 APERTURE END LIST*
+D10*
+X117600000Y-75850000D03*
+X117600000Y-77750000D03*
+M02*
diff --git a/driver/gerber/driver-B_SilkS.gbr b/driver/gerber/driver-B_SilkS.gbr
index 7c9c013..dfdf52f 100644
--- a/driver/gerber/driver-B_SilkS.gbr
+++ b/driver/gerber/driver-B_SilkS.gbr
@@ -1,159 +1,385 @@
-G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.0-344-gd281f051e)*
-G04 #@! TF.CreationDate,2019-04-25T22:00:11+09:00*
-G04 #@! TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,rev?*
-G04 #@! TF.SameCoordinates,Original*
-G04 #@! TF.FileFunction,Legend,Bot*
-G04 #@! TF.FilePolarity,Positive*
-%FSLAX46Y46*%
-G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW (5.1.0-344-gd281f051e)) date 2019-04-25 22:00:11*
-%MOMM*%
-%LPD*%
-G04 APERTURE LIST*
-%ADD10C,0.150000*%
-%ADD11C,0.100000*%
-%ADD12C,0.600000*%
-%ADD13C,0.120000*%
-G04 APERTURE END LIST*
-D10*
-X168523809Y-90902380D02*
-X168523809Y-89902380D01*
-X168285714Y-89902380D01*
-X168142857Y-89950000D01*
-X168047619Y-90045238D01*
-X168000000Y-90140476D01*
-X167952380Y-90330952D01*
-X167952380Y-90473809D01*
-X168000000Y-90664285D01*
-X168047619Y-90759523D01*
-X168142857Y-90854761D01*
-X168285714Y-90902380D01*
-X168523809Y-90902380D01*
-X167571428Y-90616666D02*
-X167095238Y-90616666D01*
-X167666666Y-90902380D02*
-X167333333Y-89902380D01*
-X167000000Y-90902380D01*
-X166809523Y-89902380D02*
-X166238095Y-89902380D01*
-X166523809Y-90902380D02*
-X166523809Y-89902380D01*
-X165904761Y-90378571D02*
-X165571428Y-90378571D01*
-X165428571Y-90902380D02*
-X165904761Y-90902380D01*
-X165904761Y-89902380D01*
-X165428571Y-89902380D01*
-X168509523Y-78304761D02*
-X168366666Y-78352380D01*
-X168128571Y-78352380D01*
-X168033333Y-78304761D01*
-X167985714Y-78257142D01*
-X167938095Y-78161904D01*
-X167938095Y-78066666D01*
-X167985714Y-77971428D01*
-X168033333Y-77923809D01*
-X168128571Y-77876190D01*
-X168319047Y-77828571D01*
-X168414285Y-77780952D01*
-X168461904Y-77733333D01*
-X168509523Y-77638095D01*
-X168509523Y-77542857D01*
-X168461904Y-77447619D01*
-X168414285Y-77400000D01*
-X168319047Y-77352380D01*
-X168080952Y-77352380D01*
-X167938095Y-77400000D01*
-X167509523Y-78352380D02*
-X167509523Y-77352380D01*
-X166938095Y-78352380D01*
-X166938095Y-77352380D01*
-D11*
-G36*
-X168500000Y-89450000D02*
-G01*
-X128500000Y-89450000D01*
-X128500000Y-78950000D01*
-X168500000Y-78950000D01*
-X168500000Y-89450000D01*
-G37*
-X168500000Y-89450000D02*
-X128500000Y-89450000D01*
-X128500000Y-78950000D01*
-X168500000Y-78950000D01*
-X168500000Y-89450000D01*
-D12*
-X131250000Y-58357142D02*
-X130678571Y-58357142D01*
-X130392857Y-58500000D01*
-X130107142Y-58785714D01*
-X129964285Y-59357142D01*
-X129964285Y-60357142D01*
-X130107142Y-60928571D01*
-X130392857Y-61214285D01*
-X130678571Y-61357142D01*
-X131250000Y-61357142D01*
-X131535714Y-61214285D01*
-X131821428Y-60928571D01*
-X131964285Y-60357142D01*
-X131964285Y-59357142D01*
-X131821428Y-58785714D01*
-X131535714Y-58500000D01*
-X131250000Y-58357142D01*
-X128678571Y-58357142D02*
-X128678571Y-60785714D01*
-X128535714Y-61071428D01*
-X128392857Y-61214285D01*
-X128107142Y-61357142D01*
-X127535714Y-61357142D01*
-X127250000Y-61214285D01*
-X127107142Y-61071428D01*
-X126964285Y-60785714D01*
-X126964285Y-58357142D01*
-X125964285Y-58357142D02*
-X124250000Y-58357142D01*
-X125107142Y-61357142D02*
-X125107142Y-58357142D01*
-X150071428Y-61357142D02*
-X150071428Y-58357142D01*
-X148642857Y-61357142D02*
-X148642857Y-58357142D01*
-X146928571Y-61357142D01*
-X146928571Y-58357142D01*
-D13*
-X117000000Y-76450000D02*
-X117000000Y-77150000D01*
-X118200000Y-77150000D02*
-X118200000Y-76450000D01*
-D10*
-X120242857Y-77157142D02*
-X120290476Y-77204761D01*
-X120433333Y-77252380D01*
-X120528571Y-77252380D01*
-X120671428Y-77204761D01*
-X120766666Y-77109523D01*
-X120814285Y-77014285D01*
-X120861904Y-76823809D01*
-X120861904Y-76680952D01*
-X120814285Y-76490476D01*
-X120766666Y-76395238D01*
-X120671428Y-76300000D01*
-X120528571Y-76252380D01*
-X120433333Y-76252380D01*
-X120290476Y-76300000D01*
-X120242857Y-76347619D01*
-X119290476Y-77252380D02*
-X119861904Y-77252380D01*
-X119576190Y-77252380D02*
-X119576190Y-76252380D01*
-X119671428Y-76395238D01*
-X119766666Y-76490476D01*
-X119861904Y-76538095D01*
-X118338095Y-77252380D02*
-X118909523Y-77252380D01*
-X118623809Y-77252380D02*
-X118623809Y-76252380D01*
-X118719047Y-76395238D01*
-X118814285Y-76490476D01*
-X118909523Y-76538095D01*
-M02*
+G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5)-3*
+G04 #@! TF.CreationDate,2020-05-02T20:20:03+02:00*
+G04 #@! TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,rev?*
+G04 #@! TF.SameCoordinates,Original*
+G04 #@! TF.FileFunction,Legend,Bot*
+G04 #@! TF.FilePolarity,Positive*
+%FSLAX46Y46*%
+G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
+G04 Created by KiCad (PCBNEW (5.1.5)-3) date 2020-05-02 20:20:03*
+%MOMM*%
+%LPD*%
+G04 APERTURE LIST*
+%ADD10C,0.300000*%
+%ADD11C,0.200000*%
+%ADD12C,0.100000*%
+%ADD13C,0.150000*%
+%ADD14C,0.120000*%
+G04 APERTURE END LIST*
+D10*
+X165357142Y-100750000D02*
+X164642857Y-100750000D01*
+X165500000Y-101178571D02*
+X165000000Y-99678571D01*
+X164500000Y-101178571D01*
+X165142857Y-139142857D02*
+X164928571Y-139214285D01*
+X164857142Y-139285714D01*
+X164785714Y-139428571D01*
+X164785714Y-139642857D01*
+X164857142Y-139785714D01*
+X164928571Y-139857142D01*
+X165071428Y-139928571D01*
+X165642857Y-139928571D01*
+X165642857Y-138428571D01*
+X165142857Y-138428571D01*
+X165000000Y-138500000D01*
+X164928571Y-138571428D01*
+X164857142Y-138714285D01*
+X164857142Y-138857142D01*
+X164928571Y-139000000D01*
+X165000000Y-139071428D01*
+X165142857Y-139142857D01*
+X165642857Y-139142857D01*
+X77250000Y-138178571D02*
+X76750000Y-139678571D01*
+X76250000Y-138178571D01*
+X74892857Y-139535714D02*
+X74964285Y-139607142D01*
+X75178571Y-139678571D01*
+X75321428Y-139678571D01*
+X75535714Y-139607142D01*
+X75678571Y-139464285D01*
+X75750000Y-139321428D01*
+X75821428Y-139035714D01*
+X75821428Y-138821428D01*
+X75750000Y-138535714D01*
+X75678571Y-138392857D01*
+X75535714Y-138250000D01*
+X75321428Y-138178571D01*
+X75178571Y-138178571D01*
+X74964285Y-138250000D01*
+X74892857Y-138321428D01*
+X73392857Y-139535714D02*
+X73464285Y-139607142D01*
+X73678571Y-139678571D01*
+X73821428Y-139678571D01*
+X74035714Y-139607142D01*
+X74178571Y-139464285D01*
+X74250000Y-139321428D01*
+X74321428Y-139035714D01*
+X74321428Y-138821428D01*
+X74250000Y-138535714D01*
+X74178571Y-138392857D01*
+X74035714Y-138250000D01*
+X73821428Y-138178571D01*
+X73678571Y-138178571D01*
+X73464285Y-138250000D01*
+X73392857Y-138321428D01*
+X76142857Y-100250000D02*
+X76285714Y-100178571D01*
+X76500000Y-100178571D01*
+X76714285Y-100250000D01*
+X76857142Y-100392857D01*
+X76928571Y-100535714D01*
+X77000000Y-100821428D01*
+X77000000Y-101035714D01*
+X76928571Y-101321428D01*
+X76857142Y-101464285D01*
+X76714285Y-101607142D01*
+X76500000Y-101678571D01*
+X76357142Y-101678571D01*
+X76142857Y-101607142D01*
+X76071428Y-101535714D01*
+X76071428Y-101035714D01*
+X76357142Y-101035714D01*
+X75428571Y-101678571D02*
+X75428571Y-100178571D01*
+X74571428Y-101678571D01*
+X74571428Y-100178571D01*
+X73857142Y-101678571D02*
+X73857142Y-100178571D01*
+X73500000Y-100178571D01*
+X73285714Y-100250000D01*
+X73142857Y-100392857D01*
+X73071428Y-100535714D01*
+X73000000Y-100821428D01*
+X73000000Y-101035714D01*
+X73071428Y-101321428D01*
+X73142857Y-101464285D01*
+X73285714Y-101607142D01*
+X73500000Y-101678571D01*
+X73857142Y-101678571D01*
+X153000000Y-67250000D02*
+X151500000Y-65750000D01*
+X133000000Y-67250000D02*
+X131500000Y-65750000D01*
+X156750000Y-67250000D02*
+X133000000Y-67250000D01*
+D11*
+X158395238Y-68652380D02*
+X158728571Y-69652380D01*
+X159061904Y-68652380D01*
+X159966666Y-69557142D02*
+X159919047Y-69604761D01*
+X159776190Y-69652380D01*
+X159680952Y-69652380D01*
+X159538095Y-69604761D01*
+X159442857Y-69509523D01*
+X159395238Y-69414285D01*
+X159347619Y-69223809D01*
+X159347619Y-69080952D01*
+X159395238Y-68890476D01*
+X159442857Y-68795238D01*
+X159538095Y-68700000D01*
+X159680952Y-68652380D01*
+X159776190Y-68652380D01*
+X159919047Y-68700000D01*
+X159966666Y-68747619D01*
+X160966666Y-69557142D02*
+X160919047Y-69604761D01*
+X160776190Y-69652380D01*
+X160680952Y-69652380D01*
+X160538095Y-69604761D01*
+X160442857Y-69509523D01*
+X160395238Y-69414285D01*
+X160347619Y-69223809D01*
+X160347619Y-69080952D01*
+X160395238Y-68890476D01*
+X160442857Y-68795238D01*
+X160538095Y-68700000D01*
+X160680952Y-68652380D01*
+X160776190Y-68652380D01*
+X160919047Y-68700000D01*
+X160966666Y-68747619D01*
+X161633333Y-69985714D02*
+X161633333Y-68557142D01*
+X162680952Y-69128571D02*
+X162823809Y-69176190D01*
+X162871428Y-69223809D01*
+X162919047Y-69319047D01*
+X162919047Y-69461904D01*
+X162871428Y-69557142D01*
+X162823809Y-69604761D01*
+X162728571Y-69652380D01*
+X162347619Y-69652380D01*
+X162347619Y-68652380D01*
+X162680952Y-68652380D01*
+X162776190Y-68700000D01*
+X162823809Y-68747619D01*
+X162871428Y-68842857D01*
+X162871428Y-68938095D01*
+X162823809Y-69033333D01*
+X162776190Y-69080952D01*
+X162680952Y-69128571D01*
+X162347619Y-69128571D01*
+X163585714Y-69985714D02*
+X163585714Y-68557142D01*
+X164204761Y-69080952D02*
+X165157142Y-69080952D01*
+X164395238Y-69366666D02*
+X164966666Y-69366666D01*
+X164680952Y-68652380D02*
+X164680952Y-69080952D01*
+X164776190Y-69652380D02*
+X164585714Y-69652380D01*
+X165776190Y-69985714D02*
+X165776190Y-68557142D01*
+X166490476Y-69652380D02*
+X166490476Y-68652380D01*
+X167061904Y-69652380D01*
+X167061904Y-68652380D01*
+X168109523Y-69557142D02*
+X168061904Y-69604761D01*
+X167919047Y-69652380D01*
+X167823809Y-69652380D01*
+X167680952Y-69604761D01*
+X167585714Y-69509523D01*
+X167538095Y-69414285D01*
+X167490476Y-69223809D01*
+X167490476Y-69080952D01*
+X167538095Y-68890476D01*
+X167585714Y-68795238D01*
+X167680952Y-68700000D01*
+X167823809Y-68652380D01*
+X167919047Y-68652380D01*
+X168061904Y-68700000D01*
+X168109523Y-68747619D01*
+X157116666Y-66702380D02*
+X157450000Y-67702380D01*
+X157783333Y-66702380D01*
+X158688095Y-67607142D02*
+X158640476Y-67654761D01*
+X158497619Y-67702380D01*
+X158402380Y-67702380D01*
+X158259523Y-67654761D01*
+X158164285Y-67559523D01*
+X158116666Y-67464285D01*
+X158069047Y-67273809D01*
+X158069047Y-67130952D01*
+X158116666Y-66940476D01*
+X158164285Y-66845238D01*
+X158259523Y-66750000D01*
+X158402380Y-66702380D01*
+X158497619Y-66702380D01*
+X158640476Y-66750000D01*
+X158688095Y-66797619D01*
+X159688095Y-67607142D02*
+X159640476Y-67654761D01*
+X159497619Y-67702380D01*
+X159402380Y-67702380D01*
+X159259523Y-67654761D01*
+X159164285Y-67559523D01*
+X159116666Y-67464285D01*
+X159069047Y-67273809D01*
+X159069047Y-67130952D01*
+X159116666Y-66940476D01*
+X159164285Y-66845238D01*
+X159259523Y-66750000D01*
+X159402380Y-66702380D01*
+X159497619Y-66702380D01*
+X159640476Y-66750000D01*
+X159688095Y-66797619D01*
+X160354761Y-68035714D02*
+X160354761Y-66607142D01*
+X160973809Y-67130952D02*
+X161926190Y-67130952D01*
+X161164285Y-67416666D02*
+X161735714Y-67416666D01*
+X161450000Y-66702380D02*
+X161450000Y-67130952D01*
+X161545238Y-67702380D02*
+X161354761Y-67702380D01*
+X162545238Y-68035714D02*
+X162545238Y-66607142D01*
+X163211904Y-67416666D02*
+X163688095Y-67416666D01*
+X163116666Y-67702380D02*
+X163450000Y-66702380D01*
+X163783333Y-67702380D01*
+X164354761Y-68035714D02*
+X164354761Y-66607142D01*
+X165069047Y-67702380D02*
+X165069047Y-66702380D01*
+X165640476Y-67702380D01*
+X165640476Y-66702380D01*
+X166688095Y-67607142D02*
+X166640476Y-67654761D01*
+X166497619Y-67702380D01*
+X166402380Y-67702380D01*
+X166259523Y-67654761D01*
+X166164285Y-67559523D01*
+X166116666Y-67464285D01*
+X166069047Y-67273809D01*
+X166069047Y-67130952D01*
+X166116666Y-66940476D01*
+X166164285Y-66845238D01*
+X166259523Y-66750000D01*
+X166402380Y-66702380D01*
+X166497619Y-66702380D01*
+X166640476Y-66750000D01*
+X166688095Y-66797619D01*
+D12*
+G36*
+X167500000Y-90000000D02*
+G01*
+X122500000Y-90000000D01*
+X122500000Y-77500000D01*
+X167500000Y-77500000D01*
+X167500000Y-90000000D01*
+G37*
+X167500000Y-90000000D02*
+X122500000Y-90000000D01*
+X122500000Y-77500000D01*
+X167500000Y-77500000D01*
+X167500000Y-90000000D01*
+D13*
+X167164404Y-91952380D02*
+X167164404Y-90952380D01*
+X166926309Y-90952380D01*
+X166783452Y-91000000D01*
+X166688214Y-91095238D01*
+X166640595Y-91190476D01*
+X166592976Y-91380952D01*
+X166592976Y-91523809D01*
+X166640595Y-91714285D01*
+X166688214Y-91809523D01*
+X166783452Y-91904761D01*
+X166926309Y-91952380D01*
+X167164404Y-91952380D01*
+X166212023Y-91666666D02*
+X165735833Y-91666666D01*
+X166307261Y-91952380D02*
+X165973928Y-90952380D01*
+X165640595Y-91952380D01*
+X165450119Y-90952380D02*
+X164878690Y-90952380D01*
+X165164404Y-91952380D02*
+X165164404Y-90952380D01*
+X164545357Y-91428571D02*
+X164212023Y-91428571D01*
+X164069166Y-91952380D02*
+X164545357Y-91952380D01*
+X164545357Y-90952380D01*
+X164069166Y-90952380D01*
+X167212023Y-76904761D02*
+X167069166Y-76952380D01*
+X166831071Y-76952380D01*
+X166735833Y-76904761D01*
+X166688214Y-76857142D01*
+X166640595Y-76761904D01*
+X166640595Y-76666666D01*
+X166688214Y-76571428D01*
+X166735833Y-76523809D01*
+X166831071Y-76476190D01*
+X167021547Y-76428571D01*
+X167116785Y-76380952D01*
+X167164404Y-76333333D01*
+X167212023Y-76238095D01*
+X167212023Y-76142857D01*
+X167164404Y-76047619D01*
+X167116785Y-76000000D01*
+X167021547Y-75952380D01*
+X166783452Y-75952380D01*
+X166640595Y-76000000D01*
+X166212023Y-76952380D02*
+X166212023Y-75952380D01*
+X165640595Y-76952380D01*
+X165640595Y-75952380D01*
+D14*
+X118200000Y-77150000D02*
+X118200000Y-76450000D01*
+X117000000Y-76450000D02*
+X117000000Y-77150000D01*
+D13*
+X120242857Y-77157142D02*
+X120290476Y-77204761D01*
+X120433333Y-77252380D01*
+X120528571Y-77252380D01*
+X120671428Y-77204761D01*
+X120766666Y-77109523D01*
+X120814285Y-77014285D01*
+X120861904Y-76823809D01*
+X120861904Y-76680952D01*
+X120814285Y-76490476D01*
+X120766666Y-76395238D01*
+X120671428Y-76300000D01*
+X120528571Y-76252380D01*
+X120433333Y-76252380D01*
+X120290476Y-76300000D01*
+X120242857Y-76347619D01*
+X119290476Y-77252380D02*
+X119861904Y-77252380D01*
+X119576190Y-77252380D02*
+X119576190Y-76252380D01*
+X119671428Y-76395238D01*
+X119766666Y-76490476D01*
+X119861904Y-76538095D01*
+X118338095Y-77252380D02*
+X118909523Y-77252380D01*
+X118623809Y-77252380D02*
+X118623809Y-76252380D01*
+X118719047Y-76395238D01*
+X118814285Y-76490476D01*
+X118909523Y-76538095D01*
+M02*
diff --git a/driver/gerber/driver-Edge_Cuts.gbr b/driver/gerber/driver-Edge_Cuts.gbr
index 74960c7..f2c69f4 100644
--- a/driver/gerber/driver-Edge_Cuts.gbr
+++ b/driver/gerber/driver-Edge_Cuts.gbr
@@ -1,23 +1,23 @@
-G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.0-344-gd281f051e)*
-G04 #@! TF.CreationDate,2019-04-25T22:00:11+09:00*
-G04 #@! TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,rev?*
-G04 #@! TF.SameCoordinates,Original*
-G04 #@! TF.FileFunction,Profile,NP*
-%FSLAX46Y46*%
-G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW (5.1.0-344-gd281f051e)) date 2019-04-25 22:00:11*
-%MOMM*%
-%LPD*%
-G04 APERTURE LIST*
-%ADD10C,0.150000*%
-G04 APERTURE END LIST*
-D10*
-X170000000Y-55000000D02*
-X70000000Y-55000000D01*
-X170000000Y-155000000D02*
-X170000000Y-55000000D01*
-X70000000Y-155000000D02*
-X170000000Y-155000000D01*
-X70000000Y-55000000D02*
-X70000000Y-155000000D01*
-M02*
+G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5)-3*
+G04 #@! TF.CreationDate,2020-05-02T20:20:03+02:00*
+G04 #@! TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,rev?*
+G04 #@! TF.SameCoordinates,Original*
+G04 #@! TF.FileFunction,Profile,NP*
+%FSLAX46Y46*%
+G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
+G04 Created by KiCad (PCBNEW (5.1.5)-3) date 2020-05-02 20:20:03*
+%MOMM*%
+%LPD*%
+G04 APERTURE LIST*
+%ADD10C,0.150000*%
+G04 APERTURE END LIST*
+D10*
+X170000000Y-55000000D02*
+X70000000Y-55000000D01*
+X170000000Y-155000000D02*
+X170000000Y-55000000D01*
+X70000000Y-155000000D02*
+X170000000Y-155000000D01*
+X70000000Y-55000000D02*
+X70000000Y-155000000D01*
+M02*
diff --git a/driver/gerber/driver-F_Cu.gbr b/driver/gerber/driver-F_Cu.gbr
index 5e7c057..f000b33 100644
--- a/driver/gerber/driver-F_Cu.gbr
+++ b/driver/gerber/driver-F_Cu.gbr
@@ -1,24582 +1,25653 @@
-G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.0-344-gd281f051e)*
-G04 #@! TF.CreationDate,2019-04-25T22:00:11+09:00*
-G04 #@! TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,rev?*
-G04 #@! TF.SameCoordinates,Original*
-G04 #@! TF.FileFunction,Copper,L1,Top*
-G04 #@! TF.FilePolarity,Positive*
-%FSLAX46Y46*%
-G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW (5.1.0-344-gd281f051e)) date 2019-04-25 22:00:11*
-%MOMM*%
-%LPD*%
-G04 APERTURE LIST*
-%ADD10C,1.500000*%
-%ADD11R,0.750000X1.200000*%
-%ADD12R,1.060000X0.650000*%
-%ADD13R,0.600000X1.550000*%
-%ADD14C,0.100000*%
-%ADD15C,0.950000*%
-%ADD16R,0.900000X0.800000*%
-%ADD17R,1.200000X0.750000*%
-%ADD18O,2.000000X2.600000*%
-%ADD19R,2.000000X2.600000*%
-%ADD20R,0.300000X1.400000*%
-%ADD21R,1.450000X0.450000*%
-%ADD22R,3.750000X4.700000*%
-%ADD23R,2.950000X0.850000*%
-%ADD24R,3.000000X0.850000*%
-%ADD25R,2.300000X3.500000*%
-%ADD26R,2.500000X2.100000*%
-%ADD27R,1.500000X2.100000*%
-%ADD28R,2.600000X2.600000*%
-%ADD29C,2.600000*%
-%ADD30R,4.200000X2.400000*%
-%ADD31C,1.425000*%
-%ADD32C,1.525000*%
-%ADD33R,0.650000X1.560000*%
-%ADD34R,2.000000X3.800000*%
-%ADD35R,2.000000X1.500000*%
-%ADD36R,1.800000X2.100000*%
-%ADD37C,8.000000*%
-%ADD38R,1.550000X0.600000*%
-%ADD39C,2.000000*%
-%ADD40R,2.000000X2.000000*%
-%ADD41R,1.700000X1.700000*%
-%ADD42O,1.700000X1.700000*%
-%ADD43R,2.600000X2.000000*%
-%ADD44O,2.600000X2.000000*%
-%ADD45R,1.500000X1.500000*%
-%ADD46R,1.500000X0.600000*%
-%ADD47C,0.800000*%
-%ADD48C,0.500000*%
-%ADD49C,0.800000*%
-%ADD50C,1.200000*%
-%ADD51C,0.250000*%
-%ADD52C,1.800000*%
-%ADD53C,0.254000*%
-G04 APERTURE END LIST*
-D10*
-X156600000Y-84600000D03*
-X153750000Y-89000000D03*
-X154700000Y-86550000D03*
-X113100000Y-87300000D03*
-X110200000Y-88700000D03*
-X108200000Y-87700000D03*
-X136300000Y-86600000D03*
-X135200000Y-93500000D03*
-X119200000Y-88600000D03*
-X78800000Y-66300000D03*
-X82100000Y-84400000D03*
-X72100000Y-71100000D03*
-X86600000Y-87600000D03*
-X87400000Y-67300000D03*
-X104900000Y-66800000D03*
-D11*
-X99700000Y-88250000D03*
-X99700000Y-86350000D03*
-D12*
-X103600000Y-90050000D03*
-X103600000Y-91950000D03*
-X101400000Y-91950000D03*
-X101400000Y-91000000D03*
-X101400000Y-90050000D03*
-D11*
-X92000000Y-91450000D03*
-X92000000Y-89550000D03*
-X131000000Y-72300000D03*
-X131000000Y-74200000D03*
-D13*
-X132845000Y-73050000D03*
-X134115000Y-73050000D03*
-X135385000Y-73050000D03*
-X136655000Y-73050000D03*
-X136655000Y-78450000D03*
-X135385000Y-78450000D03*
-X134115000Y-78450000D03*
-X132845000Y-78450000D03*
-D14*
-G36*
-X159510779Y-63851144D02*
-G01*
-X159533834Y-63854563D01*
-X159556443Y-63860227D01*
-X159578387Y-63868079D01*
-X159599457Y-63878044D01*
-X159619448Y-63890026D01*
-X159638168Y-63903910D01*
-X159655438Y-63919562D01*
-X159671090Y-63936832D01*
-X159684974Y-63955552D01*
-X159696956Y-63975543D01*
-X159706921Y-63996613D01*
-X159714773Y-64018557D01*
-X159720437Y-64041166D01*
-X159723856Y-64064221D01*
-X159725000Y-64087500D01*
-X159725000Y-64662500D01*
-X159723856Y-64685779D01*
-X159720437Y-64708834D01*
-X159714773Y-64731443D01*
-X159706921Y-64753387D01*
-X159696956Y-64774457D01*
-X159684974Y-64794448D01*
-X159671090Y-64813168D01*
-X159655438Y-64830438D01*
-X159638168Y-64846090D01*
-X159619448Y-64859974D01*
-X159599457Y-64871956D01*
-X159578387Y-64881921D01*
-X159556443Y-64889773D01*
-X159533834Y-64895437D01*
-X159510779Y-64898856D01*
-X159487500Y-64900000D01*
-X159012500Y-64900000D01*
-X158989221Y-64898856D01*
-X158966166Y-64895437D01*
-X158943557Y-64889773D01*
-X158921613Y-64881921D01*
-X158900543Y-64871956D01*
-X158880552Y-64859974D01*
-X158861832Y-64846090D01*
-X158844562Y-64830438D01*
-X158828910Y-64813168D01*
-X158815026Y-64794448D01*
-X158803044Y-64774457D01*
-X158793079Y-64753387D01*
-X158785227Y-64731443D01*
-X158779563Y-64708834D01*
-X158776144Y-64685779D01*
-X158775000Y-64662500D01*
-X158775000Y-64087500D01*
-X158776144Y-64064221D01*
-X158779563Y-64041166D01*
-X158785227Y-64018557D01*
-X158793079Y-63996613D01*
-X158803044Y-63975543D01*
-X158815026Y-63955552D01*
-X158828910Y-63936832D01*
-X158844562Y-63919562D01*
-X158861832Y-63903910D01*
-X158880552Y-63890026D01*
-X158900543Y-63878044D01*
-X158921613Y-63868079D01*
-X158943557Y-63860227D01*
-X158966166Y-63854563D01*
-X158989221Y-63851144D01*
-X159012500Y-63850000D01*
-X159487500Y-63850000D01*
-X159510779Y-63851144D01*
-X159510779Y-63851144D01*
-G37*
-D15*
-X159250000Y-64375000D03*
-D14*
-G36*
-X159510779Y-65601144D02*
-G01*
-X159533834Y-65604563D01*
-X159556443Y-65610227D01*
-X159578387Y-65618079D01*
-X159599457Y-65628044D01*
-X159619448Y-65640026D01*
-X159638168Y-65653910D01*
-X159655438Y-65669562D01*
-X159671090Y-65686832D01*
-X159684974Y-65705552D01*
-X159696956Y-65725543D01*
-X159706921Y-65746613D01*
-X159714773Y-65768557D01*
-X159720437Y-65791166D01*
-X159723856Y-65814221D01*
-X159725000Y-65837500D01*
-X159725000Y-66412500D01*
-X159723856Y-66435779D01*
-X159720437Y-66458834D01*
-X159714773Y-66481443D01*
-X159706921Y-66503387D01*
-X159696956Y-66524457D01*
-X159684974Y-66544448D01*
-X159671090Y-66563168D01*
-X159655438Y-66580438D01*
-X159638168Y-66596090D01*
-X159619448Y-66609974D01*
-X159599457Y-66621956D01*
-X159578387Y-66631921D01*
-X159556443Y-66639773D01*
-X159533834Y-66645437D01*
-X159510779Y-66648856D01*
-X159487500Y-66650000D01*
-X159012500Y-66650000D01*
-X158989221Y-66648856D01*
-X158966166Y-66645437D01*
-X158943557Y-66639773D01*
-X158921613Y-66631921D01*
-X158900543Y-66621956D01*
-X158880552Y-66609974D01*
-X158861832Y-66596090D01*
-X158844562Y-66580438D01*
-X158828910Y-66563168D01*
-X158815026Y-66544448D01*
-X158803044Y-66524457D01*
-X158793079Y-66503387D01*
-X158785227Y-66481443D01*
-X158779563Y-66458834D01*
-X158776144Y-66435779D01*
-X158775000Y-66412500D01*
-X158775000Y-65837500D01*
-X158776144Y-65814221D01*
-X158779563Y-65791166D01*
-X158785227Y-65768557D01*
-X158793079Y-65746613D01*
-X158803044Y-65725543D01*
-X158815026Y-65705552D01*
-X158828910Y-65686832D01*
-X158844562Y-65669562D01*
-X158861832Y-65653910D01*
-X158880552Y-65640026D01*
-X158900543Y-65628044D01*
-X158921613Y-65618079D01*
-X158943557Y-65610227D01*
-X158966166Y-65604563D01*
-X158989221Y-65601144D01*
-X159012500Y-65600000D01*
-X159487500Y-65600000D01*
-X159510779Y-65601144D01*
-X159510779Y-65601144D01*
-G37*
-D15*
-X159250000Y-66125000D03*
-D14*
-G36*
-X92935779Y-94026144D02*
-G01*
-X92958834Y-94029563D01*
-X92981443Y-94035227D01*
-X93003387Y-94043079D01*
-X93024457Y-94053044D01*
-X93044448Y-94065026D01*
-X93063168Y-94078910D01*
-X93080438Y-94094562D01*
-X93096090Y-94111832D01*
-X93109974Y-94130552D01*
-X93121956Y-94150543D01*
-X93131921Y-94171613D01*
-X93139773Y-94193557D01*
-X93145437Y-94216166D01*
-X93148856Y-94239221D01*
-X93150000Y-94262500D01*
-X93150000Y-94737500D01*
-X93148856Y-94760779D01*
-X93145437Y-94783834D01*
-X93139773Y-94806443D01*
-X93131921Y-94828387D01*
-X93121956Y-94849457D01*
-X93109974Y-94869448D01*
-X93096090Y-94888168D01*
-X93080438Y-94905438D01*
-X93063168Y-94921090D01*
-X93044448Y-94934974D01*
-X93024457Y-94946956D01*
-X93003387Y-94956921D01*
-X92981443Y-94964773D01*
-X92958834Y-94970437D01*
-X92935779Y-94973856D01*
-X92912500Y-94975000D01*
-X92337500Y-94975000D01*
-X92314221Y-94973856D01*
-X92291166Y-94970437D01*
-X92268557Y-94964773D01*
-X92246613Y-94956921D01*
-X92225543Y-94946956D01*
-X92205552Y-94934974D01*
-X92186832Y-94921090D01*
-X92169562Y-94905438D01*
-X92153910Y-94888168D01*
-X92140026Y-94869448D01*
-X92128044Y-94849457D01*
-X92118079Y-94828387D01*
-X92110227Y-94806443D01*
-X92104563Y-94783834D01*
-X92101144Y-94760779D01*
-X92100000Y-94737500D01*
-X92100000Y-94262500D01*
-X92101144Y-94239221D01*
-X92104563Y-94216166D01*
-X92110227Y-94193557D01*
-X92118079Y-94171613D01*
-X92128044Y-94150543D01*
-X92140026Y-94130552D01*
-X92153910Y-94111832D01*
-X92169562Y-94094562D01*
-X92186832Y-94078910D01*
-X92205552Y-94065026D01*
-X92225543Y-94053044D01*
-X92246613Y-94043079D01*
-X92268557Y-94035227D01*
-X92291166Y-94029563D01*
-X92314221Y-94026144D01*
-X92337500Y-94025000D01*
-X92912500Y-94025000D01*
-X92935779Y-94026144D01*
-X92935779Y-94026144D01*
-G37*
-D15*
-X92625000Y-94500000D03*
-D14*
-G36*
-X94685779Y-94026144D02*
-G01*
-X94708834Y-94029563D01*
-X94731443Y-94035227D01*
-X94753387Y-94043079D01*
-X94774457Y-94053044D01*
-X94794448Y-94065026D01*
-X94813168Y-94078910D01*
-X94830438Y-94094562D01*
-X94846090Y-94111832D01*
-X94859974Y-94130552D01*
-X94871956Y-94150543D01*
-X94881921Y-94171613D01*
-X94889773Y-94193557D01*
-X94895437Y-94216166D01*
-X94898856Y-94239221D01*
-X94900000Y-94262500D01*
-X94900000Y-94737500D01*
-X94898856Y-94760779D01*
-X94895437Y-94783834D01*
-X94889773Y-94806443D01*
-X94881921Y-94828387D01*
-X94871956Y-94849457D01*
-X94859974Y-94869448D01*
-X94846090Y-94888168D01*
-X94830438Y-94905438D01*
-X94813168Y-94921090D01*
-X94794448Y-94934974D01*
-X94774457Y-94946956D01*
-X94753387Y-94956921D01*
-X94731443Y-94964773D01*
-X94708834Y-94970437D01*
-X94685779Y-94973856D01*
-X94662500Y-94975000D01*
-X94087500Y-94975000D01*
-X94064221Y-94973856D01*
-X94041166Y-94970437D01*
-X94018557Y-94964773D01*
-X93996613Y-94956921D01*
-X93975543Y-94946956D01*
-X93955552Y-94934974D01*
-X93936832Y-94921090D01*
-X93919562Y-94905438D01*
-X93903910Y-94888168D01*
-X93890026Y-94869448D01*
-X93878044Y-94849457D01*
-X93868079Y-94828387D01*
-X93860227Y-94806443D01*
-X93854563Y-94783834D01*
-X93851144Y-94760779D01*
-X93850000Y-94737500D01*
-X93850000Y-94262500D01*
-X93851144Y-94239221D01*
-X93854563Y-94216166D01*
-X93860227Y-94193557D01*
-X93868079Y-94171613D01*
-X93878044Y-94150543D01*
-X93890026Y-94130552D01*
-X93903910Y-94111832D01*
-X93919562Y-94094562D01*
-X93936832Y-94078910D01*
-X93955552Y-94065026D01*
-X93975543Y-94053044D01*
-X93996613Y-94043079D01*
-X94018557Y-94035227D01*
-X94041166Y-94029563D01*
-X94064221Y-94026144D01*
-X94087500Y-94025000D01*
-X94662500Y-94025000D01*
-X94685779Y-94026144D01*
-X94685779Y-94026144D01*
-G37*
-D15*
-X94375000Y-94500000D03*
-D14*
-G36*
-X96435779Y-94026144D02*
-G01*
-X96458834Y-94029563D01*
-X96481443Y-94035227D01*
-X96503387Y-94043079D01*
-X96524457Y-94053044D01*
-X96544448Y-94065026D01*
-X96563168Y-94078910D01*
-X96580438Y-94094562D01*
-X96596090Y-94111832D01*
-X96609974Y-94130552D01*
-X96621956Y-94150543D01*
-X96631921Y-94171613D01*
-X96639773Y-94193557D01*
-X96645437Y-94216166D01*
-X96648856Y-94239221D01*
-X96650000Y-94262500D01*
-X96650000Y-94737500D01*
-X96648856Y-94760779D01*
-X96645437Y-94783834D01*
-X96639773Y-94806443D01*
-X96631921Y-94828387D01*
-X96621956Y-94849457D01*
-X96609974Y-94869448D01*
-X96596090Y-94888168D01*
-X96580438Y-94905438D01*
-X96563168Y-94921090D01*
-X96544448Y-94934974D01*
-X96524457Y-94946956D01*
-X96503387Y-94956921D01*
-X96481443Y-94964773D01*
-X96458834Y-94970437D01*
-X96435779Y-94973856D01*
-X96412500Y-94975000D01*
-X95837500Y-94975000D01*
-X95814221Y-94973856D01*
-X95791166Y-94970437D01*
-X95768557Y-94964773D01*
-X95746613Y-94956921D01*
-X95725543Y-94946956D01*
-X95705552Y-94934974D01*
-X95686832Y-94921090D01*
-X95669562Y-94905438D01*
-X95653910Y-94888168D01*
-X95640026Y-94869448D01*
-X95628044Y-94849457D01*
-X95618079Y-94828387D01*
-X95610227Y-94806443D01*
-X95604563Y-94783834D01*
-X95601144Y-94760779D01*
-X95600000Y-94737500D01*
-X95600000Y-94262500D01*
-X95601144Y-94239221D01*
-X95604563Y-94216166D01*
-X95610227Y-94193557D01*
-X95618079Y-94171613D01*
-X95628044Y-94150543D01*
-X95640026Y-94130552D01*
-X95653910Y-94111832D01*
-X95669562Y-94094562D01*
-X95686832Y-94078910D01*
-X95705552Y-94065026D01*
-X95725543Y-94053044D01*
-X95746613Y-94043079D01*
-X95768557Y-94035227D01*
-X95791166Y-94029563D01*
-X95814221Y-94026144D01*
-X95837500Y-94025000D01*
-X96412500Y-94025000D01*
-X96435779Y-94026144D01*
-X96435779Y-94026144D01*
-G37*
-D15*
-X96125000Y-94500000D03*
-D14*
-G36*
-X98185779Y-94026144D02*
-G01*
-X98208834Y-94029563D01*
-X98231443Y-94035227D01*
-X98253387Y-94043079D01*
-X98274457Y-94053044D01*
-X98294448Y-94065026D01*
-X98313168Y-94078910D01*
-X98330438Y-94094562D01*
-X98346090Y-94111832D01*
-X98359974Y-94130552D01*
-X98371956Y-94150543D01*
-X98381921Y-94171613D01*
-X98389773Y-94193557D01*
-X98395437Y-94216166D01*
-X98398856Y-94239221D01*
-X98400000Y-94262500D01*
-X98400000Y-94737500D01*
-X98398856Y-94760779D01*
-X98395437Y-94783834D01*
-X98389773Y-94806443D01*
-X98381921Y-94828387D01*
-X98371956Y-94849457D01*
-X98359974Y-94869448D01*
-X98346090Y-94888168D01*
-X98330438Y-94905438D01*
-X98313168Y-94921090D01*
-X98294448Y-94934974D01*
-X98274457Y-94946956D01*
-X98253387Y-94956921D01*
-X98231443Y-94964773D01*
-X98208834Y-94970437D01*
-X98185779Y-94973856D01*
-X98162500Y-94975000D01*
-X97587500Y-94975000D01*
-X97564221Y-94973856D01*
-X97541166Y-94970437D01*
-X97518557Y-94964773D01*
-X97496613Y-94956921D01*
-X97475543Y-94946956D01*
-X97455552Y-94934974D01*
-X97436832Y-94921090D01*
-X97419562Y-94905438D01*
-X97403910Y-94888168D01*
-X97390026Y-94869448D01*
-X97378044Y-94849457D01*
-X97368079Y-94828387D01*
-X97360227Y-94806443D01*
-X97354563Y-94783834D01*
-X97351144Y-94760779D01*
-X97350000Y-94737500D01*
-X97350000Y-94262500D01*
-X97351144Y-94239221D01*
-X97354563Y-94216166D01*
-X97360227Y-94193557D01*
-X97368079Y-94171613D01*
-X97378044Y-94150543D01*
-X97390026Y-94130552D01*
-X97403910Y-94111832D01*
-X97419562Y-94094562D01*
-X97436832Y-94078910D01*
-X97455552Y-94065026D01*
-X97475543Y-94053044D01*
-X97496613Y-94043079D01*
-X97518557Y-94035227D01*
-X97541166Y-94029563D01*
-X97564221Y-94026144D01*
-X97587500Y-94025000D01*
-X98162500Y-94025000D01*
-X98185779Y-94026144D01*
-X98185779Y-94026144D01*
-G37*
-D15*
-X97875000Y-94500000D03*
-D14*
-G36*
-X99760779Y-91351144D02*
-G01*
-X99783834Y-91354563D01*
-X99806443Y-91360227D01*
-X99828387Y-91368079D01*
-X99849457Y-91378044D01*
-X99869448Y-91390026D01*
-X99888168Y-91403910D01*
-X99905438Y-91419562D01*
-X99921090Y-91436832D01*
-X99934974Y-91455552D01*
-X99946956Y-91475543D01*
-X99956921Y-91496613D01*
-X99964773Y-91518557D01*
-X99970437Y-91541166D01*
-X99973856Y-91564221D01*
-X99975000Y-91587500D01*
-X99975000Y-92162500D01*
-X99973856Y-92185779D01*
-X99970437Y-92208834D01*
-X99964773Y-92231443D01*
-X99956921Y-92253387D01*
-X99946956Y-92274457D01*
-X99934974Y-92294448D01*
-X99921090Y-92313168D01*
-X99905438Y-92330438D01*
-X99888168Y-92346090D01*
-X99869448Y-92359974D01*
-X99849457Y-92371956D01*
-X99828387Y-92381921D01*
-X99806443Y-92389773D01*
-X99783834Y-92395437D01*
-X99760779Y-92398856D01*
-X99737500Y-92400000D01*
-X99262500Y-92400000D01*
-X99239221Y-92398856D01*
-X99216166Y-92395437D01*
-X99193557Y-92389773D01*
-X99171613Y-92381921D01*
-X99150543Y-92371956D01*
-X99130552Y-92359974D01*
-X99111832Y-92346090D01*
-X99094562Y-92330438D01*
-X99078910Y-92313168D01*
-X99065026Y-92294448D01*
-X99053044Y-92274457D01*
-X99043079Y-92253387D01*
-X99035227Y-92231443D01*
-X99029563Y-92208834D01*
-X99026144Y-92185779D01*
-X99025000Y-92162500D01*
-X99025000Y-91587500D01*
-X99026144Y-91564221D01*
-X99029563Y-91541166D01*
-X99035227Y-91518557D01*
-X99043079Y-91496613D01*
-X99053044Y-91475543D01*
-X99065026Y-91455552D01*
-X99078910Y-91436832D01*
-X99094562Y-91419562D01*
-X99111832Y-91403910D01*
-X99130552Y-91390026D01*
-X99150543Y-91378044D01*
-X99171613Y-91368079D01*
-X99193557Y-91360227D01*
-X99216166Y-91354563D01*
-X99239221Y-91351144D01*
-X99262500Y-91350000D01*
-X99737500Y-91350000D01*
-X99760779Y-91351144D01*
-X99760779Y-91351144D01*
-G37*
-D15*
-X99500000Y-91875000D03*
-D14*
-G36*
-X99760779Y-89601144D02*
-G01*
-X99783834Y-89604563D01*
-X99806443Y-89610227D01*
-X99828387Y-89618079D01*
-X99849457Y-89628044D01*
-X99869448Y-89640026D01*
-X99888168Y-89653910D01*
-X99905438Y-89669562D01*
-X99921090Y-89686832D01*
-X99934974Y-89705552D01*
-X99946956Y-89725543D01*
-X99956921Y-89746613D01*
-X99964773Y-89768557D01*
-X99970437Y-89791166D01*
-X99973856Y-89814221D01*
-X99975000Y-89837500D01*
-X99975000Y-90412500D01*
-X99973856Y-90435779D01*
-X99970437Y-90458834D01*
-X99964773Y-90481443D01*
-X99956921Y-90503387D01*
-X99946956Y-90524457D01*
-X99934974Y-90544448D01*
-X99921090Y-90563168D01*
-X99905438Y-90580438D01*
-X99888168Y-90596090D01*
-X99869448Y-90609974D01*
-X99849457Y-90621956D01*
-X99828387Y-90631921D01*
-X99806443Y-90639773D01*
-X99783834Y-90645437D01*
-X99760779Y-90648856D01*
-X99737500Y-90650000D01*
-X99262500Y-90650000D01*
-X99239221Y-90648856D01*
-X99216166Y-90645437D01*
-X99193557Y-90639773D01*
-X99171613Y-90631921D01*
-X99150543Y-90621956D01*
-X99130552Y-90609974D01*
-X99111832Y-90596090D01*
-X99094562Y-90580438D01*
-X99078910Y-90563168D01*
-X99065026Y-90544448D01*
-X99053044Y-90524457D01*
-X99043079Y-90503387D01*
-X99035227Y-90481443D01*
-X99029563Y-90458834D01*
-X99026144Y-90435779D01*
-X99025000Y-90412500D01*
-X99025000Y-89837500D01*
-X99026144Y-89814221D01*
-X99029563Y-89791166D01*
-X99035227Y-89768557D01*
-X99043079Y-89746613D01*
-X99053044Y-89725543D01*
-X99065026Y-89705552D01*
-X99078910Y-89686832D01*
-X99094562Y-89669562D01*
-X99111832Y-89653910D01*
-X99130552Y-89640026D01*
-X99150543Y-89628044D01*
-X99171613Y-89618079D01*
-X99193557Y-89610227D01*
-X99216166Y-89604563D01*
-X99239221Y-89601144D01*
-X99262500Y-89600000D01*
-X99737500Y-89600000D01*
-X99760779Y-89601144D01*
-X99760779Y-89601144D01*
-G37*
-D15*
-X99500000Y-90125000D03*
-D14*
-G36*
-X105185779Y-94026144D02*
-G01*
-X105208834Y-94029563D01*
-X105231443Y-94035227D01*
-X105253387Y-94043079D01*
-X105274457Y-94053044D01*
-X105294448Y-94065026D01*
-X105313168Y-94078910D01*
-X105330438Y-94094562D01*
-X105346090Y-94111832D01*
-X105359974Y-94130552D01*
-X105371956Y-94150543D01*
-X105381921Y-94171613D01*
-X105389773Y-94193557D01*
-X105395437Y-94216166D01*
-X105398856Y-94239221D01*
-X105400000Y-94262500D01*
-X105400000Y-94737500D01*
-X105398856Y-94760779D01*
-X105395437Y-94783834D01*
-X105389773Y-94806443D01*
-X105381921Y-94828387D01*
-X105371956Y-94849457D01*
-X105359974Y-94869448D01*
-X105346090Y-94888168D01*
-X105330438Y-94905438D01*
-X105313168Y-94921090D01*
-X105294448Y-94934974D01*
-X105274457Y-94946956D01*
-X105253387Y-94956921D01*
-X105231443Y-94964773D01*
-X105208834Y-94970437D01*
-X105185779Y-94973856D01*
-X105162500Y-94975000D01*
-X104587500Y-94975000D01*
-X104564221Y-94973856D01*
-X104541166Y-94970437D01*
-X104518557Y-94964773D01*
-X104496613Y-94956921D01*
-X104475543Y-94946956D01*
-X104455552Y-94934974D01*
-X104436832Y-94921090D01*
-X104419562Y-94905438D01*
-X104403910Y-94888168D01*
-X104390026Y-94869448D01*
-X104378044Y-94849457D01*
-X104368079Y-94828387D01*
-X104360227Y-94806443D01*
-X104354563Y-94783834D01*
-X104351144Y-94760779D01*
-X104350000Y-94737500D01*
-X104350000Y-94262500D01*
-X104351144Y-94239221D01*
-X104354563Y-94216166D01*
-X104360227Y-94193557D01*
-X104368079Y-94171613D01*
-X104378044Y-94150543D01*
-X104390026Y-94130552D01*
-X104403910Y-94111832D01*
-X104419562Y-94094562D01*
-X104436832Y-94078910D01*
-X104455552Y-94065026D01*
-X104475543Y-94053044D01*
-X104496613Y-94043079D01*
-X104518557Y-94035227D01*
-X104541166Y-94029563D01*
-X104564221Y-94026144D01*
-X104587500Y-94025000D01*
-X105162500Y-94025000D01*
-X105185779Y-94026144D01*
-X105185779Y-94026144D01*
-G37*
-D15*
-X104875000Y-94500000D03*
-D14*
-G36*
-X103435779Y-94026144D02*
-G01*
-X103458834Y-94029563D01*
-X103481443Y-94035227D01*
-X103503387Y-94043079D01*
-X103524457Y-94053044D01*
-X103544448Y-94065026D01*
-X103563168Y-94078910D01*
-X103580438Y-94094562D01*
-X103596090Y-94111832D01*
-X103609974Y-94130552D01*
-X103621956Y-94150543D01*
-X103631921Y-94171613D01*
-X103639773Y-94193557D01*
-X103645437Y-94216166D01*
-X103648856Y-94239221D01*
-X103650000Y-94262500D01*
-X103650000Y-94737500D01*
-X103648856Y-94760779D01*
-X103645437Y-94783834D01*
-X103639773Y-94806443D01*
-X103631921Y-94828387D01*
-X103621956Y-94849457D01*
-X103609974Y-94869448D01*
-X103596090Y-94888168D01*
-X103580438Y-94905438D01*
-X103563168Y-94921090D01*
-X103544448Y-94934974D01*
-X103524457Y-94946956D01*
-X103503387Y-94956921D01*
-X103481443Y-94964773D01*
-X103458834Y-94970437D01*
-X103435779Y-94973856D01*
-X103412500Y-94975000D01*
-X102837500Y-94975000D01*
-X102814221Y-94973856D01*
-X102791166Y-94970437D01*
-X102768557Y-94964773D01*
-X102746613Y-94956921D01*
-X102725543Y-94946956D01*
-X102705552Y-94934974D01*
-X102686832Y-94921090D01*
-X102669562Y-94905438D01*
-X102653910Y-94888168D01*
-X102640026Y-94869448D01*
-X102628044Y-94849457D01*
-X102618079Y-94828387D01*
-X102610227Y-94806443D01*
-X102604563Y-94783834D01*
-X102601144Y-94760779D01*
-X102600000Y-94737500D01*
-X102600000Y-94262500D01*
-X102601144Y-94239221D01*
-X102604563Y-94216166D01*
-X102610227Y-94193557D01*
-X102618079Y-94171613D01*
-X102628044Y-94150543D01*
-X102640026Y-94130552D01*
-X102653910Y-94111832D01*
-X102669562Y-94094562D01*
-X102686832Y-94078910D01*
-X102705552Y-94065026D01*
-X102725543Y-94053044D01*
-X102746613Y-94043079D01*
-X102768557Y-94035227D01*
-X102791166Y-94029563D01*
-X102814221Y-94026144D01*
-X102837500Y-94025000D01*
-X103412500Y-94025000D01*
-X103435779Y-94026144D01*
-X103435779Y-94026144D01*
-G37*
-D15*
-X103125000Y-94500000D03*
-D14*
-G36*
-X101685779Y-94026144D02*
-G01*
-X101708834Y-94029563D01*
-X101731443Y-94035227D01*
-X101753387Y-94043079D01*
-X101774457Y-94053044D01*
-X101794448Y-94065026D01*
-X101813168Y-94078910D01*
-X101830438Y-94094562D01*
-X101846090Y-94111832D01*
-X101859974Y-94130552D01*
-X101871956Y-94150543D01*
-X101881921Y-94171613D01*
-X101889773Y-94193557D01*
-X101895437Y-94216166D01*
-X101898856Y-94239221D01*
-X101900000Y-94262500D01*
-X101900000Y-94737500D01*
-X101898856Y-94760779D01*
-X101895437Y-94783834D01*
-X101889773Y-94806443D01*
-X101881921Y-94828387D01*
-X101871956Y-94849457D01*
-X101859974Y-94869448D01*
-X101846090Y-94888168D01*
-X101830438Y-94905438D01*
-X101813168Y-94921090D01*
-X101794448Y-94934974D01*
-X101774457Y-94946956D01*
-X101753387Y-94956921D01*
-X101731443Y-94964773D01*
-X101708834Y-94970437D01*
-X101685779Y-94973856D01*
-X101662500Y-94975000D01*
-X101087500Y-94975000D01*
-X101064221Y-94973856D01*
-X101041166Y-94970437D01*
-X101018557Y-94964773D01*
-X100996613Y-94956921D01*
-X100975543Y-94946956D01*
-X100955552Y-94934974D01*
-X100936832Y-94921090D01*
-X100919562Y-94905438D01*
-X100903910Y-94888168D01*
-X100890026Y-94869448D01*
-X100878044Y-94849457D01*
-X100868079Y-94828387D01*
-X100860227Y-94806443D01*
-X100854563Y-94783834D01*
-X100851144Y-94760779D01*
-X100850000Y-94737500D01*
-X100850000Y-94262500D01*
-X100851144Y-94239221D01*
-X100854563Y-94216166D01*
-X100860227Y-94193557D01*
-X100868079Y-94171613D01*
-X100878044Y-94150543D01*
-X100890026Y-94130552D01*
-X100903910Y-94111832D01*
-X100919562Y-94094562D01*
-X100936832Y-94078910D01*
-X100955552Y-94065026D01*
-X100975543Y-94053044D01*
-X100996613Y-94043079D01*
-X101018557Y-94035227D01*
-X101041166Y-94029563D01*
-X101064221Y-94026144D01*
-X101087500Y-94025000D01*
-X101662500Y-94025000D01*
-X101685779Y-94026144D01*
-X101685779Y-94026144D01*
-G37*
-D15*
-X101375000Y-94500000D03*
-D14*
-G36*
-X99935779Y-94026144D02*
-G01*
-X99958834Y-94029563D01*
-X99981443Y-94035227D01*
-X100003387Y-94043079D01*
-X100024457Y-94053044D01*
-X100044448Y-94065026D01*
-X100063168Y-94078910D01*
-X100080438Y-94094562D01*
-X100096090Y-94111832D01*
-X100109974Y-94130552D01*
-X100121956Y-94150543D01*
-X100131921Y-94171613D01*
-X100139773Y-94193557D01*
-X100145437Y-94216166D01*
-X100148856Y-94239221D01*
-X100150000Y-94262500D01*
-X100150000Y-94737500D01*
-X100148856Y-94760779D01*
-X100145437Y-94783834D01*
-X100139773Y-94806443D01*
-X100131921Y-94828387D01*
-X100121956Y-94849457D01*
-X100109974Y-94869448D01*
-X100096090Y-94888168D01*
-X100080438Y-94905438D01*
-X100063168Y-94921090D01*
-X100044448Y-94934974D01*
-X100024457Y-94946956D01*
-X100003387Y-94956921D01*
-X99981443Y-94964773D01*
-X99958834Y-94970437D01*
-X99935779Y-94973856D01*
-X99912500Y-94975000D01*
-X99337500Y-94975000D01*
-X99314221Y-94973856D01*
-X99291166Y-94970437D01*
-X99268557Y-94964773D01*
-X99246613Y-94956921D01*
-X99225543Y-94946956D01*
-X99205552Y-94934974D01*
-X99186832Y-94921090D01*
-X99169562Y-94905438D01*
-X99153910Y-94888168D01*
-X99140026Y-94869448D01*
-X99128044Y-94849457D01*
-X99118079Y-94828387D01*
-X99110227Y-94806443D01*
-X99104563Y-94783834D01*
-X99101144Y-94760779D01*
-X99100000Y-94737500D01*
-X99100000Y-94262500D01*
-X99101144Y-94239221D01*
-X99104563Y-94216166D01*
-X99110227Y-94193557D01*
-X99118079Y-94171613D01*
-X99128044Y-94150543D01*
-X99140026Y-94130552D01*
-X99153910Y-94111832D01*
-X99169562Y-94094562D01*
-X99186832Y-94078910D01*
-X99205552Y-94065026D01*
-X99225543Y-94053044D01*
-X99246613Y-94043079D01*
-X99268557Y-94035227D01*
-X99291166Y-94029563D01*
-X99314221Y-94026144D01*
-X99337500Y-94025000D01*
-X99912500Y-94025000D01*
-X99935779Y-94026144D01*
-X99935779Y-94026144D01*
-G37*
-D15*
-X99625000Y-94500000D03*
-D14*
-G36*
-X105085779Y-87826144D02*
-G01*
-X105108834Y-87829563D01*
-X105131443Y-87835227D01*
-X105153387Y-87843079D01*
-X105174457Y-87853044D01*
-X105194448Y-87865026D01*
-X105213168Y-87878910D01*
-X105230438Y-87894562D01*
-X105246090Y-87911832D01*
-X105259974Y-87930552D01*
-X105271956Y-87950543D01*
-X105281921Y-87971613D01*
-X105289773Y-87993557D01*
-X105295437Y-88016166D01*
-X105298856Y-88039221D01*
-X105300000Y-88062500D01*
-X105300000Y-88537500D01*
-X105298856Y-88560779D01*
-X105295437Y-88583834D01*
-X105289773Y-88606443D01*
-X105281921Y-88628387D01*
-X105271956Y-88649457D01*
-X105259974Y-88669448D01*
-X105246090Y-88688168D01*
-X105230438Y-88705438D01*
-X105213168Y-88721090D01*
-X105194448Y-88734974D01*
-X105174457Y-88746956D01*
-X105153387Y-88756921D01*
-X105131443Y-88764773D01*
-X105108834Y-88770437D01*
-X105085779Y-88773856D01*
-X105062500Y-88775000D01*
-X104487500Y-88775000D01*
-X104464221Y-88773856D01*
-X104441166Y-88770437D01*
-X104418557Y-88764773D01*
-X104396613Y-88756921D01*
-X104375543Y-88746956D01*
-X104355552Y-88734974D01*
-X104336832Y-88721090D01*
-X104319562Y-88705438D01*
-X104303910Y-88688168D01*
-X104290026Y-88669448D01*
-X104278044Y-88649457D01*
-X104268079Y-88628387D01*
-X104260227Y-88606443D01*
-X104254563Y-88583834D01*
-X104251144Y-88560779D01*
-X104250000Y-88537500D01*
-X104250000Y-88062500D01*
-X104251144Y-88039221D01*
-X104254563Y-88016166D01*
-X104260227Y-87993557D01*
-X104268079Y-87971613D01*
-X104278044Y-87950543D01*
-X104290026Y-87930552D01*
-X104303910Y-87911832D01*
-X104319562Y-87894562D01*
-X104336832Y-87878910D01*
-X104355552Y-87865026D01*
-X104375543Y-87853044D01*
-X104396613Y-87843079D01*
-X104418557Y-87835227D01*
-X104441166Y-87829563D01*
-X104464221Y-87826144D01*
-X104487500Y-87825000D01*
-X105062500Y-87825000D01*
-X105085779Y-87826144D01*
-X105085779Y-87826144D01*
-G37*
-D15*
-X104775000Y-88300000D03*
-D14*
-G36*
-X103335779Y-87826144D02*
-G01*
-X103358834Y-87829563D01*
-X103381443Y-87835227D01*
-X103403387Y-87843079D01*
-X103424457Y-87853044D01*
-X103444448Y-87865026D01*
-X103463168Y-87878910D01*
-X103480438Y-87894562D01*
-X103496090Y-87911832D01*
-X103509974Y-87930552D01*
-X103521956Y-87950543D01*
-X103531921Y-87971613D01*
-X103539773Y-87993557D01*
-X103545437Y-88016166D01*
-X103548856Y-88039221D01*
-X103550000Y-88062500D01*
-X103550000Y-88537500D01*
-X103548856Y-88560779D01*
-X103545437Y-88583834D01*
-X103539773Y-88606443D01*
-X103531921Y-88628387D01*
-X103521956Y-88649457D01*
-X103509974Y-88669448D01*
-X103496090Y-88688168D01*
-X103480438Y-88705438D01*
-X103463168Y-88721090D01*
-X103444448Y-88734974D01*
-X103424457Y-88746956D01*
-X103403387Y-88756921D01*
-X103381443Y-88764773D01*
-X103358834Y-88770437D01*
-X103335779Y-88773856D01*
-X103312500Y-88775000D01*
-X102737500Y-88775000D01*
-X102714221Y-88773856D01*
-X102691166Y-88770437D01*
-X102668557Y-88764773D01*
-X102646613Y-88756921D01*
-X102625543Y-88746956D01*
-X102605552Y-88734974D01*
-X102586832Y-88721090D01*
-X102569562Y-88705438D01*
-X102553910Y-88688168D01*
-X102540026Y-88669448D01*
-X102528044Y-88649457D01*
-X102518079Y-88628387D01*
-X102510227Y-88606443D01*
-X102504563Y-88583834D01*
-X102501144Y-88560779D01*
-X102500000Y-88537500D01*
-X102500000Y-88062500D01*
-X102501144Y-88039221D01*
-X102504563Y-88016166D01*
-X102510227Y-87993557D01*
-X102518079Y-87971613D01*
-X102528044Y-87950543D01*
-X102540026Y-87930552D01*
-X102553910Y-87911832D01*
-X102569562Y-87894562D01*
-X102586832Y-87878910D01*
-X102605552Y-87865026D01*
-X102625543Y-87853044D01*
-X102646613Y-87843079D01*
-X102668557Y-87835227D01*
-X102691166Y-87829563D01*
-X102714221Y-87826144D01*
-X102737500Y-87825000D01*
-X103312500Y-87825000D01*
-X103335779Y-87826144D01*
-X103335779Y-87826144D01*
-G37*
-D15*
-X103025000Y-88300000D03*
-D14*
-G36*
-X101560779Y-85901144D02*
-G01*
-X101583834Y-85904563D01*
-X101606443Y-85910227D01*
-X101628387Y-85918079D01*
-X101649457Y-85928044D01*
-X101669448Y-85940026D01*
-X101688168Y-85953910D01*
-X101705438Y-85969562D01*
-X101721090Y-85986832D01*
-X101734974Y-86005552D01*
-X101746956Y-86025543D01*
-X101756921Y-86046613D01*
-X101764773Y-86068557D01*
-X101770437Y-86091166D01*
-X101773856Y-86114221D01*
-X101775000Y-86137500D01*
-X101775000Y-86712500D01*
-X101773856Y-86735779D01*
-X101770437Y-86758834D01*
-X101764773Y-86781443D01*
-X101756921Y-86803387D01*
-X101746956Y-86824457D01*
-X101734974Y-86844448D01*
-X101721090Y-86863168D01*
-X101705438Y-86880438D01*
-X101688168Y-86896090D01*
-X101669448Y-86909974D01*
-X101649457Y-86921956D01*
-X101628387Y-86931921D01*
-X101606443Y-86939773D01*
-X101583834Y-86945437D01*
-X101560779Y-86948856D01*
-X101537500Y-86950000D01*
-X101062500Y-86950000D01*
-X101039221Y-86948856D01*
-X101016166Y-86945437D01*
-X100993557Y-86939773D01*
-X100971613Y-86931921D01*
-X100950543Y-86921956D01*
-X100930552Y-86909974D01*
-X100911832Y-86896090D01*
-X100894562Y-86880438D01*
-X100878910Y-86863168D01*
-X100865026Y-86844448D01*
-X100853044Y-86824457D01*
-X100843079Y-86803387D01*
-X100835227Y-86781443D01*
-X100829563Y-86758834D01*
-X100826144Y-86735779D01*
-X100825000Y-86712500D01*
-X100825000Y-86137500D01*
-X100826144Y-86114221D01*
-X100829563Y-86091166D01*
-X100835227Y-86068557D01*
-X100843079Y-86046613D01*
-X100853044Y-86025543D01*
-X100865026Y-86005552D01*
-X100878910Y-85986832D01*
-X100894562Y-85969562D01*
-X100911832Y-85953910D01*
-X100930552Y-85940026D01*
-X100950543Y-85928044D01*
-X100971613Y-85918079D01*
-X100993557Y-85910227D01*
-X101016166Y-85904563D01*
-X101039221Y-85901144D01*
-X101062500Y-85900000D01*
-X101537500Y-85900000D01*
-X101560779Y-85901144D01*
-X101560779Y-85901144D01*
-G37*
-D15*
-X101300000Y-86425000D03*
-D14*
-G36*
-X101560779Y-87651144D02*
-G01*
-X101583834Y-87654563D01*
-X101606443Y-87660227D01*
-X101628387Y-87668079D01*
-X101649457Y-87678044D01*
-X101669448Y-87690026D01*
-X101688168Y-87703910D01*
-X101705438Y-87719562D01*
-X101721090Y-87736832D01*
-X101734974Y-87755552D01*
-X101746956Y-87775543D01*
-X101756921Y-87796613D01*
-X101764773Y-87818557D01*
-X101770437Y-87841166D01*
-X101773856Y-87864221D01*
-X101775000Y-87887500D01*
-X101775000Y-88462500D01*
-X101773856Y-88485779D01*
-X101770437Y-88508834D01*
-X101764773Y-88531443D01*
-X101756921Y-88553387D01*
-X101746956Y-88574457D01*
-X101734974Y-88594448D01*
-X101721090Y-88613168D01*
-X101705438Y-88630438D01*
-X101688168Y-88646090D01*
-X101669448Y-88659974D01*
-X101649457Y-88671956D01*
-X101628387Y-88681921D01*
-X101606443Y-88689773D01*
-X101583834Y-88695437D01*
-X101560779Y-88698856D01*
-X101537500Y-88700000D01*
-X101062500Y-88700000D01*
-X101039221Y-88698856D01*
-X101016166Y-88695437D01*
-X100993557Y-88689773D01*
-X100971613Y-88681921D01*
-X100950543Y-88671956D01*
-X100930552Y-88659974D01*
-X100911832Y-88646090D01*
-X100894562Y-88630438D01*
-X100878910Y-88613168D01*
-X100865026Y-88594448D01*
-X100853044Y-88574457D01*
-X100843079Y-88553387D01*
-X100835227Y-88531443D01*
-X100829563Y-88508834D01*
-X100826144Y-88485779D01*
-X100825000Y-88462500D01*
-X100825000Y-87887500D01*
-X100826144Y-87864221D01*
-X100829563Y-87841166D01*
-X100835227Y-87818557D01*
-X100843079Y-87796613D01*
-X100853044Y-87775543D01*
-X100865026Y-87755552D01*
-X100878910Y-87736832D01*
-X100894562Y-87719562D01*
-X100911832Y-87703910D01*
-X100930552Y-87690026D01*
-X100950543Y-87678044D01*
-X100971613Y-87668079D01*
-X100993557Y-87660227D01*
-X101016166Y-87654563D01*
-X101039221Y-87651144D01*
-X101062500Y-87650000D01*
-X101537500Y-87650000D01*
-X101560779Y-87651144D01*
-X101560779Y-87651144D01*
-G37*
-D15*
-X101300000Y-88175000D03*
-D14*
-G36*
-X134260779Y-89801144D02*
-G01*
-X134283834Y-89804563D01*
-X134306443Y-89810227D01*
-X134328387Y-89818079D01*
-X134349457Y-89828044D01*
-X134369448Y-89840026D01*
-X134388168Y-89853910D01*
-X134405438Y-89869562D01*
-X134421090Y-89886832D01*
-X134434974Y-89905552D01*
-X134446956Y-89925543D01*
-X134456921Y-89946613D01*
-X134464773Y-89968557D01*
-X134470437Y-89991166D01*
-X134473856Y-90014221D01*
-X134475000Y-90037500D01*
-X134475000Y-90612500D01*
-X134473856Y-90635779D01*
-X134470437Y-90658834D01*
-X134464773Y-90681443D01*
-X134456921Y-90703387D01*
-X134446956Y-90724457D01*
-X134434974Y-90744448D01*
-X134421090Y-90763168D01*
-X134405438Y-90780438D01*
-X134388168Y-90796090D01*
-X134369448Y-90809974D01*
-X134349457Y-90821956D01*
-X134328387Y-90831921D01*
-X134306443Y-90839773D01*
-X134283834Y-90845437D01*
-X134260779Y-90848856D01*
-X134237500Y-90850000D01*
-X133762500Y-90850000D01*
-X133739221Y-90848856D01*
-X133716166Y-90845437D01*
-X133693557Y-90839773D01*
-X133671613Y-90831921D01*
-X133650543Y-90821956D01*
-X133630552Y-90809974D01*
-X133611832Y-90796090D01*
-X133594562Y-90780438D01*
-X133578910Y-90763168D01*
-X133565026Y-90744448D01*
-X133553044Y-90724457D01*
-X133543079Y-90703387D01*
-X133535227Y-90681443D01*
-X133529563Y-90658834D01*
-X133526144Y-90635779D01*
-X133525000Y-90612500D01*
-X133525000Y-90037500D01*
-X133526144Y-90014221D01*
-X133529563Y-89991166D01*
-X133535227Y-89968557D01*
-X133543079Y-89946613D01*
-X133553044Y-89925543D01*
-X133565026Y-89905552D01*
-X133578910Y-89886832D01*
-X133594562Y-89869562D01*
-X133611832Y-89853910D01*
-X133630552Y-89840026D01*
-X133650543Y-89828044D01*
-X133671613Y-89818079D01*
-X133693557Y-89810227D01*
-X133716166Y-89804563D01*
-X133739221Y-89801144D01*
-X133762500Y-89800000D01*
-X134237500Y-89800000D01*
-X134260779Y-89801144D01*
-X134260779Y-89801144D01*
-G37*
-D15*
-X134000000Y-90325000D03*
-D14*
-G36*
-X134260779Y-91551144D02*
-G01*
-X134283834Y-91554563D01*
-X134306443Y-91560227D01*
-X134328387Y-91568079D01*
-X134349457Y-91578044D01*
-X134369448Y-91590026D01*
-X134388168Y-91603910D01*
-X134405438Y-91619562D01*
-X134421090Y-91636832D01*
-X134434974Y-91655552D01*
-X134446956Y-91675543D01*
-X134456921Y-91696613D01*
-X134464773Y-91718557D01*
-X134470437Y-91741166D01*
-X134473856Y-91764221D01*
-X134475000Y-91787500D01*
-X134475000Y-92362500D01*
-X134473856Y-92385779D01*
-X134470437Y-92408834D01*
-X134464773Y-92431443D01*
-X134456921Y-92453387D01*
-X134446956Y-92474457D01*
-X134434974Y-92494448D01*
-X134421090Y-92513168D01*
-X134405438Y-92530438D01*
-X134388168Y-92546090D01*
-X134369448Y-92559974D01*
-X134349457Y-92571956D01*
-X134328387Y-92581921D01*
-X134306443Y-92589773D01*
-X134283834Y-92595437D01*
-X134260779Y-92598856D01*
-X134237500Y-92600000D01*
-X133762500Y-92600000D01*
-X133739221Y-92598856D01*
-X133716166Y-92595437D01*
-X133693557Y-92589773D01*
-X133671613Y-92581921D01*
-X133650543Y-92571956D01*
-X133630552Y-92559974D01*
-X133611832Y-92546090D01*
-X133594562Y-92530438D01*
-X133578910Y-92513168D01*
-X133565026Y-92494448D01*
-X133553044Y-92474457D01*
-X133543079Y-92453387D01*
-X133535227Y-92431443D01*
-X133529563Y-92408834D01*
-X133526144Y-92385779D01*
-X133525000Y-92362500D01*
-X133525000Y-91787500D01*
-X133526144Y-91764221D01*
-X133529563Y-91741166D01*
-X133535227Y-91718557D01*
-X133543079Y-91696613D01*
-X133553044Y-91675543D01*
-X133565026Y-91655552D01*
-X133578910Y-91636832D01*
-X133594562Y-91619562D01*
-X133611832Y-91603910D01*
-X133630552Y-91590026D01*
-X133650543Y-91578044D01*
-X133671613Y-91568079D01*
-X133693557Y-91560227D01*
-X133716166Y-91554563D01*
-X133739221Y-91551144D01*
-X133762500Y-91550000D01*
-X134237500Y-91550000D01*
-X134260779Y-91551144D01*
-X134260779Y-91551144D01*
-G37*
-D15*
-X134000000Y-92075000D03*
-D14*
-G36*
-X140585779Y-85926144D02*
-G01*
-X140608834Y-85929563D01*
-X140631443Y-85935227D01*
-X140653387Y-85943079D01*
-X140674457Y-85953044D01*
-X140694448Y-85965026D01*
-X140713168Y-85978910D01*
-X140730438Y-85994562D01*
-X140746090Y-86011832D01*
-X140759974Y-86030552D01*
-X140771956Y-86050543D01*
-X140781921Y-86071613D01*
-X140789773Y-86093557D01*
-X140795437Y-86116166D01*
-X140798856Y-86139221D01*
-X140800000Y-86162500D01*
-X140800000Y-86637500D01*
-X140798856Y-86660779D01*
-X140795437Y-86683834D01*
-X140789773Y-86706443D01*
-X140781921Y-86728387D01*
-X140771956Y-86749457D01*
-X140759974Y-86769448D01*
-X140746090Y-86788168D01*
-X140730438Y-86805438D01*
-X140713168Y-86821090D01*
-X140694448Y-86834974D01*
-X140674457Y-86846956D01*
-X140653387Y-86856921D01*
-X140631443Y-86864773D01*
-X140608834Y-86870437D01*
-X140585779Y-86873856D01*
-X140562500Y-86875000D01*
-X139987500Y-86875000D01*
-X139964221Y-86873856D01*
-X139941166Y-86870437D01*
-X139918557Y-86864773D01*
-X139896613Y-86856921D01*
-X139875543Y-86846956D01*
-X139855552Y-86834974D01*
-X139836832Y-86821090D01*
-X139819562Y-86805438D01*
-X139803910Y-86788168D01*
-X139790026Y-86769448D01*
-X139778044Y-86749457D01*
-X139768079Y-86728387D01*
-X139760227Y-86706443D01*
-X139754563Y-86683834D01*
-X139751144Y-86660779D01*
-X139750000Y-86637500D01*
-X139750000Y-86162500D01*
-X139751144Y-86139221D01*
-X139754563Y-86116166D01*
-X139760227Y-86093557D01*
-X139768079Y-86071613D01*
-X139778044Y-86050543D01*
-X139790026Y-86030552D01*
-X139803910Y-86011832D01*
-X139819562Y-85994562D01*
-X139836832Y-85978910D01*
-X139855552Y-85965026D01*
-X139875543Y-85953044D01*
-X139896613Y-85943079D01*
-X139918557Y-85935227D01*
-X139941166Y-85929563D01*
-X139964221Y-85926144D01*
-X139987500Y-85925000D01*
-X140562500Y-85925000D01*
-X140585779Y-85926144D01*
-X140585779Y-85926144D01*
-G37*
-D15*
-X140275000Y-86400000D03*
-D14*
-G36*
-X138835779Y-85926144D02*
-G01*
-X138858834Y-85929563D01*
-X138881443Y-85935227D01*
-X138903387Y-85943079D01*
-X138924457Y-85953044D01*
-X138944448Y-85965026D01*
-X138963168Y-85978910D01*
-X138980438Y-85994562D01*
-X138996090Y-86011832D01*
-X139009974Y-86030552D01*
-X139021956Y-86050543D01*
-X139031921Y-86071613D01*
-X139039773Y-86093557D01*
-X139045437Y-86116166D01*
-X139048856Y-86139221D01*
-X139050000Y-86162500D01*
-X139050000Y-86637500D01*
-X139048856Y-86660779D01*
-X139045437Y-86683834D01*
-X139039773Y-86706443D01*
-X139031921Y-86728387D01*
-X139021956Y-86749457D01*
-X139009974Y-86769448D01*
-X138996090Y-86788168D01*
-X138980438Y-86805438D01*
-X138963168Y-86821090D01*
-X138944448Y-86834974D01*
-X138924457Y-86846956D01*
-X138903387Y-86856921D01*
-X138881443Y-86864773D01*
-X138858834Y-86870437D01*
-X138835779Y-86873856D01*
-X138812500Y-86875000D01*
-X138237500Y-86875000D01*
-X138214221Y-86873856D01*
-X138191166Y-86870437D01*
-X138168557Y-86864773D01*
-X138146613Y-86856921D01*
-X138125543Y-86846956D01*
-X138105552Y-86834974D01*
-X138086832Y-86821090D01*
-X138069562Y-86805438D01*
-X138053910Y-86788168D01*
-X138040026Y-86769448D01*
-X138028044Y-86749457D01*
-X138018079Y-86728387D01*
-X138010227Y-86706443D01*
-X138004563Y-86683834D01*
-X138001144Y-86660779D01*
-X138000000Y-86637500D01*
-X138000000Y-86162500D01*
-X138001144Y-86139221D01*
-X138004563Y-86116166D01*
-X138010227Y-86093557D01*
-X138018079Y-86071613D01*
-X138028044Y-86050543D01*
-X138040026Y-86030552D01*
-X138053910Y-86011832D01*
-X138069562Y-85994562D01*
-X138086832Y-85978910D01*
-X138105552Y-85965026D01*
-X138125543Y-85953044D01*
-X138146613Y-85943079D01*
-X138168557Y-85935227D01*
-X138191166Y-85929563D01*
-X138214221Y-85926144D01*
-X138237500Y-85925000D01*
-X138812500Y-85925000D01*
-X138835779Y-85926144D01*
-X138835779Y-85926144D01*
-G37*
-D15*
-X138525000Y-86400000D03*
-D16*
-X105100000Y-91300000D03*
-X107100000Y-90350000D03*
-X107100000Y-92250000D03*
-D11*
-X97600000Y-89850000D03*
-X97600000Y-91750000D03*
-X122200000Y-119050000D03*
-X122200000Y-120950000D03*
-D17*
-X95250000Y-79800000D03*
-X93350000Y-79800000D03*
-D18*
-X155780000Y-77200000D03*
-X158320000Y-77200000D03*
-X160860000Y-77200000D03*
-D19*
-X163400000Y-77200000D03*
-D20*
-X96000000Y-87300000D03*
-X95500000Y-87300000D03*
-X95000000Y-87300000D03*
-X94500000Y-87300000D03*
-X94000000Y-87300000D03*
-X94000000Y-91700000D03*
-X94500000Y-91700000D03*
-X95000000Y-91700000D03*
-X95500000Y-91700000D03*
-X96000000Y-91700000D03*
-D21*
-X119450000Y-75475000D03*
-X119450000Y-76125000D03*
-X119450000Y-76775000D03*
-X119450000Y-77425000D03*
-X119450000Y-78075000D03*
-X119450000Y-78725000D03*
-X119450000Y-79375000D03*
-X119450000Y-80025000D03*
-X119450000Y-80675000D03*
-X119450000Y-81325000D03*
-X125350000Y-81325000D03*
-X125350000Y-80675000D03*
-X125350000Y-80025000D03*
-X125350000Y-79375000D03*
-X125350000Y-78725000D03*
-X125350000Y-78075000D03*
-X125350000Y-77425000D03*
-X125350000Y-76775000D03*
-X125350000Y-76125000D03*
-X125350000Y-75475000D03*
-D14*
-G36*
-X111035779Y-66626144D02*
-G01*
-X111058834Y-66629563D01*
-X111081443Y-66635227D01*
-X111103387Y-66643079D01*
-X111124457Y-66653044D01*
-X111144448Y-66665026D01*
-X111163168Y-66678910D01*
-X111180438Y-66694562D01*
-X111196090Y-66711832D01*
-X111209974Y-66730552D01*
-X111221956Y-66750543D01*
-X111231921Y-66771613D01*
-X111239773Y-66793557D01*
-X111245437Y-66816166D01*
-X111248856Y-66839221D01*
-X111250000Y-66862500D01*
-X111250000Y-67337500D01*
-X111248856Y-67360779D01*
-X111245437Y-67383834D01*
-X111239773Y-67406443D01*
-X111231921Y-67428387D01*
-X111221956Y-67449457D01*
-X111209974Y-67469448D01*
-X111196090Y-67488168D01*
-X111180438Y-67505438D01*
-X111163168Y-67521090D01*
-X111144448Y-67534974D01*
-X111124457Y-67546956D01*
-X111103387Y-67556921D01*
-X111081443Y-67564773D01*
-X111058834Y-67570437D01*
-X111035779Y-67573856D01*
-X111012500Y-67575000D01*
-X110437500Y-67575000D01*
-X110414221Y-67573856D01*
-X110391166Y-67570437D01*
-X110368557Y-67564773D01*
-X110346613Y-67556921D01*
-X110325543Y-67546956D01*
-X110305552Y-67534974D01*
-X110286832Y-67521090D01*
-X110269562Y-67505438D01*
-X110253910Y-67488168D01*
-X110240026Y-67469448D01*
-X110228044Y-67449457D01*
-X110218079Y-67428387D01*
-X110210227Y-67406443D01*
-X110204563Y-67383834D01*
-X110201144Y-67360779D01*
-X110200000Y-67337500D01*
-X110200000Y-66862500D01*
-X110201144Y-66839221D01*
-X110204563Y-66816166D01*
-X110210227Y-66793557D01*
-X110218079Y-66771613D01*
-X110228044Y-66750543D01*
-X110240026Y-66730552D01*
-X110253910Y-66711832D01*
-X110269562Y-66694562D01*
-X110286832Y-66678910D01*
-X110305552Y-66665026D01*
-X110325543Y-66653044D01*
-X110346613Y-66643079D01*
-X110368557Y-66635227D01*
-X110391166Y-66629563D01*
-X110414221Y-66626144D01*
-X110437500Y-66625000D01*
-X111012500Y-66625000D01*
-X111035779Y-66626144D01*
-X111035779Y-66626144D01*
-G37*
-D15*
-X110725000Y-67100000D03*
-D14*
-G36*
-X112785779Y-66626144D02*
-G01*
-X112808834Y-66629563D01*
-X112831443Y-66635227D01*
-X112853387Y-66643079D01*
-X112874457Y-66653044D01*
-X112894448Y-66665026D01*
-X112913168Y-66678910D01*
-X112930438Y-66694562D01*
-X112946090Y-66711832D01*
-X112959974Y-66730552D01*
-X112971956Y-66750543D01*
-X112981921Y-66771613D01*
-X112989773Y-66793557D01*
-X112995437Y-66816166D01*
-X112998856Y-66839221D01*
-X113000000Y-66862500D01*
-X113000000Y-67337500D01*
-X112998856Y-67360779D01*
-X112995437Y-67383834D01*
-X112989773Y-67406443D01*
-X112981921Y-67428387D01*
-X112971956Y-67449457D01*
-X112959974Y-67469448D01*
-X112946090Y-67488168D01*
-X112930438Y-67505438D01*
-X112913168Y-67521090D01*
-X112894448Y-67534974D01*
-X112874457Y-67546956D01*
-X112853387Y-67556921D01*
-X112831443Y-67564773D01*
-X112808834Y-67570437D01*
-X112785779Y-67573856D01*
-X112762500Y-67575000D01*
-X112187500Y-67575000D01*
-X112164221Y-67573856D01*
-X112141166Y-67570437D01*
-X112118557Y-67564773D01*
-X112096613Y-67556921D01*
-X112075543Y-67546956D01*
-X112055552Y-67534974D01*
-X112036832Y-67521090D01*
-X112019562Y-67505438D01*
-X112003910Y-67488168D01*
-X111990026Y-67469448D01*
-X111978044Y-67449457D01*
-X111968079Y-67428387D01*
-X111960227Y-67406443D01*
-X111954563Y-67383834D01*
-X111951144Y-67360779D01*
-X111950000Y-67337500D01*
-X111950000Y-66862500D01*
-X111951144Y-66839221D01*
-X111954563Y-66816166D01*
-X111960227Y-66793557D01*
-X111968079Y-66771613D01*
-X111978044Y-66750543D01*
-X111990026Y-66730552D01*
-X112003910Y-66711832D01*
-X112019562Y-66694562D01*
-X112036832Y-66678910D01*
-X112055552Y-66665026D01*
-X112075543Y-66653044D01*
-X112096613Y-66643079D01*
-X112118557Y-66635227D01*
-X112141166Y-66629563D01*
-X112164221Y-66626144D01*
-X112187500Y-66625000D01*
-X112762500Y-66625000D01*
-X112785779Y-66626144D01*
-X112785779Y-66626144D01*
-G37*
-D15*
-X112475000Y-67100000D03*
-D22*
-X129450000Y-100000000D03*
-D23*
-X133500000Y-101905000D03*
-X133500000Y-100635000D03*
-X133500000Y-99365000D03*
-X133500000Y-98095000D03*
-D24*
-X126525000Y-98095000D03*
-X126525000Y-99365000D03*
-X126525000Y-100635000D03*
-X126525000Y-101905000D03*
-D22*
-X129450000Y-140000000D03*
-D23*
-X133500000Y-141905000D03*
-X133500000Y-140635000D03*
-X133500000Y-139365000D03*
-X133500000Y-138095000D03*
-D24*
-X126525000Y-138095000D03*
-X126525000Y-139365000D03*
-X126525000Y-140635000D03*
-X126525000Y-141905000D03*
-D22*
-X130550000Y-113333300D03*
-D23*
-X126500000Y-111428300D03*
-X126500000Y-112698300D03*
-X126500000Y-113968300D03*
-X126500000Y-115238300D03*
-D24*
-X133475000Y-115238300D03*
-X133475000Y-113968300D03*
-X133475000Y-112698300D03*
-X133475000Y-111428300D03*
-D22*
-X130550000Y-126666700D03*
-D23*
-X126500000Y-124761700D03*
-X126500000Y-126031700D03*
-X126500000Y-127301700D03*
-X126500000Y-128571700D03*
-D24*
-X133475000Y-128571700D03*
-X133475000Y-127301700D03*
-X133475000Y-126031700D03*
-X133475000Y-124761700D03*
-D17*
-X106750000Y-67100000D03*
-X108650000Y-67100000D03*
-X85250000Y-67950000D03*
-X83350000Y-67950000D03*
-X112524999Y-71174999D03*
-X110624999Y-71174999D03*
-D11*
-X128600000Y-77350000D03*
-X128600000Y-79250000D03*
-X156000000Y-90850000D03*
-X156000000Y-92750000D03*
-X80750000Y-82700000D03*
-X80750000Y-80800000D03*
-D17*
-X95250000Y-77900000D03*
-X93350000Y-77900000D03*
-X95250000Y-76100000D03*
-X93350000Y-76100000D03*
-X114450000Y-80450000D03*
-X112550000Y-80450000D03*
-X108850000Y-91500000D03*
-X110750000Y-91500000D03*
-D11*
-X109200000Y-77000000D03*
-X109200000Y-75100000D03*
-D17*
-X123650000Y-85900000D03*
-X125550000Y-85900000D03*
-X140450000Y-94250000D03*
-X138550000Y-94250000D03*
-X129950000Y-87900000D03*
-X131850000Y-87900000D03*
-X145050000Y-87900000D03*
-X146950000Y-87900000D03*
-X130000000Y-93300000D03*
-X131900000Y-93300000D03*
-X146950000Y-93300000D03*
-X145050000Y-93300000D03*
-D25*
-X102800000Y-67500000D03*
-X102800000Y-72900000D03*
-D26*
-X133470000Y-152000000D03*
-D27*
-X136130000Y-152000000D03*
-X86330000Y-152000000D03*
-D26*
-X83670000Y-152000000D03*
-D27*
-X86330000Y-147000000D03*
-D26*
-X83670000Y-147000000D03*
-X133470000Y-147000000D03*
-D27*
-X136130000Y-147000000D03*
-D28*
-X165000000Y-104840000D03*
-D29*
-X165000000Y-109920000D03*
-X165000000Y-115000000D03*
-X165000000Y-135080000D03*
-X165000000Y-130000000D03*
-D28*
-X165000000Y-124920000D03*
-D29*
-X75000000Y-124920000D03*
-X75000000Y-130000000D03*
-D28*
-X75000000Y-135080000D03*
-X75000000Y-115080000D03*
-D29*
-X75000000Y-110000000D03*
-X75000000Y-104920000D03*
-D30*
-X91000000Y-67650000D03*
-X91000000Y-72150000D03*
-D14*
-G36*
-X107135779Y-68626144D02*
-G01*
-X107158834Y-68629563D01*
-X107181443Y-68635227D01*
-X107203387Y-68643079D01*
-X107224457Y-68653044D01*
-X107244448Y-68665026D01*
-X107263168Y-68678910D01*
-X107280438Y-68694562D01*
-X107296090Y-68711832D01*
-X107309974Y-68730552D01*
-X107321956Y-68750543D01*
-X107331921Y-68771613D01*
-X107339773Y-68793557D01*
-X107345437Y-68816166D01*
-X107348856Y-68839221D01*
-X107350000Y-68862500D01*
-X107350000Y-69337500D01*
-X107348856Y-69360779D01*
-X107345437Y-69383834D01*
-X107339773Y-69406443D01*
-X107331921Y-69428387D01*
-X107321956Y-69449457D01*
-X107309974Y-69469448D01*
-X107296090Y-69488168D01*
-X107280438Y-69505438D01*
-X107263168Y-69521090D01*
-X107244448Y-69534974D01*
-X107224457Y-69546956D01*
-X107203387Y-69556921D01*
-X107181443Y-69564773D01*
-X107158834Y-69570437D01*
-X107135779Y-69573856D01*
-X107112500Y-69575000D01*
-X106537500Y-69575000D01*
-X106514221Y-69573856D01*
-X106491166Y-69570437D01*
-X106468557Y-69564773D01*
-X106446613Y-69556921D01*
-X106425543Y-69546956D01*
-X106405552Y-69534974D01*
-X106386832Y-69521090D01*
-X106369562Y-69505438D01*
-X106353910Y-69488168D01*
-X106340026Y-69469448D01*
-X106328044Y-69449457D01*
-X106318079Y-69428387D01*
-X106310227Y-69406443D01*
-X106304563Y-69383834D01*
-X106301144Y-69360779D01*
-X106300000Y-69337500D01*
-X106300000Y-68862500D01*
-X106301144Y-68839221D01*
-X106304563Y-68816166D01*
-X106310227Y-68793557D01*
-X106318079Y-68771613D01*
-X106328044Y-68750543D01*
-X106340026Y-68730552D01*
-X106353910Y-68711832D01*
-X106369562Y-68694562D01*
-X106386832Y-68678910D01*
-X106405552Y-68665026D01*
-X106425543Y-68653044D01*
-X106446613Y-68643079D01*
-X106468557Y-68635227D01*
-X106491166Y-68629563D01*
-X106514221Y-68626144D01*
-X106537500Y-68625000D01*
-X107112500Y-68625000D01*
-X107135779Y-68626144D01*
-X107135779Y-68626144D01*
-G37*
-D15*
-X106825000Y-69100000D03*
-D14*
-G36*
-X108885779Y-68626144D02*
-G01*
-X108908834Y-68629563D01*
-X108931443Y-68635227D01*
-X108953387Y-68643079D01*
-X108974457Y-68653044D01*
-X108994448Y-68665026D01*
-X109013168Y-68678910D01*
-X109030438Y-68694562D01*
-X109046090Y-68711832D01*
-X109059974Y-68730552D01*
-X109071956Y-68750543D01*
-X109081921Y-68771613D01*
-X109089773Y-68793557D01*
-X109095437Y-68816166D01*
-X109098856Y-68839221D01*
-X109100000Y-68862500D01*
-X109100000Y-69337500D01*
-X109098856Y-69360779D01*
-X109095437Y-69383834D01*
-X109089773Y-69406443D01*
-X109081921Y-69428387D01*
-X109071956Y-69449457D01*
-X109059974Y-69469448D01*
-X109046090Y-69488168D01*
-X109030438Y-69505438D01*
-X109013168Y-69521090D01*
-X108994448Y-69534974D01*
-X108974457Y-69546956D01*
-X108953387Y-69556921D01*
-X108931443Y-69564773D01*
-X108908834Y-69570437D01*
-X108885779Y-69573856D01*
-X108862500Y-69575000D01*
-X108287500Y-69575000D01*
-X108264221Y-69573856D01*
-X108241166Y-69570437D01*
-X108218557Y-69564773D01*
-X108196613Y-69556921D01*
-X108175543Y-69546956D01*
-X108155552Y-69534974D01*
-X108136832Y-69521090D01*
-X108119562Y-69505438D01*
-X108103910Y-69488168D01*
-X108090026Y-69469448D01*
-X108078044Y-69449457D01*
-X108068079Y-69428387D01*
-X108060227Y-69406443D01*
-X108054563Y-69383834D01*
-X108051144Y-69360779D01*
-X108050000Y-69337500D01*
-X108050000Y-68862500D01*
-X108051144Y-68839221D01*
-X108054563Y-68816166D01*
-X108060227Y-68793557D01*
-X108068079Y-68771613D01*
-X108078044Y-68750543D01*
-X108090026Y-68730552D01*
-X108103910Y-68711832D01*
-X108119562Y-68694562D01*
-X108136832Y-68678910D01*
-X108155552Y-68665026D01*
-X108175543Y-68653044D01*
-X108196613Y-68643079D01*
-X108218557Y-68635227D01*
-X108241166Y-68629563D01*
-X108264221Y-68626144D01*
-X108287500Y-68625000D01*
-X108862500Y-68625000D01*
-X108885779Y-68626144D01*
-X108885779Y-68626144D01*
-G37*
-D15*
-X108575000Y-69100000D03*
-D14*
-G36*
-X107160779Y-70601144D02*
-G01*
-X107183834Y-70604563D01*
-X107206443Y-70610227D01*
-X107228387Y-70618079D01*
-X107249457Y-70628044D01*
-X107269448Y-70640026D01*
-X107288168Y-70653910D01*
-X107305438Y-70669562D01*
-X107321090Y-70686832D01*
-X107334974Y-70705552D01*
-X107346956Y-70725543D01*
-X107356921Y-70746613D01*
-X107364773Y-70768557D01*
-X107370437Y-70791166D01*
-X107373856Y-70814221D01*
-X107375000Y-70837500D01*
-X107375000Y-71412500D01*
-X107373856Y-71435779D01*
-X107370437Y-71458834D01*
-X107364773Y-71481443D01*
-X107356921Y-71503387D01*
-X107346956Y-71524457D01*
-X107334974Y-71544448D01*
-X107321090Y-71563168D01*
-X107305438Y-71580438D01*
-X107288168Y-71596090D01*
-X107269448Y-71609974D01*
-X107249457Y-71621956D01*
-X107228387Y-71631921D01*
-X107206443Y-71639773D01*
-X107183834Y-71645437D01*
-X107160779Y-71648856D01*
-X107137500Y-71650000D01*
-X106662500Y-71650000D01*
-X106639221Y-71648856D01*
-X106616166Y-71645437D01*
-X106593557Y-71639773D01*
-X106571613Y-71631921D01*
-X106550543Y-71621956D01*
-X106530552Y-71609974D01*
-X106511832Y-71596090D01*
-X106494562Y-71580438D01*
-X106478910Y-71563168D01*
-X106465026Y-71544448D01*
-X106453044Y-71524457D01*
-X106443079Y-71503387D01*
-X106435227Y-71481443D01*
-X106429563Y-71458834D01*
-X106426144Y-71435779D01*
-X106425000Y-71412500D01*
-X106425000Y-70837500D01*
-X106426144Y-70814221D01*
-X106429563Y-70791166D01*
-X106435227Y-70768557D01*
-X106443079Y-70746613D01*
-X106453044Y-70725543D01*
-X106465026Y-70705552D01*
-X106478910Y-70686832D01*
-X106494562Y-70669562D01*
-X106511832Y-70653910D01*
-X106530552Y-70640026D01*
-X106550543Y-70628044D01*
-X106571613Y-70618079D01*
-X106593557Y-70610227D01*
-X106616166Y-70604563D01*
-X106639221Y-70601144D01*
-X106662500Y-70600000D01*
-X107137500Y-70600000D01*
-X107160779Y-70601144D01*
-X107160779Y-70601144D01*
-G37*
-D15*
-X106900000Y-71125000D03*
-D14*
-G36*
-X107160779Y-72351144D02*
-G01*
-X107183834Y-72354563D01*
-X107206443Y-72360227D01*
-X107228387Y-72368079D01*
-X107249457Y-72378044D01*
-X107269448Y-72390026D01*
-X107288168Y-72403910D01*
-X107305438Y-72419562D01*
-X107321090Y-72436832D01*
-X107334974Y-72455552D01*
-X107346956Y-72475543D01*
-X107356921Y-72496613D01*
-X107364773Y-72518557D01*
-X107370437Y-72541166D01*
-X107373856Y-72564221D01*
-X107375000Y-72587500D01*
-X107375000Y-73162500D01*
-X107373856Y-73185779D01*
-X107370437Y-73208834D01*
-X107364773Y-73231443D01*
-X107356921Y-73253387D01*
-X107346956Y-73274457D01*
-X107334974Y-73294448D01*
-X107321090Y-73313168D01*
-X107305438Y-73330438D01*
-X107288168Y-73346090D01*
-X107269448Y-73359974D01*
-X107249457Y-73371956D01*
-X107228387Y-73381921D01*
-X107206443Y-73389773D01*
-X107183834Y-73395437D01*
-X107160779Y-73398856D01*
-X107137500Y-73400000D01*
-X106662500Y-73400000D01*
-X106639221Y-73398856D01*
-X106616166Y-73395437D01*
-X106593557Y-73389773D01*
-X106571613Y-73381921D01*
-X106550543Y-73371956D01*
-X106530552Y-73359974D01*
-X106511832Y-73346090D01*
-X106494562Y-73330438D01*
-X106478910Y-73313168D01*
-X106465026Y-73294448D01*
-X106453044Y-73274457D01*
-X106443079Y-73253387D01*
-X106435227Y-73231443D01*
-X106429563Y-73208834D01*
-X106426144Y-73185779D01*
-X106425000Y-73162500D01*
-X106425000Y-72587500D01*
-X106426144Y-72564221D01*
-X106429563Y-72541166D01*
-X106435227Y-72518557D01*
-X106443079Y-72496613D01*
-X106453044Y-72475543D01*
-X106465026Y-72455552D01*
-X106478910Y-72436832D01*
-X106494562Y-72419562D01*
-X106511832Y-72403910D01*
-X106530552Y-72390026D01*
-X106550543Y-72378044D01*
-X106571613Y-72368079D01*
-X106593557Y-72360227D01*
-X106616166Y-72354563D01*
-X106639221Y-72351144D01*
-X106662500Y-72350000D01*
-X107137500Y-72350000D01*
-X107160779Y-72351144D01*
-X107160779Y-72351144D01*
-G37*
-D15*
-X106900000Y-72875000D03*
-D14*
-G36*
-X111035779Y-68626144D02*
-G01*
-X111058834Y-68629563D01*
-X111081443Y-68635227D01*
-X111103387Y-68643079D01*
-X111124457Y-68653044D01*
-X111144448Y-68665026D01*
-X111163168Y-68678910D01*
-X111180438Y-68694562D01*
-X111196090Y-68711832D01*
-X111209974Y-68730552D01*
-X111221956Y-68750543D01*
-X111231921Y-68771613D01*
-X111239773Y-68793557D01*
-X111245437Y-68816166D01*
-X111248856Y-68839221D01*
-X111250000Y-68862500D01*
-X111250000Y-69337500D01*
-X111248856Y-69360779D01*
-X111245437Y-69383834D01*
-X111239773Y-69406443D01*
-X111231921Y-69428387D01*
-X111221956Y-69449457D01*
-X111209974Y-69469448D01*
-X111196090Y-69488168D01*
-X111180438Y-69505438D01*
-X111163168Y-69521090D01*
-X111144448Y-69534974D01*
-X111124457Y-69546956D01*
-X111103387Y-69556921D01*
-X111081443Y-69564773D01*
-X111058834Y-69570437D01*
-X111035779Y-69573856D01*
-X111012500Y-69575000D01*
-X110437500Y-69575000D01*
-X110414221Y-69573856D01*
-X110391166Y-69570437D01*
-X110368557Y-69564773D01*
-X110346613Y-69556921D01*
-X110325543Y-69546956D01*
-X110305552Y-69534974D01*
-X110286832Y-69521090D01*
-X110269562Y-69505438D01*
-X110253910Y-69488168D01*
-X110240026Y-69469448D01*
-X110228044Y-69449457D01*
-X110218079Y-69428387D01*
-X110210227Y-69406443D01*
-X110204563Y-69383834D01*
-X110201144Y-69360779D01*
-X110200000Y-69337500D01*
-X110200000Y-68862500D01*
-X110201144Y-68839221D01*
-X110204563Y-68816166D01*
-X110210227Y-68793557D01*
-X110218079Y-68771613D01*
-X110228044Y-68750543D01*
-X110240026Y-68730552D01*
-X110253910Y-68711832D01*
-X110269562Y-68694562D01*
-X110286832Y-68678910D01*
-X110305552Y-68665026D01*
-X110325543Y-68653044D01*
-X110346613Y-68643079D01*
-X110368557Y-68635227D01*
-X110391166Y-68629563D01*
-X110414221Y-68626144D01*
-X110437500Y-68625000D01*
-X111012500Y-68625000D01*
-X111035779Y-68626144D01*
-X111035779Y-68626144D01*
-G37*
-D15*
-X110725000Y-69100000D03*
-D14*
-G36*
-X112785779Y-68626144D02*
-G01*
-X112808834Y-68629563D01*
-X112831443Y-68635227D01*
-X112853387Y-68643079D01*
-X112874457Y-68653044D01*
-X112894448Y-68665026D01*
-X112913168Y-68678910D01*
-X112930438Y-68694562D01*
-X112946090Y-68711832D01*
-X112959974Y-68730552D01*
-X112971956Y-68750543D01*
-X112981921Y-68771613D01*
-X112989773Y-68793557D01*
-X112995437Y-68816166D01*
-X112998856Y-68839221D01*
-X113000000Y-68862500D01*
-X113000000Y-69337500D01*
-X112998856Y-69360779D01*
-X112995437Y-69383834D01*
-X112989773Y-69406443D01*
-X112981921Y-69428387D01*
-X112971956Y-69449457D01*
-X112959974Y-69469448D01*
-X112946090Y-69488168D01*
-X112930438Y-69505438D01*
-X112913168Y-69521090D01*
-X112894448Y-69534974D01*
-X112874457Y-69546956D01*
-X112853387Y-69556921D01*
-X112831443Y-69564773D01*
-X112808834Y-69570437D01*
-X112785779Y-69573856D01*
-X112762500Y-69575000D01*
-X112187500Y-69575000D01*
-X112164221Y-69573856D01*
-X112141166Y-69570437D01*
-X112118557Y-69564773D01*
-X112096613Y-69556921D01*
-X112075543Y-69546956D01*
-X112055552Y-69534974D01*
-X112036832Y-69521090D01*
-X112019562Y-69505438D01*
-X112003910Y-69488168D01*
-X111990026Y-69469448D01*
-X111978044Y-69449457D01*
-X111968079Y-69428387D01*
-X111960227Y-69406443D01*
-X111954563Y-69383834D01*
-X111951144Y-69360779D01*
-X111950000Y-69337500D01*
-X111950000Y-68862500D01*
-X111951144Y-68839221D01*
-X111954563Y-68816166D01*
-X111960227Y-68793557D01*
-X111968079Y-68771613D01*
-X111978044Y-68750543D01*
-X111990026Y-68730552D01*
-X112003910Y-68711832D01*
-X112019562Y-68694562D01*
-X112036832Y-68678910D01*
-X112055552Y-68665026D01*
-X112075543Y-68653044D01*
-X112096613Y-68643079D01*
-X112118557Y-68635227D01*
-X112141166Y-68629563D01*
-X112164221Y-68626144D01*
-X112187500Y-68625000D01*
-X112762500Y-68625000D01*
-X112785779Y-68626144D01*
-X112785779Y-68626144D01*
-G37*
-D15*
-X112475000Y-69100000D03*
-D14*
-G36*
-X72199504Y-81126204D02*
-G01*
-X72223773Y-81129804D01*
-X72247571Y-81135765D01*
-X72270671Y-81144030D01*
-X72292849Y-81154520D01*
-X72313893Y-81167133D01*
-X72333598Y-81181747D01*
-X72351777Y-81198223D01*
-X72368253Y-81216402D01*
-X72382867Y-81236107D01*
-X72395480Y-81257151D01*
-X72405970Y-81279329D01*
-X72414235Y-81302429D01*
-X72420196Y-81326227D01*
-X72423796Y-81350496D01*
-X72425000Y-81375000D01*
-X72425000Y-82625000D01*
-X72423796Y-82649504D01*
-X72420196Y-82673773D01*
-X72414235Y-82697571D01*
-X72405970Y-82720671D01*
-X72395480Y-82742849D01*
-X72382867Y-82763893D01*
-X72368253Y-82783598D01*
-X72351777Y-82801777D01*
-X72333598Y-82818253D01*
-X72313893Y-82832867D01*
-X72292849Y-82845480D01*
-X72270671Y-82855970D01*
-X72247571Y-82864235D01*
-X72223773Y-82870196D01*
-X72199504Y-82873796D01*
-X72175000Y-82875000D01*
-X71250000Y-82875000D01*
-X71225496Y-82873796D01*
-X71201227Y-82870196D01*
-X71177429Y-82864235D01*
-X71154329Y-82855970D01*
-X71132151Y-82845480D01*
-X71111107Y-82832867D01*
-X71091402Y-82818253D01*
-X71073223Y-82801777D01*
-X71056747Y-82783598D01*
-X71042133Y-82763893D01*
-X71029520Y-82742849D01*
-X71019030Y-82720671D01*
-X71010765Y-82697571D01*
-X71004804Y-82673773D01*
-X71001204Y-82649504D01*
-X71000000Y-82625000D01*
-X71000000Y-81375000D01*
-X71001204Y-81350496D01*
-X71004804Y-81326227D01*
-X71010765Y-81302429D01*
-X71019030Y-81279329D01*
-X71029520Y-81257151D01*
-X71042133Y-81236107D01*
-X71056747Y-81216402D01*
-X71073223Y-81198223D01*
-X71091402Y-81181747D01*
-X71111107Y-81167133D01*
-X71132151Y-81154520D01*
-X71154329Y-81144030D01*
-X71177429Y-81135765D01*
-X71201227Y-81129804D01*
-X71225496Y-81126204D01*
-X71250000Y-81125000D01*
-X72175000Y-81125000D01*
-X72199504Y-81126204D01*
-X72199504Y-81126204D01*
-G37*
-D31*
-X71712500Y-82000000D03*
-D14*
-G36*
-X75174504Y-81126204D02*
-G01*
-X75198773Y-81129804D01*
-X75222571Y-81135765D01*
-X75245671Y-81144030D01*
-X75267849Y-81154520D01*
-X75288893Y-81167133D01*
-X75308598Y-81181747D01*
-X75326777Y-81198223D01*
-X75343253Y-81216402D01*
-X75357867Y-81236107D01*
-X75370480Y-81257151D01*
-X75380970Y-81279329D01*
-X75389235Y-81302429D01*
-X75395196Y-81326227D01*
-X75398796Y-81350496D01*
-X75400000Y-81375000D01*
-X75400000Y-82625000D01*
-X75398796Y-82649504D01*
-X75395196Y-82673773D01*
-X75389235Y-82697571D01*
-X75380970Y-82720671D01*
-X75370480Y-82742849D01*
-X75357867Y-82763893D01*
-X75343253Y-82783598D01*
-X75326777Y-82801777D01*
-X75308598Y-82818253D01*
-X75288893Y-82832867D01*
-X75267849Y-82845480D01*
-X75245671Y-82855970D01*
-X75222571Y-82864235D01*
-X75198773Y-82870196D01*
-X75174504Y-82873796D01*
-X75150000Y-82875000D01*
-X74225000Y-82875000D01*
-X74200496Y-82873796D01*
-X74176227Y-82870196D01*
-X74152429Y-82864235D01*
-X74129329Y-82855970D01*
-X74107151Y-82845480D01*
-X74086107Y-82832867D01*
-X74066402Y-82818253D01*
-X74048223Y-82801777D01*
-X74031747Y-82783598D01*
-X74017133Y-82763893D01*
-X74004520Y-82742849D01*
-X73994030Y-82720671D01*
-X73985765Y-82697571D01*
-X73979804Y-82673773D01*
-X73976204Y-82649504D01*
-X73975000Y-82625000D01*
-X73975000Y-81375000D01*
-X73976204Y-81350496D01*
-X73979804Y-81326227D01*
-X73985765Y-81302429D01*
-X73994030Y-81279329D01*
-X74004520Y-81257151D01*
-X74017133Y-81236107D01*
-X74031747Y-81216402D01*
-X74048223Y-81198223D01*
-X74066402Y-81181747D01*
-X74086107Y-81167133D01*
-X74107151Y-81154520D01*
-X74129329Y-81144030D01*
-X74152429Y-81135765D01*
-X74176227Y-81129804D01*
-X74200496Y-81126204D01*
-X74225000Y-81125000D01*
-X75150000Y-81125000D01*
-X75174504Y-81126204D01*
-X75174504Y-81126204D01*
-G37*
-D31*
-X74687500Y-82000000D03*
-D14*
-G36*
-X116235779Y-92676144D02*
-G01*
-X116258834Y-92679563D01*
-X116281443Y-92685227D01*
-X116303387Y-92693079D01*
-X116324457Y-92703044D01*
-X116344448Y-92715026D01*
-X116363168Y-92728910D01*
-X116380438Y-92744562D01*
-X116396090Y-92761832D01*
-X116409974Y-92780552D01*
-X116421956Y-92800543D01*
-X116431921Y-92821613D01*
-X116439773Y-92843557D01*
-X116445437Y-92866166D01*
-X116448856Y-92889221D01*
-X116450000Y-92912500D01*
-X116450000Y-93387500D01*
-X116448856Y-93410779D01*
-X116445437Y-93433834D01*
-X116439773Y-93456443D01*
-X116431921Y-93478387D01*
-X116421956Y-93499457D01*
-X116409974Y-93519448D01*
-X116396090Y-93538168D01*
-X116380438Y-93555438D01*
-X116363168Y-93571090D01*
-X116344448Y-93584974D01*
-X116324457Y-93596956D01*
-X116303387Y-93606921D01*
-X116281443Y-93614773D01*
-X116258834Y-93620437D01*
-X116235779Y-93623856D01*
-X116212500Y-93625000D01*
-X115637500Y-93625000D01*
-X115614221Y-93623856D01*
-X115591166Y-93620437D01*
-X115568557Y-93614773D01*
-X115546613Y-93606921D01*
-X115525543Y-93596956D01*
-X115505552Y-93584974D01*
-X115486832Y-93571090D01*
-X115469562Y-93555438D01*
-X115453910Y-93538168D01*
-X115440026Y-93519448D01*
-X115428044Y-93499457D01*
-X115418079Y-93478387D01*
-X115410227Y-93456443D01*
-X115404563Y-93433834D01*
-X115401144Y-93410779D01*
-X115400000Y-93387500D01*
-X115400000Y-92912500D01*
-X115401144Y-92889221D01*
-X115404563Y-92866166D01*
-X115410227Y-92843557D01*
-X115418079Y-92821613D01*
-X115428044Y-92800543D01*
-X115440026Y-92780552D01*
-X115453910Y-92761832D01*
-X115469562Y-92744562D01*
-X115486832Y-92728910D01*
-X115505552Y-92715026D01*
-X115525543Y-92703044D01*
-X115546613Y-92693079D01*
-X115568557Y-92685227D01*
-X115591166Y-92679563D01*
-X115614221Y-92676144D01*
-X115637500Y-92675000D01*
-X116212500Y-92675000D01*
-X116235779Y-92676144D01*
-X116235779Y-92676144D01*
-G37*
-D15*
-X115925000Y-93150000D03*
-D14*
-G36*
-X117985779Y-92676144D02*
-G01*
-X118008834Y-92679563D01*
-X118031443Y-92685227D01*
-X118053387Y-92693079D01*
-X118074457Y-92703044D01*
-X118094448Y-92715026D01*
-X118113168Y-92728910D01*
-X118130438Y-92744562D01*
-X118146090Y-92761832D01*
-X118159974Y-92780552D01*
-X118171956Y-92800543D01*
-X118181921Y-92821613D01*
-X118189773Y-92843557D01*
-X118195437Y-92866166D01*
-X118198856Y-92889221D01*
-X118200000Y-92912500D01*
-X118200000Y-93387500D01*
-X118198856Y-93410779D01*
-X118195437Y-93433834D01*
-X118189773Y-93456443D01*
-X118181921Y-93478387D01*
-X118171956Y-93499457D01*
-X118159974Y-93519448D01*
-X118146090Y-93538168D01*
-X118130438Y-93555438D01*
-X118113168Y-93571090D01*
-X118094448Y-93584974D01*
-X118074457Y-93596956D01*
-X118053387Y-93606921D01*
-X118031443Y-93614773D01*
-X118008834Y-93620437D01*
-X117985779Y-93623856D01*
-X117962500Y-93625000D01*
-X117387500Y-93625000D01*
-X117364221Y-93623856D01*
-X117341166Y-93620437D01*
-X117318557Y-93614773D01*
-X117296613Y-93606921D01*
-X117275543Y-93596956D01*
-X117255552Y-93584974D01*
-X117236832Y-93571090D01*
-X117219562Y-93555438D01*
-X117203910Y-93538168D01*
-X117190026Y-93519448D01*
-X117178044Y-93499457D01*
-X117168079Y-93478387D01*
-X117160227Y-93456443D01*
-X117154563Y-93433834D01*
-X117151144Y-93410779D01*
-X117150000Y-93387500D01*
-X117150000Y-92912500D01*
-X117151144Y-92889221D01*
-X117154563Y-92866166D01*
-X117160227Y-92843557D01*
-X117168079Y-92821613D01*
-X117178044Y-92800543D01*
-X117190026Y-92780552D01*
-X117203910Y-92761832D01*
-X117219562Y-92744562D01*
-X117236832Y-92728910D01*
-X117255552Y-92715026D01*
-X117275543Y-92703044D01*
-X117296613Y-92693079D01*
-X117318557Y-92685227D01*
-X117341166Y-92679563D01*
-X117364221Y-92676144D01*
-X117387500Y-92675000D01*
-X117962500Y-92675000D01*
-X117985779Y-92676144D01*
-X117985779Y-92676144D01*
-G37*
-D15*
-X117675000Y-93150000D03*
-D14*
-G36*
-X115335779Y-91026144D02*
-G01*
-X115358834Y-91029563D01*
-X115381443Y-91035227D01*
-X115403387Y-91043079D01*
-X115424457Y-91053044D01*
-X115444448Y-91065026D01*
-X115463168Y-91078910D01*
-X115480438Y-91094562D01*
-X115496090Y-91111832D01*
-X115509974Y-91130552D01*
-X115521956Y-91150543D01*
-X115531921Y-91171613D01*
-X115539773Y-91193557D01*
-X115545437Y-91216166D01*
-X115548856Y-91239221D01*
-X115550000Y-91262500D01*
-X115550000Y-91737500D01*
-X115548856Y-91760779D01*
-X115545437Y-91783834D01*
-X115539773Y-91806443D01*
-X115531921Y-91828387D01*
-X115521956Y-91849457D01*
-X115509974Y-91869448D01*
-X115496090Y-91888168D01*
-X115480438Y-91905438D01*
-X115463168Y-91921090D01*
-X115444448Y-91934974D01*
-X115424457Y-91946956D01*
-X115403387Y-91956921D01*
-X115381443Y-91964773D01*
-X115358834Y-91970437D01*
-X115335779Y-91973856D01*
-X115312500Y-91975000D01*
-X114737500Y-91975000D01*
-X114714221Y-91973856D01*
-X114691166Y-91970437D01*
-X114668557Y-91964773D01*
-X114646613Y-91956921D01*
-X114625543Y-91946956D01*
-X114605552Y-91934974D01*
-X114586832Y-91921090D01*
-X114569562Y-91905438D01*
-X114553910Y-91888168D01*
-X114540026Y-91869448D01*
-X114528044Y-91849457D01*
-X114518079Y-91828387D01*
-X114510227Y-91806443D01*
-X114504563Y-91783834D01*
-X114501144Y-91760779D01*
-X114500000Y-91737500D01*
-X114500000Y-91262500D01*
-X114501144Y-91239221D01*
-X114504563Y-91216166D01*
-X114510227Y-91193557D01*
-X114518079Y-91171613D01*
-X114528044Y-91150543D01*
-X114540026Y-91130552D01*
-X114553910Y-91111832D01*
-X114569562Y-91094562D01*
-X114586832Y-91078910D01*
-X114605552Y-91065026D01*
-X114625543Y-91053044D01*
-X114646613Y-91043079D01*
-X114668557Y-91035227D01*
-X114691166Y-91029563D01*
-X114714221Y-91026144D01*
-X114737500Y-91025000D01*
-X115312500Y-91025000D01*
-X115335779Y-91026144D01*
-X115335779Y-91026144D01*
-G37*
-D15*
-X115025000Y-91500000D03*
-D14*
-G36*
-X113585779Y-91026144D02*
-G01*
-X113608834Y-91029563D01*
-X113631443Y-91035227D01*
-X113653387Y-91043079D01*
-X113674457Y-91053044D01*
-X113694448Y-91065026D01*
-X113713168Y-91078910D01*
-X113730438Y-91094562D01*
-X113746090Y-91111832D01*
-X113759974Y-91130552D01*
-X113771956Y-91150543D01*
-X113781921Y-91171613D01*
-X113789773Y-91193557D01*
-X113795437Y-91216166D01*
-X113798856Y-91239221D01*
-X113800000Y-91262500D01*
-X113800000Y-91737500D01*
-X113798856Y-91760779D01*
-X113795437Y-91783834D01*
-X113789773Y-91806443D01*
-X113781921Y-91828387D01*
-X113771956Y-91849457D01*
-X113759974Y-91869448D01*
-X113746090Y-91888168D01*
-X113730438Y-91905438D01*
-X113713168Y-91921090D01*
-X113694448Y-91934974D01*
-X113674457Y-91946956D01*
-X113653387Y-91956921D01*
-X113631443Y-91964773D01*
-X113608834Y-91970437D01*
-X113585779Y-91973856D01*
-X113562500Y-91975000D01*
-X112987500Y-91975000D01*
-X112964221Y-91973856D01*
-X112941166Y-91970437D01*
-X112918557Y-91964773D01*
-X112896613Y-91956921D01*
-X112875543Y-91946956D01*
-X112855552Y-91934974D01*
-X112836832Y-91921090D01*
-X112819562Y-91905438D01*
-X112803910Y-91888168D01*
-X112790026Y-91869448D01*
-X112778044Y-91849457D01*
-X112768079Y-91828387D01*
-X112760227Y-91806443D01*
-X112754563Y-91783834D01*
-X112751144Y-91760779D01*
-X112750000Y-91737500D01*
-X112750000Y-91262500D01*
-X112751144Y-91239221D01*
-X112754563Y-91216166D01*
-X112760227Y-91193557D01*
-X112768079Y-91171613D01*
-X112778044Y-91150543D01*
-X112790026Y-91130552D01*
-X112803910Y-91111832D01*
-X112819562Y-91094562D01*
-X112836832Y-91078910D01*
-X112855552Y-91065026D01*
-X112875543Y-91053044D01*
-X112896613Y-91043079D01*
-X112918557Y-91035227D01*
-X112941166Y-91029563D01*
-X112964221Y-91026144D01*
-X112987500Y-91025000D01*
-X113562500Y-91025000D01*
-X113585779Y-91026144D01*
-X113585779Y-91026144D01*
-G37*
-D15*
-X113275000Y-91500000D03*
-D14*
-G36*
-X110060779Y-79201144D02*
-G01*
-X110083834Y-79204563D01*
-X110106443Y-79210227D01*
-X110128387Y-79218079D01*
-X110149457Y-79228044D01*
-X110169448Y-79240026D01*
-X110188168Y-79253910D01*
-X110205438Y-79269562D01*
-X110221090Y-79286832D01*
-X110234974Y-79305552D01*
-X110246956Y-79325543D01*
-X110256921Y-79346613D01*
-X110264773Y-79368557D01*
-X110270437Y-79391166D01*
-X110273856Y-79414221D01*
-X110275000Y-79437500D01*
-X110275000Y-80012500D01*
-X110273856Y-80035779D01*
-X110270437Y-80058834D01*
-X110264773Y-80081443D01*
-X110256921Y-80103387D01*
-X110246956Y-80124457D01*
-X110234974Y-80144448D01*
-X110221090Y-80163168D01*
-X110205438Y-80180438D01*
-X110188168Y-80196090D01*
-X110169448Y-80209974D01*
-X110149457Y-80221956D01*
-X110128387Y-80231921D01*
-X110106443Y-80239773D01*
-X110083834Y-80245437D01*
-X110060779Y-80248856D01*
-X110037500Y-80250000D01*
-X109562500Y-80250000D01*
-X109539221Y-80248856D01*
-X109516166Y-80245437D01*
-X109493557Y-80239773D01*
-X109471613Y-80231921D01*
-X109450543Y-80221956D01*
-X109430552Y-80209974D01*
-X109411832Y-80196090D01*
-X109394562Y-80180438D01*
-X109378910Y-80163168D01*
-X109365026Y-80144448D01*
-X109353044Y-80124457D01*
-X109343079Y-80103387D01*
-X109335227Y-80081443D01*
-X109329563Y-80058834D01*
-X109326144Y-80035779D01*
-X109325000Y-80012500D01*
-X109325000Y-79437500D01*
-X109326144Y-79414221D01*
-X109329563Y-79391166D01*
-X109335227Y-79368557D01*
-X109343079Y-79346613D01*
-X109353044Y-79325543D01*
-X109365026Y-79305552D01*
-X109378910Y-79286832D01*
-X109394562Y-79269562D01*
-X109411832Y-79253910D01*
-X109430552Y-79240026D01*
-X109450543Y-79228044D01*
-X109471613Y-79218079D01*
-X109493557Y-79210227D01*
-X109516166Y-79204563D01*
-X109539221Y-79201144D01*
-X109562500Y-79200000D01*
-X110037500Y-79200000D01*
-X110060779Y-79201144D01*
-X110060779Y-79201144D01*
-G37*
-D15*
-X109800000Y-79725000D03*
-D14*
-G36*
-X110060779Y-80951144D02*
-G01*
-X110083834Y-80954563D01*
-X110106443Y-80960227D01*
-X110128387Y-80968079D01*
-X110149457Y-80978044D01*
-X110169448Y-80990026D01*
-X110188168Y-81003910D01*
-X110205438Y-81019562D01*
-X110221090Y-81036832D01*
-X110234974Y-81055552D01*
-X110246956Y-81075543D01*
-X110256921Y-81096613D01*
-X110264773Y-81118557D01*
-X110270437Y-81141166D01*
-X110273856Y-81164221D01*
-X110275000Y-81187500D01*
-X110275000Y-81762500D01*
-X110273856Y-81785779D01*
-X110270437Y-81808834D01*
-X110264773Y-81831443D01*
-X110256921Y-81853387D01*
-X110246956Y-81874457D01*
-X110234974Y-81894448D01*
-X110221090Y-81913168D01*
-X110205438Y-81930438D01*
-X110188168Y-81946090D01*
-X110169448Y-81959974D01*
-X110149457Y-81971956D01*
-X110128387Y-81981921D01*
-X110106443Y-81989773D01*
-X110083834Y-81995437D01*
-X110060779Y-81998856D01*
-X110037500Y-82000000D01*
-X109562500Y-82000000D01*
-X109539221Y-81998856D01*
-X109516166Y-81995437D01*
-X109493557Y-81989773D01*
-X109471613Y-81981921D01*
-X109450543Y-81971956D01*
-X109430552Y-81959974D01*
-X109411832Y-81946090D01*
-X109394562Y-81930438D01*
-X109378910Y-81913168D01*
-X109365026Y-81894448D01*
-X109353044Y-81874457D01*
-X109343079Y-81853387D01*
-X109335227Y-81831443D01*
-X109329563Y-81808834D01*
-X109326144Y-81785779D01*
-X109325000Y-81762500D01*
-X109325000Y-81187500D01*
-X109326144Y-81164221D01*
-X109329563Y-81141166D01*
-X109335227Y-81118557D01*
-X109343079Y-81096613D01*
-X109353044Y-81075543D01*
-X109365026Y-81055552D01*
-X109378910Y-81036832D01*
-X109394562Y-81019562D01*
-X109411832Y-81003910D01*
-X109430552Y-80990026D01*
-X109450543Y-80978044D01*
-X109471613Y-80968079D01*
-X109493557Y-80960227D01*
-X109516166Y-80954563D01*
-X109539221Y-80951144D01*
-X109562500Y-80950000D01*
-X110037500Y-80950000D01*
-X110060779Y-80951144D01*
-X110060779Y-80951144D01*
-G37*
-D15*
-X109800000Y-81475000D03*
-D14*
-G36*
-X129235779Y-149726144D02*
-G01*
-X129258834Y-149729563D01*
-X129281443Y-149735227D01*
-X129303387Y-149743079D01*
-X129324457Y-149753044D01*
-X129344448Y-149765026D01*
-X129363168Y-149778910D01*
-X129380438Y-149794562D01*
-X129396090Y-149811832D01*
-X129409974Y-149830552D01*
-X129421956Y-149850543D01*
-X129431921Y-149871613D01*
-X129439773Y-149893557D01*
-X129445437Y-149916166D01*
-X129448856Y-149939221D01*
-X129450000Y-149962500D01*
-X129450000Y-150437500D01*
-X129448856Y-150460779D01*
-X129445437Y-150483834D01*
-X129439773Y-150506443D01*
-X129431921Y-150528387D01*
-X129421956Y-150549457D01*
-X129409974Y-150569448D01*
-X129396090Y-150588168D01*
-X129380438Y-150605438D01*
-X129363168Y-150621090D01*
-X129344448Y-150634974D01*
-X129324457Y-150646956D01*
-X129303387Y-150656921D01*
-X129281443Y-150664773D01*
-X129258834Y-150670437D01*
-X129235779Y-150673856D01*
-X129212500Y-150675000D01*
-X128637500Y-150675000D01*
-X128614221Y-150673856D01*
-X128591166Y-150670437D01*
-X128568557Y-150664773D01*
-X128546613Y-150656921D01*
-X128525543Y-150646956D01*
-X128505552Y-150634974D01*
-X128486832Y-150621090D01*
-X128469562Y-150605438D01*
-X128453910Y-150588168D01*
-X128440026Y-150569448D01*
-X128428044Y-150549457D01*
-X128418079Y-150528387D01*
-X128410227Y-150506443D01*
-X128404563Y-150483834D01*
-X128401144Y-150460779D01*
-X128400000Y-150437500D01*
-X128400000Y-149962500D01*
-X128401144Y-149939221D01*
-X128404563Y-149916166D01*
-X128410227Y-149893557D01*
-X128418079Y-149871613D01*
-X128428044Y-149850543D01*
-X128440026Y-149830552D01*
-X128453910Y-149811832D01*
-X128469562Y-149794562D01*
-X128486832Y-149778910D01*
-X128505552Y-149765026D01*
-X128525543Y-149753044D01*
-X128546613Y-149743079D01*
-X128568557Y-149735227D01*
-X128591166Y-149729563D01*
-X128614221Y-149726144D01*
-X128637500Y-149725000D01*
-X129212500Y-149725000D01*
-X129235779Y-149726144D01*
-X129235779Y-149726144D01*
-G37*
-D15*
-X128925000Y-150200000D03*
-D14*
-G36*
-X130985779Y-149726144D02*
-G01*
-X131008834Y-149729563D01*
-X131031443Y-149735227D01*
-X131053387Y-149743079D01*
-X131074457Y-149753044D01*
-X131094448Y-149765026D01*
-X131113168Y-149778910D01*
-X131130438Y-149794562D01*
-X131146090Y-149811832D01*
-X131159974Y-149830552D01*
-X131171956Y-149850543D01*
-X131181921Y-149871613D01*
-X131189773Y-149893557D01*
-X131195437Y-149916166D01*
-X131198856Y-149939221D01*
-X131200000Y-149962500D01*
-X131200000Y-150437500D01*
-X131198856Y-150460779D01*
-X131195437Y-150483834D01*
-X131189773Y-150506443D01*
-X131181921Y-150528387D01*
-X131171956Y-150549457D01*
-X131159974Y-150569448D01*
-X131146090Y-150588168D01*
-X131130438Y-150605438D01*
-X131113168Y-150621090D01*
-X131094448Y-150634974D01*
-X131074457Y-150646956D01*
-X131053387Y-150656921D01*
-X131031443Y-150664773D01*
-X131008834Y-150670437D01*
-X130985779Y-150673856D01*
-X130962500Y-150675000D01*
-X130387500Y-150675000D01*
-X130364221Y-150673856D01*
-X130341166Y-150670437D01*
-X130318557Y-150664773D01*
-X130296613Y-150656921D01*
-X130275543Y-150646956D01*
-X130255552Y-150634974D01*
-X130236832Y-150621090D01*
-X130219562Y-150605438D01*
-X130203910Y-150588168D01*
-X130190026Y-150569448D01*
-X130178044Y-150549457D01*
-X130168079Y-150528387D01*
-X130160227Y-150506443D01*
-X130154563Y-150483834D01*
-X130151144Y-150460779D01*
-X130150000Y-150437500D01*
-X130150000Y-149962500D01*
-X130151144Y-149939221D01*
-X130154563Y-149916166D01*
-X130160227Y-149893557D01*
-X130168079Y-149871613D01*
-X130178044Y-149850543D01*
-X130190026Y-149830552D01*
-X130203910Y-149811832D01*
-X130219562Y-149794562D01*
-X130236832Y-149778910D01*
-X130255552Y-149765026D01*
-X130275543Y-149753044D01*
-X130296613Y-149743079D01*
-X130318557Y-149735227D01*
-X130341166Y-149729563D01*
-X130364221Y-149726144D01*
-X130387500Y-149725000D01*
-X130962500Y-149725000D01*
-X130985779Y-149726144D01*
-X130985779Y-149726144D01*
-G37*
-D15*
-X130675000Y-150200000D03*
-D14*
-G36*
-X81310779Y-150601144D02*
-G01*
-X81333834Y-150604563D01*
-X81356443Y-150610227D01*
-X81378387Y-150618079D01*
-X81399457Y-150628044D01*
-X81419448Y-150640026D01*
-X81438168Y-150653910D01*
-X81455438Y-150669562D01*
-X81471090Y-150686832D01*
-X81484974Y-150705552D01*
-X81496956Y-150725543D01*
-X81506921Y-150746613D01*
-X81514773Y-150768557D01*
-X81520437Y-150791166D01*
-X81523856Y-150814221D01*
-X81525000Y-150837500D01*
-X81525000Y-151412500D01*
-X81523856Y-151435779D01*
-X81520437Y-151458834D01*
-X81514773Y-151481443D01*
-X81506921Y-151503387D01*
-X81496956Y-151524457D01*
-X81484974Y-151544448D01*
-X81471090Y-151563168D01*
-X81455438Y-151580438D01*
-X81438168Y-151596090D01*
-X81419448Y-151609974D01*
-X81399457Y-151621956D01*
-X81378387Y-151631921D01*
-X81356443Y-151639773D01*
-X81333834Y-151645437D01*
-X81310779Y-151648856D01*
-X81287500Y-151650000D01*
-X80812500Y-151650000D01*
-X80789221Y-151648856D01*
-X80766166Y-151645437D01*
-X80743557Y-151639773D01*
-X80721613Y-151631921D01*
-X80700543Y-151621956D01*
-X80680552Y-151609974D01*
-X80661832Y-151596090D01*
-X80644562Y-151580438D01*
-X80628910Y-151563168D01*
-X80615026Y-151544448D01*
-X80603044Y-151524457D01*
-X80593079Y-151503387D01*
-X80585227Y-151481443D01*
-X80579563Y-151458834D01*
-X80576144Y-151435779D01*
-X80575000Y-151412500D01*
-X80575000Y-150837500D01*
-X80576144Y-150814221D01*
-X80579563Y-150791166D01*
-X80585227Y-150768557D01*
-X80593079Y-150746613D01*
-X80603044Y-150725543D01*
-X80615026Y-150705552D01*
-X80628910Y-150686832D01*
-X80644562Y-150669562D01*
-X80661832Y-150653910D01*
-X80680552Y-150640026D01*
-X80700543Y-150628044D01*
-X80721613Y-150618079D01*
-X80743557Y-150610227D01*
-X80766166Y-150604563D01*
-X80789221Y-150601144D01*
-X80812500Y-150600000D01*
-X81287500Y-150600000D01*
-X81310779Y-150601144D01*
-X81310779Y-150601144D01*
-G37*
-D15*
-X81050000Y-151125000D03*
-D14*
-G36*
-X81310779Y-152351144D02*
-G01*
-X81333834Y-152354563D01*
-X81356443Y-152360227D01*
-X81378387Y-152368079D01*
-X81399457Y-152378044D01*
-X81419448Y-152390026D01*
-X81438168Y-152403910D01*
-X81455438Y-152419562D01*
-X81471090Y-152436832D01*
-X81484974Y-152455552D01*
-X81496956Y-152475543D01*
-X81506921Y-152496613D01*
-X81514773Y-152518557D01*
-X81520437Y-152541166D01*
-X81523856Y-152564221D01*
-X81525000Y-152587500D01*
-X81525000Y-153162500D01*
-X81523856Y-153185779D01*
-X81520437Y-153208834D01*
-X81514773Y-153231443D01*
-X81506921Y-153253387D01*
-X81496956Y-153274457D01*
-X81484974Y-153294448D01*
-X81471090Y-153313168D01*
-X81455438Y-153330438D01*
-X81438168Y-153346090D01*
-X81419448Y-153359974D01*
-X81399457Y-153371956D01*
-X81378387Y-153381921D01*
-X81356443Y-153389773D01*
-X81333834Y-153395437D01*
-X81310779Y-153398856D01*
-X81287500Y-153400000D01*
-X80812500Y-153400000D01*
-X80789221Y-153398856D01*
-X80766166Y-153395437D01*
-X80743557Y-153389773D01*
-X80721613Y-153381921D01*
-X80700543Y-153371956D01*
-X80680552Y-153359974D01*
-X80661832Y-153346090D01*
-X80644562Y-153330438D01*
-X80628910Y-153313168D01*
-X80615026Y-153294448D01*
-X80603044Y-153274457D01*
-X80593079Y-153253387D01*
-X80585227Y-153231443D01*
-X80579563Y-153208834D01*
-X80576144Y-153185779D01*
-X80575000Y-153162500D01*
-X80575000Y-152587500D01*
-X80576144Y-152564221D01*
-X80579563Y-152541166D01*
-X80585227Y-152518557D01*
-X80593079Y-152496613D01*
-X80603044Y-152475543D01*
-X80615026Y-152455552D01*
-X80628910Y-152436832D01*
-X80644562Y-152419562D01*
-X80661832Y-152403910D01*
-X80680552Y-152390026D01*
-X80700543Y-152378044D01*
-X80721613Y-152368079D01*
-X80743557Y-152360227D01*
-X80766166Y-152354563D01*
-X80789221Y-152351144D01*
-X80812500Y-152350000D01*
-X81287500Y-152350000D01*
-X81310779Y-152351144D01*
-X81310779Y-152351144D01*
-G37*
-D15*
-X81050000Y-152875000D03*
-D14*
-G36*
-X81360779Y-145601144D02*
-G01*
-X81383834Y-145604563D01*
-X81406443Y-145610227D01*
-X81428387Y-145618079D01*
-X81449457Y-145628044D01*
-X81469448Y-145640026D01*
-X81488168Y-145653910D01*
-X81505438Y-145669562D01*
-X81521090Y-145686832D01*
-X81534974Y-145705552D01*
-X81546956Y-145725543D01*
-X81556921Y-145746613D01*
-X81564773Y-145768557D01*
-X81570437Y-145791166D01*
-X81573856Y-145814221D01*
-X81575000Y-145837500D01*
-X81575000Y-146412500D01*
-X81573856Y-146435779D01*
-X81570437Y-146458834D01*
-X81564773Y-146481443D01*
-X81556921Y-146503387D01*
-X81546956Y-146524457D01*
-X81534974Y-146544448D01*
-X81521090Y-146563168D01*
-X81505438Y-146580438D01*
-X81488168Y-146596090D01*
-X81469448Y-146609974D01*
-X81449457Y-146621956D01*
-X81428387Y-146631921D01*
-X81406443Y-146639773D01*
-X81383834Y-146645437D01*
-X81360779Y-146648856D01*
-X81337500Y-146650000D01*
-X80862500Y-146650000D01*
-X80839221Y-146648856D01*
-X80816166Y-146645437D01*
-X80793557Y-146639773D01*
-X80771613Y-146631921D01*
-X80750543Y-146621956D01*
-X80730552Y-146609974D01*
-X80711832Y-146596090D01*
-X80694562Y-146580438D01*
-X80678910Y-146563168D01*
-X80665026Y-146544448D01*
-X80653044Y-146524457D01*
-X80643079Y-146503387D01*
-X80635227Y-146481443D01*
-X80629563Y-146458834D01*
-X80626144Y-146435779D01*
-X80625000Y-146412500D01*
-X80625000Y-145837500D01*
-X80626144Y-145814221D01*
-X80629563Y-145791166D01*
-X80635227Y-145768557D01*
-X80643079Y-145746613D01*
-X80653044Y-145725543D01*
-X80665026Y-145705552D01*
-X80678910Y-145686832D01*
-X80694562Y-145669562D01*
-X80711832Y-145653910D01*
-X80730552Y-145640026D01*
-X80750543Y-145628044D01*
-X80771613Y-145618079D01*
-X80793557Y-145610227D01*
-X80816166Y-145604563D01*
-X80839221Y-145601144D01*
-X80862500Y-145600000D01*
-X81337500Y-145600000D01*
-X81360779Y-145601144D01*
-X81360779Y-145601144D01*
-G37*
-D15*
-X81100000Y-146125000D03*
-D14*
-G36*
-X81360779Y-147351144D02*
-G01*
-X81383834Y-147354563D01*
-X81406443Y-147360227D01*
-X81428387Y-147368079D01*
-X81449457Y-147378044D01*
-X81469448Y-147390026D01*
-X81488168Y-147403910D01*
-X81505438Y-147419562D01*
-X81521090Y-147436832D01*
-X81534974Y-147455552D01*
-X81546956Y-147475543D01*
-X81556921Y-147496613D01*
-X81564773Y-147518557D01*
-X81570437Y-147541166D01*
-X81573856Y-147564221D01*
-X81575000Y-147587500D01*
-X81575000Y-148162500D01*
-X81573856Y-148185779D01*
-X81570437Y-148208834D01*
-X81564773Y-148231443D01*
-X81556921Y-148253387D01*
-X81546956Y-148274457D01*
-X81534974Y-148294448D01*
-X81521090Y-148313168D01*
-X81505438Y-148330438D01*
-X81488168Y-148346090D01*
-X81469448Y-148359974D01*
-X81449457Y-148371956D01*
-X81428387Y-148381921D01*
-X81406443Y-148389773D01*
-X81383834Y-148395437D01*
-X81360779Y-148398856D01*
-X81337500Y-148400000D01*
-X80862500Y-148400000D01*
-X80839221Y-148398856D01*
-X80816166Y-148395437D01*
-X80793557Y-148389773D01*
-X80771613Y-148381921D01*
-X80750543Y-148371956D01*
-X80730552Y-148359974D01*
-X80711832Y-148346090D01*
-X80694562Y-148330438D01*
-X80678910Y-148313168D01*
-X80665026Y-148294448D01*
-X80653044Y-148274457D01*
-X80643079Y-148253387D01*
-X80635227Y-148231443D01*
-X80629563Y-148208834D01*
-X80626144Y-148185779D01*
-X80625000Y-148162500D01*
-X80625000Y-147587500D01*
-X80626144Y-147564221D01*
-X80629563Y-147541166D01*
-X80635227Y-147518557D01*
-X80643079Y-147496613D01*
-X80653044Y-147475543D01*
-X80665026Y-147455552D01*
-X80678910Y-147436832D01*
-X80694562Y-147419562D01*
-X80711832Y-147403910D01*
-X80730552Y-147390026D01*
-X80750543Y-147378044D01*
-X80771613Y-147368079D01*
-X80793557Y-147360227D01*
-X80816166Y-147354563D01*
-X80839221Y-147351144D01*
-X80862500Y-147350000D01*
-X81337500Y-147350000D01*
-X81360779Y-147351144D01*
-X81360779Y-147351144D01*
-G37*
-D15*
-X81100000Y-147875000D03*
-D14*
-G36*
-X130985779Y-151726144D02*
-G01*
-X131008834Y-151729563D01*
-X131031443Y-151735227D01*
-X131053387Y-151743079D01*
-X131074457Y-151753044D01*
-X131094448Y-151765026D01*
-X131113168Y-151778910D01*
-X131130438Y-151794562D01*
-X131146090Y-151811832D01*
-X131159974Y-151830552D01*
-X131171956Y-151850543D01*
-X131181921Y-151871613D01*
-X131189773Y-151893557D01*
-X131195437Y-151916166D01*
-X131198856Y-151939221D01*
-X131200000Y-151962500D01*
-X131200000Y-152437500D01*
-X131198856Y-152460779D01*
-X131195437Y-152483834D01*
-X131189773Y-152506443D01*
-X131181921Y-152528387D01*
-X131171956Y-152549457D01*
-X131159974Y-152569448D01*
-X131146090Y-152588168D01*
-X131130438Y-152605438D01*
-X131113168Y-152621090D01*
-X131094448Y-152634974D01*
-X131074457Y-152646956D01*
-X131053387Y-152656921D01*
-X131031443Y-152664773D01*
-X131008834Y-152670437D01*
-X130985779Y-152673856D01*
-X130962500Y-152675000D01*
-X130387500Y-152675000D01*
-X130364221Y-152673856D01*
-X130341166Y-152670437D01*
-X130318557Y-152664773D01*
-X130296613Y-152656921D01*
-X130275543Y-152646956D01*
-X130255552Y-152634974D01*
-X130236832Y-152621090D01*
-X130219562Y-152605438D01*
-X130203910Y-152588168D01*
-X130190026Y-152569448D01*
-X130178044Y-152549457D01*
-X130168079Y-152528387D01*
-X130160227Y-152506443D01*
-X130154563Y-152483834D01*
-X130151144Y-152460779D01*
-X130150000Y-152437500D01*
-X130150000Y-151962500D01*
-X130151144Y-151939221D01*
-X130154563Y-151916166D01*
-X130160227Y-151893557D01*
-X130168079Y-151871613D01*
-X130178044Y-151850543D01*
-X130190026Y-151830552D01*
-X130203910Y-151811832D01*
-X130219562Y-151794562D01*
-X130236832Y-151778910D01*
-X130255552Y-151765026D01*
-X130275543Y-151753044D01*
-X130296613Y-151743079D01*
-X130318557Y-151735227D01*
-X130341166Y-151729563D01*
-X130364221Y-151726144D01*
-X130387500Y-151725000D01*
-X130962500Y-151725000D01*
-X130985779Y-151726144D01*
-X130985779Y-151726144D01*
-G37*
-D15*
-X130675000Y-152200000D03*
-D14*
-G36*
-X129235779Y-151726144D02*
-G01*
-X129258834Y-151729563D01*
-X129281443Y-151735227D01*
-X129303387Y-151743079D01*
-X129324457Y-151753044D01*
-X129344448Y-151765026D01*
-X129363168Y-151778910D01*
-X129380438Y-151794562D01*
-X129396090Y-151811832D01*
-X129409974Y-151830552D01*
-X129421956Y-151850543D01*
-X129431921Y-151871613D01*
-X129439773Y-151893557D01*
-X129445437Y-151916166D01*
-X129448856Y-151939221D01*
-X129450000Y-151962500D01*
-X129450000Y-152437500D01*
-X129448856Y-152460779D01*
-X129445437Y-152483834D01*
-X129439773Y-152506443D01*
-X129431921Y-152528387D01*
-X129421956Y-152549457D01*
-X129409974Y-152569448D01*
-X129396090Y-152588168D01*
-X129380438Y-152605438D01*
-X129363168Y-152621090D01*
-X129344448Y-152634974D01*
-X129324457Y-152646956D01*
-X129303387Y-152656921D01*
-X129281443Y-152664773D01*
-X129258834Y-152670437D01*
-X129235779Y-152673856D01*
-X129212500Y-152675000D01*
-X128637500Y-152675000D01*
-X128614221Y-152673856D01*
-X128591166Y-152670437D01*
-X128568557Y-152664773D01*
-X128546613Y-152656921D01*
-X128525543Y-152646956D01*
-X128505552Y-152634974D01*
-X128486832Y-152621090D01*
-X128469562Y-152605438D01*
-X128453910Y-152588168D01*
-X128440026Y-152569448D01*
-X128428044Y-152549457D01*
-X128418079Y-152528387D01*
-X128410227Y-152506443D01*
-X128404563Y-152483834D01*
-X128401144Y-152460779D01*
-X128400000Y-152437500D01*
-X128400000Y-151962500D01*
-X128401144Y-151939221D01*
-X128404563Y-151916166D01*
-X128410227Y-151893557D01*
-X128418079Y-151871613D01*
-X128428044Y-151850543D01*
-X128440026Y-151830552D01*
-X128453910Y-151811832D01*
-X128469562Y-151794562D01*
-X128486832Y-151778910D01*
-X128505552Y-151765026D01*
-X128525543Y-151753044D01*
-X128546613Y-151743079D01*
-X128568557Y-151735227D01*
-X128591166Y-151729563D01*
-X128614221Y-151726144D01*
-X128637500Y-151725000D01*
-X129212500Y-151725000D01*
-X129235779Y-151726144D01*
-X129235779Y-151726144D01*
-G37*
-D15*
-X128925000Y-152200000D03*
-D14*
-G36*
-X132085779Y-89226144D02*
-G01*
-X132108834Y-89229563D01*
-X132131443Y-89235227D01*
-X132153387Y-89243079D01*
-X132174457Y-89253044D01*
-X132194448Y-89265026D01*
-X132213168Y-89278910D01*
-X132230438Y-89294562D01*
-X132246090Y-89311832D01*
-X132259974Y-89330552D01*
-X132271956Y-89350543D01*
-X132281921Y-89371613D01*
-X132289773Y-89393557D01*
-X132295437Y-89416166D01*
-X132298856Y-89439221D01*
-X132300000Y-89462500D01*
-X132300000Y-89937500D01*
-X132298856Y-89960779D01*
-X132295437Y-89983834D01*
-X132289773Y-90006443D01*
-X132281921Y-90028387D01*
-X132271956Y-90049457D01*
-X132259974Y-90069448D01*
-X132246090Y-90088168D01*
-X132230438Y-90105438D01*
-X132213168Y-90121090D01*
-X132194448Y-90134974D01*
-X132174457Y-90146956D01*
-X132153387Y-90156921D01*
-X132131443Y-90164773D01*
-X132108834Y-90170437D01*
-X132085779Y-90173856D01*
-X132062500Y-90175000D01*
-X131487500Y-90175000D01*
-X131464221Y-90173856D01*
-X131441166Y-90170437D01*
-X131418557Y-90164773D01*
-X131396613Y-90156921D01*
-X131375543Y-90146956D01*
-X131355552Y-90134974D01*
-X131336832Y-90121090D01*
-X131319562Y-90105438D01*
-X131303910Y-90088168D01*
-X131290026Y-90069448D01*
-X131278044Y-90049457D01*
-X131268079Y-90028387D01*
-X131260227Y-90006443D01*
-X131254563Y-89983834D01*
-X131251144Y-89960779D01*
-X131250000Y-89937500D01*
-X131250000Y-89462500D01*
-X131251144Y-89439221D01*
-X131254563Y-89416166D01*
-X131260227Y-89393557D01*
-X131268079Y-89371613D01*
-X131278044Y-89350543D01*
-X131290026Y-89330552D01*
-X131303910Y-89311832D01*
-X131319562Y-89294562D01*
-X131336832Y-89278910D01*
-X131355552Y-89265026D01*
-X131375543Y-89253044D01*
-X131396613Y-89243079D01*
-X131418557Y-89235227D01*
-X131441166Y-89229563D01*
-X131464221Y-89226144D01*
-X131487500Y-89225000D01*
-X132062500Y-89225000D01*
-X132085779Y-89226144D01*
-X132085779Y-89226144D01*
-G37*
-D15*
-X131775000Y-89700000D03*
-D14*
-G36*
-X130335779Y-89226144D02*
-G01*
-X130358834Y-89229563D01*
-X130381443Y-89235227D01*
-X130403387Y-89243079D01*
-X130424457Y-89253044D01*
-X130444448Y-89265026D01*
-X130463168Y-89278910D01*
-X130480438Y-89294562D01*
-X130496090Y-89311832D01*
-X130509974Y-89330552D01*
-X130521956Y-89350543D01*
-X130531921Y-89371613D01*
-X130539773Y-89393557D01*
-X130545437Y-89416166D01*
-X130548856Y-89439221D01*
-X130550000Y-89462500D01*
-X130550000Y-89937500D01*
-X130548856Y-89960779D01*
-X130545437Y-89983834D01*
-X130539773Y-90006443D01*
-X130531921Y-90028387D01*
-X130521956Y-90049457D01*
-X130509974Y-90069448D01*
-X130496090Y-90088168D01*
-X130480438Y-90105438D01*
-X130463168Y-90121090D01*
-X130444448Y-90134974D01*
-X130424457Y-90146956D01*
-X130403387Y-90156921D01*
-X130381443Y-90164773D01*
-X130358834Y-90170437D01*
-X130335779Y-90173856D01*
-X130312500Y-90175000D01*
-X129737500Y-90175000D01*
-X129714221Y-90173856D01*
-X129691166Y-90170437D01*
-X129668557Y-90164773D01*
-X129646613Y-90156921D01*
-X129625543Y-90146956D01*
-X129605552Y-90134974D01*
-X129586832Y-90121090D01*
-X129569562Y-90105438D01*
-X129553910Y-90088168D01*
-X129540026Y-90069448D01*
-X129528044Y-90049457D01*
-X129518079Y-90028387D01*
-X129510227Y-90006443D01*
-X129504563Y-89983834D01*
-X129501144Y-89960779D01*
-X129500000Y-89937500D01*
-X129500000Y-89462500D01*
-X129501144Y-89439221D01*
-X129504563Y-89416166D01*
-X129510227Y-89393557D01*
-X129518079Y-89371613D01*
-X129528044Y-89350543D01*
-X129540026Y-89330552D01*
-X129553910Y-89311832D01*
-X129569562Y-89294562D01*
-X129586832Y-89278910D01*
-X129605552Y-89265026D01*
-X129625543Y-89253044D01*
-X129646613Y-89243079D01*
-X129668557Y-89235227D01*
-X129691166Y-89229563D01*
-X129714221Y-89226144D01*
-X129737500Y-89225000D01*
-X130312500Y-89225000D01*
-X130335779Y-89226144D01*
-X130335779Y-89226144D01*
-G37*
-D15*
-X130025000Y-89700000D03*
-D14*
-G36*
-X145435779Y-89226144D02*
-G01*
-X145458834Y-89229563D01*
-X145481443Y-89235227D01*
-X145503387Y-89243079D01*
-X145524457Y-89253044D01*
-X145544448Y-89265026D01*
-X145563168Y-89278910D01*
-X145580438Y-89294562D01*
-X145596090Y-89311832D01*
-X145609974Y-89330552D01*
-X145621956Y-89350543D01*
-X145631921Y-89371613D01*
-X145639773Y-89393557D01*
-X145645437Y-89416166D01*
-X145648856Y-89439221D01*
-X145650000Y-89462500D01*
-X145650000Y-89937500D01*
-X145648856Y-89960779D01*
-X145645437Y-89983834D01*
-X145639773Y-90006443D01*
-X145631921Y-90028387D01*
-X145621956Y-90049457D01*
-X145609974Y-90069448D01*
-X145596090Y-90088168D01*
-X145580438Y-90105438D01*
-X145563168Y-90121090D01*
-X145544448Y-90134974D01*
-X145524457Y-90146956D01*
-X145503387Y-90156921D01*
-X145481443Y-90164773D01*
-X145458834Y-90170437D01*
-X145435779Y-90173856D01*
-X145412500Y-90175000D01*
-X144837500Y-90175000D01*
-X144814221Y-90173856D01*
-X144791166Y-90170437D01*
-X144768557Y-90164773D01*
-X144746613Y-90156921D01*
-X144725543Y-90146956D01*
-X144705552Y-90134974D01*
-X144686832Y-90121090D01*
-X144669562Y-90105438D01*
-X144653910Y-90088168D01*
-X144640026Y-90069448D01*
-X144628044Y-90049457D01*
-X144618079Y-90028387D01*
-X144610227Y-90006443D01*
-X144604563Y-89983834D01*
-X144601144Y-89960779D01*
-X144600000Y-89937500D01*
-X144600000Y-89462500D01*
-X144601144Y-89439221D01*
-X144604563Y-89416166D01*
-X144610227Y-89393557D01*
-X144618079Y-89371613D01*
-X144628044Y-89350543D01*
-X144640026Y-89330552D01*
-X144653910Y-89311832D01*
-X144669562Y-89294562D01*
-X144686832Y-89278910D01*
-X144705552Y-89265026D01*
-X144725543Y-89253044D01*
-X144746613Y-89243079D01*
-X144768557Y-89235227D01*
-X144791166Y-89229563D01*
-X144814221Y-89226144D01*
-X144837500Y-89225000D01*
-X145412500Y-89225000D01*
-X145435779Y-89226144D01*
-X145435779Y-89226144D01*
-G37*
-D15*
-X145125000Y-89700000D03*
-D14*
-G36*
-X147185779Y-89226144D02*
-G01*
-X147208834Y-89229563D01*
-X147231443Y-89235227D01*
-X147253387Y-89243079D01*
-X147274457Y-89253044D01*
-X147294448Y-89265026D01*
-X147313168Y-89278910D01*
-X147330438Y-89294562D01*
-X147346090Y-89311832D01*
-X147359974Y-89330552D01*
-X147371956Y-89350543D01*
-X147381921Y-89371613D01*
-X147389773Y-89393557D01*
-X147395437Y-89416166D01*
-X147398856Y-89439221D01*
-X147400000Y-89462500D01*
-X147400000Y-89937500D01*
-X147398856Y-89960779D01*
-X147395437Y-89983834D01*
-X147389773Y-90006443D01*
-X147381921Y-90028387D01*
-X147371956Y-90049457D01*
-X147359974Y-90069448D01*
-X147346090Y-90088168D01*
-X147330438Y-90105438D01*
-X147313168Y-90121090D01*
-X147294448Y-90134974D01*
-X147274457Y-90146956D01*
-X147253387Y-90156921D01*
-X147231443Y-90164773D01*
-X147208834Y-90170437D01*
-X147185779Y-90173856D01*
-X147162500Y-90175000D01*
-X146587500Y-90175000D01*
-X146564221Y-90173856D01*
-X146541166Y-90170437D01*
-X146518557Y-90164773D01*
-X146496613Y-90156921D01*
-X146475543Y-90146956D01*
-X146455552Y-90134974D01*
-X146436832Y-90121090D01*
-X146419562Y-90105438D01*
-X146403910Y-90088168D01*
-X146390026Y-90069448D01*
-X146378044Y-90049457D01*
-X146368079Y-90028387D01*
-X146360227Y-90006443D01*
-X146354563Y-89983834D01*
-X146351144Y-89960779D01*
-X146350000Y-89937500D01*
-X146350000Y-89462500D01*
-X146351144Y-89439221D01*
-X146354563Y-89416166D01*
-X146360227Y-89393557D01*
-X146368079Y-89371613D01*
-X146378044Y-89350543D01*
-X146390026Y-89330552D01*
-X146403910Y-89311832D01*
-X146419562Y-89294562D01*
-X146436832Y-89278910D01*
-X146455552Y-89265026D01*
-X146475543Y-89253044D01*
-X146496613Y-89243079D01*
-X146518557Y-89235227D01*
-X146541166Y-89229563D01*
-X146564221Y-89226144D01*
-X146587500Y-89225000D01*
-X147162500Y-89225000D01*
-X147185779Y-89226144D01*
-X147185779Y-89226144D01*
-G37*
-D15*
-X146875000Y-89700000D03*
-D14*
-G36*
-X132085779Y-91026144D02*
-G01*
-X132108834Y-91029563D01*
-X132131443Y-91035227D01*
-X132153387Y-91043079D01*
-X132174457Y-91053044D01*
-X132194448Y-91065026D01*
-X132213168Y-91078910D01*
-X132230438Y-91094562D01*
-X132246090Y-91111832D01*
-X132259974Y-91130552D01*
-X132271956Y-91150543D01*
-X132281921Y-91171613D01*
-X132289773Y-91193557D01*
-X132295437Y-91216166D01*
-X132298856Y-91239221D01*
-X132300000Y-91262500D01*
-X132300000Y-91737500D01*
-X132298856Y-91760779D01*
-X132295437Y-91783834D01*
-X132289773Y-91806443D01*
-X132281921Y-91828387D01*
-X132271956Y-91849457D01*
-X132259974Y-91869448D01*
-X132246090Y-91888168D01*
-X132230438Y-91905438D01*
-X132213168Y-91921090D01*
-X132194448Y-91934974D01*
-X132174457Y-91946956D01*
-X132153387Y-91956921D01*
-X132131443Y-91964773D01*
-X132108834Y-91970437D01*
-X132085779Y-91973856D01*
-X132062500Y-91975000D01*
-X131487500Y-91975000D01*
-X131464221Y-91973856D01*
-X131441166Y-91970437D01*
-X131418557Y-91964773D01*
-X131396613Y-91956921D01*
-X131375543Y-91946956D01*
-X131355552Y-91934974D01*
-X131336832Y-91921090D01*
-X131319562Y-91905438D01*
-X131303910Y-91888168D01*
-X131290026Y-91869448D01*
-X131278044Y-91849457D01*
-X131268079Y-91828387D01*
-X131260227Y-91806443D01*
-X131254563Y-91783834D01*
-X131251144Y-91760779D01*
-X131250000Y-91737500D01*
-X131250000Y-91262500D01*
-X131251144Y-91239221D01*
-X131254563Y-91216166D01*
-X131260227Y-91193557D01*
-X131268079Y-91171613D01*
-X131278044Y-91150543D01*
-X131290026Y-91130552D01*
-X131303910Y-91111832D01*
-X131319562Y-91094562D01*
-X131336832Y-91078910D01*
-X131355552Y-91065026D01*
-X131375543Y-91053044D01*
-X131396613Y-91043079D01*
-X131418557Y-91035227D01*
-X131441166Y-91029563D01*
-X131464221Y-91026144D01*
-X131487500Y-91025000D01*
-X132062500Y-91025000D01*
-X132085779Y-91026144D01*
-X132085779Y-91026144D01*
-G37*
-D15*
-X131775000Y-91500000D03*
-D14*
-G36*
-X130335779Y-91026144D02*
-G01*
-X130358834Y-91029563D01*
-X130381443Y-91035227D01*
-X130403387Y-91043079D01*
-X130424457Y-91053044D01*
-X130444448Y-91065026D01*
-X130463168Y-91078910D01*
-X130480438Y-91094562D01*
-X130496090Y-91111832D01*
-X130509974Y-91130552D01*
-X130521956Y-91150543D01*
-X130531921Y-91171613D01*
-X130539773Y-91193557D01*
-X130545437Y-91216166D01*
-X130548856Y-91239221D01*
-X130550000Y-91262500D01*
-X130550000Y-91737500D01*
-X130548856Y-91760779D01*
-X130545437Y-91783834D01*
-X130539773Y-91806443D01*
-X130531921Y-91828387D01*
-X130521956Y-91849457D01*
-X130509974Y-91869448D01*
-X130496090Y-91888168D01*
-X130480438Y-91905438D01*
-X130463168Y-91921090D01*
-X130444448Y-91934974D01*
-X130424457Y-91946956D01*
-X130403387Y-91956921D01*
-X130381443Y-91964773D01*
-X130358834Y-91970437D01*
-X130335779Y-91973856D01*
-X130312500Y-91975000D01*
-X129737500Y-91975000D01*
-X129714221Y-91973856D01*
-X129691166Y-91970437D01*
-X129668557Y-91964773D01*
-X129646613Y-91956921D01*
-X129625543Y-91946956D01*
-X129605552Y-91934974D01*
-X129586832Y-91921090D01*
-X129569562Y-91905438D01*
-X129553910Y-91888168D01*
-X129540026Y-91869448D01*
-X129528044Y-91849457D01*
-X129518079Y-91828387D01*
-X129510227Y-91806443D01*
-X129504563Y-91783834D01*
-X129501144Y-91760779D01*
-X129500000Y-91737500D01*
-X129500000Y-91262500D01*
-X129501144Y-91239221D01*
-X129504563Y-91216166D01*
-X129510227Y-91193557D01*
-X129518079Y-91171613D01*
-X129528044Y-91150543D01*
-X129540026Y-91130552D01*
-X129553910Y-91111832D01*
-X129569562Y-91094562D01*
-X129586832Y-91078910D01*
-X129605552Y-91065026D01*
-X129625543Y-91053044D01*
-X129646613Y-91043079D01*
-X129668557Y-91035227D01*
-X129691166Y-91029563D01*
-X129714221Y-91026144D01*
-X129737500Y-91025000D01*
-X130312500Y-91025000D01*
-X130335779Y-91026144D01*
-X130335779Y-91026144D01*
-G37*
-D15*
-X130025000Y-91500000D03*
-D14*
-G36*
-X145435779Y-91026144D02*
-G01*
-X145458834Y-91029563D01*
-X145481443Y-91035227D01*
-X145503387Y-91043079D01*
-X145524457Y-91053044D01*
-X145544448Y-91065026D01*
-X145563168Y-91078910D01*
-X145580438Y-91094562D01*
-X145596090Y-91111832D01*
-X145609974Y-91130552D01*
-X145621956Y-91150543D01*
-X145631921Y-91171613D01*
-X145639773Y-91193557D01*
-X145645437Y-91216166D01*
-X145648856Y-91239221D01*
-X145650000Y-91262500D01*
-X145650000Y-91737500D01*
-X145648856Y-91760779D01*
-X145645437Y-91783834D01*
-X145639773Y-91806443D01*
-X145631921Y-91828387D01*
-X145621956Y-91849457D01*
-X145609974Y-91869448D01*
-X145596090Y-91888168D01*
-X145580438Y-91905438D01*
-X145563168Y-91921090D01*
-X145544448Y-91934974D01*
-X145524457Y-91946956D01*
-X145503387Y-91956921D01*
-X145481443Y-91964773D01*
-X145458834Y-91970437D01*
-X145435779Y-91973856D01*
-X145412500Y-91975000D01*
-X144837500Y-91975000D01*
-X144814221Y-91973856D01*
-X144791166Y-91970437D01*
-X144768557Y-91964773D01*
-X144746613Y-91956921D01*
-X144725543Y-91946956D01*
-X144705552Y-91934974D01*
-X144686832Y-91921090D01*
-X144669562Y-91905438D01*
-X144653910Y-91888168D01*
-X144640026Y-91869448D01*
-X144628044Y-91849457D01*
-X144618079Y-91828387D01*
-X144610227Y-91806443D01*
-X144604563Y-91783834D01*
-X144601144Y-91760779D01*
-X144600000Y-91737500D01*
-X144600000Y-91262500D01*
-X144601144Y-91239221D01*
-X144604563Y-91216166D01*
-X144610227Y-91193557D01*
-X144618079Y-91171613D01*
-X144628044Y-91150543D01*
-X144640026Y-91130552D01*
-X144653910Y-91111832D01*
-X144669562Y-91094562D01*
-X144686832Y-91078910D01*
-X144705552Y-91065026D01*
-X144725543Y-91053044D01*
-X144746613Y-91043079D01*
-X144768557Y-91035227D01*
-X144791166Y-91029563D01*
-X144814221Y-91026144D01*
-X144837500Y-91025000D01*
-X145412500Y-91025000D01*
-X145435779Y-91026144D01*
-X145435779Y-91026144D01*
-G37*
-D15*
-X145125000Y-91500000D03*
-D14*
-G36*
-X147185779Y-91026144D02*
-G01*
-X147208834Y-91029563D01*
-X147231443Y-91035227D01*
-X147253387Y-91043079D01*
-X147274457Y-91053044D01*
-X147294448Y-91065026D01*
-X147313168Y-91078910D01*
-X147330438Y-91094562D01*
-X147346090Y-91111832D01*
-X147359974Y-91130552D01*
-X147371956Y-91150543D01*
-X147381921Y-91171613D01*
-X147389773Y-91193557D01*
-X147395437Y-91216166D01*
-X147398856Y-91239221D01*
-X147400000Y-91262500D01*
-X147400000Y-91737500D01*
-X147398856Y-91760779D01*
-X147395437Y-91783834D01*
-X147389773Y-91806443D01*
-X147381921Y-91828387D01*
-X147371956Y-91849457D01*
-X147359974Y-91869448D01*
-X147346090Y-91888168D01*
-X147330438Y-91905438D01*
-X147313168Y-91921090D01*
-X147294448Y-91934974D01*
-X147274457Y-91946956D01*
-X147253387Y-91956921D01*
-X147231443Y-91964773D01*
-X147208834Y-91970437D01*
-X147185779Y-91973856D01*
-X147162500Y-91975000D01*
-X146587500Y-91975000D01*
-X146564221Y-91973856D01*
-X146541166Y-91970437D01*
-X146518557Y-91964773D01*
-X146496613Y-91956921D01*
-X146475543Y-91946956D01*
-X146455552Y-91934974D01*
-X146436832Y-91921090D01*
-X146419562Y-91905438D01*
-X146403910Y-91888168D01*
-X146390026Y-91869448D01*
-X146378044Y-91849457D01*
-X146368079Y-91828387D01*
-X146360227Y-91806443D01*
-X146354563Y-91783834D01*
-X146351144Y-91760779D01*
-X146350000Y-91737500D01*
-X146350000Y-91262500D01*
-X146351144Y-91239221D01*
-X146354563Y-91216166D01*
-X146360227Y-91193557D01*
-X146368079Y-91171613D01*
-X146378044Y-91150543D01*
-X146390026Y-91130552D01*
-X146403910Y-91111832D01*
-X146419562Y-91094562D01*
-X146436832Y-91078910D01*
-X146455552Y-91065026D01*
-X146475543Y-91053044D01*
-X146496613Y-91043079D01*
-X146518557Y-91035227D01*
-X146541166Y-91029563D01*
-X146564221Y-91026144D01*
-X146587500Y-91025000D01*
-X147162500Y-91025000D01*
-X147185779Y-91026144D01*
-X147185779Y-91026144D01*
-G37*
-D15*
-X146875000Y-91500000D03*
-D14*
-G36*
-X139260779Y-75951144D02*
-G01*
-X139283834Y-75954563D01*
-X139306443Y-75960227D01*
-X139328387Y-75968079D01*
-X139349457Y-75978044D01*
-X139369448Y-75990026D01*
-X139388168Y-76003910D01*
-X139405438Y-76019562D01*
-X139421090Y-76036832D01*
-X139434974Y-76055552D01*
-X139446956Y-76075543D01*
-X139456921Y-76096613D01*
-X139464773Y-76118557D01*
-X139470437Y-76141166D01*
-X139473856Y-76164221D01*
-X139475000Y-76187500D01*
-X139475000Y-76762500D01*
-X139473856Y-76785779D01*
-X139470437Y-76808834D01*
-X139464773Y-76831443D01*
-X139456921Y-76853387D01*
-X139446956Y-76874457D01*
-X139434974Y-76894448D01*
-X139421090Y-76913168D01*
-X139405438Y-76930438D01*
-X139388168Y-76946090D01*
-X139369448Y-76959974D01*
-X139349457Y-76971956D01*
-X139328387Y-76981921D01*
-X139306443Y-76989773D01*
-X139283834Y-76995437D01*
-X139260779Y-76998856D01*
-X139237500Y-77000000D01*
-X138762500Y-77000000D01*
-X138739221Y-76998856D01*
-X138716166Y-76995437D01*
-X138693557Y-76989773D01*
-X138671613Y-76981921D01*
-X138650543Y-76971956D01*
-X138630552Y-76959974D01*
-X138611832Y-76946090D01*
-X138594562Y-76930438D01*
-X138578910Y-76913168D01*
-X138565026Y-76894448D01*
-X138553044Y-76874457D01*
-X138543079Y-76853387D01*
-X138535227Y-76831443D01*
-X138529563Y-76808834D01*
-X138526144Y-76785779D01*
-X138525000Y-76762500D01*
-X138525000Y-76187500D01*
-X138526144Y-76164221D01*
-X138529563Y-76141166D01*
-X138535227Y-76118557D01*
-X138543079Y-76096613D01*
-X138553044Y-76075543D01*
-X138565026Y-76055552D01*
-X138578910Y-76036832D01*
-X138594562Y-76019562D01*
-X138611832Y-76003910D01*
-X138630552Y-75990026D01*
-X138650543Y-75978044D01*
-X138671613Y-75968079D01*
-X138693557Y-75960227D01*
-X138716166Y-75954563D01*
-X138739221Y-75951144D01*
-X138762500Y-75950000D01*
-X139237500Y-75950000D01*
-X139260779Y-75951144D01*
-X139260779Y-75951144D01*
-G37*
-D15*
-X139000000Y-76475000D03*
-D14*
-G36*
-X139260779Y-74201144D02*
-G01*
-X139283834Y-74204563D01*
-X139306443Y-74210227D01*
-X139328387Y-74218079D01*
-X139349457Y-74228044D01*
-X139369448Y-74240026D01*
-X139388168Y-74253910D01*
-X139405438Y-74269562D01*
-X139421090Y-74286832D01*
-X139434974Y-74305552D01*
-X139446956Y-74325543D01*
-X139456921Y-74346613D01*
-X139464773Y-74368557D01*
-X139470437Y-74391166D01*
-X139473856Y-74414221D01*
-X139475000Y-74437500D01*
-X139475000Y-75012500D01*
-X139473856Y-75035779D01*
-X139470437Y-75058834D01*
-X139464773Y-75081443D01*
-X139456921Y-75103387D01*
-X139446956Y-75124457D01*
-X139434974Y-75144448D01*
-X139421090Y-75163168D01*
-X139405438Y-75180438D01*
-X139388168Y-75196090D01*
-X139369448Y-75209974D01*
-X139349457Y-75221956D01*
-X139328387Y-75231921D01*
-X139306443Y-75239773D01*
-X139283834Y-75245437D01*
-X139260779Y-75248856D01*
-X139237500Y-75250000D01*
-X138762500Y-75250000D01*
-X138739221Y-75248856D01*
-X138716166Y-75245437D01*
-X138693557Y-75239773D01*
-X138671613Y-75231921D01*
-X138650543Y-75221956D01*
-X138630552Y-75209974D01*
-X138611832Y-75196090D01*
-X138594562Y-75180438D01*
-X138578910Y-75163168D01*
-X138565026Y-75144448D01*
-X138553044Y-75124457D01*
-X138543079Y-75103387D01*
-X138535227Y-75081443D01*
-X138529563Y-75058834D01*
-X138526144Y-75035779D01*
-X138525000Y-75012500D01*
-X138525000Y-74437500D01*
-X138526144Y-74414221D01*
-X138529563Y-74391166D01*
-X138535227Y-74368557D01*
-X138543079Y-74346613D01*
-X138553044Y-74325543D01*
-X138565026Y-74305552D01*
-X138578910Y-74286832D01*
-X138594562Y-74269562D01*
-X138611832Y-74253910D01*
-X138630552Y-74240026D01*
-X138650543Y-74228044D01*
-X138671613Y-74218079D01*
-X138693557Y-74210227D01*
-X138716166Y-74204563D01*
-X138739221Y-74201144D01*
-X138762500Y-74200000D01*
-X139237500Y-74200000D01*
-X139260779Y-74201144D01*
-X139260779Y-74201144D01*
-G37*
-D15*
-X139000000Y-74725000D03*
-D14*
-G36*
-X126560779Y-71301144D02*
-G01*
-X126583834Y-71304563D01*
-X126606443Y-71310227D01*
-X126628387Y-71318079D01*
-X126649457Y-71328044D01*
-X126669448Y-71340026D01*
-X126688168Y-71353910D01*
-X126705438Y-71369562D01*
-X126721090Y-71386832D01*
-X126734974Y-71405552D01*
-X126746956Y-71425543D01*
-X126756921Y-71446613D01*
-X126764773Y-71468557D01*
-X126770437Y-71491166D01*
-X126773856Y-71514221D01*
-X126775000Y-71537500D01*
-X126775000Y-72112500D01*
-X126773856Y-72135779D01*
-X126770437Y-72158834D01*
-X126764773Y-72181443D01*
-X126756921Y-72203387D01*
-X126746956Y-72224457D01*
-X126734974Y-72244448D01*
-X126721090Y-72263168D01*
-X126705438Y-72280438D01*
-X126688168Y-72296090D01*
-X126669448Y-72309974D01*
-X126649457Y-72321956D01*
-X126628387Y-72331921D01*
-X126606443Y-72339773D01*
-X126583834Y-72345437D01*
-X126560779Y-72348856D01*
-X126537500Y-72350000D01*
-X126062500Y-72350000D01*
-X126039221Y-72348856D01*
-X126016166Y-72345437D01*
-X125993557Y-72339773D01*
-X125971613Y-72331921D01*
-X125950543Y-72321956D01*
-X125930552Y-72309974D01*
-X125911832Y-72296090D01*
-X125894562Y-72280438D01*
-X125878910Y-72263168D01*
-X125865026Y-72244448D01*
-X125853044Y-72224457D01*
-X125843079Y-72203387D01*
-X125835227Y-72181443D01*
-X125829563Y-72158834D01*
-X125826144Y-72135779D01*
-X125825000Y-72112500D01*
-X125825000Y-71537500D01*
-X125826144Y-71514221D01*
-X125829563Y-71491166D01*
-X125835227Y-71468557D01*
-X125843079Y-71446613D01*
-X125853044Y-71425543D01*
-X125865026Y-71405552D01*
-X125878910Y-71386832D01*
-X125894562Y-71369562D01*
-X125911832Y-71353910D01*
-X125930552Y-71340026D01*
-X125950543Y-71328044D01*
-X125971613Y-71318079D01*
-X125993557Y-71310227D01*
-X126016166Y-71304563D01*
-X126039221Y-71301144D01*
-X126062500Y-71300000D01*
-X126537500Y-71300000D01*
-X126560779Y-71301144D01*
-X126560779Y-71301144D01*
-G37*
-D15*
-X126300000Y-71825000D03*
-D14*
-G36*
-X126560779Y-73051144D02*
-G01*
-X126583834Y-73054563D01*
-X126606443Y-73060227D01*
-X126628387Y-73068079D01*
-X126649457Y-73078044D01*
-X126669448Y-73090026D01*
-X126688168Y-73103910D01*
-X126705438Y-73119562D01*
-X126721090Y-73136832D01*
-X126734974Y-73155552D01*
-X126746956Y-73175543D01*
-X126756921Y-73196613D01*
-X126764773Y-73218557D01*
-X126770437Y-73241166D01*
-X126773856Y-73264221D01*
-X126775000Y-73287500D01*
-X126775000Y-73862500D01*
-X126773856Y-73885779D01*
-X126770437Y-73908834D01*
-X126764773Y-73931443D01*
-X126756921Y-73953387D01*
-X126746956Y-73974457D01*
-X126734974Y-73994448D01*
-X126721090Y-74013168D01*
-X126705438Y-74030438D01*
-X126688168Y-74046090D01*
-X126669448Y-74059974D01*
-X126649457Y-74071956D01*
-X126628387Y-74081921D01*
-X126606443Y-74089773D01*
-X126583834Y-74095437D01*
-X126560779Y-74098856D01*
-X126537500Y-74100000D01*
-X126062500Y-74100000D01*
-X126039221Y-74098856D01*
-X126016166Y-74095437D01*
-X125993557Y-74089773D01*
-X125971613Y-74081921D01*
-X125950543Y-74071956D01*
-X125930552Y-74059974D01*
-X125911832Y-74046090D01*
-X125894562Y-74030438D01*
-X125878910Y-74013168D01*
-X125865026Y-73994448D01*
-X125853044Y-73974457D01*
-X125843079Y-73953387D01*
-X125835227Y-73931443D01*
-X125829563Y-73908834D01*
-X125826144Y-73885779D01*
-X125825000Y-73862500D01*
-X125825000Y-73287500D01*
-X125826144Y-73264221D01*
-X125829563Y-73241166D01*
-X125835227Y-73218557D01*
-X125843079Y-73196613D01*
-X125853044Y-73175543D01*
-X125865026Y-73155552D01*
-X125878910Y-73136832D01*
-X125894562Y-73119562D01*
-X125911832Y-73103910D01*
-X125930552Y-73090026D01*
-X125950543Y-73078044D01*
-X125971613Y-73068079D01*
-X125993557Y-73060227D01*
-X126016166Y-73054563D01*
-X126039221Y-73051144D01*
-X126062500Y-73050000D01*
-X126537500Y-73050000D01*
-X126560779Y-73051144D01*
-X126560779Y-73051144D01*
-G37*
-D15*
-X126300000Y-73575000D03*
-D14*
-G36*
-X128260779Y-73051144D02*
-G01*
-X128283834Y-73054563D01*
-X128306443Y-73060227D01*
-X128328387Y-73068079D01*
-X128349457Y-73078044D01*
-X128369448Y-73090026D01*
-X128388168Y-73103910D01*
-X128405438Y-73119562D01*
-X128421090Y-73136832D01*
-X128434974Y-73155552D01*
-X128446956Y-73175543D01*
-X128456921Y-73196613D01*
-X128464773Y-73218557D01*
-X128470437Y-73241166D01*
-X128473856Y-73264221D01*
-X128475000Y-73287500D01*
-X128475000Y-73862500D01*
-X128473856Y-73885779D01*
-X128470437Y-73908834D01*
-X128464773Y-73931443D01*
-X128456921Y-73953387D01*
-X128446956Y-73974457D01*
-X128434974Y-73994448D01*
-X128421090Y-74013168D01*
-X128405438Y-74030438D01*
-X128388168Y-74046090D01*
-X128369448Y-74059974D01*
-X128349457Y-74071956D01*
-X128328387Y-74081921D01*
-X128306443Y-74089773D01*
-X128283834Y-74095437D01*
-X128260779Y-74098856D01*
-X128237500Y-74100000D01*
-X127762500Y-74100000D01*
-X127739221Y-74098856D01*
-X127716166Y-74095437D01*
-X127693557Y-74089773D01*
-X127671613Y-74081921D01*
-X127650543Y-74071956D01*
-X127630552Y-74059974D01*
-X127611832Y-74046090D01*
-X127594562Y-74030438D01*
-X127578910Y-74013168D01*
-X127565026Y-73994448D01*
-X127553044Y-73974457D01*
-X127543079Y-73953387D01*
-X127535227Y-73931443D01*
-X127529563Y-73908834D01*
-X127526144Y-73885779D01*
-X127525000Y-73862500D01*
-X127525000Y-73287500D01*
-X127526144Y-73264221D01*
-X127529563Y-73241166D01*
-X127535227Y-73218557D01*
-X127543079Y-73196613D01*
-X127553044Y-73175543D01*
-X127565026Y-73155552D01*
-X127578910Y-73136832D01*
-X127594562Y-73119562D01*
-X127611832Y-73103910D01*
-X127630552Y-73090026D01*
-X127650543Y-73078044D01*
-X127671613Y-73068079D01*
-X127693557Y-73060227D01*
-X127716166Y-73054563D01*
-X127739221Y-73051144D01*
-X127762500Y-73050000D01*
-X128237500Y-73050000D01*
-X128260779Y-73051144D01*
-X128260779Y-73051144D01*
-G37*
-D15*
-X128000000Y-73575000D03*
-D14*
-G36*
-X128260779Y-71301144D02*
-G01*
-X128283834Y-71304563D01*
-X128306443Y-71310227D01*
-X128328387Y-71318079D01*
-X128349457Y-71328044D01*
-X128369448Y-71340026D01*
-X128388168Y-71353910D01*
-X128405438Y-71369562D01*
-X128421090Y-71386832D01*
-X128434974Y-71405552D01*
-X128446956Y-71425543D01*
-X128456921Y-71446613D01*
-X128464773Y-71468557D01*
-X128470437Y-71491166D01*
-X128473856Y-71514221D01*
-X128475000Y-71537500D01*
-X128475000Y-72112500D01*
-X128473856Y-72135779D01*
-X128470437Y-72158834D01*
-X128464773Y-72181443D01*
-X128456921Y-72203387D01*
-X128446956Y-72224457D01*
-X128434974Y-72244448D01*
-X128421090Y-72263168D01*
-X128405438Y-72280438D01*
-X128388168Y-72296090D01*
-X128369448Y-72309974D01*
-X128349457Y-72321956D01*
-X128328387Y-72331921D01*
-X128306443Y-72339773D01*
-X128283834Y-72345437D01*
-X128260779Y-72348856D01*
-X128237500Y-72350000D01*
-X127762500Y-72350000D01*
-X127739221Y-72348856D01*
-X127716166Y-72345437D01*
-X127693557Y-72339773D01*
-X127671613Y-72331921D01*
-X127650543Y-72321956D01*
-X127630552Y-72309974D01*
-X127611832Y-72296090D01*
-X127594562Y-72280438D01*
-X127578910Y-72263168D01*
-X127565026Y-72244448D01*
-X127553044Y-72224457D01*
-X127543079Y-72203387D01*
-X127535227Y-72181443D01*
-X127529563Y-72158834D01*
-X127526144Y-72135779D01*
-X127525000Y-72112500D01*
-X127525000Y-71537500D01*
-X127526144Y-71514221D01*
-X127529563Y-71491166D01*
-X127535227Y-71468557D01*
-X127543079Y-71446613D01*
-X127553044Y-71425543D01*
-X127565026Y-71405552D01*
-X127578910Y-71386832D01*
-X127594562Y-71369562D01*
-X127611832Y-71353910D01*
-X127630552Y-71340026D01*
-X127650543Y-71328044D01*
-X127671613Y-71318079D01*
-X127693557Y-71310227D01*
-X127716166Y-71304563D01*
-X127739221Y-71301144D01*
-X127762500Y-71300000D01*
-X128237500Y-71300000D01*
-X128260779Y-71301144D01*
-X128260779Y-71301144D01*
-G37*
-D15*
-X128000000Y-71825000D03*
-D14*
-G36*
-X93049505Y-119326204D02*
-G01*
-X93073773Y-119329804D01*
-X93097572Y-119335765D01*
-X93120671Y-119344030D01*
-X93142850Y-119354520D01*
-X93163893Y-119367132D01*
-X93183599Y-119381747D01*
-X93201777Y-119398223D01*
-X93218253Y-119416401D01*
-X93232868Y-119436107D01*
-X93245480Y-119457150D01*
-X93255970Y-119479329D01*
-X93264235Y-119502428D01*
-X93270196Y-119526227D01*
-X93273796Y-119550495D01*
-X93275000Y-119574999D01*
-X93275000Y-122425001D01*
-X93273796Y-122449505D01*
-X93270196Y-122473773D01*
-X93264235Y-122497572D01*
-X93255970Y-122520671D01*
-X93245480Y-122542850D01*
-X93232868Y-122563893D01*
-X93218253Y-122583599D01*
-X93201777Y-122601777D01*
-X93183599Y-122618253D01*
-X93163893Y-122632868D01*
-X93142850Y-122645480D01*
-X93120671Y-122655970D01*
-X93097572Y-122664235D01*
-X93073773Y-122670196D01*
-X93049505Y-122673796D01*
-X93025001Y-122675000D01*
-X91999999Y-122675000D01*
-X91975495Y-122673796D01*
-X91951227Y-122670196D01*
-X91927428Y-122664235D01*
-X91904329Y-122655970D01*
-X91882150Y-122645480D01*
-X91861107Y-122632868D01*
-X91841401Y-122618253D01*
-X91823223Y-122601777D01*
-X91806747Y-122583599D01*
-X91792132Y-122563893D01*
-X91779520Y-122542850D01*
-X91769030Y-122520671D01*
-X91760765Y-122497572D01*
-X91754804Y-122473773D01*
-X91751204Y-122449505D01*
-X91750000Y-122425001D01*
-X91750000Y-119574999D01*
-X91751204Y-119550495D01*
-X91754804Y-119526227D01*
-X91760765Y-119502428D01*
-X91769030Y-119479329D01*
-X91779520Y-119457150D01*
-X91792132Y-119436107D01*
-X91806747Y-119416401D01*
-X91823223Y-119398223D01*
-X91841401Y-119381747D01*
-X91861107Y-119367132D01*
-X91882150Y-119354520D01*
-X91904329Y-119344030D01*
-X91927428Y-119335765D01*
-X91951227Y-119329804D01*
-X91975495Y-119326204D01*
-X91999999Y-119325000D01*
-X93025001Y-119325000D01*
-X93049505Y-119326204D01*
-X93049505Y-119326204D01*
-G37*
-D32*
-X92512500Y-121000000D03*
-D14*
-G36*
-X99024505Y-119326204D02*
-G01*
-X99048773Y-119329804D01*
-X99072572Y-119335765D01*
-X99095671Y-119344030D01*
-X99117850Y-119354520D01*
-X99138893Y-119367132D01*
-X99158599Y-119381747D01*
-X99176777Y-119398223D01*
-X99193253Y-119416401D01*
-X99207868Y-119436107D01*
-X99220480Y-119457150D01*
-X99230970Y-119479329D01*
-X99239235Y-119502428D01*
-X99245196Y-119526227D01*
-X99248796Y-119550495D01*
-X99250000Y-119574999D01*
-X99250000Y-122425001D01*
-X99248796Y-122449505D01*
-X99245196Y-122473773D01*
-X99239235Y-122497572D01*
-X99230970Y-122520671D01*
-X99220480Y-122542850D01*
-X99207868Y-122563893D01*
-X99193253Y-122583599D01*
-X99176777Y-122601777D01*
-X99158599Y-122618253D01*
-X99138893Y-122632868D01*
-X99117850Y-122645480D01*
-X99095671Y-122655970D01*
-X99072572Y-122664235D01*
-X99048773Y-122670196D01*
-X99024505Y-122673796D01*
-X99000001Y-122675000D01*
-X97974999Y-122675000D01*
-X97950495Y-122673796D01*
-X97926227Y-122670196D01*
-X97902428Y-122664235D01*
-X97879329Y-122655970D01*
-X97857150Y-122645480D01*
-X97836107Y-122632868D01*
-X97816401Y-122618253D01*
-X97798223Y-122601777D01*
-X97781747Y-122583599D01*
-X97767132Y-122563893D01*
-X97754520Y-122542850D01*
-X97744030Y-122520671D01*
-X97735765Y-122497572D01*
-X97729804Y-122473773D01*
-X97726204Y-122449505D01*
-X97725000Y-122425001D01*
-X97725000Y-119574999D01*
-X97726204Y-119550495D01*
-X97729804Y-119526227D01*
-X97735765Y-119502428D01*
-X97744030Y-119479329D01*
-X97754520Y-119457150D01*
-X97767132Y-119436107D01*
-X97781747Y-119416401D01*
-X97798223Y-119398223D01*
-X97816401Y-119381747D01*
-X97836107Y-119367132D01*
-X97857150Y-119354520D01*
-X97879329Y-119344030D01*
-X97902428Y-119335765D01*
-X97926227Y-119329804D01*
-X97950495Y-119326204D01*
-X97974999Y-119325000D01*
-X99000001Y-119325000D01*
-X99024505Y-119326204D01*
-X99024505Y-119326204D01*
-G37*
-D32*
-X98487500Y-121000000D03*
-D14*
-G36*
-X99024505Y-96326204D02*
-G01*
-X99048773Y-96329804D01*
-X99072572Y-96335765D01*
-X99095671Y-96344030D01*
-X99117850Y-96354520D01*
-X99138893Y-96367132D01*
-X99158599Y-96381747D01*
-X99176777Y-96398223D01*
-X99193253Y-96416401D01*
-X99207868Y-96436107D01*
-X99220480Y-96457150D01*
-X99230970Y-96479329D01*
-X99239235Y-96502428D01*
-X99245196Y-96526227D01*
-X99248796Y-96550495D01*
-X99250000Y-96574999D01*
-X99250000Y-99425001D01*
-X99248796Y-99449505D01*
-X99245196Y-99473773D01*
-X99239235Y-99497572D01*
-X99230970Y-99520671D01*
-X99220480Y-99542850D01*
-X99207868Y-99563893D01*
-X99193253Y-99583599D01*
-X99176777Y-99601777D01*
-X99158599Y-99618253D01*
-X99138893Y-99632868D01*
-X99117850Y-99645480D01*
-X99095671Y-99655970D01*
-X99072572Y-99664235D01*
-X99048773Y-99670196D01*
-X99024505Y-99673796D01*
-X99000001Y-99675000D01*
-X97974999Y-99675000D01*
-X97950495Y-99673796D01*
-X97926227Y-99670196D01*
-X97902428Y-99664235D01*
-X97879329Y-99655970D01*
-X97857150Y-99645480D01*
-X97836107Y-99632868D01*
-X97816401Y-99618253D01*
-X97798223Y-99601777D01*
-X97781747Y-99583599D01*
-X97767132Y-99563893D01*
-X97754520Y-99542850D01*
-X97744030Y-99520671D01*
-X97735765Y-99497572D01*
-X97729804Y-99473773D01*
-X97726204Y-99449505D01*
-X97725000Y-99425001D01*
-X97725000Y-96574999D01*
-X97726204Y-96550495D01*
-X97729804Y-96526227D01*
-X97735765Y-96502428D01*
-X97744030Y-96479329D01*
-X97754520Y-96457150D01*
-X97767132Y-96436107D01*
-X97781747Y-96416401D01*
-X97798223Y-96398223D01*
-X97816401Y-96381747D01*
-X97836107Y-96367132D01*
-X97857150Y-96354520D01*
-X97879329Y-96344030D01*
-X97902428Y-96335765D01*
-X97926227Y-96329804D01*
-X97950495Y-96326204D01*
-X97974999Y-96325000D01*
-X99000001Y-96325000D01*
-X99024505Y-96326204D01*
-X99024505Y-96326204D01*
-G37*
-D32*
-X98487500Y-98000000D03*
-D14*
-G36*
-X93049505Y-96326204D02*
-G01*
-X93073773Y-96329804D01*
-X93097572Y-96335765D01*
-X93120671Y-96344030D01*
-X93142850Y-96354520D01*
-X93163893Y-96367132D01*
-X93183599Y-96381747D01*
-X93201777Y-96398223D01*
-X93218253Y-96416401D01*
-X93232868Y-96436107D01*
-X93245480Y-96457150D01*
-X93255970Y-96479329D01*
-X93264235Y-96502428D01*
-X93270196Y-96526227D01*
-X93273796Y-96550495D01*
-X93275000Y-96574999D01*
-X93275000Y-99425001D01*
-X93273796Y-99449505D01*
-X93270196Y-99473773D01*
-X93264235Y-99497572D01*
-X93255970Y-99520671D01*
-X93245480Y-99542850D01*
-X93232868Y-99563893D01*
-X93218253Y-99583599D01*
-X93201777Y-99601777D01*
-X93183599Y-99618253D01*
-X93163893Y-99632868D01*
-X93142850Y-99645480D01*
-X93120671Y-99655970D01*
-X93097572Y-99664235D01*
-X93073773Y-99670196D01*
-X93049505Y-99673796D01*
-X93025001Y-99675000D01*
-X91999999Y-99675000D01*
-X91975495Y-99673796D01*
-X91951227Y-99670196D01*
-X91927428Y-99664235D01*
-X91904329Y-99655970D01*
-X91882150Y-99645480D01*
-X91861107Y-99632868D01*
-X91841401Y-99618253D01*
-X91823223Y-99601777D01*
-X91806747Y-99583599D01*
-X91792132Y-99563893D01*
-X91779520Y-99542850D01*
-X91769030Y-99520671D01*
-X91760765Y-99497572D01*
-X91754804Y-99473773D01*
-X91751204Y-99449505D01*
-X91750000Y-99425001D01*
-X91750000Y-96574999D01*
-X91751204Y-96550495D01*
-X91754804Y-96526227D01*
-X91760765Y-96502428D01*
-X91769030Y-96479329D01*
-X91779520Y-96457150D01*
-X91792132Y-96436107D01*
-X91806747Y-96416401D01*
-X91823223Y-96398223D01*
-X91841401Y-96381747D01*
-X91861107Y-96367132D01*
-X91882150Y-96354520D01*
-X91904329Y-96344030D01*
-X91927428Y-96335765D01*
-X91951227Y-96329804D01*
-X91975495Y-96326204D01*
-X91999999Y-96325000D01*
-X93025001Y-96325000D01*
-X93049505Y-96326204D01*
-X93049505Y-96326204D01*
-G37*
-D32*
-X92512500Y-98000000D03*
-D14*
-G36*
-X99024505Y-107826204D02*
-G01*
-X99048773Y-107829804D01*
-X99072572Y-107835765D01*
-X99095671Y-107844030D01*
-X99117850Y-107854520D01*
-X99138893Y-107867132D01*
-X99158599Y-107881747D01*
-X99176777Y-107898223D01*
-X99193253Y-107916401D01*
-X99207868Y-107936107D01*
-X99220480Y-107957150D01*
-X99230970Y-107979329D01*
-X99239235Y-108002428D01*
-X99245196Y-108026227D01*
-X99248796Y-108050495D01*
-X99250000Y-108074999D01*
-X99250000Y-110925001D01*
-X99248796Y-110949505D01*
-X99245196Y-110973773D01*
-X99239235Y-110997572D01*
-X99230970Y-111020671D01*
-X99220480Y-111042850D01*
-X99207868Y-111063893D01*
-X99193253Y-111083599D01*
-X99176777Y-111101777D01*
-X99158599Y-111118253D01*
-X99138893Y-111132868D01*
-X99117850Y-111145480D01*
-X99095671Y-111155970D01*
-X99072572Y-111164235D01*
-X99048773Y-111170196D01*
-X99024505Y-111173796D01*
-X99000001Y-111175000D01*
-X97974999Y-111175000D01*
-X97950495Y-111173796D01*
-X97926227Y-111170196D01*
-X97902428Y-111164235D01*
-X97879329Y-111155970D01*
-X97857150Y-111145480D01*
-X97836107Y-111132868D01*
-X97816401Y-111118253D01*
-X97798223Y-111101777D01*
-X97781747Y-111083599D01*
-X97767132Y-111063893D01*
-X97754520Y-111042850D01*
-X97744030Y-111020671D01*
-X97735765Y-110997572D01*
-X97729804Y-110973773D01*
-X97726204Y-110949505D01*
-X97725000Y-110925001D01*
-X97725000Y-108074999D01*
-X97726204Y-108050495D01*
-X97729804Y-108026227D01*
-X97735765Y-108002428D01*
-X97744030Y-107979329D01*
-X97754520Y-107957150D01*
-X97767132Y-107936107D01*
-X97781747Y-107916401D01*
-X97798223Y-107898223D01*
-X97816401Y-107881747D01*
-X97836107Y-107867132D01*
-X97857150Y-107854520D01*
-X97879329Y-107844030D01*
-X97902428Y-107835765D01*
-X97926227Y-107829804D01*
-X97950495Y-107826204D01*
-X97974999Y-107825000D01*
-X99000001Y-107825000D01*
-X99024505Y-107826204D01*
-X99024505Y-107826204D01*
-G37*
-D32*
-X98487500Y-109500000D03*
-D14*
-G36*
-X93049505Y-107826204D02*
-G01*
-X93073773Y-107829804D01*
-X93097572Y-107835765D01*
-X93120671Y-107844030D01*
-X93142850Y-107854520D01*
-X93163893Y-107867132D01*
-X93183599Y-107881747D01*
-X93201777Y-107898223D01*
-X93218253Y-107916401D01*
-X93232868Y-107936107D01*
-X93245480Y-107957150D01*
-X93255970Y-107979329D01*
-X93264235Y-108002428D01*
-X93270196Y-108026227D01*
-X93273796Y-108050495D01*
-X93275000Y-108074999D01*
-X93275000Y-110925001D01*
-X93273796Y-110949505D01*
-X93270196Y-110973773D01*
-X93264235Y-110997572D01*
-X93255970Y-111020671D01*
-X93245480Y-111042850D01*
-X93232868Y-111063893D01*
-X93218253Y-111083599D01*
-X93201777Y-111101777D01*
-X93183599Y-111118253D01*
-X93163893Y-111132868D01*
-X93142850Y-111145480D01*
-X93120671Y-111155970D01*
-X93097572Y-111164235D01*
-X93073773Y-111170196D01*
-X93049505Y-111173796D01*
-X93025001Y-111175000D01*
-X91999999Y-111175000D01*
-X91975495Y-111173796D01*
-X91951227Y-111170196D01*
-X91927428Y-111164235D01*
-X91904329Y-111155970D01*
-X91882150Y-111145480D01*
-X91861107Y-111132868D01*
-X91841401Y-111118253D01*
-X91823223Y-111101777D01*
-X91806747Y-111083599D01*
-X91792132Y-111063893D01*
-X91779520Y-111042850D01*
-X91769030Y-111020671D01*
-X91760765Y-110997572D01*
-X91754804Y-110973773D01*
-X91751204Y-110949505D01*
-X91750000Y-110925001D01*
-X91750000Y-108074999D01*
-X91751204Y-108050495D01*
-X91754804Y-108026227D01*
-X91760765Y-108002428D01*
-X91769030Y-107979329D01*
-X91779520Y-107957150D01*
-X91792132Y-107936107D01*
-X91806747Y-107916401D01*
-X91823223Y-107898223D01*
-X91841401Y-107881747D01*
-X91861107Y-107867132D01*
-X91882150Y-107854520D01*
-X91904329Y-107844030D01*
-X91927428Y-107835765D01*
-X91951227Y-107829804D01*
-X91975495Y-107826204D01*
-X91999999Y-107825000D01*
-X93025001Y-107825000D01*
-X93049505Y-107826204D01*
-X93049505Y-107826204D01*
-G37*
-D32*
-X92512500Y-109500000D03*
-D14*
-G36*
-X93049505Y-101826204D02*
-G01*
-X93073773Y-101829804D01*
-X93097572Y-101835765D01*
-X93120671Y-101844030D01*
-X93142850Y-101854520D01*
-X93163893Y-101867132D01*
-X93183599Y-101881747D01*
-X93201777Y-101898223D01*
-X93218253Y-101916401D01*
-X93232868Y-101936107D01*
-X93245480Y-101957150D01*
-X93255970Y-101979329D01*
-X93264235Y-102002428D01*
-X93270196Y-102026227D01*
-X93273796Y-102050495D01*
-X93275000Y-102074999D01*
-X93275000Y-104925001D01*
-X93273796Y-104949505D01*
-X93270196Y-104973773D01*
-X93264235Y-104997572D01*
-X93255970Y-105020671D01*
-X93245480Y-105042850D01*
-X93232868Y-105063893D01*
-X93218253Y-105083599D01*
-X93201777Y-105101777D01*
-X93183599Y-105118253D01*
-X93163893Y-105132868D01*
-X93142850Y-105145480D01*
-X93120671Y-105155970D01*
-X93097572Y-105164235D01*
-X93073773Y-105170196D01*
-X93049505Y-105173796D01*
-X93025001Y-105175000D01*
-X91999999Y-105175000D01*
-X91975495Y-105173796D01*
-X91951227Y-105170196D01*
-X91927428Y-105164235D01*
-X91904329Y-105155970D01*
-X91882150Y-105145480D01*
-X91861107Y-105132868D01*
-X91841401Y-105118253D01*
-X91823223Y-105101777D01*
-X91806747Y-105083599D01*
-X91792132Y-105063893D01*
-X91779520Y-105042850D01*
-X91769030Y-105020671D01*
-X91760765Y-104997572D01*
-X91754804Y-104973773D01*
-X91751204Y-104949505D01*
-X91750000Y-104925001D01*
-X91750000Y-102074999D01*
-X91751204Y-102050495D01*
-X91754804Y-102026227D01*
-X91760765Y-102002428D01*
-X91769030Y-101979329D01*
-X91779520Y-101957150D01*
-X91792132Y-101936107D01*
-X91806747Y-101916401D01*
-X91823223Y-101898223D01*
-X91841401Y-101881747D01*
-X91861107Y-101867132D01*
-X91882150Y-101854520D01*
-X91904329Y-101844030D01*
-X91927428Y-101835765D01*
-X91951227Y-101829804D01*
-X91975495Y-101826204D01*
-X91999999Y-101825000D01*
-X93025001Y-101825000D01*
-X93049505Y-101826204D01*
-X93049505Y-101826204D01*
-G37*
-D32*
-X92512500Y-103500000D03*
-D14*
-G36*
-X99024505Y-101826204D02*
-G01*
-X99048773Y-101829804D01*
-X99072572Y-101835765D01*
-X99095671Y-101844030D01*
-X99117850Y-101854520D01*
-X99138893Y-101867132D01*
-X99158599Y-101881747D01*
-X99176777Y-101898223D01*
-X99193253Y-101916401D01*
-X99207868Y-101936107D01*
-X99220480Y-101957150D01*
-X99230970Y-101979329D01*
-X99239235Y-102002428D01*
-X99245196Y-102026227D01*
-X99248796Y-102050495D01*
-X99250000Y-102074999D01*
-X99250000Y-104925001D01*
-X99248796Y-104949505D01*
-X99245196Y-104973773D01*
-X99239235Y-104997572D01*
-X99230970Y-105020671D01*
-X99220480Y-105042850D01*
-X99207868Y-105063893D01*
-X99193253Y-105083599D01*
-X99176777Y-105101777D01*
-X99158599Y-105118253D01*
-X99138893Y-105132868D01*
-X99117850Y-105145480D01*
-X99095671Y-105155970D01*
-X99072572Y-105164235D01*
-X99048773Y-105170196D01*
-X99024505Y-105173796D01*
-X99000001Y-105175000D01*
-X97974999Y-105175000D01*
-X97950495Y-105173796D01*
-X97926227Y-105170196D01*
-X97902428Y-105164235D01*
-X97879329Y-105155970D01*
-X97857150Y-105145480D01*
-X97836107Y-105132868D01*
-X97816401Y-105118253D01*
-X97798223Y-105101777D01*
-X97781747Y-105083599D01*
-X97767132Y-105063893D01*
-X97754520Y-105042850D01*
-X97744030Y-105020671D01*
-X97735765Y-104997572D01*
-X97729804Y-104973773D01*
-X97726204Y-104949505D01*
-X97725000Y-104925001D01*
-X97725000Y-102074999D01*
-X97726204Y-102050495D01*
-X97729804Y-102026227D01*
-X97735765Y-102002428D01*
-X97744030Y-101979329D01*
-X97754520Y-101957150D01*
-X97767132Y-101936107D01*
-X97781747Y-101916401D01*
-X97798223Y-101898223D01*
-X97816401Y-101881747D01*
-X97836107Y-101867132D01*
-X97857150Y-101854520D01*
-X97879329Y-101844030D01*
-X97902428Y-101835765D01*
-X97926227Y-101829804D01*
-X97950495Y-101826204D01*
-X97974999Y-101825000D01*
-X99000001Y-101825000D01*
-X99024505Y-101826204D01*
-X99024505Y-101826204D01*
-G37*
-D32*
-X98487500Y-103500000D03*
-D14*
-G36*
-X99024505Y-113826204D02*
-G01*
-X99048773Y-113829804D01*
-X99072572Y-113835765D01*
-X99095671Y-113844030D01*
-X99117850Y-113854520D01*
-X99138893Y-113867132D01*
-X99158599Y-113881747D01*
-X99176777Y-113898223D01*
-X99193253Y-113916401D01*
-X99207868Y-113936107D01*
-X99220480Y-113957150D01*
-X99230970Y-113979329D01*
-X99239235Y-114002428D01*
-X99245196Y-114026227D01*
-X99248796Y-114050495D01*
-X99250000Y-114074999D01*
-X99250000Y-116925001D01*
-X99248796Y-116949505D01*
-X99245196Y-116973773D01*
-X99239235Y-116997572D01*
-X99230970Y-117020671D01*
-X99220480Y-117042850D01*
-X99207868Y-117063893D01*
-X99193253Y-117083599D01*
-X99176777Y-117101777D01*
-X99158599Y-117118253D01*
-X99138893Y-117132868D01*
-X99117850Y-117145480D01*
-X99095671Y-117155970D01*
-X99072572Y-117164235D01*
-X99048773Y-117170196D01*
-X99024505Y-117173796D01*
-X99000001Y-117175000D01*
-X97974999Y-117175000D01*
-X97950495Y-117173796D01*
-X97926227Y-117170196D01*
-X97902428Y-117164235D01*
-X97879329Y-117155970D01*
-X97857150Y-117145480D01*
-X97836107Y-117132868D01*
-X97816401Y-117118253D01*
-X97798223Y-117101777D01*
-X97781747Y-117083599D01*
-X97767132Y-117063893D01*
-X97754520Y-117042850D01*
-X97744030Y-117020671D01*
-X97735765Y-116997572D01*
-X97729804Y-116973773D01*
-X97726204Y-116949505D01*
-X97725000Y-116925001D01*
-X97725000Y-114074999D01*
-X97726204Y-114050495D01*
-X97729804Y-114026227D01*
-X97735765Y-114002428D01*
-X97744030Y-113979329D01*
-X97754520Y-113957150D01*
-X97767132Y-113936107D01*
-X97781747Y-113916401D01*
-X97798223Y-113898223D01*
-X97816401Y-113881747D01*
-X97836107Y-113867132D01*
-X97857150Y-113854520D01*
-X97879329Y-113844030D01*
-X97902428Y-113835765D01*
-X97926227Y-113829804D01*
-X97950495Y-113826204D01*
-X97974999Y-113825000D01*
-X99000001Y-113825000D01*
-X99024505Y-113826204D01*
-X99024505Y-113826204D01*
-G37*
-D32*
-X98487500Y-115500000D03*
-D14*
-G36*
-X93049505Y-113826204D02*
-G01*
-X93073773Y-113829804D01*
-X93097572Y-113835765D01*
-X93120671Y-113844030D01*
-X93142850Y-113854520D01*
-X93163893Y-113867132D01*
-X93183599Y-113881747D01*
-X93201777Y-113898223D01*
-X93218253Y-113916401D01*
-X93232868Y-113936107D01*
-X93245480Y-113957150D01*
-X93255970Y-113979329D01*
-X93264235Y-114002428D01*
-X93270196Y-114026227D01*
-X93273796Y-114050495D01*
-X93275000Y-114074999D01*
-X93275000Y-116925001D01*
-X93273796Y-116949505D01*
-X93270196Y-116973773D01*
-X93264235Y-116997572D01*
-X93255970Y-117020671D01*
-X93245480Y-117042850D01*
-X93232868Y-117063893D01*
-X93218253Y-117083599D01*
-X93201777Y-117101777D01*
-X93183599Y-117118253D01*
-X93163893Y-117132868D01*
-X93142850Y-117145480D01*
-X93120671Y-117155970D01*
-X93097572Y-117164235D01*
-X93073773Y-117170196D01*
-X93049505Y-117173796D01*
-X93025001Y-117175000D01*
-X91999999Y-117175000D01*
-X91975495Y-117173796D01*
-X91951227Y-117170196D01*
-X91927428Y-117164235D01*
-X91904329Y-117155970D01*
-X91882150Y-117145480D01*
-X91861107Y-117132868D01*
-X91841401Y-117118253D01*
-X91823223Y-117101777D01*
-X91806747Y-117083599D01*
-X91792132Y-117063893D01*
-X91779520Y-117042850D01*
-X91769030Y-117020671D01*
-X91760765Y-116997572D01*
-X91754804Y-116973773D01*
-X91751204Y-116949505D01*
-X91750000Y-116925001D01*
-X91750000Y-114074999D01*
-X91751204Y-114050495D01*
-X91754804Y-114026227D01*
-X91760765Y-114002428D01*
-X91769030Y-113979329D01*
-X91779520Y-113957150D01*
-X91792132Y-113936107D01*
-X91806747Y-113916401D01*
-X91823223Y-113898223D01*
-X91841401Y-113881747D01*
-X91861107Y-113867132D01*
-X91882150Y-113854520D01*
-X91904329Y-113844030D01*
-X91927428Y-113835765D01*
-X91951227Y-113829804D01*
-X91975495Y-113826204D01*
-X91999999Y-113825000D01*
-X93025001Y-113825000D01*
-X93049505Y-113826204D01*
-X93049505Y-113826204D01*
-G37*
-D32*
-X92512500Y-115500000D03*
-D33*
-X96350000Y-71250000D03*
-X97300000Y-71250000D03*
-X98250000Y-71250000D03*
-X98250000Y-68550000D03*
-X96350000Y-68550000D03*
-X97300000Y-68550000D03*
-D34*
-X89850000Y-79100000D03*
-D35*
-X83550000Y-79100000D03*
-X83550000Y-81400000D03*
-X83550000Y-76800000D03*
-D36*
-X112250000Y-74500000D03*
-X112250000Y-77400000D03*
-X114550000Y-77400000D03*
-X114550000Y-74500000D03*
-D37*
-X75000000Y-60000000D03*
-X165000000Y-60000000D03*
-X75000000Y-150000000D03*
-X165000000Y-150000000D03*
-D38*
-X127300000Y-88295000D03*
-X127300000Y-89565000D03*
-X127300000Y-90835000D03*
-X127300000Y-92105000D03*
-X121900000Y-92105000D03*
-X121900000Y-90835000D03*
-X121900000Y-89565000D03*
-X121900000Y-88295000D03*
-X136900000Y-88295000D03*
-X136900000Y-89565000D03*
-X136900000Y-90835000D03*
-X136900000Y-92105000D03*
-X142300000Y-92105000D03*
-X142300000Y-90835000D03*
-X142300000Y-89565000D03*
-X142300000Y-88295000D03*
-D39*
-X107800000Y-58400000D03*
-D40*
-X107800000Y-63400000D03*
-X96100000Y-63400000D03*
-D39*
-X96100000Y-58400000D03*
-X73800000Y-88100000D03*
-D40*
-X78800000Y-88100000D03*
-X78800000Y-75900000D03*
-D39*
-X73800000Y-75900000D03*
-D41*
-X116550000Y-66590000D03*
-D42*
-X116550000Y-64050000D03*
-X116550000Y-61510000D03*
-X116550000Y-58970000D03*
-D14*
-G36*
-X83249504Y-69776204D02*
-G01*
-X83273773Y-69779804D01*
-X83297571Y-69785765D01*
-X83320671Y-69794030D01*
-X83342849Y-69804520D01*
-X83363893Y-69817133D01*
-X83383598Y-69831747D01*
-X83401777Y-69848223D01*
-X83418253Y-69866402D01*
-X83432867Y-69886107D01*
-X83445480Y-69907151D01*
-X83455970Y-69929329D01*
-X83464235Y-69952429D01*
-X83470196Y-69976227D01*
-X83473796Y-70000496D01*
-X83475000Y-70025000D01*
-X83475000Y-71275000D01*
-X83473796Y-71299504D01*
-X83470196Y-71323773D01*
-X83464235Y-71347571D01*
-X83455970Y-71370671D01*
-X83445480Y-71392849D01*
-X83432867Y-71413893D01*
-X83418253Y-71433598D01*
-X83401777Y-71451777D01*
-X83383598Y-71468253D01*
-X83363893Y-71482867D01*
-X83342849Y-71495480D01*
-X83320671Y-71505970D01*
-X83297571Y-71514235D01*
-X83273773Y-71520196D01*
-X83249504Y-71523796D01*
-X83225000Y-71525000D01*
-X82300000Y-71525000D01*
-X82275496Y-71523796D01*
-X82251227Y-71520196D01*
-X82227429Y-71514235D01*
-X82204329Y-71505970D01*
-X82182151Y-71495480D01*
-X82161107Y-71482867D01*
-X82141402Y-71468253D01*
-X82123223Y-71451777D01*
-X82106747Y-71433598D01*
-X82092133Y-71413893D01*
-X82079520Y-71392849D01*
-X82069030Y-71370671D01*
-X82060765Y-71347571D01*
-X82054804Y-71323773D01*
-X82051204Y-71299504D01*
-X82050000Y-71275000D01*
-X82050000Y-70025000D01*
-X82051204Y-70000496D01*
-X82054804Y-69976227D01*
-X82060765Y-69952429D01*
-X82069030Y-69929329D01*
-X82079520Y-69907151D01*
-X82092133Y-69886107D01*
-X82106747Y-69866402D01*
-X82123223Y-69848223D01*
-X82141402Y-69831747D01*
-X82161107Y-69817133D01*
-X82182151Y-69804520D01*
-X82204329Y-69794030D01*
-X82227429Y-69785765D01*
-X82251227Y-69779804D01*
-X82275496Y-69776204D01*
-X82300000Y-69775000D01*
-X83225000Y-69775000D01*
-X83249504Y-69776204D01*
-X83249504Y-69776204D01*
-G37*
-D31*
-X82762500Y-70650000D03*
-D14*
-G36*
-X86224504Y-69776204D02*
-G01*
-X86248773Y-69779804D01*
-X86272571Y-69785765D01*
-X86295671Y-69794030D01*
-X86317849Y-69804520D01*
-X86338893Y-69817133D01*
-X86358598Y-69831747D01*
-X86376777Y-69848223D01*
-X86393253Y-69866402D01*
-X86407867Y-69886107D01*
-X86420480Y-69907151D01*
-X86430970Y-69929329D01*
-X86439235Y-69952429D01*
-X86445196Y-69976227D01*
-X86448796Y-70000496D01*
-X86450000Y-70025000D01*
-X86450000Y-71275000D01*
-X86448796Y-71299504D01*
-X86445196Y-71323773D01*
-X86439235Y-71347571D01*
-X86430970Y-71370671D01*
-X86420480Y-71392849D01*
-X86407867Y-71413893D01*
-X86393253Y-71433598D01*
-X86376777Y-71451777D01*
-X86358598Y-71468253D01*
-X86338893Y-71482867D01*
-X86317849Y-71495480D01*
-X86295671Y-71505970D01*
-X86272571Y-71514235D01*
-X86248773Y-71520196D01*
-X86224504Y-71523796D01*
-X86200000Y-71525000D01*
-X85275000Y-71525000D01*
-X85250496Y-71523796D01*
-X85226227Y-71520196D01*
-X85202429Y-71514235D01*
-X85179329Y-71505970D01*
-X85157151Y-71495480D01*
-X85136107Y-71482867D01*
-X85116402Y-71468253D01*
-X85098223Y-71451777D01*
-X85081747Y-71433598D01*
-X85067133Y-71413893D01*
-X85054520Y-71392849D01*
-X85044030Y-71370671D01*
-X85035765Y-71347571D01*
-X85029804Y-71323773D01*
-X85026204Y-71299504D01*
-X85025000Y-71275000D01*
-X85025000Y-70025000D01*
-X85026204Y-70000496D01*
-X85029804Y-69976227D01*
-X85035765Y-69952429D01*
-X85044030Y-69929329D01*
-X85054520Y-69907151D01*
-X85067133Y-69886107D01*
-X85081747Y-69866402D01*
-X85098223Y-69848223D01*
-X85116402Y-69831747D01*
-X85136107Y-69817133D01*
-X85157151Y-69804520D01*
-X85179329Y-69794030D01*
-X85202429Y-69785765D01*
-X85226227Y-69779804D01*
-X85250496Y-69776204D01*
-X85275000Y-69775000D01*
-X86200000Y-69775000D01*
-X86224504Y-69776204D01*
-X86224504Y-69776204D01*
-G37*
-D31*
-X85737500Y-70650000D03*
-D43*
-X85000000Y-62500000D03*
-D44*
-X85000000Y-59960000D03*
-D21*
-X128800000Y-120974950D03*
-X128800000Y-120324950D03*
-X128800000Y-119674950D03*
-X128800000Y-119024950D03*
-X124400000Y-119024950D03*
-X124400000Y-119674950D03*
-X124400000Y-120324950D03*
-X124400000Y-120974950D03*
-D14*
-G36*
-X165935779Y-68676144D02*
-G01*
-X165958834Y-68679563D01*
-X165981443Y-68685227D01*
-X166003387Y-68693079D01*
-X166024457Y-68703044D01*
-X166044448Y-68715026D01*
-X166063168Y-68728910D01*
-X166080438Y-68744562D01*
-X166096090Y-68761832D01*
-X166109974Y-68780552D01*
-X166121956Y-68800543D01*
-X166131921Y-68821613D01*
-X166139773Y-68843557D01*
-X166145437Y-68866166D01*
-X166148856Y-68889221D01*
-X166150000Y-68912500D01*
-X166150000Y-69387500D01*
-X166148856Y-69410779D01*
-X166145437Y-69433834D01*
-X166139773Y-69456443D01*
-X166131921Y-69478387D01*
-X166121956Y-69499457D01*
-X166109974Y-69519448D01*
-X166096090Y-69538168D01*
-X166080438Y-69555438D01*
-X166063168Y-69571090D01*
-X166044448Y-69584974D01*
-X166024457Y-69596956D01*
-X166003387Y-69606921D01*
-X165981443Y-69614773D01*
-X165958834Y-69620437D01*
-X165935779Y-69623856D01*
-X165912500Y-69625000D01*
-X165337500Y-69625000D01*
-X165314221Y-69623856D01*
-X165291166Y-69620437D01*
-X165268557Y-69614773D01*
-X165246613Y-69606921D01*
-X165225543Y-69596956D01*
-X165205552Y-69584974D01*
-X165186832Y-69571090D01*
-X165169562Y-69555438D01*
-X165153910Y-69538168D01*
-X165140026Y-69519448D01*
-X165128044Y-69499457D01*
-X165118079Y-69478387D01*
-X165110227Y-69456443D01*
-X165104563Y-69433834D01*
-X165101144Y-69410779D01*
-X165100000Y-69387500D01*
-X165100000Y-68912500D01*
-X165101144Y-68889221D01*
-X165104563Y-68866166D01*
-X165110227Y-68843557D01*
-X165118079Y-68821613D01*
-X165128044Y-68800543D01*
-X165140026Y-68780552D01*
-X165153910Y-68761832D01*
-X165169562Y-68744562D01*
-X165186832Y-68728910D01*
-X165205552Y-68715026D01*
-X165225543Y-68703044D01*
-X165246613Y-68693079D01*
-X165268557Y-68685227D01*
-X165291166Y-68679563D01*
-X165314221Y-68676144D01*
-X165337500Y-68675000D01*
-X165912500Y-68675000D01*
-X165935779Y-68676144D01*
-X165935779Y-68676144D01*
-G37*
-D15*
-X165625000Y-69150000D03*
-D14*
-G36*
-X167685779Y-68676144D02*
-G01*
-X167708834Y-68679563D01*
-X167731443Y-68685227D01*
-X167753387Y-68693079D01*
-X167774457Y-68703044D01*
-X167794448Y-68715026D01*
-X167813168Y-68728910D01*
-X167830438Y-68744562D01*
-X167846090Y-68761832D01*
-X167859974Y-68780552D01*
-X167871956Y-68800543D01*
-X167881921Y-68821613D01*
-X167889773Y-68843557D01*
-X167895437Y-68866166D01*
-X167898856Y-68889221D01*
-X167900000Y-68912500D01*
-X167900000Y-69387500D01*
-X167898856Y-69410779D01*
-X167895437Y-69433834D01*
-X167889773Y-69456443D01*
-X167881921Y-69478387D01*
-X167871956Y-69499457D01*
-X167859974Y-69519448D01*
-X167846090Y-69538168D01*
-X167830438Y-69555438D01*
-X167813168Y-69571090D01*
-X167794448Y-69584974D01*
-X167774457Y-69596956D01*
-X167753387Y-69606921D01*
-X167731443Y-69614773D01*
-X167708834Y-69620437D01*
-X167685779Y-69623856D01*
-X167662500Y-69625000D01*
-X167087500Y-69625000D01*
-X167064221Y-69623856D01*
-X167041166Y-69620437D01*
-X167018557Y-69614773D01*
-X166996613Y-69606921D01*
-X166975543Y-69596956D01*
-X166955552Y-69584974D01*
-X166936832Y-69571090D01*
-X166919562Y-69555438D01*
-X166903910Y-69538168D01*
-X166890026Y-69519448D01*
-X166878044Y-69499457D01*
-X166868079Y-69478387D01*
-X166860227Y-69456443D01*
-X166854563Y-69433834D01*
-X166851144Y-69410779D01*
-X166850000Y-69387500D01*
-X166850000Y-68912500D01*
-X166851144Y-68889221D01*
-X166854563Y-68866166D01*
-X166860227Y-68843557D01*
-X166868079Y-68821613D01*
-X166878044Y-68800543D01*
-X166890026Y-68780552D01*
-X166903910Y-68761832D01*
-X166919562Y-68744562D01*
-X166936832Y-68728910D01*
-X166955552Y-68715026D01*
-X166975543Y-68703044D01*
-X166996613Y-68693079D01*
-X167018557Y-68685227D01*
-X167041166Y-68679563D01*
-X167064221Y-68676144D01*
-X167087500Y-68675000D01*
-X167662500Y-68675000D01*
-X167685779Y-68676144D01*
-X167685779Y-68676144D01*
-G37*
-D15*
-X167375000Y-69150000D03*
-D14*
-G36*
-X167685779Y-67176144D02*
-G01*
-X167708834Y-67179563D01*
-X167731443Y-67185227D01*
-X167753387Y-67193079D01*
-X167774457Y-67203044D01*
-X167794448Y-67215026D01*
-X167813168Y-67228910D01*
-X167830438Y-67244562D01*
-X167846090Y-67261832D01*
-X167859974Y-67280552D01*
-X167871956Y-67300543D01*
-X167881921Y-67321613D01*
-X167889773Y-67343557D01*
-X167895437Y-67366166D01*
-X167898856Y-67389221D01*
-X167900000Y-67412500D01*
-X167900000Y-67887500D01*
-X167898856Y-67910779D01*
-X167895437Y-67933834D01*
-X167889773Y-67956443D01*
-X167881921Y-67978387D01*
-X167871956Y-67999457D01*
-X167859974Y-68019448D01*
-X167846090Y-68038168D01*
-X167830438Y-68055438D01*
-X167813168Y-68071090D01*
-X167794448Y-68084974D01*
-X167774457Y-68096956D01*
-X167753387Y-68106921D01*
-X167731443Y-68114773D01*
-X167708834Y-68120437D01*
-X167685779Y-68123856D01*
-X167662500Y-68125000D01*
-X167087500Y-68125000D01*
-X167064221Y-68123856D01*
-X167041166Y-68120437D01*
-X167018557Y-68114773D01*
-X166996613Y-68106921D01*
-X166975543Y-68096956D01*
-X166955552Y-68084974D01*
-X166936832Y-68071090D01*
-X166919562Y-68055438D01*
-X166903910Y-68038168D01*
-X166890026Y-68019448D01*
-X166878044Y-67999457D01*
-X166868079Y-67978387D01*
-X166860227Y-67956443D01*
-X166854563Y-67933834D01*
-X166851144Y-67910779D01*
-X166850000Y-67887500D01*
-X166850000Y-67412500D01*
-X166851144Y-67389221D01*
-X166854563Y-67366166D01*
-X166860227Y-67343557D01*
-X166868079Y-67321613D01*
-X166878044Y-67300543D01*
-X166890026Y-67280552D01*
-X166903910Y-67261832D01*
-X166919562Y-67244562D01*
-X166936832Y-67228910D01*
-X166955552Y-67215026D01*
-X166975543Y-67203044D01*
-X166996613Y-67193079D01*
-X167018557Y-67185227D01*
-X167041166Y-67179563D01*
-X167064221Y-67176144D01*
-X167087500Y-67175000D01*
-X167662500Y-67175000D01*
-X167685779Y-67176144D01*
-X167685779Y-67176144D01*
-G37*
-D15*
-X167375000Y-67650000D03*
-D14*
-G36*
-X165935779Y-67176144D02*
-G01*
-X165958834Y-67179563D01*
-X165981443Y-67185227D01*
-X166003387Y-67193079D01*
-X166024457Y-67203044D01*
-X166044448Y-67215026D01*
-X166063168Y-67228910D01*
-X166080438Y-67244562D01*
-X166096090Y-67261832D01*
-X166109974Y-67280552D01*
-X166121956Y-67300543D01*
-X166131921Y-67321613D01*
-X166139773Y-67343557D01*
-X166145437Y-67366166D01*
-X166148856Y-67389221D01*
-X166150000Y-67412500D01*
-X166150000Y-67887500D01*
-X166148856Y-67910779D01*
-X166145437Y-67933834D01*
-X166139773Y-67956443D01*
-X166131921Y-67978387D01*
-X166121956Y-67999457D01*
-X166109974Y-68019448D01*
-X166096090Y-68038168D01*
-X166080438Y-68055438D01*
-X166063168Y-68071090D01*
-X166044448Y-68084974D01*
-X166024457Y-68096956D01*
-X166003387Y-68106921D01*
-X165981443Y-68114773D01*
-X165958834Y-68120437D01*
-X165935779Y-68123856D01*
-X165912500Y-68125000D01*
-X165337500Y-68125000D01*
-X165314221Y-68123856D01*
-X165291166Y-68120437D01*
-X165268557Y-68114773D01*
-X165246613Y-68106921D01*
-X165225543Y-68096956D01*
-X165205552Y-68084974D01*
-X165186832Y-68071090D01*
-X165169562Y-68055438D01*
-X165153910Y-68038168D01*
-X165140026Y-68019448D01*
-X165128044Y-67999457D01*
-X165118079Y-67978387D01*
-X165110227Y-67956443D01*
-X165104563Y-67933834D01*
-X165101144Y-67910779D01*
-X165100000Y-67887500D01*
-X165100000Y-67412500D01*
-X165101144Y-67389221D01*
-X165104563Y-67366166D01*
-X165110227Y-67343557D01*
-X165118079Y-67321613D01*
-X165128044Y-67300543D01*
-X165140026Y-67280552D01*
-X165153910Y-67261832D01*
-X165169562Y-67244562D01*
-X165186832Y-67228910D01*
-X165205552Y-67215026D01*
-X165225543Y-67203044D01*
-X165246613Y-67193079D01*
-X165268557Y-67185227D01*
-X165291166Y-67179563D01*
-X165314221Y-67176144D01*
-X165337500Y-67175000D01*
-X165912500Y-67175000D01*
-X165935779Y-67176144D01*
-X165935779Y-67176144D01*
-G37*
-D15*
-X165625000Y-67650000D03*
-D10*
-X155220000Y-69300000D03*
-X152930000Y-69300000D03*
-X143790000Y-69300000D03*
-X141500000Y-69300000D03*
-D39*
-X140230000Y-63590000D03*
-X156490000Y-63590000D03*
-D10*
-X151940000Y-64480000D03*
-X149900000Y-64480000D03*
-X147860000Y-64480000D03*
-X145820000Y-64480000D03*
-X150920000Y-62700000D03*
-X148880000Y-62700000D03*
-X146840000Y-62700000D03*
-D45*
-X144800000Y-62700000D03*
-X124950000Y-62700000D03*
-D10*
-X126990000Y-62700000D03*
-X129030000Y-62700000D03*
-X131070000Y-62700000D03*
-X125970000Y-64480000D03*
-X128010000Y-64480000D03*
-X130050000Y-64480000D03*
-X132090000Y-64480000D03*
-D39*
-X136640000Y-63590000D03*
-X120380000Y-63590000D03*
-D10*
-X121650000Y-69300000D03*
-X123940000Y-69300000D03*
-X133080000Y-69300000D03*
-X135370000Y-69300000D03*
-D46*
-X164100000Y-92795000D03*
-X164100000Y-91525000D03*
-X164100000Y-90255000D03*
-X164100000Y-88985000D03*
-X164100000Y-87715000D03*
-X164100000Y-86445000D03*
-X164100000Y-85175000D03*
-X164100000Y-83905000D03*
-X158700000Y-83905000D03*
-X158700000Y-85175000D03*
-X158700000Y-86445000D03*
-X158700000Y-87715000D03*
-X158700000Y-88985000D03*
-X158700000Y-90255000D03*
-X158700000Y-91525000D03*
-X158700000Y-92795000D03*
-D14*
-G36*
-X163335779Y-68676144D02*
-G01*
-X163358834Y-68679563D01*
-X163381443Y-68685227D01*
-X163403387Y-68693079D01*
-X163424457Y-68703044D01*
-X163444448Y-68715026D01*
-X163463168Y-68728910D01*
-X163480438Y-68744562D01*
-X163496090Y-68761832D01*
-X163509974Y-68780552D01*
-X163521956Y-68800543D01*
-X163531921Y-68821613D01*
-X163539773Y-68843557D01*
-X163545437Y-68866166D01*
-X163548856Y-68889221D01*
-X163550000Y-68912500D01*
-X163550000Y-69387500D01*
-X163548856Y-69410779D01*
-X163545437Y-69433834D01*
-X163539773Y-69456443D01*
-X163531921Y-69478387D01*
-X163521956Y-69499457D01*
-X163509974Y-69519448D01*
-X163496090Y-69538168D01*
-X163480438Y-69555438D01*
-X163463168Y-69571090D01*
-X163444448Y-69584974D01*
-X163424457Y-69596956D01*
-X163403387Y-69606921D01*
-X163381443Y-69614773D01*
-X163358834Y-69620437D01*
-X163335779Y-69623856D01*
-X163312500Y-69625000D01*
-X162737500Y-69625000D01*
-X162714221Y-69623856D01*
-X162691166Y-69620437D01*
-X162668557Y-69614773D01*
-X162646613Y-69606921D01*
-X162625543Y-69596956D01*
-X162605552Y-69584974D01*
-X162586832Y-69571090D01*
-X162569562Y-69555438D01*
-X162553910Y-69538168D01*
-X162540026Y-69519448D01*
-X162528044Y-69499457D01*
-X162518079Y-69478387D01*
-X162510227Y-69456443D01*
-X162504563Y-69433834D01*
-X162501144Y-69410779D01*
-X162500000Y-69387500D01*
-X162500000Y-68912500D01*
-X162501144Y-68889221D01*
-X162504563Y-68866166D01*
-X162510227Y-68843557D01*
-X162518079Y-68821613D01*
-X162528044Y-68800543D01*
-X162540026Y-68780552D01*
-X162553910Y-68761832D01*
-X162569562Y-68744562D01*
-X162586832Y-68728910D01*
-X162605552Y-68715026D01*
-X162625543Y-68703044D01*
-X162646613Y-68693079D01*
-X162668557Y-68685227D01*
-X162691166Y-68679563D01*
-X162714221Y-68676144D01*
-X162737500Y-68675000D01*
-X163312500Y-68675000D01*
-X163335779Y-68676144D01*
-X163335779Y-68676144D01*
-G37*
-D15*
-X163025000Y-69150000D03*
-D14*
-G36*
-X161585779Y-68676144D02*
-G01*
-X161608834Y-68679563D01*
-X161631443Y-68685227D01*
-X161653387Y-68693079D01*
-X161674457Y-68703044D01*
-X161694448Y-68715026D01*
-X161713168Y-68728910D01*
-X161730438Y-68744562D01*
-X161746090Y-68761832D01*
-X161759974Y-68780552D01*
-X161771956Y-68800543D01*
-X161781921Y-68821613D01*
-X161789773Y-68843557D01*
-X161795437Y-68866166D01*
-X161798856Y-68889221D01*
-X161800000Y-68912500D01*
-X161800000Y-69387500D01*
-X161798856Y-69410779D01*
-X161795437Y-69433834D01*
-X161789773Y-69456443D01*
-X161781921Y-69478387D01*
-X161771956Y-69499457D01*
-X161759974Y-69519448D01*
-X161746090Y-69538168D01*
-X161730438Y-69555438D01*
-X161713168Y-69571090D01*
-X161694448Y-69584974D01*
-X161674457Y-69596956D01*
-X161653387Y-69606921D01*
-X161631443Y-69614773D01*
-X161608834Y-69620437D01*
-X161585779Y-69623856D01*
-X161562500Y-69625000D01*
-X160987500Y-69625000D01*
-X160964221Y-69623856D01*
-X160941166Y-69620437D01*
-X160918557Y-69614773D01*
-X160896613Y-69606921D01*
-X160875543Y-69596956D01*
-X160855552Y-69584974D01*
-X160836832Y-69571090D01*
-X160819562Y-69555438D01*
-X160803910Y-69538168D01*
-X160790026Y-69519448D01*
-X160778044Y-69499457D01*
-X160768079Y-69478387D01*
-X160760227Y-69456443D01*
-X160754563Y-69433834D01*
-X160751144Y-69410779D01*
-X160750000Y-69387500D01*
-X160750000Y-68912500D01*
-X160751144Y-68889221D01*
-X160754563Y-68866166D01*
-X160760227Y-68843557D01*
-X160768079Y-68821613D01*
-X160778044Y-68800543D01*
-X160790026Y-68780552D01*
-X160803910Y-68761832D01*
-X160819562Y-68744562D01*
-X160836832Y-68728910D01*
-X160855552Y-68715026D01*
-X160875543Y-68703044D01*
-X160896613Y-68693079D01*
-X160918557Y-68685227D01*
-X160941166Y-68679563D01*
-X160964221Y-68676144D01*
-X160987500Y-68675000D01*
-X161562500Y-68675000D01*
-X161585779Y-68676144D01*
-X161585779Y-68676144D01*
-G37*
-D15*
-X161275000Y-69150000D03*
-D14*
-G36*
-X161585779Y-67176144D02*
-G01*
-X161608834Y-67179563D01*
-X161631443Y-67185227D01*
-X161653387Y-67193079D01*
-X161674457Y-67203044D01*
-X161694448Y-67215026D01*
-X161713168Y-67228910D01*
-X161730438Y-67244562D01*
-X161746090Y-67261832D01*
-X161759974Y-67280552D01*
-X161771956Y-67300543D01*
-X161781921Y-67321613D01*
-X161789773Y-67343557D01*
-X161795437Y-67366166D01*
-X161798856Y-67389221D01*
-X161800000Y-67412500D01*
-X161800000Y-67887500D01*
-X161798856Y-67910779D01*
-X161795437Y-67933834D01*
-X161789773Y-67956443D01*
-X161781921Y-67978387D01*
-X161771956Y-67999457D01*
-X161759974Y-68019448D01*
-X161746090Y-68038168D01*
-X161730438Y-68055438D01*
-X161713168Y-68071090D01*
-X161694448Y-68084974D01*
-X161674457Y-68096956D01*
-X161653387Y-68106921D01*
-X161631443Y-68114773D01*
-X161608834Y-68120437D01*
-X161585779Y-68123856D01*
-X161562500Y-68125000D01*
-X160987500Y-68125000D01*
-X160964221Y-68123856D01*
-X160941166Y-68120437D01*
-X160918557Y-68114773D01*
-X160896613Y-68106921D01*
-X160875543Y-68096956D01*
-X160855552Y-68084974D01*
-X160836832Y-68071090D01*
-X160819562Y-68055438D01*
-X160803910Y-68038168D01*
-X160790026Y-68019448D01*
-X160778044Y-67999457D01*
-X160768079Y-67978387D01*
-X160760227Y-67956443D01*
-X160754563Y-67933834D01*
-X160751144Y-67910779D01*
-X160750000Y-67887500D01*
-X160750000Y-67412500D01*
-X160751144Y-67389221D01*
-X160754563Y-67366166D01*
-X160760227Y-67343557D01*
-X160768079Y-67321613D01*
-X160778044Y-67300543D01*
-X160790026Y-67280552D01*
-X160803910Y-67261832D01*
-X160819562Y-67244562D01*
-X160836832Y-67228910D01*
-X160855552Y-67215026D01*
-X160875543Y-67203044D01*
-X160896613Y-67193079D01*
-X160918557Y-67185227D01*
-X160941166Y-67179563D01*
-X160964221Y-67176144D01*
-X160987500Y-67175000D01*
-X161562500Y-67175000D01*
-X161585779Y-67176144D01*
-X161585779Y-67176144D01*
-G37*
-D15*
-X161275000Y-67650000D03*
-D14*
-G36*
-X163335779Y-67176144D02*
-G01*
-X163358834Y-67179563D01*
-X163381443Y-67185227D01*
-X163403387Y-67193079D01*
-X163424457Y-67203044D01*
-X163444448Y-67215026D01*
-X163463168Y-67228910D01*
-X163480438Y-67244562D01*
-X163496090Y-67261832D01*
-X163509974Y-67280552D01*
-X163521956Y-67300543D01*
-X163531921Y-67321613D01*
-X163539773Y-67343557D01*
-X163545437Y-67366166D01*
-X163548856Y-67389221D01*
-X163550000Y-67412500D01*
-X163550000Y-67887500D01*
-X163548856Y-67910779D01*
-X163545437Y-67933834D01*
-X163539773Y-67956443D01*
-X163531921Y-67978387D01*
-X163521956Y-67999457D01*
-X163509974Y-68019448D01*
-X163496090Y-68038168D01*
-X163480438Y-68055438D01*
-X163463168Y-68071090D01*
-X163444448Y-68084974D01*
-X163424457Y-68096956D01*
-X163403387Y-68106921D01*
-X163381443Y-68114773D01*
-X163358834Y-68120437D01*
-X163335779Y-68123856D01*
-X163312500Y-68125000D01*
-X162737500Y-68125000D01*
-X162714221Y-68123856D01*
-X162691166Y-68120437D01*
-X162668557Y-68114773D01*
-X162646613Y-68106921D01*
-X162625543Y-68096956D01*
-X162605552Y-68084974D01*
-X162586832Y-68071090D01*
-X162569562Y-68055438D01*
-X162553910Y-68038168D01*
-X162540026Y-68019448D01*
-X162528044Y-67999457D01*
-X162518079Y-67978387D01*
-X162510227Y-67956443D01*
-X162504563Y-67933834D01*
-X162501144Y-67910779D01*
-X162500000Y-67887500D01*
-X162500000Y-67412500D01*
-X162501144Y-67389221D01*
-X162504563Y-67366166D01*
-X162510227Y-67343557D01*
-X162518079Y-67321613D01*
-X162528044Y-67300543D01*
-X162540026Y-67280552D01*
-X162553910Y-67261832D01*
-X162569562Y-67244562D01*
-X162586832Y-67228910D01*
-X162605552Y-67215026D01*
-X162625543Y-67203044D01*
-X162646613Y-67193079D01*
-X162668557Y-67185227D01*
-X162691166Y-67179563D01*
-X162714221Y-67176144D01*
-X162737500Y-67175000D01*
-X163312500Y-67175000D01*
-X163335779Y-67176144D01*
-X163335779Y-67176144D01*
-G37*
-D15*
-X163025000Y-67650000D03*
-D47*
-X142500000Y-93750000D03*
-X125500000Y-93025010D03*
-D39*
-X89500000Y-61000000D03*
-X102000000Y-60750000D03*
-X94500000Y-69500000D03*
-X100000000Y-65750000D03*
-X77750000Y-82000000D03*
-X89000000Y-98000000D03*
-X89000000Y-103000000D03*
-X89000000Y-100500000D03*
-X89000000Y-105500000D03*
-X89000000Y-108000000D03*
-X89000000Y-110500000D03*
-X89000000Y-113000000D03*
-X89000000Y-115500000D03*
-X89000000Y-118000000D03*
-X89000000Y-120500000D03*
-X91500000Y-145500000D03*
-X86500000Y-74750000D03*
-X86750000Y-82750000D03*
-X139500000Y-90000000D03*
-X134100000Y-87600000D03*
-D47*
-X99500000Y-69400000D03*
-X99500000Y-68200000D03*
-X100600000Y-68200000D03*
-X100600000Y-69200000D03*
-X80400000Y-79500000D03*
-X79600000Y-80800000D03*
-X86000000Y-77100000D03*
-X81400000Y-76000000D03*
-X83600000Y-74600000D03*
-X95300000Y-81200000D03*
-X91000000Y-89600000D03*
-X128600000Y-87500000D03*
-X143800000Y-88700000D03*
-X120100000Y-90800000D03*
-X118300000Y-74300000D03*
-X117100000Y-74300000D03*
-X117100000Y-73100000D03*
-X165500000Y-83500000D03*
-X164400000Y-82600000D03*
-D39*
-X115000000Y-85000000D03*
-X101300000Y-76900000D03*
-X101300000Y-79200000D03*
-X105700000Y-77800000D03*
-X105700000Y-80100000D03*
-D47*
-X123700000Y-87000000D03*
-D39*
-X109700000Y-65500000D03*
-X108700000Y-71100000D03*
-X97100000Y-76100000D03*
-X97100000Y-78200000D03*
-X97100000Y-80300000D03*
-X107500000Y-74900000D03*
-D47*
-X110400000Y-77600000D03*
-X111600000Y-79300000D03*
-X121300000Y-76200000D03*
-X122100000Y-76200000D03*
-X121300000Y-77000000D03*
-X122100000Y-77000000D03*
-X123600000Y-79100000D03*
-X121900000Y-83100000D03*
-X122700000Y-83100000D03*
-X122600000Y-86600000D03*
-X157100000Y-89300000D03*
-X165500000Y-82600000D03*
-X120100000Y-89900000D03*
-X124600000Y-88500000D03*
-X150700000Y-90100000D03*
-X140300000Y-149900000D03*
-X122712660Y-79112660D03*
-X131000000Y-70750000D03*
-X157750000Y-66250000D03*
-X160750000Y-65500000D03*
-X129486456Y-79149988D03*
-X159800000Y-79800000D03*
-X161200000Y-91400000D03*
-D39*
-X81506378Y-66756378D03*
-D47*
-X96000000Y-85900000D03*
-X96900000Y-86600000D03*
-X98500000Y-88600000D03*
-X98500000Y-87700000D03*
-X102600000Y-91000000D03*
-X146300000Y-152900000D03*
-X148300000Y-153700000D03*
-X134200000Y-149700000D03*
-X92000000Y-88100000D03*
-X156000000Y-89300000D03*
-X105766518Y-92733482D03*
-X105766518Y-93700000D03*
-D39*
-X105700000Y-82400000D03*
-X106700000Y-85000000D03*
-X116389257Y-89984482D03*
-X73800000Y-67600000D03*
-D47*
-X117200000Y-79000000D03*
-X129600000Y-77300000D03*
-X88087339Y-150112659D03*
-X127250000Y-79750000D03*
-X157200000Y-144624979D03*
-D39*
-X78800000Y-68850000D03*
-D47*
-X147462653Y-148362653D03*
-X119300000Y-82900000D03*
-X125187343Y-82612663D03*
-X112000000Y-90599967D03*
-X111927814Y-88699945D03*
-X128000000Y-130000000D03*
-X133519082Y-95522671D03*
-X132200000Y-136600000D03*
-X147800000Y-94600000D03*
-X127700000Y-116800000D03*
-X132400002Y-95500000D03*
-X131500000Y-113500000D03*
-X130500000Y-114500000D03*
-X131500000Y-114500000D03*
-X130500000Y-113500000D03*
-X130500000Y-112500000D03*
-X131500000Y-112500000D03*
-X131500000Y-115500000D03*
-X130500000Y-115500000D03*
-X129500000Y-115500000D03*
-X129500000Y-114500000D03*
-X129500000Y-113500000D03*
-X129500000Y-112500000D03*
-X129500000Y-111500000D03*
-X130500000Y-111500000D03*
-X131500000Y-111500000D03*
-D39*
-X130000000Y-109000000D03*
-X133000000Y-109000000D03*
-X136000000Y-109000000D03*
-X136000000Y-109000000D03*
-X136000000Y-109000000D03*
-X139000000Y-109000000D03*
-X136000000Y-112000000D03*
-X136000000Y-115000000D03*
-X136000000Y-118000000D03*
-X133000000Y-118000000D03*
-X139000000Y-118000000D03*
-X139000000Y-115000000D03*
-X139000000Y-112000000D03*
-X142000000Y-115000000D03*
-X142000000Y-118000000D03*
-X142000000Y-109000000D03*
-X142000000Y-112000000D03*
-D47*
-X131000000Y-126500000D03*
-X131000000Y-127500000D03*
-X130000000Y-127500000D03*
-X130000000Y-126500000D03*
-X130000000Y-125500000D03*
-X131000000Y-125500000D03*
-X132000000Y-126500000D03*
-X132000000Y-125500000D03*
-X132000000Y-124500000D03*
-X132000000Y-127500000D03*
-X129000000Y-124500000D03*
-X129000000Y-125500000D03*
-X129000000Y-126500000D03*
-X129000000Y-127500000D03*
-X129000000Y-128500000D03*
-X130000000Y-128500000D03*
-X131000000Y-128500000D03*
-X132000000Y-128500000D03*
-D39*
-X130000000Y-131000000D03*
-X133000000Y-131000000D03*
-X136000000Y-131000000D03*
-X139000000Y-131000000D03*
-X142000000Y-131000000D03*
-X142000000Y-128000000D03*
-X142000000Y-125000000D03*
-X142000000Y-122000000D03*
-X139000000Y-122000000D03*
-X136000000Y-122000000D03*
-X133000000Y-122000000D03*
-X136000000Y-125000000D03*
-X136000000Y-128000000D03*
-X139000000Y-128000000D03*
-X139000000Y-125000000D03*
-D47*
-X131000000Y-124500000D03*
-X130000000Y-124500000D03*
-X130000000Y-140000000D03*
-X130000000Y-141000000D03*
-X129000000Y-141000000D03*
-X129000000Y-140000000D03*
-X129000000Y-139000000D03*
-X129000000Y-138000000D03*
-X130000000Y-138000000D03*
-X131000000Y-138000000D03*
-X131000000Y-140000000D03*
-X131000000Y-141000000D03*
-X131000000Y-142000000D03*
-X130000000Y-142000000D03*
-X129000000Y-142000000D03*
-X128000000Y-142000000D03*
-X128000000Y-141000000D03*
-X128000000Y-140000000D03*
-X128000000Y-139000000D03*
-X128000000Y-138000000D03*
-X130000000Y-100000000D03*
-X130000000Y-101000000D03*
-X129000000Y-101000000D03*
-X129000000Y-100000000D03*
-X129000000Y-99000000D03*
-X130000000Y-99000000D03*
-X131000000Y-99000000D03*
-X131000000Y-100000000D03*
-X131000000Y-101000000D03*
-X131000000Y-102000000D03*
-X130000000Y-102000000D03*
-X129000000Y-102000000D03*
-X128000000Y-102000000D03*
-X128000000Y-101000000D03*
-X128000000Y-100000000D03*
-X128000000Y-99000000D03*
-X128000000Y-98000000D03*
-X129000000Y-98000000D03*
-X130000000Y-98000000D03*
-X131000000Y-98000000D03*
-D39*
-X130000000Y-96500000D03*
-X127000000Y-96500000D03*
-X124000000Y-96500000D03*
-X121000000Y-96500000D03*
-X118000000Y-96500000D03*
-X118000000Y-99000000D03*
-X118000000Y-102000000D03*
-X118000000Y-105000000D03*
-X121000000Y-105000000D03*
-X124000000Y-105000000D03*
-X127000000Y-105000000D03*
-X130000000Y-105000000D03*
-X121000000Y-102000000D03*
-X121000000Y-99000000D03*
-X124000000Y-99000000D03*
-X124000000Y-102000000D03*
-X130000000Y-136000000D03*
-X127000000Y-136000000D03*
-X124000000Y-136000000D03*
-X121000000Y-136000000D03*
-X118000000Y-136000000D03*
-X121000000Y-139000000D03*
-X118000000Y-139000000D03*
-X118000000Y-142000000D03*
-X121000000Y-142000000D03*
-X121000000Y-145000000D03*
-X118000000Y-145000000D03*
-X124000000Y-145000000D03*
-X127000000Y-145000000D03*
-X130000000Y-145000000D03*
-X115000000Y-136000000D03*
-X115000000Y-139000000D03*
-X115000000Y-142000000D03*
-X115000000Y-145000000D03*
-X99000000Y-129000000D03*
-X102000000Y-128000000D03*
-X97000000Y-127000000D03*
-X104000000Y-130000000D03*
-X96000000Y-130000000D03*
-X98000000Y-132000000D03*
-X94000000Y-128000000D03*
-X111000000Y-133000000D03*
-X107000000Y-129000000D03*
-X108000000Y-134000000D03*
-X100000000Y-126000000D03*
-X103000000Y-133000000D03*
-X101000000Y-131000000D03*
-X112000000Y-130000000D03*
-X114000000Y-132000000D03*
-X109000000Y-131000000D03*
-X106000000Y-132000000D03*
-X105000000Y-135000000D03*
-X102000000Y-136000000D03*
-X100000000Y-134000000D03*
-D47*
-X130000000Y-139000000D03*
-X131000000Y-139000000D03*
-X93750000Y-93250000D03*
-X135300000Y-91100000D03*
-X123362335Y-92237665D03*
-X135253882Y-92098948D03*
-X124449990Y-92300000D03*
-X116900000Y-81000000D03*
-X129399998Y-82200011D03*
-X117700000Y-82400000D03*
-X128226684Y-82229531D03*
-X135500000Y-65000000D03*
-X138500000Y-69000000D03*
-X135000000Y-66000000D03*
-X137500000Y-69000000D03*
-X131100000Y-120400000D03*
-X162600000Y-93200000D03*
-X108900000Y-90300000D03*
-X166500000Y-94800000D03*
-X162725010Y-92200000D03*
-X109900000Y-90374989D03*
-X108712653Y-89087347D03*
-X117187342Y-80012661D03*
-X129400000Y-81200002D03*
-X106837347Y-89262653D03*
-X154200000Y-91475000D03*
-X157000000Y-90300000D03*
-X117200000Y-91391621D03*
-X168750000Y-91050000D03*
-X126800000Y-152200000D03*
-X87900000Y-152300000D03*
-X146280875Y-150685242D03*
-X82300000Y-149075000D03*
-X144830864Y-150830865D03*
-X167400000Y-93600000D03*
-X127600000Y-151400000D03*
-D48*
-X108575000Y-69100000D02*
-X108575000Y-68925000D01*
-X140450000Y-93375000D02*
-X140450000Y-94250000D01*
-X140450000Y-91410000D02*
-X140450000Y-91500000D01*
-X141025000Y-90835000D02*
-X140450000Y-91410000D01*
-X142300000Y-90835000D02*
-X141025000Y-90835000D01*
-X140450000Y-93375000D02*
-X140450000Y-91500000D01*
-X126025000Y-90835000D02*
-X127300000Y-90835000D01*
-X125550000Y-90360000D02*
-X126025000Y-90835000D01*
-X125550000Y-85900000D02*
-X125550000Y-90360000D01*
-X140450000Y-94250000D02*
-X142000000Y-94250000D01*
-X142000000Y-94250000D02*
-X142500000Y-93750000D01*
-X125500000Y-92459325D02*
-X125500000Y-93025010D01*
-X125500000Y-91360000D02*
-X125500000Y-92459325D01*
-X126025000Y-90835000D02*
-X125500000Y-91360000D01*
-X108575000Y-68925000D02*
-X106750000Y-67100000D01*
-D49*
-X107800000Y-66050000D02*
-X106750000Y-67100000D01*
-X107800000Y-63400000D02*
-X107800000Y-66050000D01*
-D50*
-X103700000Y-67500000D02*
-X102800000Y-67500000D01*
-X107800000Y-63400000D02*
-X103700000Y-67500000D01*
-D48*
-X108725000Y-69100000D02*
-X110725000Y-67100000D01*
-X108575000Y-69100000D02*
-X108725000Y-69100000D01*
-X97300000Y-70284998D02*
-X96815002Y-69800000D01*
-X97300000Y-71250000D02*
-X97300000Y-70284998D01*
-D51*
-X144200000Y-87900000D02*
-X143900000Y-87600000D01*
-X145050000Y-87900000D02*
-X144200000Y-87900000D01*
-X138550000Y-90950000D02*
-X139500000Y-90000000D01*
-X138550000Y-94250000D02*
-X138550000Y-90950000D01*
-X138665000Y-90835000D02*
-X139500000Y-90000000D01*
-X136900000Y-90835000D02*
-X138665000Y-90835000D01*
-X145975010Y-88600010D02*
-X145275000Y-87900000D01*
-X145275000Y-87900000D02*
-X145050000Y-87900000D01*
-X145975010Y-92599990D02*
-X145975010Y-88600010D01*
-X145275000Y-93300000D02*
-X145975010Y-92599990D01*
-X145050000Y-93300000D02*
-X145275000Y-93300000D01*
-D48*
-X94800000Y-69800000D02*
-X94500000Y-69500000D01*
-X96815002Y-69800000D02*
-X94800000Y-69800000D01*
-D51*
-X130175000Y-87900000D02*
-X129950000Y-87900000D01*
-X130989999Y-87199999D02*
-X132710001Y-87199999D01*
-X132710001Y-87199999D02*
-X132742074Y-87232072D01*
-X130957926Y-87232072D02*
-X130989999Y-87199999D01*
-X132742074Y-87232072D02*
-X133688143Y-87232072D01*
-X130175000Y-87900000D02*
-X130842928Y-87232072D01*
-X130842928Y-87232072D02*
-X130957926Y-87232072D01*
-X140600000Y-88900000D02*
-X139500000Y-90000000D01*
-X140600000Y-88334998D02*
-X140600000Y-88900000D01*
-X144200000Y-87900000D02*
-X143969999Y-87669999D01*
-X141264999Y-87669999D02*
-X140600000Y-88334998D01*
-X143969999Y-87669999D02*
-X141264999Y-87669999D01*
-D48*
-X121350002Y-94250002D02*
-X119500000Y-92400000D01*
-X127949998Y-94250002D02*
-X121350002Y-94250002D01*
-X130000000Y-93300000D02*
-X128900000Y-93300000D01*
-X128900000Y-93300000D02*
-X127949998Y-94250002D01*
-D51*
-X120135000Y-90835000D02*
-X120100000Y-90800000D01*
-X121900000Y-90835000D02*
-X120135000Y-90835000D01*
-D52*
-X89000000Y-93000000D02*
-X89000000Y-98000000D01*
-X88500000Y-92500000D02*
-X89000000Y-93000000D01*
-X73800000Y-88100000D02*
-X78200000Y-92500000D01*
-X78200000Y-92500000D02*
-X88500000Y-92500000D01*
-D51*
-X95049990Y-95799990D02*
-X95049990Y-109500000D01*
-X94750000Y-95500000D02*
-X95049990Y-95799990D01*
-X95049990Y-109500000D02*
-X92512500Y-109500000D01*
-X92625000Y-94500000D02*
-X93625000Y-95500000D01*
-X93625000Y-95500000D02*
-X94750000Y-95500000D01*
-X104875000Y-94500000D02*
-X104875000Y-93625000D01*
-X99700000Y-88475000D02*
-X99700000Y-88250000D01*
-X100325000Y-89100000D02*
-X99700000Y-88475000D01*
-X100325000Y-90313590D02*
-X100325000Y-89100000D01*
-X101011410Y-91000000D02*
-X100325000Y-90313590D01*
-X101400000Y-91000000D02*
-X101011410Y-91000000D01*
-X95500000Y-88250000D02*
-X95400000Y-88350000D01*
-X95500000Y-87300000D02*
-X95500000Y-88250000D01*
-X96000000Y-88250000D02*
-X95850000Y-88400000D01*
-X96000000Y-87300000D02*
-X96000000Y-88250000D01*
-X95500000Y-86400000D02*
-X96000000Y-85900000D01*
-X95500000Y-87300000D02*
-X95500000Y-86400000D01*
-X92625000Y-89550000D02*
-X92000000Y-89550000D01*
-X93300000Y-89550000D02*
-X92625000Y-89550000D01*
-X94500000Y-90750000D02*
-X93300000Y-89550000D01*
-X94500000Y-91700000D02*
-X94500000Y-90750000D01*
-D48*
-X97300000Y-66320000D02*
-X97300000Y-65500000D01*
-X98250000Y-68550000D02*
-X98250000Y-67270000D01*
-X98250000Y-67270000D02*
-X97300000Y-66320000D01*
-X97300000Y-65500000D02*
-X97300000Y-64600000D01*
-X97300000Y-68550000D02*
-X97300000Y-67200000D01*
-X97300000Y-67200000D02*
-X97300000Y-65500000D01*
-D49*
-X96100000Y-63400000D02*
-X97300000Y-64600000D01*
-X93900000Y-67650000D02*
-X91000000Y-67650000D01*
-X94750000Y-66800000D02*
-X93900000Y-67650000D01*
-X96900000Y-66800000D02*
-X94750000Y-66800000D01*
-X97300000Y-67200000D02*
-X96900000Y-66800000D01*
-X97355000Y-67200000D02*
-X97300000Y-67200000D01*
-X98250000Y-68095000D02*
-X97355000Y-67200000D01*
-X98250000Y-68550000D02*
-X98250000Y-68095000D01*
-D50*
-X85737500Y-68437500D02*
-X85250000Y-67950000D01*
-X85737500Y-70650000D02*
-X85737500Y-68437500D01*
-X90700000Y-67950000D02*
-X91000000Y-67650000D01*
-X94300000Y-67650000D02*
-X91000000Y-67650000D01*
-X95350000Y-66600000D02*
-X94300000Y-67650000D01*
-X97300000Y-66600000D02*
-X95350000Y-66600000D01*
-D49*
-X97300000Y-66600000D02*
-X97300000Y-67200000D01*
-X97300000Y-64600000D02*
-X97300000Y-66600000D01*
-D51*
-X87400000Y-67800000D02*
-X87250000Y-67950000D01*
-X87400000Y-67300000D02*
-X87400000Y-67800000D01*
-D50*
-X85250000Y-67950000D02*
-X87250000Y-67950000D01*
-X87250000Y-67950000D02*
-X90700000Y-67950000D01*
-D48*
-X112475000Y-67100000D02*
-X112475000Y-69100000D01*
-X112475000Y-71125000D02*
-X112524999Y-71174999D01*
-X112475000Y-69100000D02*
-X112475000Y-71125000D01*
-D51*
-X120500001Y-78649999D02*
-X120425000Y-78725000D01*
-X120425000Y-78725000D02*
-X119450000Y-78725000D01*
-X120500001Y-74989999D02*
-X120500001Y-78649999D01*
-X116685001Y-71174999D02*
-X120500001Y-74989999D01*
-X112524999Y-71174999D02*
-X116685001Y-71174999D01*
-D50*
-X82000000Y-79100000D02*
-X83550000Y-79100000D01*
-X78800000Y-75900000D02*
-X82000000Y-79100000D01*
-X89850000Y-79100000D02*
-X83550000Y-79100000D01*
-X93350000Y-79800000D02*
-X93350000Y-76100000D01*
-X92650000Y-79100000D02*
-X93350000Y-79800000D01*
-X89850000Y-79100000D02*
-X92650000Y-79100000D01*
-D51*
-X86330000Y-147000000D02*
-X86330000Y-152000000D01*
-X127425000Y-71725000D02*
-X128000000Y-71725000D01*
-X120585000Y-71725000D02*
-X127425000Y-71725000D01*
-X116550000Y-67690000D02*
-X120585000Y-71725000D01*
-X116550000Y-66590000D02*
-X116550000Y-67690000D01*
-X119450000Y-78075000D02*
-X118125000Y-78075000D01*
-X118125000Y-78075000D02*
-X117200000Y-79000000D01*
-X127850000Y-77350000D02*
-X128600000Y-77350000D01*
-X125350000Y-78075000D02*
-X127125000Y-78075000D01*
-X127125000Y-78075000D02*
-X127850000Y-77350000D01*
-D48*
-X117200000Y-79000000D02*
-X116942001Y-79000000D01*
-X115975010Y-79966991D02*
-X115975010Y-81624990D01*
-X116942001Y-79000000D02*
-X115975010Y-79966991D01*
-X109300928Y-80224072D02*
-X109800000Y-79725000D01*
-X108874990Y-80650010D02*
-X109300928Y-80224072D01*
-X108874990Y-80650010D02*
-X108300009Y-80650010D01*
-D51*
-X157689999Y-90899999D02*
-X157689999Y-90910001D01*
-X157689999Y-90910001D02*
-X157614999Y-90910001D01*
-D49*
-X128650000Y-77300000D02*
-X128600000Y-77350000D01*
-X129600000Y-77300000D02*
-X128650000Y-77300000D01*
-X116550000Y-66590000D02*
-X116550000Y-67849684D01*
-X120525316Y-71825000D02*
-X128000000Y-71825000D01*
-X116550000Y-67849684D02*
-X120525316Y-71825000D01*
-X128725010Y-77224990D02*
-X128600000Y-77350000D01*
-X128725010Y-75000000D02*
-X128725010Y-77224990D01*
-X129200000Y-74525010D02*
-X128725010Y-75000000D01*
-X128000000Y-71825000D02*
-X128725000Y-71825000D01*
-X129200000Y-72300000D02*
-X129200000Y-74525010D01*
-X128725000Y-71825000D02*
-X129200000Y-72300000D01*
-X108300009Y-78100009D02*
-X108300009Y-80650010D01*
-X93350000Y-74925000D02*
-X94075000Y-74200000D01*
-X93350000Y-76100000D02*
-X93350000Y-74925000D01*
-X94075000Y-74200000D02*
-X100119998Y-74200000D01*
-X100119998Y-74200000D02*
-X101169999Y-75250001D01*
-X101169999Y-75250001D02*
-X105450001Y-75250001D01*
-X105450001Y-75250001D02*
-X108300009Y-78100009D01*
-X114900000Y-82700000D02*
-X115975010Y-81624990D01*
-X109100000Y-82700000D02*
-X114900000Y-82700000D01*
-X108300009Y-80650010D02*
-X108300009Y-81900009D01*
-X108300009Y-81900009D02*
-X109100000Y-82700000D01*
-D48*
-X156045000Y-92795000D02*
-X156000000Y-92750000D01*
-X158700000Y-92795000D02*
-X156045000Y-92795000D01*
-X156000000Y-92525000D02*
-X157525000Y-91000000D01*
-X156000000Y-92750000D02*
-X156000000Y-92525000D01*
-D51*
-X157614999Y-90910001D02*
-X157525000Y-91000000D01*
-X159690003Y-90899999D02*
-X157689999Y-90899999D01*
-X159900001Y-90790002D02*
-X159690003Y-90899999D01*
-X132200000Y-120373002D02*
-X167700000Y-120373002D01*
-X131048051Y-121524951D02*
-X132200000Y-120373002D01*
-X125925001Y-121524951D02*
-X131048051Y-121524951D01*
-X124400000Y-120974950D02*
-X125375000Y-120974950D01*
-X125375000Y-120974950D02*
-X125925001Y-121524951D01*
-X86330000Y-150112659D02*
-X88087339Y-150112659D01*
-X86330000Y-147000000D02*
-X86330000Y-150112659D01*
-D49*
-X129525010Y-74200000D02*
-X131000000Y-74200000D01*
-X129200000Y-74525010D02*
-X129525010Y-74200000D01*
-D48*
-X132845000Y-73995000D02*
-X132640000Y-74200000D01*
-X132845000Y-73050000D02*
-X132845000Y-73995000D01*
-X132640000Y-74200000D02*
-X131000000Y-74200000D01*
-X158700000Y-85175000D02*
-X159950000Y-85175000D01*
-X127250000Y-80315685D02*
-X127250000Y-79750000D01*
-X159950000Y-83294998D02*
-X159734535Y-83079533D01*
-X159950000Y-85175000D02*
-X159950000Y-83294998D01*
-X127818682Y-83079533D02*
-X127250000Y-82510851D01*
-X127250000Y-82510851D02*
-X127250000Y-80315685D01*
-D51*
-X166848001Y-94074999D02*
-X164525001Y-94074999D01*
-X167700000Y-94926998D02*
-X166848001Y-94074999D01*
-X167700000Y-95100000D02*
-X167700000Y-94926998D01*
-X167700000Y-95100000D02*
-X167700000Y-120373002D01*
-X164525001Y-94074999D02*
-X164000000Y-94600000D01*
-X164000000Y-94600000D02*
-X158000000Y-94600000D01*
-X158000000Y-94600000D02*
-X157200000Y-94600000D01*
-D48*
-X158320000Y-77200000D02*
-X158320000Y-83074999D01*
-X158315466Y-83079533D02*
-X158079533Y-83079533D01*
-X158320000Y-83074999D02*
-X158315466Y-83079533D01*
-X159734535Y-83079533D02*
-X158079533Y-83079533D01*
-X158079533Y-83079533D02*
-X127818682Y-83079533D01*
-D51*
-X156000000Y-92750000D02*
-X156000000Y-93000000D01*
-X157600000Y-94600000D02*
-X158000000Y-94600000D01*
-X156000000Y-93000000D02*
-X157600000Y-94600000D01*
-X167225032Y-143774968D02*
-X158050011Y-143774968D01*
-X157599999Y-144224980D02*
-X157200000Y-144624979D01*
-X158050011Y-143774968D02*
-X157599999Y-144224980D01*
-X167700000Y-143300000D02*
-X167225032Y-143774968D01*
-X167700000Y-120373002D02*
-X167700000Y-143300000D01*
-X122224950Y-120974950D02*
-X122200000Y-120950000D01*
-X124400000Y-120974950D02*
-X122224950Y-120974950D01*
-D49*
-X83500000Y-62500000D02*
-X85000000Y-62500000D01*
-X78950000Y-69000000D02*
-X78950000Y-67050000D01*
-X78800000Y-68850000D02*
-X78950000Y-69000000D01*
-D51*
-X99700000Y-86575000D02*
-X99700000Y-86350000D01*
-X103370500Y-87324990D02*
-X101124990Y-87324990D01*
-X100449990Y-87324990D02*
-X99700000Y-86575000D01*
-X103875010Y-87829500D02*
-X103370500Y-87324990D01*
-X103875010Y-89199990D02*
-X103875010Y-87829500D01*
-X103600000Y-89475000D02*
-X103875010Y-89199990D01*
-X103600000Y-90050000D02*
-X103600000Y-89475000D01*
-X101124990Y-87324990D02*
-X100449990Y-87324990D01*
-X136130000Y-147000000D02*
-X136130000Y-152000000D01*
-X146300000Y-154100000D02*
-X136600000Y-154100000D01*
-X147462653Y-148362653D02*
-X147462653Y-152937346D01*
-X136130000Y-153630000D02*
-X136130000Y-152000000D01*
-X136600000Y-154100000D02*
-X136130000Y-153630000D01*
-X147462653Y-152937346D02*
-X146300000Y-154100000D01*
-X92250000Y-91700000D02*
-X92000000Y-91450000D01*
-X94000000Y-91700000D02*
-X92250000Y-91700000D01*
-D49*
-X90825000Y-91450000D02*
-X89999999Y-90624999D01*
-X92000000Y-91450000D02*
-X90825000Y-91450000D01*
-X89999999Y-90624999D02*
-X89999999Y-88619999D01*
-X93350000Y-85269998D02*
-X93350000Y-80975000D01*
-X93350000Y-80975000D02*
-X93350000Y-79800000D01*
-D51*
-X91959999Y-86986997D02*
-X91959999Y-86659999D01*
-D49*
-X91959999Y-86659999D02*
-X93350000Y-85269998D01*
-D51*
-X93873002Y-88900000D02*
-X91959999Y-86986997D01*
-D49*
-X89999999Y-88619999D02*
-X91959999Y-86659999D01*
-D51*
-X99700000Y-86350000D02*
-X98850000Y-86350000D01*
-X96300000Y-88900000D02*
-X93873002Y-88900000D01*
-X98850000Y-86350000D02*
-X96300000Y-88900000D01*
-X78900000Y-66300000D02*
-X79300000Y-66700000D01*
-X78800000Y-66300000D02*
-X78900000Y-66300000D01*
-D49*
-X78950000Y-67050000D02*
-X79300000Y-66700000D01*
-X79300000Y-66700000D02*
-X83500000Y-62500000D01*
-D48*
-X159950000Y-85175000D02*
-X161175011Y-86400011D01*
-X161175011Y-89514992D02*
-X159900001Y-90790002D01*
-X161175011Y-86400011D02*
-X161175011Y-89514992D01*
-D50*
-X78800000Y-88100000D02*
-X78800000Y-86150000D01*
-X81500000Y-83450000D02*
-X80750000Y-82700000D01*
-X81500000Y-83450000D02*
-X83550000Y-81400000D01*
-X78800000Y-86150000D02*
-X81500000Y-83450000D01*
-X78800000Y-86112500D02*
-X78800000Y-86150000D01*
-X74687500Y-82000000D02*
-X78800000Y-86112500D01*
-D51*
-X81350001Y-83599999D02*
-X81500000Y-83450000D01*
-X81350001Y-83650001D02*
-X81350001Y-83599999D01*
-X82100000Y-84400000D02*
-X81350001Y-83650001D01*
-X119450000Y-81325000D02*
-X119450000Y-82750000D01*
-X119450000Y-82750000D02*
-X119300000Y-82900000D01*
-X114925000Y-93150000D02*
-X113275000Y-91500000D01*
-X115925000Y-93150000D02*
-X114925000Y-93150000D01*
-X158700000Y-87715000D02*
-X157515000Y-87715000D01*
-X154354564Y-84554564D02*
-X127129244Y-84554564D01*
-X125587342Y-83012662D02*
-X125187343Y-82612663D01*
-X127129244Y-84554564D02*
-X125587342Y-83012662D01*
-X112493499Y-88699945D02*
-X111927814Y-88699945D01*
-X119300000Y-82900000D02*
-X113500055Y-88699945D01*
-X111875033Y-90599967D02*
-X112000000Y-90599967D01*
-X110750000Y-91500000D02*
-X110975000Y-91500000D01*
-X113500055Y-88699945D02*
-X112493499Y-88699945D01*
-X110975000Y-91500000D02*
-X111875033Y-90599967D01*
-X113275000Y-91500000D02*
-X112374967Y-90599967D01*
-X112374967Y-90599967D02*
-X112000000Y-90599967D01*
-X154700000Y-86550000D02*
-X154750000Y-86550000D01*
-X155525000Y-85775000D02*
-X155525000Y-85725000D01*
-X154750000Y-86550000D02*
-X155525000Y-85775000D01*
-X157515000Y-87715000D02*
-X155525000Y-85725000D01*
-X155525000Y-85725000D02*
-X154354564Y-84554564D01*
-D49*
-X91900000Y-71250000D02*
-X91000000Y-72150000D01*
-X96350000Y-71250000D02*
-X91900000Y-71250000D01*
-X100850000Y-72900000D02*
-X102800000Y-72900000D01*
-X96764998Y-72900000D02*
-X100850000Y-72900000D01*
-X96350000Y-72485002D02*
-X96764998Y-72900000D01*
-X96350000Y-71250000D02*
-X96350000Y-72485002D01*
-X105975000Y-70200000D02*
-X106900000Y-71125000D01*
-X105975000Y-69950000D02*
-X106825000Y-69100000D01*
-X105975000Y-70200000D02*
-X105975000Y-69950000D01*
-X101400000Y-70200000D02*
-X105975000Y-70200000D01*
-X98250000Y-71250000D02*
-X100350000Y-71250000D01*
-X100350000Y-71250000D02*
-X101400000Y-70200000D01*
-D51*
-X131775000Y-87975000D02*
-X131850000Y-87900000D01*
-X131775000Y-89700000D02*
-X131775000Y-87975000D01*
-X127550000Y-128571700D02*
-X128000000Y-129021700D01*
-X126500000Y-128571700D02*
-X127550000Y-128571700D01*
-X128000000Y-129021700D02*
-X128000000Y-130000000D01*
-X132900000Y-94903589D02*
-X133519082Y-95522671D01*
-X131775000Y-89700000D02*
-X132900000Y-90825000D01*
-X132900000Y-90825000D02*
-X132900000Y-94903589D01*
-X146950000Y-89625000D02*
-X146875000Y-89700000D01*
-X146950000Y-87900000D02*
-X146950000Y-89625000D01*
-X133500000Y-138095000D02*
-X133500000Y-137900000D01*
-X133500000Y-137900000D02*
-X132200000Y-136600000D01*
-X148199999Y-94200001D02*
-X147800000Y-94600000D01*
-X148199999Y-91024999D02*
-X148199999Y-94200001D01*
-X146875000Y-89700000D02*
-X148199999Y-91024999D01*
-X131900000Y-91625000D02*
-X131775000Y-91500000D01*
-X131900000Y-93300000D02*
-X131900000Y-91625000D01*
-X126500000Y-115238300D02*
-X127138300Y-115238300D01*
-X127700000Y-115800000D02*
-X127700000Y-116800000D01*
-X127138300Y-115238300D02*
-X127700000Y-115800000D01*
-X132400000Y-95499998D02*
-X132400002Y-95500000D01*
-X132400000Y-93800000D02*
-X132400000Y-95499998D01*
-X131900000Y-93300000D02*
-X132400000Y-93800000D01*
-X146875000Y-93225000D02*
-X146950000Y-93300000D01*
-X146875000Y-91500000D02*
-X146875000Y-93225000D01*
-X146950000Y-93925000D02*
-X146675000Y-94200000D01*
-X146675000Y-94200000D02*
-X143400000Y-94200000D01*
-X146950000Y-93300000D02*
-X146950000Y-93925000D01*
-X143400000Y-94200000D02*
-X142600000Y-95000000D01*
-X142600000Y-95000000D02*
-X135100000Y-95000000D01*
-X133500000Y-96600000D02*
-X133500000Y-98095000D01*
-X135100000Y-95000000D02*
-X133500000Y-96600000D01*
-D50*
-X73750000Y-70650000D02*
-X82762500Y-70650000D01*
-X71712500Y-120812500D02*
-X71712500Y-72687500D01*
-X75000000Y-124920000D02*
-X75000000Y-124100000D01*
-X75000000Y-124100000D02*
-X71712500Y-120812500D01*
-D51*
-X118000000Y-93475000D02*
-X117675000Y-93150000D01*
-X118000000Y-96500000D02*
-X118000000Y-93475000D01*
-X95000000Y-92650000D02*
-X95000000Y-91700000D01*
-X94400000Y-93250000D02*
-X95000000Y-92650000D01*
-X93750000Y-93250000D02*
-X94400000Y-93250000D01*
-X72100000Y-71100000D02*
-X72800000Y-71100000D01*
-D50*
-X71712500Y-72687500D02*
-X73050000Y-71350000D01*
-D51*
-X72800000Y-71100000D02*
-X73050000Y-71350000D01*
-D50*
-X73050000Y-71350000D02*
-X73750000Y-70650000D01*
-D51*
-X124400000Y-120324950D02*
-X124400000Y-119024950D01*
-X128800000Y-119024950D02*
-X127961410Y-119024950D01*
-X126986360Y-120000000D02*
-X127000000Y-120000000D01*
-X127961410Y-119024950D02*
-X126986360Y-120000000D01*
-X126986360Y-120000000D02*
-X126986360Y-120986360D01*
-X95500000Y-109500000D02*
-X98487500Y-109500000D01*
-X95750000Y-95500000D02*
-X95500000Y-95750000D01*
-X95500000Y-95750000D02*
-X95500000Y-109500000D01*
-X96875000Y-95500000D02*
-X95750000Y-95500000D01*
-X97875000Y-94500000D02*
-X96875000Y-95500000D01*
-X97875000Y-93500000D02*
-X99500000Y-91875000D01*
-X97875000Y-94500000D02*
-X97875000Y-93500000D01*
-X129890000Y-89565000D02*
-X130025000Y-89700000D01*
-X127300000Y-89565000D02*
-X129890000Y-89565000D01*
-X144990000Y-89565000D02*
-X145125000Y-89700000D01*
-X142300000Y-89565000D02*
-X144990000Y-89565000D01*
-X129420000Y-92105000D02*
-X130025000Y-91500000D01*
-X127300000Y-92105000D02*
-X129420000Y-92105000D01*
-X144520000Y-92105000D02*
-X145125000Y-91500000D01*
-X142300000Y-92105000D02*
-X144520000Y-92105000D01*
-X121900000Y-92105000D02*
-X123229670Y-92105000D01*
-X123229670Y-92105000D02*
-X123362335Y-92237665D01*
-X135936410Y-89600000D02*
-X136865000Y-89600000D01*
-X136865000Y-89600000D02*
-X136900000Y-89565000D01*
-X135300000Y-90236410D02*
-X135936410Y-89600000D01*
-X135300000Y-91100000D02*
-X135300000Y-90236410D01*
-X124375000Y-79375000D02*
-X125350000Y-79375000D01*
-X123500000Y-80250000D02*
-X124375000Y-79375000D01*
-X120049989Y-87050010D02*
-X123500000Y-83599999D01*
-X123500000Y-83599999D02*
-X123500000Y-80250000D01*
-X120231996Y-92105000D02*
-X119274998Y-91148002D01*
-X121900000Y-92105000D02*
-X120231996Y-92105000D01*
-X119274998Y-91148002D02*
-X119274998Y-89525002D01*
-X120049989Y-88750011D02*
-X120049989Y-87050010D01*
-X138525000Y-88415000D02*
-X138525000Y-86975000D01*
-X138525000Y-86975000D02*
-X138525000Y-86400000D01*
-X137375000Y-89565000D02*
-X138525000Y-88415000D01*
-X136900000Y-89565000D02*
-X137375000Y-89565000D01*
-X119800000Y-88600000D02*
-X120000000Y-88800000D01*
-X119200000Y-88600000D02*
-X119800000Y-88600000D01*
-X119274998Y-89525002D02*
-X120000000Y-88800000D01*
-X120000000Y-88800000D02*
-X120049989Y-88750011D01*
-X135259934Y-92105000D02*
-X135253882Y-92098948D01*
-X136900000Y-92105000D02*
-X135259934Y-92105000D01*
-X124449990Y-91639990D02*
-X124449990Y-91734315D01*
-X121900000Y-89565000D02*
-X122375000Y-89565000D01*
-X122375000Y-89565000D02*
-X124449990Y-91639990D01*
-X124449990Y-91734315D02*
-X124449990Y-92300000D01*
-X121900000Y-89565000D02*
-X121425000Y-89565000D01*
-X123950011Y-83786399D02*
-X123950011Y-81099989D01*
-X123950011Y-81099989D02*
-X124375000Y-80675000D01*
-X120500000Y-88640000D02*
-X120500000Y-87236410D01*
-X120500000Y-87236410D02*
-X123950011Y-83786399D01*
-X121425000Y-89565000D02*
-X120500000Y-88640000D01*
-X124375000Y-80675000D02*
-X125350000Y-80675000D01*
-X134023948Y-92098948D02*
-X134000000Y-92075000D01*
-X135253882Y-92098948D02*
-X134023948Y-92098948D01*
-X135200000Y-92152830D02*
-X135253882Y-92098948D01*
-X135200000Y-93500000D02*
-X135200000Y-92152830D01*
-X124375000Y-75475000D02*
-X125350000Y-75475000D01*
-X123149998Y-75475000D02*
-X124375000Y-75475000D01*
-X115000000Y-67325002D02*
-X123149998Y-75475000D01*
-X115000000Y-65600000D02*
-X115000000Y-67325002D01*
-X116550000Y-64050000D02*
-X115000000Y-65600000D01*
-X115700001Y-59819999D02*
-X116550000Y-58970000D01*
-X114549990Y-60970010D02*
-X115700001Y-59819999D01*
-X114549990Y-67549990D02*
-X114549990Y-60970010D01*
-X125350000Y-76125000D02*
-X123125000Y-76125000D01*
-X123125000Y-76125000D02*
-X114549990Y-67549990D01*
-X117273005Y-81000000D02*
-X116900000Y-81000000D01*
-X119450000Y-80025000D02*
-X118248005Y-80025000D01*
-X118248005Y-80025000D02*
-X117273005Y-81000000D01*
-X129965683Y-82200011D02*
-X129399998Y-82200011D01*
-X135380000Y-80200000D02*
-X131965694Y-80200000D01*
-X136655000Y-78925000D02*
-X135380000Y-80200000D01*
-X131965694Y-80200000D02*
-X129965683Y-82200011D01*
-X136655000Y-78450000D02*
-X136655000Y-78925000D01*
-X118475000Y-80675000D02*
-X119450000Y-80675000D01*
-X117700000Y-81450000D02*
-X118475000Y-80675000D01*
-X117700000Y-82400000D02*
-X117700000Y-81450000D01*
-X128600000Y-81856215D02*
-X128226684Y-82229531D01*
-X128600000Y-80800000D02*
-X128600000Y-81856215D01*
-X132845000Y-78450000D02*
-X132295000Y-78450000D01*
-X129000000Y-80400000D02*
-X128600000Y-80800000D01*
-X130345000Y-80400000D02*
-X129000000Y-80400000D01*
-X132295000Y-78450000D02*
-X130345000Y-80400000D01*
-X147680000Y-61500000D02*
-X148880000Y-62700000D01*
-X143914998Y-61500000D02*
-X147680000Y-61500000D01*
-X142500000Y-62914998D02*
-X143914998Y-61500000D01*
-X130230000Y-61500000D02*
-X131980501Y-61500000D01*
-X129030000Y-62700000D02*
-X130230000Y-61500000D01*
-X131980501Y-61500000D02*
-X135480501Y-65000000D01*
-X142500000Y-64000000D02*
-X142500000Y-62914998D01*
-X141500000Y-65000000D02*
-X142500000Y-64000000D01*
-X135480501Y-65000000D02*
-X135500000Y-65000000D01*
-X135500000Y-65000000D02*
-X141500000Y-65000000D01*
-X135500000Y-71500000D02*
-X136460000Y-71500000D01*
-X136460000Y-71500000D02*
-X138500000Y-69460000D01*
-X135385000Y-73050000D02*
-X135385000Y-71615000D01*
-X138500000Y-69460000D02*
-X138500000Y-69000000D01*
-X135385000Y-71615000D02*
-X135500000Y-71500000D01*
-X128759999Y-65229999D02*
-X128010000Y-64480000D01*
-X129530000Y-66000000D02*
-X128759999Y-65229999D01*
-X147860000Y-64480000D02*
-X146340000Y-66000000D01*
-X134500000Y-66000000D02*
-X135000000Y-66000000D01*
-X134500000Y-66000000D02*
-X129530000Y-66000000D01*
-X146340000Y-66000000D02*
-X134500000Y-66000000D01*
-X134115000Y-71885000D02*
-X134115000Y-73050000D01*
-X135000000Y-71000000D02*
-X134115000Y-71885000D01*
-X137500000Y-69000000D02*
-X137500000Y-69500000D01*
-X136000000Y-71000000D02*
-X135000000Y-71000000D01*
-X137500000Y-69500000D02*
-X136000000Y-71000000D01*
-X131000000Y-120500000D02*
-X131100000Y-120400000D01*
-X129775000Y-120974950D02*
-X128800000Y-120974950D01*
-X130025050Y-120974950D02*
-X129775000Y-120974950D01*
-X131100000Y-120400000D02*
-X130600000Y-120400000D01*
-X130600000Y-120400000D02*
-X130025050Y-120974950D01*
-X126325000Y-76775000D02*
-X125350000Y-76775000D01*
-X126300000Y-73600000D02*
-X127500000Y-74800000D01*
-X127500000Y-76200000D02*
-X126935001Y-76764999D01*
-X126300000Y-73575000D02*
-X126300000Y-73600000D01*
-X127500000Y-74800000D02*
-X127500000Y-76200000D01*
-X126935001Y-76764999D02*
-X126335001Y-76764999D01*
-X126335001Y-76764999D02*
-X126325000Y-76775000D01*
-X160860000Y-78750000D02*
-X160860000Y-77200000D01*
-X162000000Y-79890000D02*
-X160860000Y-78750000D01*
-X162600000Y-93200000D02*
-X162000000Y-92600000D01*
-X162000000Y-92600000D02*
-X162000000Y-79890000D01*
-X120500001Y-83036410D02*
-X120500001Y-79663588D01*
-X108900000Y-90300000D02*
-X109775045Y-89424955D01*
-X123388589Y-76775000D02*
-X124375000Y-76775000D01*
-X124375000Y-76775000D02*
-X125350000Y-76775000D01*
-X114111456Y-89424955D02*
-X120500001Y-83036410D01*
-X120500001Y-79663588D02*
-X123388589Y-76775000D01*
-X104100000Y-85500000D02*
-X108900000Y-90300000D01*
-X103774999Y-85174999D02*
-X104100000Y-85500000D01*
-X95675001Y-85174999D02*
-X103774999Y-85174999D01*
-X94500000Y-87300000D02*
-X94500000Y-86350000D01*
-X94500000Y-86350000D02*
-X95675001Y-85174999D01*
-X110200000Y-89300000D02*
-X110075045Y-89424955D01*
-X110200000Y-88700000D02*
-X110200000Y-89300000D01*
-X109775045Y-89424955D02*
-X110075045Y-89424955D01*
-X110075045Y-89424955D02*
-X114111456Y-89424955D01*
-X128000000Y-76336411D02*
-X128000000Y-74200000D01*
-X128000000Y-74200000D02*
-X128000000Y-73575000D01*
-X126911411Y-77425000D02*
-X128000000Y-76336411D01*
-X125350000Y-77425000D02*
-X126911411Y-77425000D01*
-X129775000Y-120324950D02*
-X128800000Y-120324950D01*
-X167249989Y-95549989D02*
-X167249989Y-119050011D01*
-X166500000Y-94800000D02*
-X167249989Y-95549989D01*
-X167249989Y-119050011D02*
-X166625001Y-119674999D01*
-X166625001Y-119674999D02*
-X130688591Y-119674999D01*
-X130688591Y-119674999D02*
-X130038640Y-120324950D01*
-X130038640Y-120324950D02*
-X129775000Y-120324950D01*
-X162725010Y-91759319D02*
-X162725010Y-92200000D01*
-X162725010Y-79925010D02*
-X162725010Y-91759319D01*
-X163400000Y-77200000D02*
-X163400000Y-79250020D01*
-X163400000Y-79250020D02*
-X162725010Y-79925010D01*
-X110400023Y-89874966D02*
-X110299999Y-89974990D01*
-X123375000Y-77425000D02*
-X120950012Y-79849988D01*
-X125350000Y-77425000D02*
-X123375000Y-77425000D01*
-X120950012Y-79849988D02*
-X120950012Y-83222810D01*
-X114297856Y-89874966D02*
-X110400023Y-89874966D01*
-X120950012Y-83222810D02*
-X114297856Y-89874966D01*
-X110299999Y-89974990D02*
-X109900000Y-90374989D01*
-X94000000Y-86213590D02*
-X95488601Y-84724989D01*
-X94000000Y-87300000D02*
-X94000000Y-86213590D01*
-X95488601Y-84724989D02*
-X104124989Y-84724989D01*
-X104124989Y-84724989D02*
-X106100000Y-86700000D01*
-X108487347Y-89087347D02*
-X108712653Y-89087347D01*
-X108200000Y-87800000D02*
-X107700000Y-88300000D01*
-X108200000Y-87700000D02*
-X108200000Y-87800000D01*
-X106100000Y-86700000D02*
-X107700000Y-88300000D01*
-X107700000Y-88300000D02*
-X108487347Y-89087347D01*
-X167249989Y-69275011D02*
-X167375000Y-69150000D01*
-X167249989Y-85850011D02*
-X167249989Y-69275011D01*
-X164100000Y-87715000D02*
-X165385000Y-87715000D01*
-X165385000Y-87715000D02*
-X167249989Y-85850011D01*
-X165265000Y-88985000D02*
-X164100000Y-88985000D01*
-X168150000Y-67650000D02*
-X168550000Y-68050000D01*
-X167375000Y-67650000D02*
-X168150000Y-67650000D01*
-X168550000Y-68050000D02*
-X168550000Y-69850000D01*
-X168550000Y-69850000D02*
-X167700000Y-70700000D01*
-X167700000Y-70700000D02*
-X167700000Y-86550000D01*
-X167700000Y-86550000D02*
-X165265000Y-88985000D01*
-X119450000Y-79375000D02*
-X118125000Y-79375000D01*
-X118125000Y-79375000D02*
-X117487339Y-80012661D01*
-X117487339Y-80012661D02*
-X117187342Y-80012661D01*
-X134115000Y-79475000D02*
-X134115000Y-78450000D01*
-X133700000Y-79700000D02*
-X133890000Y-79700000D01*
-X135385000Y-79515000D02*
-X135385000Y-78450000D01*
-X135200000Y-79700000D02*
-X135385000Y-79515000D01*
-X134115000Y-79615000D02*
-X134200000Y-79700000D01*
-X134115000Y-78450000D02*
-X134115000Y-79615000D01*
-X133700000Y-79700000D02*
-X134200000Y-79700000D01*
-X134200000Y-79700000D02*
-X135200000Y-79700000D01*
-X138425000Y-76475000D02*
-X139000000Y-76475000D01*
-X136335000Y-76475000D02*
-X138425000Y-76475000D01*
-X135385000Y-77425000D02*
-X136335000Y-76475000D01*
-X135385000Y-78450000D02*
-X135385000Y-77425000D01*
-X130329282Y-81200002D02*
-X129965685Y-81200002D01*
-X129965685Y-81200002D02*
-X129400000Y-81200002D01*
-X133700000Y-79700000D02*
-X131829284Y-79700000D01*
-X131829284Y-79700000D02*
-X130329282Y-81200002D01*
-X125350000Y-81750000D02*
-X125350000Y-81325000D01*
-X156881410Y-86445000D02*
-X154540964Y-84104554D01*
-X158700000Y-86445000D02*
-X156881410Y-86445000D01*
-X154540964Y-84104554D02*
-X127394103Y-84104552D01*
-X127394103Y-84104552D02*
-X126224978Y-82935428D01*
-X126224978Y-82935428D02*
-X126224978Y-82624978D01*
-X126224978Y-82624978D02*
-X125350000Y-81750000D01*
-X106837347Y-89041837D02*
-X106837347Y-89262653D01*
-X101300000Y-86425000D02*
-X104220510Y-86425000D01*
-X104220510Y-86425000D02*
-X106837347Y-89041837D01*
-X160000000Y-86800000D02*
-X159645000Y-86445000D01*
-X154900000Y-90775000D02*
-X154900000Y-89200000D01*
-X154200000Y-91475000D02*
-X154900000Y-90775000D01*
-X159645000Y-86445000D02*
-X158700000Y-86445000D01*
-X154900000Y-89200000D02*
-X155740001Y-88359999D01*
-X160000000Y-88200000D02*
-X160000000Y-86800000D01*
-X155740001Y-88359999D02*
-X159840001Y-88359999D01*
-X159840001Y-88359999D02*
-X160000000Y-88200000D01*
-X154900000Y-89089340D02*
-X154900000Y-89200000D01*
-X154810660Y-89000000D02*
-X154900000Y-89089340D01*
-X153750000Y-89000000D02*
-X154810660Y-89000000D01*
-X126674989Y-80374989D02*
-X126325000Y-80025000D01*
-X127580504Y-83654543D02*
-X126674989Y-82749028D01*
-X154727364Y-83654544D02*
-X127580504Y-83654543D01*
-X126674989Y-82749028D02*
-X126674989Y-80374989D01*
-X159690002Y-85800000D02*
-X156872820Y-85800000D01*
-X158700000Y-90255000D02*
-X159150000Y-90255000D01*
-X159150000Y-90255000D02*
-X160600000Y-88805000D01*
-X126325000Y-80025000D02*
-X125350000Y-80025000D01*
-X160600000Y-86709998D02*
-X159690002Y-85800000D01*
-X160600000Y-88805000D02*
-X160600000Y-86709998D01*
-X158700000Y-90255000D02*
-X157045000Y-90255000D01*
-X157045000Y-90255000D02*
-X157000000Y-90300000D01*
-X107800000Y-92250000D02*
-X107100000Y-92250000D01*
-X108050000Y-92500000D02*
-X107800000Y-92250000D01*
-X116775010Y-91816611D02*
-X116775010Y-93620500D01*
-X116775010Y-93620500D02*
-X116445500Y-93950010D01*
-X116445500Y-93950010D02*
-X114850010Y-93950010D01*
-X114850010Y-93950010D02*
-X113400000Y-92500000D01*
-X117200000Y-91391621D02*
-X116775010Y-91816611D01*
-X113400000Y-92500000D02*
-X108050000Y-92500000D01*
-X156600000Y-84850000D02*
-X156261410Y-85188590D01*
-X156872820Y-85800000D02*
-X156261410Y-85188590D01*
-X156600000Y-84600000D02*
-X156600000Y-84850000D01*
-X156261410Y-85188590D02*
-X154727364Y-83654544D01*
-X155370000Y-69150000D02*
-X155220000Y-69300000D01*
-X161275000Y-69150000D02*
-X155370000Y-69150000D01*
-X160590900Y-67650000D02*
-X160190879Y-68050021D01*
-X161275000Y-67650000D02*
-X160590900Y-67650000D01*
-X145039979Y-68050021D02*
-X143790000Y-69300000D01*
-X160190879Y-68050021D02*
-X145039979Y-68050021D01*
-X165625000Y-67075000D02*
-X165625000Y-67650000D01*
-X164749989Y-66199989D02*
-X165625000Y-67075000D01*
-X144217180Y-67600000D02*
-X144667180Y-67150000D01*
-X123940000Y-69300000D02*
-X125640000Y-67600000D01*
-X125640000Y-67600000D02*
-X144217180Y-67600000D01*
-X159818079Y-67150000D02*
-X160768090Y-66199989D01*
-X144667180Y-67150000D02*
-X159818079Y-67150000D01*
-X160768090Y-66199989D02*
-X164749989Y-66199989D01*
-X136620000Y-68050000D02*
-X135370000Y-69300000D01*
-X144403590Y-68050000D02*
-X136620000Y-68050000D01*
-X160004479Y-67600011D02*
-X144853579Y-67600011D01*
-X165625000Y-69150000D02*
-X164400000Y-67925000D01*
-X164400000Y-66950000D02*
-X164100000Y-66650000D01*
-X144853579Y-67600011D02*
-X144403590Y-68050000D01*
-X164100000Y-66650000D02*
-X160954490Y-66650000D01*
-X164400000Y-67925000D02*
-X164400000Y-66950000D01*
-X160954490Y-66650000D02*
-X160004479Y-67600011D01*
-X163524072Y-69649072D02*
-X163025000Y-69150000D01*
-X166349967Y-72474967D02*
-X163524072Y-69649072D01*
-X164100000Y-85175000D02*
-X165325000Y-85175000D01*
-X166349967Y-84150033D02*
-X166349967Y-72474967D01*
-X165325000Y-85175000D02*
-X166349967Y-84150033D01*
-X164100000Y-90255000D02*
-X167955000Y-90255000D01*
-X167955000Y-90255000D02*
-X168750000Y-91050000D01*
-X126800000Y-151126998D02*
-X126800000Y-152200000D01*
-X128925000Y-150200000D02*
-X127726998Y-150200000D01*
-X127726998Y-150200000D02*
-X126800000Y-151126998D01*
-X81549072Y-153374072D02*
-X81050000Y-152875000D01*
-X87340001Y-153375001D02*
-X81550001Y-153375001D01*
-X81550001Y-153375001D02*
-X81549072Y-153374072D01*
-X87900000Y-152300000D02*
-X87900000Y-152815002D01*
-X87900000Y-152815002D02*
-X87340001Y-153375001D01*
-X146280875Y-148519125D02*
-X146280875Y-150685242D01*
-X149000000Y-145800000D02*
-X146280875Y-148519125D01*
-X158740364Y-145800000D02*
-X149000000Y-145800000D01*
-X167711421Y-144674990D02*
-X159865374Y-144674990D01*
-X164100000Y-91525000D02*
-X167125000Y-91525000D01*
-X159865374Y-144674990D02*
-X158740364Y-145800000D01*
-X168700000Y-93099999D02*
-X168700000Y-143686411D01*
-X168700000Y-143686411D02*
-X167711421Y-144674990D01*
-X167125000Y-91525000D02*
-X168700000Y-93099999D01*
-X81100000Y-147875000D02*
-X82300000Y-149075000D01*
-X168249989Y-143500011D02*
-X167525021Y-144224979D01*
-X167525021Y-144224979D02*
-X159678974Y-144224979D01*
-X148813600Y-145349989D02*
-X144830864Y-149332725D01*
-X159678974Y-144224979D02*
-X158553964Y-145349989D01*
-X144830864Y-150265180D02*
-X144830864Y-150830865D01*
-X158553964Y-145349989D02*
-X148813600Y-145349989D01*
-X168249989Y-93286399D02*
-X168249989Y-143500011D01*
-X164100000Y-92795000D02*
-X167758590Y-92795000D01*
-X144830864Y-149332725D02*
-X144830864Y-150265180D01*
-X167758590Y-92795000D02*
-X168249989Y-93286399D01*
-X159775001Y-93424999D02*
-X160499992Y-94149990D01*
-X158700000Y-91525000D02*
-X159150000Y-91525000D01*
-X159150000Y-91525000D02*
-X159775001Y-92150001D01*
-X159775001Y-92150001D02*
-X159775001Y-93424999D01*
-X163813600Y-94149990D02*
-X164363590Y-93600000D01*
-X160499992Y-94149990D02*
-X163813600Y-94149990D01*
-X164363590Y-93600000D02*
-X167400000Y-93600000D01*
-X128125000Y-151400000D02*
-X128925000Y-152200000D01*
-X127600000Y-151400000D02*
-X128125000Y-151400000D01*
-X163200000Y-67650000D02*
-X164400000Y-68850000D01*
-X163025000Y-67650000D02*
-X163200000Y-67650000D01*
-X166799978Y-72288568D02*
-X166799978Y-85000022D01*
-X164400000Y-69888590D02*
-X166799978Y-72288568D01*
-X165355000Y-86445000D02*
-X164100000Y-86445000D01*
-X164400000Y-68850000D02*
-X164400000Y-69888590D01*
-X166799978Y-85000022D02*
-X165355000Y-86445000D01*
-X130875000Y-152000000D02*
-X130675000Y-152200000D01*
-X133470000Y-152000000D02*
-X130875000Y-152000000D01*
-X82795000Y-151125000D02*
-X83670000Y-152000000D01*
-X81050000Y-151125000D02*
-X82795000Y-151125000D01*
-X83670000Y-147000000D02*
-X81975000Y-147000000D01*
-X81975000Y-147000000D02*
-X81100000Y-146125000D01*
-X133470000Y-147405000D02*
-X133470000Y-147000000D01*
-X130675000Y-150200000D02*
-X133470000Y-147405000D01*
-X115175000Y-76775000D02*
-X114550000Y-77400000D01*
-X119450000Y-76775000D02*
-X115175000Y-76775000D01*
-X114450000Y-77500000D02*
-X114550000Y-77400000D01*
-X114450000Y-80450000D02*
-X114450000Y-77500000D01*
-X112250000Y-74650000D02*
-X112250000Y-74500000D01*
-X109900000Y-77000000D02*
-X112250000Y-74650000D01*
-X109200000Y-77000000D02*
-X109900000Y-77000000D01*
-X113500000Y-75900000D02*
-X112250000Y-74650000D01*
-X115550001Y-75900000D02*
-X113500000Y-75900000D01*
-X119450000Y-76125000D02*
-X115775001Y-76125000D01*
-X115775001Y-76125000D02*
-X115550001Y-75900000D01*
-X114985002Y-81475000D02*
-X110375000Y-81475000D01*
-X115400000Y-81060002D02*
-X114985002Y-81475000D01*
-X110375000Y-81475000D02*
-X109800000Y-81475000D01*
-X119450000Y-77425000D02*
-X117575000Y-77425000D01*
-X115400000Y-79600000D02*
-X115400000Y-81060002D01*
-X117575000Y-77425000D02*
-X115400000Y-79600000D01*
-X101425000Y-88300000D02*
-X101300000Y-88175000D01*
-X103025000Y-88300000D02*
-X101425000Y-88300000D01*
-X101400000Y-88275000D02*
-X101300000Y-88175000D01*
-X101400000Y-90050000D02*
-X101400000Y-88275000D01*
-D49*
-X157275000Y-64375000D02*
-X156490000Y-63590000D01*
-X159250000Y-64375000D02*
-X157275000Y-64375000D01*
-D51*
-X95500000Y-93375000D02*
-X94375000Y-94500000D01*
-X95500000Y-91700000D02*
-X95500000Y-93375000D01*
-X96975000Y-89850000D02*
-X97600000Y-89850000D01*
-X96400000Y-89850000D02*
-X96975000Y-89850000D01*
-X95500000Y-90750000D02*
-X96400000Y-89850000D01*
-X95500000Y-91700000D02*
-X95500000Y-90750000D01*
-X96000000Y-94375000D02*
-X96125000Y-94500000D01*
-X96000000Y-91700000D02*
-X96000000Y-94375000D01*
-X96050000Y-91750000D02*
-X96000000Y-91700000D01*
-X97600000Y-91750000D02*
-X96050000Y-91750000D01*
-X101375000Y-94500000D02*
-X103125000Y-94500000D01*
-X105100000Y-92525000D02*
-X105100000Y-91300000D01*
-X103125000Y-94500000D02*
-X105100000Y-92525000D01*
-X102820000Y-91950000D02*
-X103600000Y-91950000D01*
-X101070010Y-93699990D02*
-X102820000Y-91950000D01*
-X100425010Y-93699990D02*
-X101070010Y-93699990D01*
-X99625000Y-94500000D02*
-X100425010Y-93699990D01*
-X104775000Y-88875000D02*
-X104775000Y-88300000D01*
-X104775000Y-90200000D02*
-X104775000Y-88875000D01*
-X103600000Y-91375000D02*
-X104775000Y-90200000D01*
-X103600000Y-91950000D02*
-X103600000Y-91375000D01*
-X100620000Y-91950000D02*
-X101400000Y-91950000D01*
-X100400000Y-91730000D02*
-X100620000Y-91950000D01*
-X99500000Y-90125000D02*
-X100400000Y-91025000D01*
-X100400000Y-91025000D02*
-X100400000Y-91730000D01*
-D53*
-G36*
-X89733421Y-124266579D02*
-G01*
-X89790550Y-124313464D01*
-X89855728Y-124348303D01*
-X89926451Y-124369756D01*
-X90000000Y-124377000D01*
-X100843842Y-124377000D01*
-X104733421Y-128266579D01*
-X104790550Y-128313464D01*
-X104855728Y-128348303D01*
-X104926451Y-128369756D01*
-X105000000Y-128377000D01*
-X112843842Y-128377000D01*
-X117733421Y-133266579D01*
-X117790550Y-133313464D01*
-X117855728Y-133348303D01*
-X117926451Y-133369756D01*
-X118000000Y-133377000D01*
-X128000000Y-133377000D01*
-X128073549Y-133369756D01*
-X128144272Y-133348303D01*
-X128209450Y-133313464D01*
-X128266579Y-133266579D01*
-X128313464Y-133209450D01*
-X128348303Y-133144272D01*
-X128353542Y-133127000D01*
-X131873000Y-133127000D01*
-X131873000Y-135894428D01*
-X131831952Y-135911431D01*
-X131704691Y-135996464D01*
-X131596464Y-136104691D01*
-X131511431Y-136231952D01*
-X131452859Y-136373357D01*
-X131423000Y-136523472D01*
-X131423000Y-136676528D01*
-X131452859Y-136826643D01*
-X131511431Y-136968048D01*
-X131596464Y-137095309D01*
-X131704691Y-137203536D01*
-X131831952Y-137288569D01*
-X131873000Y-137305571D01*
-X131873000Y-137323770D01*
-X131814537Y-137355019D01*
-X131757131Y-137402131D01*
-X131710019Y-137459537D01*
-X131675012Y-137525030D01*
-X131653455Y-137596095D01*
-X131646176Y-137670000D01*
-X131646176Y-138520000D01*
-X131653455Y-138593905D01*
-X131675012Y-138664970D01*
-X131709772Y-138730000D01*
-X131675012Y-138795030D01*
-X131653455Y-138866095D01*
-X131646176Y-138940000D01*
-X131646176Y-139790000D01*
-X131653455Y-139863905D01*
-X131675012Y-139934970D01*
-X131709772Y-140000000D01*
-X131675012Y-140065030D01*
-X131653455Y-140136095D01*
-X131646176Y-140210000D01*
-X131646176Y-141060000D01*
-X131653455Y-141133905D01*
-X131675012Y-141204970D01*
-X131709772Y-141270000D01*
-X131675012Y-141335030D01*
-X131653455Y-141406095D01*
-X131646176Y-141480000D01*
-X131646176Y-142330000D01*
-X131653455Y-142403905D01*
-X131675012Y-142474970D01*
-X131710019Y-142540463D01*
-X131757131Y-142597869D01*
-X131814537Y-142644981D01*
-X131873000Y-142676230D01*
-X131873000Y-145799440D01*
-X131870012Y-145805030D01*
-X131848455Y-145876095D01*
-X131841176Y-145950000D01*
-X131841176Y-146979218D01*
-X129947394Y-148873000D01*
-X114127000Y-148873000D01*
-X114127000Y-147500000D01*
-X114124560Y-147475224D01*
-X114117333Y-147451399D01*
-X114105597Y-147429443D01*
-X114089803Y-147410197D01*
-X112589803Y-145910197D01*
-X112570557Y-145894403D01*
-X112548601Y-145882667D01*
-X112524776Y-145875440D01*
-X112500000Y-145873000D01*
-X107500000Y-145873000D01*
-X107475224Y-145875440D01*
-X107451399Y-145882667D01*
-X107429443Y-145894403D01*
-X107410197Y-145910197D01*
-X105910197Y-147410197D01*
-X105894403Y-147429443D01*
-X105882667Y-147451399D01*
-X105875440Y-147475224D01*
-X105873000Y-147500000D01*
-X105873000Y-148873000D01*
-X99052606Y-148873000D01*
-X93089803Y-142910197D01*
-X93070557Y-142894403D01*
-X93048601Y-142882667D01*
-X93024776Y-142875440D01*
-X93000000Y-142873000D01*
-X70377000Y-142873000D01*
-X70377000Y-120377000D01*
-X85843842Y-120377000D01*
-X89733421Y-124266579D01*
-X89733421Y-124266579D01*
-G37*
-X89733421Y-124266579D02*
-X89790550Y-124313464D01*
-X89855728Y-124348303D01*
-X89926451Y-124369756D01*
-X90000000Y-124377000D01*
-X100843842Y-124377000D01*
-X104733421Y-128266579D01*
-X104790550Y-128313464D01*
-X104855728Y-128348303D01*
-X104926451Y-128369756D01*
-X105000000Y-128377000D01*
-X112843842Y-128377000D01*
-X117733421Y-133266579D01*
-X117790550Y-133313464D01*
-X117855728Y-133348303D01*
-X117926451Y-133369756D01*
-X118000000Y-133377000D01*
-X128000000Y-133377000D01*
-X128073549Y-133369756D01*
-X128144272Y-133348303D01*
-X128209450Y-133313464D01*
-X128266579Y-133266579D01*
-X128313464Y-133209450D01*
-X128348303Y-133144272D01*
-X128353542Y-133127000D01*
-X131873000Y-133127000D01*
-X131873000Y-135894428D01*
-X131831952Y-135911431D01*
-X131704691Y-135996464D01*
-X131596464Y-136104691D01*
-X131511431Y-136231952D01*
-X131452859Y-136373357D01*
-X131423000Y-136523472D01*
-X131423000Y-136676528D01*
-X131452859Y-136826643D01*
-X131511431Y-136968048D01*
-X131596464Y-137095309D01*
-X131704691Y-137203536D01*
-X131831952Y-137288569D01*
-X131873000Y-137305571D01*
-X131873000Y-137323770D01*
-X131814537Y-137355019D01*
-X131757131Y-137402131D01*
-X131710019Y-137459537D01*
-X131675012Y-137525030D01*
-X131653455Y-137596095D01*
-X131646176Y-137670000D01*
-X131646176Y-138520000D01*
-X131653455Y-138593905D01*
-X131675012Y-138664970D01*
-X131709772Y-138730000D01*
-X131675012Y-138795030D01*
-X131653455Y-138866095D01*
-X131646176Y-138940000D01*
-X131646176Y-139790000D01*
-X131653455Y-139863905D01*
-X131675012Y-139934970D01*
-X131709772Y-140000000D01*
-X131675012Y-140065030D01*
-X131653455Y-140136095D01*
-X131646176Y-140210000D01*
-X131646176Y-141060000D01*
-X131653455Y-141133905D01*
-X131675012Y-141204970D01*
-X131709772Y-141270000D01*
-X131675012Y-141335030D01*
-X131653455Y-141406095D01*
-X131646176Y-141480000D01*
-X131646176Y-142330000D01*
-X131653455Y-142403905D01*
-X131675012Y-142474970D01*
-X131710019Y-142540463D01*
-X131757131Y-142597869D01*
-X131814537Y-142644981D01*
-X131873000Y-142676230D01*
-X131873000Y-145799440D01*
-X131870012Y-145805030D01*
-X131848455Y-145876095D01*
-X131841176Y-145950000D01*
-X131841176Y-146979218D01*
-X129947394Y-148873000D01*
-X114127000Y-148873000D01*
-X114127000Y-147500000D01*
-X114124560Y-147475224D01*
-X114117333Y-147451399D01*
-X114105597Y-147429443D01*
-X114089803Y-147410197D01*
-X112589803Y-145910197D01*
-X112570557Y-145894403D01*
-X112548601Y-145882667D01*
-X112524776Y-145875440D01*
-X112500000Y-145873000D01*
-X107500000Y-145873000D01*
-X107475224Y-145875440D01*
-X107451399Y-145882667D01*
-X107429443Y-145894403D01*
-X107410197Y-145910197D01*
-X105910197Y-147410197D01*
-X105894403Y-147429443D01*
-X105882667Y-147451399D01*
-X105875440Y-147475224D01*
-X105873000Y-147500000D01*
-X105873000Y-148873000D01*
-X99052606Y-148873000D01*
-X93089803Y-142910197D01*
-X93070557Y-142894403D01*
-X93048601Y-142882667D01*
-X93024776Y-142875440D01*
-X93000000Y-142873000D01*
-X70377000Y-142873000D01*
-X70377000Y-120377000D01*
-X85843842Y-120377000D01*
-X89733421Y-124266579D01*
-G36*
-X91623000Y-96000000D02*
-G01*
-X91625440Y-96024776D01*
-X91632667Y-96048601D01*
-X91644403Y-96070557D01*
-X91660197Y-96089803D01*
-X91679443Y-96105597D01*
-X91701399Y-96117333D01*
-X91725224Y-96124560D01*
-X91750000Y-96127000D01*
-X94373000Y-96127000D01*
-X94373000Y-123873000D01*
-X90052606Y-123873000D01*
-X86089803Y-119910197D01*
-X86070557Y-119894403D01*
-X86048601Y-119882667D01*
-X86024776Y-119875440D01*
-X86000000Y-119873000D01*
-X72689500Y-119873000D01*
-X72689500Y-95127000D01*
-X91623000Y-95127000D01*
-X91623000Y-96000000D01*
-X91623000Y-96000000D01*
-G37*
-X91623000Y-96000000D02*
-X91625440Y-96024776D01*
-X91632667Y-96048601D01*
-X91644403Y-96070557D01*
-X91660197Y-96089803D01*
-X91679443Y-96105597D01*
-X91701399Y-96117333D01*
-X91725224Y-96124560D01*
-X91750000Y-96127000D01*
-X94373000Y-96127000D01*
-X94373000Y-123873000D01*
-X90052606Y-123873000D01*
-X86089803Y-119910197D01*
-X86070557Y-119894403D01*
-X86048601Y-119882667D01*
-X86024776Y-119875440D01*
-X86000000Y-119873000D01*
-X72689500Y-119873000D01*
-X72689500Y-95127000D01*
-X91623000Y-95127000D01*
-X91623000Y-96000000D01*
-G36*
-X98901693Y-95173307D02*
-G01*
-X98995089Y-95249955D01*
-X99101643Y-95306909D01*
-X99217261Y-95341982D01*
-X99337500Y-95353824D01*
-X99912500Y-95353824D01*
-X100032739Y-95341982D01*
-X100148357Y-95306909D01*
-X100254911Y-95249955D01*
-X100348307Y-95173307D01*
-X100386310Y-95127000D01*
-X100613690Y-95127000D01*
-X100651693Y-95173307D01*
-X100745089Y-95249955D01*
-X100851643Y-95306909D01*
-X100967261Y-95341982D01*
-X101087500Y-95353824D01*
-X101662500Y-95353824D01*
-X101782739Y-95341982D01*
-X101898357Y-95306909D01*
-X102004911Y-95249955D01*
-X102098307Y-95173307D01*
-X102136310Y-95127000D01*
-X102363690Y-95127000D01*
-X102401693Y-95173307D01*
-X102495089Y-95249955D01*
-X102601643Y-95306909D01*
-X102717261Y-95341982D01*
-X102837500Y-95353824D01*
-X103412500Y-95353824D01*
-X103532739Y-95341982D01*
-X103648357Y-95306909D01*
-X103754911Y-95249955D01*
-X103848307Y-95173307D01*
-X103886310Y-95127000D01*
-X104113690Y-95127000D01*
-X104151693Y-95173307D01*
-X104245089Y-95249955D01*
-X104351643Y-95306909D01*
-X104467261Y-95341982D01*
-X104587500Y-95353824D01*
-X105162500Y-95353824D01*
-X105282739Y-95341982D01*
-X105398357Y-95306909D01*
-X105504911Y-95249955D01*
-X105598307Y-95173307D01*
-X105636310Y-95127000D01*
-X105873000Y-95127000D01*
-X105873000Y-99500000D01*
-X105875440Y-99524776D01*
-X105882667Y-99548601D01*
-X105894403Y-99570557D01*
-X105910197Y-99589803D01*
-X107410197Y-101089803D01*
-X107429443Y-101105597D01*
-X107451399Y-101117333D01*
-X107475224Y-101124560D01*
-X107500000Y-101127000D01*
-X112500000Y-101127000D01*
-X112524776Y-101124560D01*
-X112548601Y-101117333D01*
-X112570557Y-101105597D01*
-X112589803Y-101089803D01*
-X113873000Y-99806606D01*
-X113873000Y-104000000D01*
-X113875440Y-104024776D01*
-X113882667Y-104048601D01*
-X113894403Y-104070557D01*
-X113910197Y-104089803D01*
-X116910197Y-107089803D01*
-X116929443Y-107105597D01*
-X116951399Y-107117333D01*
-X116975224Y-107124560D01*
-X117000000Y-107127000D01*
-X127873000Y-107127000D01*
-X127873000Y-114434476D01*
-X125025000Y-114434476D01*
-X124951095Y-114441755D01*
-X124880030Y-114463312D01*
-X124814537Y-114498319D01*
-X124757131Y-114545431D01*
-X124710019Y-114602837D01*
-X124675012Y-114668330D01*
-X124653455Y-114739395D01*
-X124646176Y-114813300D01*
-X124646176Y-115663300D01*
-X124653455Y-115737205D01*
-X124675012Y-115808270D01*
-X124710019Y-115873763D01*
-X124757131Y-115931169D01*
-X124814537Y-115978281D01*
-X124880030Y-116013288D01*
-X124951095Y-116034845D01*
-X125025000Y-116042124D01*
-X127198000Y-116042124D01*
-X127198000Y-116203155D01*
-X127096464Y-116304691D01*
-X127011431Y-116431952D01*
-X126952859Y-116573357D01*
-X126923000Y-116723472D01*
-X126923000Y-116876528D01*
-X126952859Y-117026643D01*
-X127011431Y-117168048D01*
-X127096464Y-117295309D01*
-X127204691Y-117403536D01*
-X127331952Y-117488569D01*
-X127473357Y-117547141D01*
-X127623472Y-117577000D01*
-X127776528Y-117577000D01*
-X127873000Y-117557811D01*
-X127873000Y-119130445D01*
-X127864537Y-119134969D01*
-X127807131Y-119182081D01*
-X127760019Y-119239487D01*
-X127725012Y-119304980D01*
-X127703455Y-119376045D01*
-X127696176Y-119449950D01*
-X127696176Y-119899950D01*
-X127703455Y-119973855D01*
-X127711371Y-119999950D01*
-X127703455Y-120026045D01*
-X127696176Y-120099950D01*
-X127696176Y-120549950D01*
-X127703455Y-120623855D01*
-X127711371Y-120649950D01*
-X127703455Y-120676045D01*
-X127696176Y-120749950D01*
-X127696176Y-121022951D01*
-X126132936Y-121022951D01*
-X125747399Y-120637415D01*
-X125731684Y-120618266D01*
-X125655245Y-120555533D01*
-X125568036Y-120508919D01*
-X125473409Y-120480214D01*
-X125399653Y-120472950D01*
-X125399643Y-120472950D01*
-X125379302Y-120470947D01*
-X125335463Y-120434969D01*
-X125269970Y-120399962D01*
-X125198905Y-120378405D01*
-X125125000Y-120371126D01*
-X123675000Y-120371126D01*
-X123601095Y-120378405D01*
-X123530030Y-120399962D01*
-X123464537Y-120434969D01*
-X123418257Y-120472950D01*
-X122953824Y-120472950D01*
-X122953824Y-120350000D01*
-X122946545Y-120276095D01*
-X122924988Y-120205030D01*
-X122889981Y-120139537D01*
-X122842869Y-120082131D01*
-X122785463Y-120035019D01*
-X122719970Y-120000012D01*
-X122648905Y-119978455D01*
-X122575000Y-119971176D01*
-X121825000Y-119971176D01*
-X121751095Y-119978455D01*
-X121680030Y-120000012D01*
-X121614537Y-120035019D01*
-X121557131Y-120082131D01*
-X121510019Y-120139537D01*
-X121475012Y-120205030D01*
-X121453455Y-120276095D01*
-X121446176Y-120350000D01*
-X121446176Y-121550000D01*
-X121453455Y-121623905D01*
-X121475012Y-121694970D01*
-X121510019Y-121760463D01*
-X121557131Y-121817869D01*
-X121614537Y-121864981D01*
-X121680030Y-121899988D01*
-X121751095Y-121921545D01*
-X121825000Y-121928824D01*
-X122575000Y-121928824D01*
-X122648905Y-121921545D01*
-X122719970Y-121899988D01*
-X122785463Y-121864981D01*
-X122842869Y-121817869D01*
-X122889981Y-121760463D01*
-X122924988Y-121694970D01*
-X122946545Y-121623905D01*
-X122953824Y-121550000D01*
-X122953824Y-121476950D01*
-X123418257Y-121476950D01*
-X123464537Y-121514931D01*
-X123530030Y-121549938D01*
-X123601095Y-121571495D01*
-X123675000Y-121578774D01*
-X125125000Y-121578774D01*
-X125198905Y-121571495D01*
-X125247016Y-121556901D01*
-X125552604Y-121862489D01*
-X125568317Y-121881635D01*
-X125587463Y-121897348D01*
-X125587465Y-121897350D01*
-X125625653Y-121928690D01*
-X125644756Y-121944368D01*
-X125731965Y-121990982D01*
-X125826592Y-122019687D01*
-X125900348Y-122026951D01*
-X125900358Y-122026951D01*
-X125925001Y-122029378D01*
-X125949644Y-122026951D01*
-X127873000Y-122026951D01*
-X127873000Y-127767876D01*
-X125025000Y-127767876D01*
-X124951095Y-127775155D01*
-X124880030Y-127796712D01*
-X124814537Y-127831719D01*
-X124757131Y-127878831D01*
-X124710019Y-127936237D01*
-X124675012Y-128001730D01*
-X124653455Y-128072795D01*
-X124646176Y-128146700D01*
-X124646176Y-128996700D01*
-X124653455Y-129070605D01*
-X124675012Y-129141670D01*
-X124710019Y-129207163D01*
-X124757131Y-129264569D01*
-X124814537Y-129311681D01*
-X124880030Y-129346688D01*
-X124951095Y-129368245D01*
-X125025000Y-129375524D01*
-X127498000Y-129375524D01*
-X127498000Y-129403155D01*
-X127396464Y-129504691D01*
-X127311431Y-129631952D01*
-X127252859Y-129773357D01*
-X127223000Y-129923472D01*
-X127223000Y-130076528D01*
-X127252859Y-130226643D01*
-X127311431Y-130368048D01*
-X127396464Y-130495309D01*
-X127504691Y-130603536D01*
-X127631952Y-130688569D01*
-X127773357Y-130747141D01*
-X127873000Y-130766961D01*
-X127873000Y-132873000D01*
-X118052606Y-132873000D01*
-X113089803Y-127910197D01*
-X113070557Y-127894403D01*
-X113048601Y-127882667D01*
-X113024776Y-127875440D01*
-X113000000Y-127873000D01*
-X107791861Y-127873000D01*
-X107652254Y-127779718D01*
-X107401656Y-127675917D01*
-X107135623Y-127623000D01*
-X106864377Y-127623000D01*
-X106598344Y-127675917D01*
-X106347746Y-127779718D01*
-X106208139Y-127873000D01*
-X105052606Y-127873000D01*
-X101089803Y-123910197D01*
-X101070557Y-123894403D01*
-X101048601Y-123882667D01*
-X101024776Y-123875440D01*
-X101000000Y-123873000D01*
-X96627000Y-123873000D01*
-X96627000Y-95313388D01*
-X96648357Y-95306909D01*
-X96754911Y-95249955D01*
-X96848307Y-95173307D01*
-X96886310Y-95127000D01*
-X98863690Y-95127000D01*
-X98901693Y-95173307D01*
-X98901693Y-95173307D01*
-G37*
-X98901693Y-95173307D02*
-X98995089Y-95249955D01*
-X99101643Y-95306909D01*
-X99217261Y-95341982D01*
-X99337500Y-95353824D01*
-X99912500Y-95353824D01*
-X100032739Y-95341982D01*
-X100148357Y-95306909D01*
-X100254911Y-95249955D01*
-X100348307Y-95173307D01*
-X100386310Y-95127000D01*
-X100613690Y-95127000D01*
-X100651693Y-95173307D01*
-X100745089Y-95249955D01*
-X100851643Y-95306909D01*
-X100967261Y-95341982D01*
-X101087500Y-95353824D01*
-X101662500Y-95353824D01*
-X101782739Y-95341982D01*
-X101898357Y-95306909D01*
-X102004911Y-95249955D01*
-X102098307Y-95173307D01*
-X102136310Y-95127000D01*
-X102363690Y-95127000D01*
-X102401693Y-95173307D01*
-X102495089Y-95249955D01*
-X102601643Y-95306909D01*
-X102717261Y-95341982D01*
-X102837500Y-95353824D01*
-X103412500Y-95353824D01*
-X103532739Y-95341982D01*
-X103648357Y-95306909D01*
-X103754911Y-95249955D01*
-X103848307Y-95173307D01*
-X103886310Y-95127000D01*
-X104113690Y-95127000D01*
-X104151693Y-95173307D01*
-X104245089Y-95249955D01*
-X104351643Y-95306909D01*
-X104467261Y-95341982D01*
-X104587500Y-95353824D01*
-X105162500Y-95353824D01*
-X105282739Y-95341982D01*
-X105398357Y-95306909D01*
-X105504911Y-95249955D01*
-X105598307Y-95173307D01*
-X105636310Y-95127000D01*
-X105873000Y-95127000D01*
-X105873000Y-99500000D01*
-X105875440Y-99524776D01*
-X105882667Y-99548601D01*
-X105894403Y-99570557D01*
-X105910197Y-99589803D01*
-X107410197Y-101089803D01*
-X107429443Y-101105597D01*
-X107451399Y-101117333D01*
-X107475224Y-101124560D01*
-X107500000Y-101127000D01*
-X112500000Y-101127000D01*
-X112524776Y-101124560D01*
-X112548601Y-101117333D01*
-X112570557Y-101105597D01*
-X112589803Y-101089803D01*
-X113873000Y-99806606D01*
-X113873000Y-104000000D01*
-X113875440Y-104024776D01*
-X113882667Y-104048601D01*
-X113894403Y-104070557D01*
-X113910197Y-104089803D01*
-X116910197Y-107089803D01*
-X116929443Y-107105597D01*
-X116951399Y-107117333D01*
-X116975224Y-107124560D01*
-X117000000Y-107127000D01*
-X127873000Y-107127000D01*
-X127873000Y-114434476D01*
-X125025000Y-114434476D01*
-X124951095Y-114441755D01*
-X124880030Y-114463312D01*
-X124814537Y-114498319D01*
-X124757131Y-114545431D01*
-X124710019Y-114602837D01*
-X124675012Y-114668330D01*
-X124653455Y-114739395D01*
-X124646176Y-114813300D01*
-X124646176Y-115663300D01*
-X124653455Y-115737205D01*
-X124675012Y-115808270D01*
-X124710019Y-115873763D01*
-X124757131Y-115931169D01*
-X124814537Y-115978281D01*
-X124880030Y-116013288D01*
-X124951095Y-116034845D01*
-X125025000Y-116042124D01*
-X127198000Y-116042124D01*
-X127198000Y-116203155D01*
-X127096464Y-116304691D01*
-X127011431Y-116431952D01*
-X126952859Y-116573357D01*
-X126923000Y-116723472D01*
-X126923000Y-116876528D01*
-X126952859Y-117026643D01*
-X127011431Y-117168048D01*
-X127096464Y-117295309D01*
-X127204691Y-117403536D01*
-X127331952Y-117488569D01*
-X127473357Y-117547141D01*
-X127623472Y-117577000D01*
-X127776528Y-117577000D01*
-X127873000Y-117557811D01*
-X127873000Y-119130445D01*
-X127864537Y-119134969D01*
-X127807131Y-119182081D01*
-X127760019Y-119239487D01*
-X127725012Y-119304980D01*
-X127703455Y-119376045D01*
-X127696176Y-119449950D01*
-X127696176Y-119899950D01*
-X127703455Y-119973855D01*
-X127711371Y-119999950D01*
-X127703455Y-120026045D01*
-X127696176Y-120099950D01*
-X127696176Y-120549950D01*
-X127703455Y-120623855D01*
-X127711371Y-120649950D01*
-X127703455Y-120676045D01*
-X127696176Y-120749950D01*
-X127696176Y-121022951D01*
-X126132936Y-121022951D01*
-X125747399Y-120637415D01*
-X125731684Y-120618266D01*
-X125655245Y-120555533D01*
-X125568036Y-120508919D01*
-X125473409Y-120480214D01*
-X125399653Y-120472950D01*
-X125399643Y-120472950D01*
-X125379302Y-120470947D01*
-X125335463Y-120434969D01*
-X125269970Y-120399962D01*
-X125198905Y-120378405D01*
-X125125000Y-120371126D01*
-X123675000Y-120371126D01*
-X123601095Y-120378405D01*
-X123530030Y-120399962D01*
-X123464537Y-120434969D01*
-X123418257Y-120472950D01*
-X122953824Y-120472950D01*
-X122953824Y-120350000D01*
-X122946545Y-120276095D01*
-X122924988Y-120205030D01*
-X122889981Y-120139537D01*
-X122842869Y-120082131D01*
-X122785463Y-120035019D01*
-X122719970Y-120000012D01*
-X122648905Y-119978455D01*
-X122575000Y-119971176D01*
-X121825000Y-119971176D01*
-X121751095Y-119978455D01*
-X121680030Y-120000012D01*
-X121614537Y-120035019D01*
-X121557131Y-120082131D01*
-X121510019Y-120139537D01*
-X121475012Y-120205030D01*
-X121453455Y-120276095D01*
-X121446176Y-120350000D01*
-X121446176Y-121550000D01*
-X121453455Y-121623905D01*
-X121475012Y-121694970D01*
-X121510019Y-121760463D01*
-X121557131Y-121817869D01*
-X121614537Y-121864981D01*
-X121680030Y-121899988D01*
-X121751095Y-121921545D01*
-X121825000Y-121928824D01*
-X122575000Y-121928824D01*
-X122648905Y-121921545D01*
-X122719970Y-121899988D01*
-X122785463Y-121864981D01*
-X122842869Y-121817869D01*
-X122889981Y-121760463D01*
-X122924988Y-121694970D01*
-X122946545Y-121623905D01*
-X122953824Y-121550000D01*
-X122953824Y-121476950D01*
-X123418257Y-121476950D01*
-X123464537Y-121514931D01*
-X123530030Y-121549938D01*
-X123601095Y-121571495D01*
-X123675000Y-121578774D01*
-X125125000Y-121578774D01*
-X125198905Y-121571495D01*
-X125247016Y-121556901D01*
-X125552604Y-121862489D01*
-X125568317Y-121881635D01*
-X125587463Y-121897348D01*
-X125587465Y-121897350D01*
-X125625653Y-121928690D01*
-X125644756Y-121944368D01*
-X125731965Y-121990982D01*
-X125826592Y-122019687D01*
-X125900348Y-122026951D01*
-X125900358Y-122026951D01*
-X125925001Y-122029378D01*
-X125949644Y-122026951D01*
-X127873000Y-122026951D01*
-X127873000Y-127767876D01*
-X125025000Y-127767876D01*
-X124951095Y-127775155D01*
-X124880030Y-127796712D01*
-X124814537Y-127831719D01*
-X124757131Y-127878831D01*
-X124710019Y-127936237D01*
-X124675012Y-128001730D01*
-X124653455Y-128072795D01*
-X124646176Y-128146700D01*
-X124646176Y-128996700D01*
-X124653455Y-129070605D01*
-X124675012Y-129141670D01*
-X124710019Y-129207163D01*
-X124757131Y-129264569D01*
-X124814537Y-129311681D01*
-X124880030Y-129346688D01*
-X124951095Y-129368245D01*
-X125025000Y-129375524D01*
-X127498000Y-129375524D01*
-X127498000Y-129403155D01*
-X127396464Y-129504691D01*
-X127311431Y-129631952D01*
-X127252859Y-129773357D01*
-X127223000Y-129923472D01*
-X127223000Y-130076528D01*
-X127252859Y-130226643D01*
-X127311431Y-130368048D01*
-X127396464Y-130495309D01*
-X127504691Y-130603536D01*
-X127631952Y-130688569D01*
-X127773357Y-130747141D01*
-X127873000Y-130766961D01*
-X127873000Y-132873000D01*
-X118052606Y-132873000D01*
-X113089803Y-127910197D01*
-X113070557Y-127894403D01*
-X113048601Y-127882667D01*
-X113024776Y-127875440D01*
-X113000000Y-127873000D01*
-X107791861Y-127873000D01*
-X107652254Y-127779718D01*
-X107401656Y-127675917D01*
-X107135623Y-127623000D01*
-X106864377Y-127623000D01*
-X106598344Y-127675917D01*
-X106347746Y-127779718D01*
-X106208139Y-127873000D01*
-X105052606Y-127873000D01*
-X101089803Y-123910197D01*
-X101070557Y-123894403D01*
-X101048601Y-123882667D01*
-X101024776Y-123875440D01*
-X101000000Y-123873000D01*
-X96627000Y-123873000D01*
-X96627000Y-95313388D01*
-X96648357Y-95306909D01*
-X96754911Y-95249955D01*
-X96848307Y-95173307D01*
-X96886310Y-95127000D01*
-X98863690Y-95127000D01*
-X98901693Y-95173307D01*
-G36*
-X131711433Y-95131952D02*
-G01*
-X131652861Y-95273357D01*
-X131623002Y-95423472D01*
-X131623002Y-95576528D01*
-X131652861Y-95726643D01*
-X131711433Y-95868048D01*
-X131796466Y-95995309D01*
-X131873000Y-96071843D01*
-X131873000Y-97323770D01*
-X131814537Y-97355019D01*
-X131757131Y-97402131D01*
-X131710019Y-97459537D01*
-X131675012Y-97525030D01*
-X131653455Y-97596095D01*
-X131646176Y-97670000D01*
-X131646176Y-98520000D01*
-X131653455Y-98593905D01*
-X131675012Y-98664970D01*
-X131709772Y-98730000D01*
-X131675012Y-98795030D01*
-X131653455Y-98866095D01*
-X131646176Y-98940000D01*
-X131646176Y-99790000D01*
-X131653455Y-99863905D01*
-X131675012Y-99934970D01*
-X131709772Y-100000000D01*
-X131675012Y-100065030D01*
-X131653455Y-100136095D01*
-X131646176Y-100210000D01*
-X131646176Y-101060000D01*
-X131653455Y-101133905D01*
-X131675012Y-101204970D01*
-X131709772Y-101270000D01*
-X131675012Y-101335030D01*
-X131653455Y-101406095D01*
-X131646176Y-101480000D01*
-X131646176Y-102330000D01*
-X131653455Y-102403905D01*
-X131675012Y-102474970D01*
-X131710019Y-102540463D01*
-X131757131Y-102597869D01*
-X131814537Y-102644981D01*
-X131873000Y-102676230D01*
-X131873000Y-106873000D01*
-X128353542Y-106873000D01*
-X128348303Y-106855728D01*
-X128313464Y-106790550D01*
-X128266579Y-106733421D01*
-X128209450Y-106686536D01*
-X128144272Y-106651697D01*
-X128073549Y-106630244D01*
-X128000000Y-106623000D01*
-X117156158Y-106623000D01*
-X114377000Y-103843842D01*
-X114377000Y-95127000D01*
-X131714742Y-95127000D01*
-X131711433Y-95131952D01*
-X131711433Y-95131952D01*
-G37*
-X131711433Y-95131952D02*
-X131652861Y-95273357D01*
-X131623002Y-95423472D01*
-X131623002Y-95576528D01*
-X131652861Y-95726643D01*
-X131711433Y-95868048D01*
-X131796466Y-95995309D01*
-X131873000Y-96071843D01*
-X131873000Y-97323770D01*
-X131814537Y-97355019D01*
-X131757131Y-97402131D01*
-X131710019Y-97459537D01*
-X131675012Y-97525030D01*
-X131653455Y-97596095D01*
-X131646176Y-97670000D01*
-X131646176Y-98520000D01*
-X131653455Y-98593905D01*
-X131675012Y-98664970D01*
-X131709772Y-98730000D01*
-X131675012Y-98795030D01*
-X131653455Y-98866095D01*
-X131646176Y-98940000D01*
-X131646176Y-99790000D01*
-X131653455Y-99863905D01*
-X131675012Y-99934970D01*
-X131709772Y-100000000D01*
-X131675012Y-100065030D01*
-X131653455Y-100136095D01*
-X131646176Y-100210000D01*
-X131646176Y-101060000D01*
-X131653455Y-101133905D01*
-X131675012Y-101204970D01*
-X131709772Y-101270000D01*
-X131675012Y-101335030D01*
-X131653455Y-101406095D01*
-X131646176Y-101480000D01*
-X131646176Y-102330000D01*
-X131653455Y-102403905D01*
-X131675012Y-102474970D01*
-X131710019Y-102540463D01*
-X131757131Y-102597869D01*
-X131814537Y-102644981D01*
-X131873000Y-102676230D01*
-X131873000Y-106873000D01*
-X128353542Y-106873000D01*
-X128348303Y-106855728D01*
-X128313464Y-106790550D01*
-X128266579Y-106733421D01*
-X128209450Y-106686536D01*
-X128144272Y-106651697D01*
-X128073549Y-106630244D01*
-X128000000Y-106623000D01*
-X117156158Y-106623000D01*
-X114377000Y-103843842D01*
-X114377000Y-95127000D01*
-X131714742Y-95127000D01*
-X131711433Y-95131952D01*
-G36*
-X165811431Y-95168048D02*
-G01*
-X165896464Y-95295309D01*
-X166004691Y-95403536D01*
-X166131952Y-95488569D01*
-X166273357Y-95547141D01*
-X166423472Y-95577000D01*
-X166567065Y-95577000D01*
-X166747989Y-95757925D01*
-X166747990Y-118842075D01*
-X166417067Y-119172999D01*
-X130713236Y-119172999D01*
-X130688591Y-119170572D01*
-X130663945Y-119172999D01*
-X130663938Y-119172999D01*
-X130599023Y-119179392D01*
-X130590181Y-119180263D01*
-X130495554Y-119208968D01*
-X130408345Y-119255582D01*
-X130351056Y-119302599D01*
-X130351053Y-119302602D01*
-X130331907Y-119318315D01*
-X130316194Y-119337461D01*
-X130030655Y-119623000D01*
-X129903824Y-119623000D01*
-X129903824Y-119449950D01*
-X129896545Y-119376045D01*
-X129888629Y-119349950D01*
-X129896545Y-119323855D01*
-X129903824Y-119249950D01*
-X129903824Y-118799950D01*
-X129896545Y-118726045D01*
-X129874988Y-118654980D01*
-X129839981Y-118589487D01*
-X129792869Y-118532081D01*
-X129735463Y-118484969D01*
-X129669970Y-118449962D01*
-X129598905Y-118428405D01*
-X129525000Y-118421126D01*
-X128377000Y-118421126D01*
-X128377000Y-117185362D01*
-X128388569Y-117168048D01*
-X128447141Y-117026643D01*
-X128477000Y-116876528D01*
-X128477000Y-116723472D01*
-X128447141Y-116573357D01*
-X128388569Y-116431952D01*
-X128377000Y-116414638D01*
-X128377000Y-107377000D01*
-X132000000Y-107377000D01*
-X132073549Y-107369756D01*
-X132144272Y-107348303D01*
-X132209450Y-107313464D01*
-X132266579Y-107266579D01*
-X132313464Y-107209450D01*
-X132348303Y-107144272D01*
-X132369756Y-107073549D01*
-X132377000Y-107000000D01*
-X132377000Y-98898824D01*
-X134975000Y-98898824D01*
-X135048905Y-98891545D01*
-X135119970Y-98869988D01*
-X135185463Y-98834981D01*
-X135242869Y-98787869D01*
-X135289981Y-98730463D01*
-X135324988Y-98664970D01*
-X135346545Y-98593905D01*
-X135353824Y-98520000D01*
-X135353824Y-97670000D01*
-X135346545Y-97596095D01*
-X135324988Y-97525030D01*
-X135289981Y-97459537D01*
-X135242869Y-97402131D01*
-X135185463Y-97355019D01*
-X135119970Y-97320012D01*
-X135048905Y-97298455D01*
-X134975000Y-97291176D01*
-X134002000Y-97291176D01*
-X134002000Y-96807934D01*
-X135307936Y-95502000D01*
-X136873197Y-95502000D01*
-X136875440Y-95524776D01*
-X136882667Y-95548601D01*
-X136894403Y-95570557D01*
-X136910197Y-95589803D01*
-X136929443Y-95605597D01*
-X136951399Y-95617333D01*
-X136975224Y-95624560D01*
-X137000000Y-95627000D01*
-X148873000Y-95627000D01*
-X148873000Y-99500000D01*
-X148875440Y-99524776D01*
-X148882667Y-99548601D01*
-X148894403Y-99570557D01*
-X148910197Y-99589803D01*
-X150410197Y-101089803D01*
-X150429443Y-101105597D01*
-X150451399Y-101117333D01*
-X150475224Y-101124560D01*
-X150500000Y-101127000D01*
-X155500000Y-101127000D01*
-X155524776Y-101124560D01*
-X155548601Y-101117333D01*
-X155570557Y-101105597D01*
-X155589803Y-101089803D01*
-X157089803Y-99589803D01*
-X157105597Y-99570557D01*
-X157117333Y-99548601D01*
-X157124560Y-99524776D01*
-X157127000Y-99500000D01*
-X157127000Y-95127000D01*
-X165794428Y-95127000D01*
-X165811431Y-95168048D01*
-X165811431Y-95168048D01*
-G37*
-X165811431Y-95168048D02*
-X165896464Y-95295309D01*
-X166004691Y-95403536D01*
-X166131952Y-95488569D01*
-X166273357Y-95547141D01*
-X166423472Y-95577000D01*
-X166567065Y-95577000D01*
-X166747989Y-95757925D01*
-X166747990Y-118842075D01*
-X166417067Y-119172999D01*
-X130713236Y-119172999D01*
-X130688591Y-119170572D01*
-X130663945Y-119172999D01*
-X130663938Y-119172999D01*
-X130599023Y-119179392D01*
-X130590181Y-119180263D01*
-X130495554Y-119208968D01*
-X130408345Y-119255582D01*
-X130351056Y-119302599D01*
-X130351053Y-119302602D01*
-X130331907Y-119318315D01*
-X130316194Y-119337461D01*
-X130030655Y-119623000D01*
-X129903824Y-119623000D01*
-X129903824Y-119449950D01*
-X129896545Y-119376045D01*
-X129888629Y-119349950D01*
-X129896545Y-119323855D01*
-X129903824Y-119249950D01*
-X129903824Y-118799950D01*
-X129896545Y-118726045D01*
-X129874988Y-118654980D01*
-X129839981Y-118589487D01*
-X129792869Y-118532081D01*
-X129735463Y-118484969D01*
-X129669970Y-118449962D01*
-X129598905Y-118428405D01*
-X129525000Y-118421126D01*
-X128377000Y-118421126D01*
-X128377000Y-117185362D01*
-X128388569Y-117168048D01*
-X128447141Y-117026643D01*
-X128477000Y-116876528D01*
-X128477000Y-116723472D01*
-X128447141Y-116573357D01*
-X128388569Y-116431952D01*
-X128377000Y-116414638D01*
-X128377000Y-107377000D01*
-X132000000Y-107377000D01*
-X132073549Y-107369756D01*
-X132144272Y-107348303D01*
-X132209450Y-107313464D01*
-X132266579Y-107266579D01*
-X132313464Y-107209450D01*
-X132348303Y-107144272D01*
-X132369756Y-107073549D01*
-X132377000Y-107000000D01*
-X132377000Y-98898824D01*
-X134975000Y-98898824D01*
-X135048905Y-98891545D01*
-X135119970Y-98869988D01*
-X135185463Y-98834981D01*
-X135242869Y-98787869D01*
-X135289981Y-98730463D01*
-X135324988Y-98664970D01*
-X135346545Y-98593905D01*
-X135353824Y-98520000D01*
-X135353824Y-97670000D01*
-X135346545Y-97596095D01*
-X135324988Y-97525030D01*
-X135289981Y-97459537D01*
-X135242869Y-97402131D01*
-X135185463Y-97355019D01*
-X135119970Y-97320012D01*
-X135048905Y-97298455D01*
-X134975000Y-97291176D01*
-X134002000Y-97291176D01*
-X134002000Y-96807934D01*
-X135307936Y-95502000D01*
-X136873197Y-95502000D01*
-X136875440Y-95524776D01*
-X136882667Y-95548601D01*
-X136894403Y-95570557D01*
-X136910197Y-95589803D01*
-X136929443Y-95605597D01*
-X136951399Y-95617333D01*
-X136975224Y-95624560D01*
-X137000000Y-95627000D01*
-X148873000Y-95627000D01*
-X148873000Y-99500000D01*
-X148875440Y-99524776D01*
-X148882667Y-99548601D01*
-X148894403Y-99570557D01*
-X148910197Y-99589803D01*
-X150410197Y-101089803D01*
-X150429443Y-101105597D01*
-X150451399Y-101117333D01*
-X150475224Y-101124560D01*
-X150500000Y-101127000D01*
-X155500000Y-101127000D01*
-X155524776Y-101124560D01*
-X155548601Y-101117333D01*
-X155570557Y-101105597D01*
-X155589803Y-101089803D01*
-X157089803Y-99589803D01*
-X157105597Y-99570557D01*
-X157117333Y-99548601D01*
-X157124560Y-99524776D01*
-X157127000Y-99500000D01*
-X157127000Y-95127000D01*
-X165794428Y-95127000D01*
-X165811431Y-95168048D01*
-G36*
-X167198001Y-142873000D02*
-G01*
-X158000000Y-142873000D01*
-X157975224Y-142875440D01*
-X157951399Y-142882667D01*
-X157929443Y-142894403D01*
-X157910197Y-142910197D01*
-X156920776Y-143899618D01*
-X156831952Y-143936410D01*
-X156704691Y-144021443D01*
-X156596464Y-144129670D01*
-X156511431Y-144256931D01*
-X156474639Y-144345755D01*
-X155972405Y-144847989D01*
-X148838242Y-144847989D01*
-X148813599Y-144845562D01*
-X148788956Y-144847989D01*
-X148788947Y-144847989D01*
-X148715191Y-144855253D01*
-X148620564Y-144883958D01*
-X148533355Y-144930572D01*
-X148533353Y-144930573D01*
-X148533354Y-144930573D01*
-X148503304Y-144955235D01*
-X148456916Y-144993305D01*
-X148441203Y-145012451D01*
-X146580654Y-146873000D01*
-X137258824Y-146873000D01*
-X137258824Y-145950000D01*
-X137251545Y-145876095D01*
-X137229988Y-145805030D01*
-X137194981Y-145739537D01*
-X137147869Y-145682131D01*
-X137090463Y-145635019D01*
-X137024970Y-145600012D01*
-X136953905Y-145578455D01*
-X136880000Y-145571176D01*
-X135380000Y-145571176D01*
-X135306095Y-145578455D01*
-X135235030Y-145600012D01*
-X135169537Y-145635019D01*
-X135112131Y-145682131D01*
-X135065019Y-145739537D01*
-X135050000Y-145767635D01*
-X135034981Y-145739537D01*
-X134987869Y-145682131D01*
-X134930463Y-145635019D01*
-X134864970Y-145600012D01*
-X134793905Y-145578455D01*
-X134720000Y-145571176D01*
-X132377000Y-145571176D01*
-X132377000Y-138898824D01*
-X134975000Y-138898824D01*
-X135048905Y-138891545D01*
-X135119970Y-138869988D01*
-X135185463Y-138834981D01*
-X135242869Y-138787869D01*
-X135289981Y-138730463D01*
-X135324988Y-138664970D01*
-X135346545Y-138593905D01*
-X135353824Y-138520000D01*
-X135353824Y-137670000D01*
-X135346545Y-137596095D01*
-X135324988Y-137525030D01*
-X135289981Y-137459537D01*
-X135242869Y-137402131D01*
-X135185463Y-137355019D01*
-X135119970Y-137320012D01*
-X135048905Y-137298455D01*
-X134975000Y-137291176D01*
-X133601112Y-137291176D01*
-X132977000Y-136667065D01*
-X132977000Y-136523472D01*
-X132947141Y-136373357D01*
-X132888569Y-136231952D01*
-X132803536Y-136104691D01*
-X132695309Y-135996464D01*
-X132568048Y-135911431D01*
-X132426643Y-135852859D01*
-X132377000Y-135842985D01*
-X132377000Y-133000000D01*
-X132369756Y-132926451D01*
-X132348303Y-132855728D01*
-X132313464Y-132790550D01*
-X132266579Y-132733421D01*
-X132209450Y-132686536D01*
-X132144272Y-132651697D01*
-X132073549Y-132630244D01*
-X132000000Y-132623000D01*
-X128377000Y-132623000D01*
-X128377000Y-130682587D01*
-X128495309Y-130603536D01*
-X128603536Y-130495309D01*
-X128688569Y-130368048D01*
-X128747141Y-130226643D01*
-X128777000Y-130076528D01*
-X128777000Y-129923472D01*
-X128747141Y-129773357D01*
-X128688569Y-129631952D01*
-X128603536Y-129504691D01*
-X128502000Y-129403155D01*
-X128502000Y-129046342D01*
-X128504427Y-129021699D01*
-X128502000Y-128997056D01*
-X128502000Y-128997047D01*
-X128494736Y-128923291D01*
-X128466031Y-128828664D01*
-X128419417Y-128741455D01*
-X128377000Y-128689771D01*
-X128377000Y-122026951D01*
-X131023408Y-122026951D01*
-X131048051Y-122029378D01*
-X131072694Y-122026951D01*
-X131072704Y-122026951D01*
-X131146460Y-122019687D01*
-X131241087Y-121990982D01*
-X131328296Y-121944368D01*
-X131404735Y-121881635D01*
-X131420452Y-121862484D01*
-X132407935Y-120875002D01*
-X167198000Y-120875002D01*
-X167198001Y-142873000D01*
-X167198001Y-142873000D01*
-G37*
-X167198001Y-142873000D02*
-X158000000Y-142873000D01*
-X157975224Y-142875440D01*
-X157951399Y-142882667D01*
-X157929443Y-142894403D01*
-X157910197Y-142910197D01*
-X156920776Y-143899618D01*
-X156831952Y-143936410D01*
-X156704691Y-144021443D01*
-X156596464Y-144129670D01*
-X156511431Y-144256931D01*
-X156474639Y-144345755D01*
-X155972405Y-144847989D01*
-X148838242Y-144847989D01*
-X148813599Y-144845562D01*
-X148788956Y-144847989D01*
-X148788947Y-144847989D01*
-X148715191Y-144855253D01*
-X148620564Y-144883958D01*
-X148533355Y-144930572D01*
-X148533353Y-144930573D01*
-X148533354Y-144930573D01*
-X148503304Y-144955235D01*
-X148456916Y-144993305D01*
-X148441203Y-145012451D01*
-X146580654Y-146873000D01*
-X137258824Y-146873000D01*
-X137258824Y-145950000D01*
-X137251545Y-145876095D01*
-X137229988Y-145805030D01*
-X137194981Y-145739537D01*
-X137147869Y-145682131D01*
-X137090463Y-145635019D01*
-X137024970Y-145600012D01*
-X136953905Y-145578455D01*
-X136880000Y-145571176D01*
-X135380000Y-145571176D01*
-X135306095Y-145578455D01*
-X135235030Y-145600012D01*
-X135169537Y-145635019D01*
-X135112131Y-145682131D01*
-X135065019Y-145739537D01*
-X135050000Y-145767635D01*
-X135034981Y-145739537D01*
-X134987869Y-145682131D01*
-X134930463Y-145635019D01*
-X134864970Y-145600012D01*
-X134793905Y-145578455D01*
-X134720000Y-145571176D01*
-X132377000Y-145571176D01*
-X132377000Y-138898824D01*
-X134975000Y-138898824D01*
-X135048905Y-138891545D01*
-X135119970Y-138869988D01*
-X135185463Y-138834981D01*
-X135242869Y-138787869D01*
-X135289981Y-138730463D01*
-X135324988Y-138664970D01*
-X135346545Y-138593905D01*
-X135353824Y-138520000D01*
-X135353824Y-137670000D01*
-X135346545Y-137596095D01*
-X135324988Y-137525030D01*
-X135289981Y-137459537D01*
-X135242869Y-137402131D01*
-X135185463Y-137355019D01*
-X135119970Y-137320012D01*
-X135048905Y-137298455D01*
-X134975000Y-137291176D01*
-X133601112Y-137291176D01*
-X132977000Y-136667065D01*
-X132977000Y-136523472D01*
-X132947141Y-136373357D01*
-X132888569Y-136231952D01*
-X132803536Y-136104691D01*
-X132695309Y-135996464D01*
-X132568048Y-135911431D01*
-X132426643Y-135852859D01*
-X132377000Y-135842985D01*
-X132377000Y-133000000D01*
-X132369756Y-132926451D01*
-X132348303Y-132855728D01*
-X132313464Y-132790550D01*
-X132266579Y-132733421D01*
-X132209450Y-132686536D01*
-X132144272Y-132651697D01*
-X132073549Y-132630244D01*
-X132000000Y-132623000D01*
-X128377000Y-132623000D01*
-X128377000Y-130682587D01*
-X128495309Y-130603536D01*
-X128603536Y-130495309D01*
-X128688569Y-130368048D01*
-X128747141Y-130226643D01*
-X128777000Y-130076528D01*
-X128777000Y-129923472D01*
-X128747141Y-129773357D01*
-X128688569Y-129631952D01*
-X128603536Y-129504691D01*
-X128502000Y-129403155D01*
-X128502000Y-129046342D01*
-X128504427Y-129021699D01*
-X128502000Y-128997056D01*
-X128502000Y-128997047D01*
-X128494736Y-128923291D01*
-X128466031Y-128828664D01*
-X128419417Y-128741455D01*
-X128377000Y-128689771D01*
-X128377000Y-122026951D01*
-X131023408Y-122026951D01*
-X131048051Y-122029378D01*
-X131072694Y-122026951D01*
-X131072704Y-122026951D01*
-X131146460Y-122019687D01*
-X131241087Y-121990982D01*
-X131328296Y-121944368D01*
-X131404735Y-121881635D01*
-X131420452Y-121862484D01*
-X132407935Y-120875002D01*
-X167198000Y-120875002D01*
-X167198001Y-142873000D01*
-G36*
-X106099397Y-89013822D02*
-G01*
-X106090206Y-89036010D01*
-X106060347Y-89186125D01*
-X106060347Y-89339181D01*
-X106090206Y-89489296D01*
-X106148778Y-89630701D01*
-X106233811Y-89757962D01*
-X106295559Y-89819710D01*
-X106278455Y-89876095D01*
-X106271176Y-89950000D01*
-X106273000Y-90128750D01*
-X106367250Y-90223000D01*
-X106973000Y-90223000D01*
-X106973000Y-90203000D01*
-X107227000Y-90203000D01*
-X107227000Y-90223000D01*
-X107832750Y-90223000D01*
-X107927000Y-90128750D01*
-X107927927Y-90037862D01*
-X108123000Y-90232935D01*
-X108123000Y-90376528D01*
-X108152859Y-90526643D01*
-X108211431Y-90668048D01*
-X108263678Y-90746242D01*
-X108250000Y-90746176D01*
-X108176095Y-90753455D01*
-X108105030Y-90775012D01*
-X108039537Y-90810019D01*
-X107982131Y-90857131D01*
-X107935019Y-90914537D01*
-X107900012Y-90980030D01*
-X107878455Y-91051095D01*
-X107871176Y-91125000D01*
-X107873000Y-91278750D01*
-X107967250Y-91373000D01*
-X108723000Y-91373000D01*
-X108723000Y-91353000D01*
-X108977000Y-91353000D01*
-X108977000Y-91373000D01*
-X108997000Y-91373000D01*
-X108997000Y-91627000D01*
-X108977000Y-91627000D01*
-X108977000Y-91647000D01*
-X108723000Y-91647000D01*
-X108723000Y-91627000D01*
-X107967250Y-91627000D01*
-X107896237Y-91698013D01*
-X107864981Y-91639537D01*
-X107817869Y-91582131D01*
-X107760463Y-91535019D01*
-X107694970Y-91500012D01*
-X107623905Y-91478455D01*
-X107550000Y-91471176D01*
-X106650000Y-91471176D01*
-X106576095Y-91478455D01*
-X106505030Y-91500012D01*
-X106439537Y-91535019D01*
-X106382131Y-91582131D01*
-X106335019Y-91639537D01*
-X106300012Y-91705030D01*
-X106278455Y-91776095D01*
-X106271176Y-91850000D01*
-X106271176Y-92650000D01*
-X106278455Y-92723905D01*
-X106300012Y-92794970D01*
-X106335019Y-92860463D01*
-X106382131Y-92917869D01*
-X106439537Y-92964981D01*
-X106505030Y-92999988D01*
-X106576095Y-93021545D01*
-X106650000Y-93028824D01*
-X107291570Y-93028824D01*
-X106447394Y-93873000D01*
-X105746230Y-93873000D01*
-X105714981Y-93814537D01*
-X105667869Y-93757131D01*
-X105610463Y-93710019D01*
-X105544970Y-93675012D01*
-X105473905Y-93653455D01*
-X105400000Y-93646176D01*
-X105096250Y-93648000D01*
-X105002000Y-93742250D01*
-X105002000Y-93873000D01*
-X104748000Y-93873000D01*
-X104748000Y-93742250D01*
-X104670343Y-93664593D01*
-X105437543Y-92897393D01*
-X105456684Y-92881684D01*
-X105472392Y-92862544D01*
-X105472400Y-92862536D01*
-X105519417Y-92805246D01*
-X105551636Y-92744967D01*
-X105566031Y-92718036D01*
-X105594736Y-92623409D01*
-X105602000Y-92549653D01*
-X105602000Y-92549643D01*
-X105604427Y-92525000D01*
-X105602000Y-92500357D01*
-X105602000Y-92073702D01*
-X105623905Y-92071545D01*
-X105694970Y-92049988D01*
-X105760463Y-92014981D01*
-X105817869Y-91967869D01*
-X105864981Y-91910463D01*
-X105899988Y-91844970D01*
-X105921545Y-91773905D01*
-X105928824Y-91700000D01*
-X105928824Y-90900000D01*
-X105921545Y-90826095D01*
-X105899988Y-90755030D01*
-X105897300Y-90750000D01*
-X106271176Y-90750000D01*
-X106278455Y-90823905D01*
-X106300012Y-90894970D01*
-X106335019Y-90960463D01*
-X106382131Y-91017869D01*
-X106439537Y-91064981D01*
-X106505030Y-91099988D01*
-X106576095Y-91121545D01*
-X106650000Y-91128824D01*
-X106878750Y-91127000D01*
-X106973000Y-91032750D01*
-X106973000Y-90477000D01*
-X107227000Y-90477000D01*
-X107227000Y-91032750D01*
-X107321250Y-91127000D01*
-X107550000Y-91128824D01*
-X107623905Y-91121545D01*
-X107694970Y-91099988D01*
-X107760463Y-91064981D01*
-X107817869Y-91017869D01*
-X107864981Y-90960463D01*
-X107899988Y-90894970D01*
-X107921545Y-90823905D01*
-X107928824Y-90750000D01*
-X107927000Y-90571250D01*
-X107832750Y-90477000D01*
-X107227000Y-90477000D01*
-X106973000Y-90477000D01*
-X106367250Y-90477000D01*
-X106273000Y-90571250D01*
-X106271176Y-90750000D01*
-X105897300Y-90750000D01*
-X105864981Y-90689537D01*
-X105817869Y-90632131D01*
-X105760463Y-90585019D01*
-X105694970Y-90550012D01*
-X105623905Y-90528455D01*
-X105550000Y-90521176D01*
-X105160825Y-90521176D01*
-X105182509Y-90494755D01*
-X105194417Y-90480245D01*
-X105241031Y-90393036D01*
-X105269736Y-90298409D01*
-X105277000Y-90224653D01*
-X105277000Y-90224643D01*
-X105279427Y-90200000D01*
-X105277000Y-90175357D01*
-X105277000Y-89113388D01*
-X105298357Y-89106909D01*
-X105404911Y-89049955D01*
-X105498307Y-88973307D01*
-X105574955Y-88879911D01*
-X105631909Y-88773357D01*
-X105666982Y-88657739D01*
-X105673826Y-88588250D01*
-X106099397Y-89013822D01*
-X106099397Y-89013822D01*
-G37*
-X106099397Y-89013822D02*
-X106090206Y-89036010D01*
-X106060347Y-89186125D01*
-X106060347Y-89339181D01*
-X106090206Y-89489296D01*
-X106148778Y-89630701D01*
-X106233811Y-89757962D01*
-X106295559Y-89819710D01*
-X106278455Y-89876095D01*
-X106271176Y-89950000D01*
-X106273000Y-90128750D01*
-X106367250Y-90223000D01*
-X106973000Y-90223000D01*
-X106973000Y-90203000D01*
-X107227000Y-90203000D01*
-X107227000Y-90223000D01*
-X107832750Y-90223000D01*
-X107927000Y-90128750D01*
-X107927927Y-90037862D01*
-X108123000Y-90232935D01*
-X108123000Y-90376528D01*
-X108152859Y-90526643D01*
-X108211431Y-90668048D01*
-X108263678Y-90746242D01*
-X108250000Y-90746176D01*
-X108176095Y-90753455D01*
-X108105030Y-90775012D01*
-X108039537Y-90810019D01*
-X107982131Y-90857131D01*
-X107935019Y-90914537D01*
-X107900012Y-90980030D01*
-X107878455Y-91051095D01*
-X107871176Y-91125000D01*
-X107873000Y-91278750D01*
-X107967250Y-91373000D01*
-X108723000Y-91373000D01*
-X108723000Y-91353000D01*
-X108977000Y-91353000D01*
-X108977000Y-91373000D01*
-X108997000Y-91373000D01*
-X108997000Y-91627000D01*
-X108977000Y-91627000D01*
-X108977000Y-91647000D01*
-X108723000Y-91647000D01*
-X108723000Y-91627000D01*
-X107967250Y-91627000D01*
-X107896237Y-91698013D01*
-X107864981Y-91639537D01*
-X107817869Y-91582131D01*
-X107760463Y-91535019D01*
-X107694970Y-91500012D01*
-X107623905Y-91478455D01*
-X107550000Y-91471176D01*
-X106650000Y-91471176D01*
-X106576095Y-91478455D01*
-X106505030Y-91500012D01*
-X106439537Y-91535019D01*
-X106382131Y-91582131D01*
-X106335019Y-91639537D01*
-X106300012Y-91705030D01*
-X106278455Y-91776095D01*
-X106271176Y-91850000D01*
-X106271176Y-92650000D01*
-X106278455Y-92723905D01*
-X106300012Y-92794970D01*
-X106335019Y-92860463D01*
-X106382131Y-92917869D01*
-X106439537Y-92964981D01*
-X106505030Y-92999988D01*
-X106576095Y-93021545D01*
-X106650000Y-93028824D01*
-X107291570Y-93028824D01*
-X106447394Y-93873000D01*
-X105746230Y-93873000D01*
-X105714981Y-93814537D01*
-X105667869Y-93757131D01*
-X105610463Y-93710019D01*
-X105544970Y-93675012D01*
-X105473905Y-93653455D01*
-X105400000Y-93646176D01*
-X105096250Y-93648000D01*
-X105002000Y-93742250D01*
-X105002000Y-93873000D01*
-X104748000Y-93873000D01*
-X104748000Y-93742250D01*
-X104670343Y-93664593D01*
-X105437543Y-92897393D01*
-X105456684Y-92881684D01*
-X105472392Y-92862544D01*
-X105472400Y-92862536D01*
-X105519417Y-92805246D01*
-X105551636Y-92744967D01*
-X105566031Y-92718036D01*
-X105594736Y-92623409D01*
-X105602000Y-92549653D01*
-X105602000Y-92549643D01*
-X105604427Y-92525000D01*
-X105602000Y-92500357D01*
-X105602000Y-92073702D01*
-X105623905Y-92071545D01*
-X105694970Y-92049988D01*
-X105760463Y-92014981D01*
-X105817869Y-91967869D01*
-X105864981Y-91910463D01*
-X105899988Y-91844970D01*
-X105921545Y-91773905D01*
-X105928824Y-91700000D01*
-X105928824Y-90900000D01*
-X105921545Y-90826095D01*
-X105899988Y-90755030D01*
-X105897300Y-90750000D01*
-X106271176Y-90750000D01*
-X106278455Y-90823905D01*
-X106300012Y-90894970D01*
-X106335019Y-90960463D01*
-X106382131Y-91017869D01*
-X106439537Y-91064981D01*
-X106505030Y-91099988D01*
-X106576095Y-91121545D01*
-X106650000Y-91128824D01*
-X106878750Y-91127000D01*
-X106973000Y-91032750D01*
-X106973000Y-90477000D01*
-X107227000Y-90477000D01*
-X107227000Y-91032750D01*
-X107321250Y-91127000D01*
-X107550000Y-91128824D01*
-X107623905Y-91121545D01*
-X107694970Y-91099988D01*
-X107760463Y-91064981D01*
-X107817869Y-91017869D01*
-X107864981Y-90960463D01*
-X107899988Y-90894970D01*
-X107921545Y-90823905D01*
-X107928824Y-90750000D01*
-X107927000Y-90571250D01*
-X107832750Y-90477000D01*
-X107227000Y-90477000D01*
-X106973000Y-90477000D01*
-X106367250Y-90477000D01*
-X106273000Y-90571250D01*
-X106271176Y-90750000D01*
-X105897300Y-90750000D01*
-X105864981Y-90689537D01*
-X105817869Y-90632131D01*
-X105760463Y-90585019D01*
-X105694970Y-90550012D01*
-X105623905Y-90528455D01*
-X105550000Y-90521176D01*
-X105160825Y-90521176D01*
-X105182509Y-90494755D01*
-X105194417Y-90480245D01*
-X105241031Y-90393036D01*
-X105269736Y-90298409D01*
-X105277000Y-90224653D01*
-X105277000Y-90224643D01*
-X105279427Y-90200000D01*
-X105277000Y-90175357D01*
-X105277000Y-89113388D01*
-X105298357Y-89106909D01*
-X105404911Y-89049955D01*
-X105498307Y-88973307D01*
-X105574955Y-88879911D01*
-X105631909Y-88773357D01*
-X105666982Y-88657739D01*
-X105673826Y-88588250D01*
-X106099397Y-89013822D01*
-G36*
-X124246176Y-78300000D02*
-G01*
-X124253455Y-78373905D01*
-X124261371Y-78400000D01*
-X124253455Y-78426095D01*
-X124246176Y-78500000D01*
-X124248000Y-78532750D01*
-X124342250Y-78627000D01*
-X124437023Y-78627000D01*
-X124480030Y-78649988D01*
-X124551095Y-78671545D01*
-X124625000Y-78678824D01*
-X126075000Y-78678824D01*
-X126148905Y-78671545D01*
-X126219970Y-78649988D01*
-X126262977Y-78627000D01*
-X126357750Y-78627000D01*
-X126407750Y-78577000D01*
-X127100357Y-78577000D01*
-X127125000Y-78579427D01*
-X127149643Y-78577000D01*
-X127149653Y-78577000D01*
-X127223409Y-78569736D01*
-X127318036Y-78541031D01*
-X127405245Y-78494417D01*
-X127481684Y-78431684D01*
-X127497401Y-78412533D01*
-X127861037Y-78048898D01*
-X127875012Y-78094970D01*
-X127910019Y-78160463D01*
-X127957131Y-78217869D01*
-X128014537Y-78264981D01*
-X128080030Y-78299988D01*
-X128080070Y-78300000D01*
-X128080030Y-78300012D01*
-X128014537Y-78335019D01*
-X127957131Y-78382131D01*
-X127910019Y-78439537D01*
-X127875012Y-78505030D01*
-X127853455Y-78576095D01*
-X127846176Y-78650000D01*
-X127848000Y-79028750D01*
-X127942248Y-79122998D01*
-X127848000Y-79122998D01*
-X127848000Y-79249155D01*
-X127745309Y-79146464D01*
-X127618048Y-79061431D01*
-X127476643Y-79002859D01*
-X127326528Y-78973000D01*
-X127173472Y-78973000D01*
-X127023357Y-79002859D01*
-X126881952Y-79061431D01*
-X126754691Y-79146464D01*
-X126646464Y-79254691D01*
-X126561431Y-79381952D01*
-X126502859Y-79523357D01*
-X126497042Y-79552601D01*
-X126453824Y-79539490D01*
-X126453824Y-79150000D01*
-X126446545Y-79076095D01*
-X126438629Y-79050000D01*
-X126446545Y-79023905D01*
-X126453824Y-78950000D01*
-X126452000Y-78917250D01*
-X126357750Y-78823000D01*
-X126262977Y-78823000D01*
-X126219970Y-78800012D01*
-X126148905Y-78778455D01*
-X126075000Y-78771176D01*
-X124625000Y-78771176D01*
-X124551095Y-78778455D01*
-X124480030Y-78800012D01*
-X124437023Y-78823000D01*
-X124342250Y-78823000D01*
-X124285903Y-78879347D01*
-X124276591Y-78880264D01*
-X124181964Y-78908969D01*
-X124094755Y-78955583D01*
-X124094753Y-78955584D01*
-X124094754Y-78955584D01*
-X124037464Y-79002600D01*
-X124037456Y-79002608D01*
-X124018316Y-79018316D01*
-X124002607Y-79037457D01*
-X123162463Y-79877603D01*
-X123143317Y-79893316D01*
-X123127604Y-79912462D01*
-X123127601Y-79912465D01*
-X123080584Y-79969755D01*
-X123033970Y-80056964D01*
-X123005265Y-80151591D01*
-X122995573Y-80250000D01*
-X122998001Y-80274653D01*
-X122998000Y-83392064D01*
-X119712452Y-86677613D01*
-X119693306Y-86693326D01*
-X119677593Y-86712472D01*
-X119677590Y-86712475D01*
-X119630573Y-86769765D01*
-X119583959Y-86856974D01*
-X119555254Y-86951601D01*
-X119545562Y-87050010D01*
-X119547990Y-87074663D01*
-X119547990Y-87524286D01*
-X119528734Y-87516310D01*
-X119311000Y-87473000D01*
-X119089000Y-87473000D01*
-X118871266Y-87516310D01*
-X118666165Y-87601266D01*
-X118481579Y-87724602D01*
-X118324602Y-87881579D01*
-X118201266Y-88066165D01*
-X118116310Y-88271266D01*
-X118073000Y-88489000D01*
-X118073000Y-88711000D01*
-X118116310Y-88928734D01*
-X118201266Y-89133835D01*
-X118324602Y-89318421D01*
-X118481579Y-89475398D01*
-X118666165Y-89598734D01*
-X118772999Y-89642986D01*
-X118772998Y-91123359D01*
-X118770571Y-91148002D01*
-X118772998Y-91172645D01*
-X118772998Y-91172654D01*
-X118780262Y-91246410D01*
-X118808967Y-91341037D01*
-X118855581Y-91428247D01*
-X118918314Y-91504686D01*
-X118937465Y-91520403D01*
-X119859599Y-92442538D01*
-X119875312Y-92461684D01*
-X119894458Y-92477397D01*
-X119894461Y-92477400D01*
-X119951750Y-92524417D01*
-X120038959Y-92571031D01*
-X120133586Y-92599736D01*
-X120142428Y-92600607D01*
-X120207343Y-92607000D01*
-X120207350Y-92607000D01*
-X120231996Y-92609427D01*
-X120256641Y-92607000D01*
-X120805495Y-92607000D01*
-X120810019Y-92615463D01*
-X120857131Y-92672869D01*
-X120914537Y-92719981D01*
-X120980030Y-92754988D01*
-X121051095Y-92776545D01*
-X121125000Y-92783824D01*
-X122675000Y-92783824D01*
-X122748905Y-92776545D01*
-X122789926Y-92764101D01*
-X122867026Y-92841201D01*
-X122994287Y-92926234D01*
-X123135692Y-92984806D01*
-X123285807Y-93014665D01*
-X123438863Y-93014665D01*
-X123588978Y-92984806D01*
-X123730383Y-92926234D01*
-X123857644Y-92841201D01*
-X123874995Y-92823850D01*
-X123954681Y-92903536D01*
-X124081942Y-92988569D01*
-X124223347Y-93047141D01*
-X124373462Y-93077000D01*
-X124526518Y-93077000D01*
-X124676633Y-93047141D01*
-X124723000Y-93027935D01*
-X124723000Y-93101538D01*
-X124752859Y-93251653D01*
-X124811431Y-93393058D01*
-X124896464Y-93520319D01*
-X125004691Y-93628546D01*
-X125131952Y-93713579D01*
-X125273357Y-93772151D01*
-X125423472Y-93802010D01*
-X125576528Y-93802010D01*
-X125726643Y-93772151D01*
-X125868048Y-93713579D01*
-X125995309Y-93628546D01*
-X126103536Y-93520319D01*
-X126188569Y-93393058D01*
-X126247141Y-93251653D01*
-X126277000Y-93101538D01*
-X126277000Y-92948482D01*
-X126247141Y-92798367D01*
-X126188569Y-92656962D01*
-X126127000Y-92564817D01*
-X126127000Y-91619711D01*
-X126284712Y-91462000D01*
-X126337023Y-91462000D01*
-X126351990Y-91470000D01*
-X126314537Y-91490019D01*
-X126257131Y-91537131D01*
-X126210019Y-91594537D01*
-X126175012Y-91660030D01*
-X126153455Y-91731095D01*
-X126146176Y-91805000D01*
-X126146176Y-92405000D01*
-X126153455Y-92478905D01*
-X126175012Y-92549970D01*
-X126210019Y-92615463D01*
-X126257131Y-92672869D01*
-X126314537Y-92719981D01*
-X126380030Y-92754988D01*
-X126451095Y-92776545D01*
-X126525000Y-92783824D01*
-X128075000Y-92783824D01*
-X128148905Y-92776545D01*
-X128219970Y-92754988D01*
-X128285463Y-92719981D01*
-X128342869Y-92672869D01*
-X128389981Y-92615463D01*
-X128394505Y-92607000D01*
-X129195185Y-92607000D01*
-X129189537Y-92610019D01*
-X129132131Y-92657131D01*
-X129085019Y-92714537D01*
-X129050012Y-92780030D01*
-X129028455Y-92851095D01*
-X129021176Y-92925000D01*
-X129023000Y-93078750D01*
-X129117250Y-93173000D01*
-X129873000Y-93173000D01*
-X129873000Y-92642250D01*
-X129778750Y-92548000D01*
-X129657219Y-92547415D01*
-X129700245Y-92524417D01*
-X129776684Y-92461684D01*
-X129792401Y-92442533D01*
-X129881110Y-92353824D01*
-X130312500Y-92353824D01*
-X130432739Y-92341982D01*
-X130548357Y-92306909D01*
-X130654911Y-92249955D01*
-X130748307Y-92173307D01*
-X130824955Y-92079911D01*
-X130881909Y-91973357D01*
-X130900000Y-91913720D01*
-X130918091Y-91973357D01*
-X130975045Y-92079911D01*
-X131051693Y-92173307D01*
-X131145089Y-92249955D01*
-X131251643Y-92306909D01*
-X131367261Y-92341982D01*
-X131398001Y-92345009D01*
-X131398000Y-92546176D01*
-X131300000Y-92546176D01*
-X131226095Y-92553455D01*
-X131155030Y-92575012D01*
-X131089537Y-92610019D01*
-X131032131Y-92657131D01*
-X130985019Y-92714537D01*
-X130950012Y-92780030D01*
-X130950000Y-92780070D01*
-X130949988Y-92780030D01*
-X130914981Y-92714537D01*
-X130867869Y-92657131D01*
-X130810463Y-92610019D01*
-X130744970Y-92575012D01*
-X130673905Y-92553455D01*
-X130600000Y-92546176D01*
-X130221250Y-92548000D01*
-X130127000Y-92642250D01*
-X130127000Y-93173000D01*
-X130147000Y-93173000D01*
-X130147000Y-93427000D01*
-X130127000Y-93427000D01*
-X130127000Y-93447000D01*
-X129873000Y-93447000D01*
-X129873000Y-93427000D01*
-X129117250Y-93427000D01*
-X129023000Y-93521250D01*
-X129021176Y-93675000D01*
-X129028455Y-93748905D01*
-X129050012Y-93819970D01*
-X129078357Y-93873000D01*
-X118502000Y-93873000D01*
-X118502000Y-93679313D01*
-X118531909Y-93623357D01*
-X118566982Y-93507739D01*
-X118578824Y-93387500D01*
-X118578824Y-92912500D01*
-X118566982Y-92792261D01*
-X118531909Y-92676643D01*
-X118474955Y-92570089D01*
-X118398307Y-92476693D01*
-X118304911Y-92400045D01*
-X118198357Y-92343091D01*
-X118082739Y-92308018D01*
-X117962500Y-92296176D01*
-X117387500Y-92296176D01*
-X117277010Y-92307058D01*
-X117277010Y-92168525D01*
-X117426643Y-92138762D01*
-X117568048Y-92080190D01*
-X117695309Y-91995157D01*
-X117803536Y-91886930D01*
-X117888569Y-91759669D01*
-X117947141Y-91618264D01*
-X117977000Y-91468149D01*
-X117977000Y-91315093D01*
-X117947141Y-91164978D01*
-X117888569Y-91023573D01*
-X117803536Y-90896312D01*
-X117695309Y-90788085D01*
-X117568048Y-90703052D01*
-X117426643Y-90644480D01*
-X117276528Y-90614621D01*
-X117123472Y-90614621D01*
-X116973357Y-90644480D01*
-X116831952Y-90703052D01*
-X116704691Y-90788085D01*
-X116596464Y-90896312D01*
-X116511431Y-91023573D01*
-X116452859Y-91164978D01*
-X116423000Y-91315093D01*
-X116423000Y-91456091D01*
-X116418326Y-91459927D01*
-X116355593Y-91536366D01*
-X116308979Y-91623576D01*
-X116280274Y-91718203D01*
-X116273010Y-91791959D01*
-X116273010Y-91791968D01*
-X116270583Y-91816611D01*
-X116273010Y-91841254D01*
-X116273010Y-92302135D01*
-X116212500Y-92296176D01*
-X115748873Y-92296176D01*
-X115760463Y-92289981D01*
-X115817869Y-92242869D01*
-X115864981Y-92185463D01*
-X115899988Y-92119970D01*
-X115921545Y-92048905D01*
-X115928824Y-91975000D01*
-X115927000Y-91721250D01*
-X115832750Y-91627000D01*
-X115152000Y-91627000D01*
-X115152000Y-92257750D01*
-X115246250Y-92352000D01*
-X115383434Y-92352824D01*
-X115295089Y-92400045D01*
-X115201693Y-92476693D01*
-X115125045Y-92570089D01*
-X115100655Y-92615720D01*
-X114820342Y-92335408D01*
-X114898000Y-92257750D01*
-X114898000Y-91627000D01*
-X114878000Y-91627000D01*
-X114878000Y-91373000D01*
-X114898000Y-91373000D01*
-X114898000Y-90742250D01*
-X115152000Y-90742250D01*
-X115152000Y-91373000D01*
-X115832750Y-91373000D01*
-X115927000Y-91278750D01*
-X115928824Y-91025000D01*
-X115921545Y-90951095D01*
-X115899988Y-90880030D01*
-X115864981Y-90814537D01*
-X115817869Y-90757131D01*
-X115760463Y-90710019D01*
-X115694970Y-90675012D01*
-X115623905Y-90653455D01*
-X115550000Y-90646176D01*
-X115246250Y-90648000D01*
-X115152000Y-90742250D01*
-X114898000Y-90742250D01*
-X114803750Y-90648000D01*
-X114500000Y-90646176D01*
-X114426095Y-90653455D01*
-X114355030Y-90675012D01*
-X114289537Y-90710019D01*
-X114232131Y-90757131D01*
-X114185019Y-90814537D01*
-X114150012Y-90880030D01*
-X114128455Y-90951095D01*
-X114122709Y-91009432D01*
-X114074955Y-90920089D01*
-X113998307Y-90826693D01*
-X113904911Y-90750045D01*
-X113798357Y-90693091D01*
-X113682739Y-90658018D01*
-X113562500Y-90646176D01*
-X113131110Y-90646176D01*
-X112861900Y-90376966D01*
-X114273213Y-90376966D01*
-X114297856Y-90379393D01*
-X114322499Y-90376966D01*
-X114322509Y-90376966D01*
-X114396265Y-90369702D01*
-X114490892Y-90340997D01*
-X114578101Y-90294383D01*
-X114654540Y-90231650D01*
-X114670258Y-90212498D01*
-X121287550Y-83595207D01*
-X121306696Y-83579494D01*
-X121325868Y-83556134D01*
-X121363349Y-83510463D01*
-X121369429Y-83503055D01*
-X121416043Y-83415846D01*
-X121444748Y-83321219D01*
-X121452012Y-83247463D01*
-X121452012Y-83247454D01*
-X121454439Y-83222811D01*
-X121452012Y-83198168D01*
-X121452012Y-80057922D01*
-X123582935Y-77927000D01*
-X124246176Y-77927000D01*
-X124246176Y-78300000D01*
-X124246176Y-78300000D01*
-G37*
-X124246176Y-78300000D02*
-X124253455Y-78373905D01*
-X124261371Y-78400000D01*
-X124253455Y-78426095D01*
-X124246176Y-78500000D01*
-X124248000Y-78532750D01*
-X124342250Y-78627000D01*
-X124437023Y-78627000D01*
-X124480030Y-78649988D01*
-X124551095Y-78671545D01*
-X124625000Y-78678824D01*
-X126075000Y-78678824D01*
-X126148905Y-78671545D01*
-X126219970Y-78649988D01*
-X126262977Y-78627000D01*
-X126357750Y-78627000D01*
-X126407750Y-78577000D01*
-X127100357Y-78577000D01*
-X127125000Y-78579427D01*
-X127149643Y-78577000D01*
-X127149653Y-78577000D01*
-X127223409Y-78569736D01*
-X127318036Y-78541031D01*
-X127405245Y-78494417D01*
-X127481684Y-78431684D01*
-X127497401Y-78412533D01*
-X127861037Y-78048898D01*
-X127875012Y-78094970D01*
-X127910019Y-78160463D01*
-X127957131Y-78217869D01*
-X128014537Y-78264981D01*
-X128080030Y-78299988D01*
-X128080070Y-78300000D01*
-X128080030Y-78300012D01*
-X128014537Y-78335019D01*
-X127957131Y-78382131D01*
-X127910019Y-78439537D01*
-X127875012Y-78505030D01*
-X127853455Y-78576095D01*
-X127846176Y-78650000D01*
-X127848000Y-79028750D01*
-X127942248Y-79122998D01*
-X127848000Y-79122998D01*
-X127848000Y-79249155D01*
-X127745309Y-79146464D01*
-X127618048Y-79061431D01*
-X127476643Y-79002859D01*
-X127326528Y-78973000D01*
-X127173472Y-78973000D01*
-X127023357Y-79002859D01*
-X126881952Y-79061431D01*
-X126754691Y-79146464D01*
-X126646464Y-79254691D01*
-X126561431Y-79381952D01*
-X126502859Y-79523357D01*
-X126497042Y-79552601D01*
-X126453824Y-79539490D01*
-X126453824Y-79150000D01*
-X126446545Y-79076095D01*
-X126438629Y-79050000D01*
-X126446545Y-79023905D01*
-X126453824Y-78950000D01*
-X126452000Y-78917250D01*
-X126357750Y-78823000D01*
-X126262977Y-78823000D01*
-X126219970Y-78800012D01*
-X126148905Y-78778455D01*
-X126075000Y-78771176D01*
-X124625000Y-78771176D01*
-X124551095Y-78778455D01*
-X124480030Y-78800012D01*
-X124437023Y-78823000D01*
-X124342250Y-78823000D01*
-X124285903Y-78879347D01*
-X124276591Y-78880264D01*
-X124181964Y-78908969D01*
-X124094755Y-78955583D01*
-X124094753Y-78955584D01*
-X124094754Y-78955584D01*
-X124037464Y-79002600D01*
-X124037456Y-79002608D01*
-X124018316Y-79018316D01*
-X124002607Y-79037457D01*
-X123162463Y-79877603D01*
-X123143317Y-79893316D01*
-X123127604Y-79912462D01*
-X123127601Y-79912465D01*
-X123080584Y-79969755D01*
-X123033970Y-80056964D01*
-X123005265Y-80151591D01*
-X122995573Y-80250000D01*
-X122998001Y-80274653D01*
-X122998000Y-83392064D01*
-X119712452Y-86677613D01*
-X119693306Y-86693326D01*
-X119677593Y-86712472D01*
-X119677590Y-86712475D01*
-X119630573Y-86769765D01*
-X119583959Y-86856974D01*
-X119555254Y-86951601D01*
-X119545562Y-87050010D01*
-X119547990Y-87074663D01*
-X119547990Y-87524286D01*
-X119528734Y-87516310D01*
-X119311000Y-87473000D01*
-X119089000Y-87473000D01*
-X118871266Y-87516310D01*
-X118666165Y-87601266D01*
-X118481579Y-87724602D01*
-X118324602Y-87881579D01*
-X118201266Y-88066165D01*
-X118116310Y-88271266D01*
-X118073000Y-88489000D01*
-X118073000Y-88711000D01*
-X118116310Y-88928734D01*
-X118201266Y-89133835D01*
-X118324602Y-89318421D01*
-X118481579Y-89475398D01*
-X118666165Y-89598734D01*
-X118772999Y-89642986D01*
-X118772998Y-91123359D01*
-X118770571Y-91148002D01*
-X118772998Y-91172645D01*
-X118772998Y-91172654D01*
-X118780262Y-91246410D01*
-X118808967Y-91341037D01*
-X118855581Y-91428247D01*
-X118918314Y-91504686D01*
-X118937465Y-91520403D01*
-X119859599Y-92442538D01*
-X119875312Y-92461684D01*
-X119894458Y-92477397D01*
-X119894461Y-92477400D01*
-X119951750Y-92524417D01*
-X120038959Y-92571031D01*
-X120133586Y-92599736D01*
-X120142428Y-92600607D01*
-X120207343Y-92607000D01*
-X120207350Y-92607000D01*
-X120231996Y-92609427D01*
-X120256641Y-92607000D01*
-X120805495Y-92607000D01*
-X120810019Y-92615463D01*
-X120857131Y-92672869D01*
-X120914537Y-92719981D01*
-X120980030Y-92754988D01*
-X121051095Y-92776545D01*
-X121125000Y-92783824D01*
-X122675000Y-92783824D01*
-X122748905Y-92776545D01*
-X122789926Y-92764101D01*
-X122867026Y-92841201D01*
-X122994287Y-92926234D01*
-X123135692Y-92984806D01*
-X123285807Y-93014665D01*
-X123438863Y-93014665D01*
-X123588978Y-92984806D01*
-X123730383Y-92926234D01*
-X123857644Y-92841201D01*
-X123874995Y-92823850D01*
-X123954681Y-92903536D01*
-X124081942Y-92988569D01*
-X124223347Y-93047141D01*
-X124373462Y-93077000D01*
-X124526518Y-93077000D01*
-X124676633Y-93047141D01*
-X124723000Y-93027935D01*
-X124723000Y-93101538D01*
-X124752859Y-93251653D01*
-X124811431Y-93393058D01*
-X124896464Y-93520319D01*
-X125004691Y-93628546D01*
-X125131952Y-93713579D01*
-X125273357Y-93772151D01*
-X125423472Y-93802010D01*
-X125576528Y-93802010D01*
-X125726643Y-93772151D01*
-X125868048Y-93713579D01*
-X125995309Y-93628546D01*
-X126103536Y-93520319D01*
-X126188569Y-93393058D01*
-X126247141Y-93251653D01*
-X126277000Y-93101538D01*
-X126277000Y-92948482D01*
-X126247141Y-92798367D01*
-X126188569Y-92656962D01*
-X126127000Y-92564817D01*
-X126127000Y-91619711D01*
-X126284712Y-91462000D01*
-X126337023Y-91462000D01*
-X126351990Y-91470000D01*
-X126314537Y-91490019D01*
-X126257131Y-91537131D01*
-X126210019Y-91594537D01*
-X126175012Y-91660030D01*
-X126153455Y-91731095D01*
-X126146176Y-91805000D01*
-X126146176Y-92405000D01*
-X126153455Y-92478905D01*
-X126175012Y-92549970D01*
-X126210019Y-92615463D01*
-X126257131Y-92672869D01*
-X126314537Y-92719981D01*
-X126380030Y-92754988D01*
-X126451095Y-92776545D01*
-X126525000Y-92783824D01*
-X128075000Y-92783824D01*
-X128148905Y-92776545D01*
-X128219970Y-92754988D01*
-X128285463Y-92719981D01*
-X128342869Y-92672869D01*
-X128389981Y-92615463D01*
-X128394505Y-92607000D01*
-X129195185Y-92607000D01*
-X129189537Y-92610019D01*
-X129132131Y-92657131D01*
-X129085019Y-92714537D01*
-X129050012Y-92780030D01*
-X129028455Y-92851095D01*
-X129021176Y-92925000D01*
-X129023000Y-93078750D01*
-X129117250Y-93173000D01*
-X129873000Y-93173000D01*
-X129873000Y-92642250D01*
-X129778750Y-92548000D01*
-X129657219Y-92547415D01*
-X129700245Y-92524417D01*
-X129776684Y-92461684D01*
-X129792401Y-92442533D01*
-X129881110Y-92353824D01*
-X130312500Y-92353824D01*
-X130432739Y-92341982D01*
-X130548357Y-92306909D01*
-X130654911Y-92249955D01*
-X130748307Y-92173307D01*
-X130824955Y-92079911D01*
-X130881909Y-91973357D01*
-X130900000Y-91913720D01*
-X130918091Y-91973357D01*
-X130975045Y-92079911D01*
-X131051693Y-92173307D01*
-X131145089Y-92249955D01*
-X131251643Y-92306909D01*
-X131367261Y-92341982D01*
-X131398001Y-92345009D01*
-X131398000Y-92546176D01*
-X131300000Y-92546176D01*
-X131226095Y-92553455D01*
-X131155030Y-92575012D01*
-X131089537Y-92610019D01*
-X131032131Y-92657131D01*
-X130985019Y-92714537D01*
-X130950012Y-92780030D01*
-X130950000Y-92780070D01*
-X130949988Y-92780030D01*
-X130914981Y-92714537D01*
-X130867869Y-92657131D01*
-X130810463Y-92610019D01*
-X130744970Y-92575012D01*
-X130673905Y-92553455D01*
-X130600000Y-92546176D01*
-X130221250Y-92548000D01*
-X130127000Y-92642250D01*
-X130127000Y-93173000D01*
-X130147000Y-93173000D01*
-X130147000Y-93427000D01*
-X130127000Y-93427000D01*
-X130127000Y-93447000D01*
-X129873000Y-93447000D01*
-X129873000Y-93427000D01*
-X129117250Y-93427000D01*
-X129023000Y-93521250D01*
-X129021176Y-93675000D01*
-X129028455Y-93748905D01*
-X129050012Y-93819970D01*
-X129078357Y-93873000D01*
-X118502000Y-93873000D01*
-X118502000Y-93679313D01*
-X118531909Y-93623357D01*
-X118566982Y-93507739D01*
-X118578824Y-93387500D01*
-X118578824Y-92912500D01*
-X118566982Y-92792261D01*
-X118531909Y-92676643D01*
-X118474955Y-92570089D01*
-X118398307Y-92476693D01*
-X118304911Y-92400045D01*
-X118198357Y-92343091D01*
-X118082739Y-92308018D01*
-X117962500Y-92296176D01*
-X117387500Y-92296176D01*
-X117277010Y-92307058D01*
-X117277010Y-92168525D01*
-X117426643Y-92138762D01*
-X117568048Y-92080190D01*
-X117695309Y-91995157D01*
-X117803536Y-91886930D01*
-X117888569Y-91759669D01*
-X117947141Y-91618264D01*
-X117977000Y-91468149D01*
-X117977000Y-91315093D01*
-X117947141Y-91164978D01*
-X117888569Y-91023573D01*
-X117803536Y-90896312D01*
-X117695309Y-90788085D01*
-X117568048Y-90703052D01*
-X117426643Y-90644480D01*
-X117276528Y-90614621D01*
-X117123472Y-90614621D01*
-X116973357Y-90644480D01*
-X116831952Y-90703052D01*
-X116704691Y-90788085D01*
-X116596464Y-90896312D01*
-X116511431Y-91023573D01*
-X116452859Y-91164978D01*
-X116423000Y-91315093D01*
-X116423000Y-91456091D01*
-X116418326Y-91459927D01*
-X116355593Y-91536366D01*
-X116308979Y-91623576D01*
-X116280274Y-91718203D01*
-X116273010Y-91791959D01*
-X116273010Y-91791968D01*
-X116270583Y-91816611D01*
-X116273010Y-91841254D01*
-X116273010Y-92302135D01*
-X116212500Y-92296176D01*
-X115748873Y-92296176D01*
-X115760463Y-92289981D01*
-X115817869Y-92242869D01*
-X115864981Y-92185463D01*
-X115899988Y-92119970D01*
-X115921545Y-92048905D01*
-X115928824Y-91975000D01*
-X115927000Y-91721250D01*
-X115832750Y-91627000D01*
-X115152000Y-91627000D01*
-X115152000Y-92257750D01*
-X115246250Y-92352000D01*
-X115383434Y-92352824D01*
-X115295089Y-92400045D01*
-X115201693Y-92476693D01*
-X115125045Y-92570089D01*
-X115100655Y-92615720D01*
-X114820342Y-92335408D01*
-X114898000Y-92257750D01*
-X114898000Y-91627000D01*
-X114878000Y-91627000D01*
-X114878000Y-91373000D01*
-X114898000Y-91373000D01*
-X114898000Y-90742250D01*
-X115152000Y-90742250D01*
-X115152000Y-91373000D01*
-X115832750Y-91373000D01*
-X115927000Y-91278750D01*
-X115928824Y-91025000D01*
-X115921545Y-90951095D01*
-X115899988Y-90880030D01*
-X115864981Y-90814537D01*
-X115817869Y-90757131D01*
-X115760463Y-90710019D01*
-X115694970Y-90675012D01*
-X115623905Y-90653455D01*
-X115550000Y-90646176D01*
-X115246250Y-90648000D01*
-X115152000Y-90742250D01*
-X114898000Y-90742250D01*
-X114803750Y-90648000D01*
-X114500000Y-90646176D01*
-X114426095Y-90653455D01*
-X114355030Y-90675012D01*
-X114289537Y-90710019D01*
-X114232131Y-90757131D01*
-X114185019Y-90814537D01*
-X114150012Y-90880030D01*
-X114128455Y-90951095D01*
-X114122709Y-91009432D01*
-X114074955Y-90920089D01*
-X113998307Y-90826693D01*
-X113904911Y-90750045D01*
-X113798357Y-90693091D01*
-X113682739Y-90658018D01*
-X113562500Y-90646176D01*
-X113131110Y-90646176D01*
-X112861900Y-90376966D01*
-X114273213Y-90376966D01*
-X114297856Y-90379393D01*
-X114322499Y-90376966D01*
-X114322509Y-90376966D01*
-X114396265Y-90369702D01*
-X114490892Y-90340997D01*
-X114578101Y-90294383D01*
-X114654540Y-90231650D01*
-X114670258Y-90212498D01*
-X121287550Y-83595207D01*
-X121306696Y-83579494D01*
-X121325868Y-83556134D01*
-X121363349Y-83510463D01*
-X121369429Y-83503055D01*
-X121416043Y-83415846D01*
-X121444748Y-83321219D01*
-X121452012Y-83247463D01*
-X121452012Y-83247454D01*
-X121454439Y-83222811D01*
-X121452012Y-83198168D01*
-X121452012Y-80057922D01*
-X123582935Y-77927000D01*
-X124246176Y-77927000D01*
-X124246176Y-78300000D01*
-G36*
-X124498774Y-82980711D02*
-G01*
-X124583807Y-83107972D01*
-X124692034Y-83216199D01*
-X124819295Y-83301232D01*
-X124960700Y-83359804D01*
-X125110815Y-83389663D01*
-X125254409Y-83389663D01*
-X126756851Y-84892107D01*
-X126772560Y-84911248D01*
-X126791700Y-84926956D01*
-X126791708Y-84926964D01*
-X126848998Y-84973981D01*
-X126889359Y-84995554D01*
-X126936208Y-85020595D01*
-X127030835Y-85049300D01*
-X127104591Y-85056564D01*
-X127104601Y-85056564D01*
-X127129244Y-85058991D01*
-X127153887Y-85056564D01*
-X154146630Y-85056564D01*
-X154525664Y-85435598D01*
-X154371266Y-85466310D01*
-X154166165Y-85551266D01*
-X153981579Y-85674602D01*
-X153824602Y-85831579D01*
-X153701266Y-86016165D01*
-X153616310Y-86221266D01*
-X153573000Y-86439000D01*
-X153573000Y-86661000D01*
-X153616310Y-86878734D01*
-X153701266Y-87083835D01*
-X153824602Y-87268421D01*
-X153981579Y-87425398D01*
-X154166165Y-87548734D01*
-X154371266Y-87633690D01*
-X154589000Y-87677000D01*
-X154811000Y-87677000D01*
-X155028734Y-87633690D01*
-X155233835Y-87548734D01*
-X155418421Y-87425398D01*
-X155575398Y-87268421D01*
-X155698734Y-87083835D01*
-X155783690Y-86878734D01*
-X155814402Y-86724336D01*
-X156948064Y-87857999D01*
-X155764644Y-87857999D01*
-X155740001Y-87855572D01*
-X155715358Y-87857999D01*
-X155715348Y-87857999D01*
-X155641592Y-87865263D01*
-X155546965Y-87893968D01*
-X155459756Y-87940582D01*
-X155459754Y-87940583D01*
-X155459755Y-87940583D01*
-X155402465Y-87987599D01*
-X155402457Y-87987607D01*
-X155383317Y-88003315D01*
-X155367608Y-88022456D01*
-X154886977Y-88503088D01*
-X154835313Y-88498000D01*
-X154835303Y-88498000D01*
-X154810660Y-88495573D01*
-X154786017Y-88498000D01*
-X154761921Y-88498000D01*
-X154748734Y-88466165D01*
-X154625398Y-88281579D01*
-X154468421Y-88124602D01*
-X154283835Y-88001266D01*
-X154078734Y-87916310D01*
-X153861000Y-87873000D01*
-X153639000Y-87873000D01*
-X153421266Y-87916310D01*
-X153216165Y-88001266D01*
-X153031579Y-88124602D01*
-X152874602Y-88281579D01*
-X152751266Y-88466165D01*
-X152666310Y-88671266D01*
-X152623000Y-88889000D01*
-X152623000Y-89111000D01*
-X152666310Y-89328734D01*
-X152751266Y-89533835D01*
-X152874602Y-89718421D01*
-X153031579Y-89875398D01*
-X153216165Y-89998734D01*
-X153421266Y-90083690D01*
-X153639000Y-90127000D01*
-X153861000Y-90127000D01*
-X154078734Y-90083690D01*
-X154283835Y-89998734D01*
-X154398001Y-89922451D01*
-X154398000Y-90567065D01*
-X154267065Y-90698000D01*
-X154123472Y-90698000D01*
-X153973357Y-90727859D01*
-X153831952Y-90786431D01*
-X153704691Y-90871464D01*
-X153596464Y-90979691D01*
-X153511431Y-91106952D01*
-X153452859Y-91248357D01*
-X153423000Y-91398472D01*
-X153423000Y-91551528D01*
-X153452859Y-91701643D01*
-X153511431Y-91843048D01*
-X153596464Y-91970309D01*
-X153704691Y-92078536D01*
-X153831952Y-92163569D01*
-X153973357Y-92222141D01*
-X154123472Y-92252000D01*
-X154276528Y-92252000D01*
-X154426643Y-92222141D01*
-X154568048Y-92163569D01*
-X154695309Y-92078536D01*
-X154803536Y-91970309D01*
-X154888569Y-91843048D01*
-X154947141Y-91701643D01*
-X154977000Y-91551528D01*
-X154977000Y-91407935D01*
-X155237538Y-91147397D01*
-X155247673Y-91139079D01*
-X155246176Y-91450000D01*
-X155253455Y-91523905D01*
-X155275012Y-91594970D01*
-X155310019Y-91660463D01*
-X155357131Y-91717869D01*
-X155414537Y-91764981D01*
-X155480030Y-91799988D01*
-X155480070Y-91800000D01*
-X155480030Y-91800012D01*
-X155414537Y-91835019D01*
-X155357131Y-91882131D01*
-X155310019Y-91939537D01*
-X155275012Y-92005030D01*
-X155253455Y-92076095D01*
-X155246176Y-92150000D01*
-X155246176Y-92873000D01*
-X150500000Y-92873000D01*
-X150475224Y-92875440D01*
-X150451399Y-92882667D01*
-X150429443Y-92894403D01*
-X150410197Y-92910197D01*
-X149447394Y-93873000D01*
-X148701999Y-93873000D01*
-X148701999Y-91049644D01*
-X148704426Y-91024999D01*
-X148701999Y-91000353D01*
-X148701999Y-91000346D01*
-X148694735Y-90926590D01*
-X148694735Y-90926589D01*
-X148666030Y-90831962D01*
-X148619416Y-90744753D01*
-X148572399Y-90687464D01*
-X148572396Y-90687461D01*
-X148556683Y-90668315D01*
-X148537537Y-90652602D01*
-X147778824Y-89893890D01*
-X147778824Y-89462500D01*
-X147766982Y-89342261D01*
-X147731909Y-89226643D01*
-X147674955Y-89120089D01*
-X147598307Y-89026693D01*
-X147504911Y-88950045D01*
-X147452000Y-88921764D01*
-X147452000Y-88653824D01*
-X147550000Y-88653824D01*
-X147623905Y-88646545D01*
-X147694970Y-88624988D01*
-X147760463Y-88589981D01*
-X147817869Y-88542869D01*
-X147864981Y-88485463D01*
-X147899988Y-88419970D01*
-X147921545Y-88348905D01*
-X147928824Y-88275000D01*
-X147928824Y-87525000D01*
-X147921545Y-87451095D01*
-X147899988Y-87380030D01*
-X147864981Y-87314537D01*
-X147817869Y-87257131D01*
-X147760463Y-87210019D01*
-X147694970Y-87175012D01*
-X147623905Y-87153455D01*
-X147550000Y-87146176D01*
-X146350000Y-87146176D01*
-X146276095Y-87153455D01*
-X146205030Y-87175012D01*
-X146139537Y-87210019D01*
-X146082131Y-87257131D01*
-X146035019Y-87314537D01*
-X146000012Y-87380030D01*
-X146000000Y-87380070D01*
-X145999988Y-87380030D01*
-X145964981Y-87314537D01*
-X145917869Y-87257131D01*
-X145860463Y-87210019D01*
-X145794970Y-87175012D01*
-X145723905Y-87153455D01*
-X145650000Y-87146176D01*
-X145271250Y-87148000D01*
-X145177000Y-87242250D01*
-X145177000Y-87773000D01*
-X145197000Y-87773000D01*
-X145197000Y-88027000D01*
-X145177000Y-88027000D01*
-X145177000Y-88557750D01*
-X145271250Y-88652000D01*
-X145650000Y-88653824D01*
-X145723905Y-88646545D01*
-X145794970Y-88624988D01*
-X145860463Y-88589981D01*
-X145917869Y-88542869D01*
-X145964981Y-88485463D01*
-X145999988Y-88419970D01*
-X146000000Y-88419930D01*
-X146000012Y-88419970D01*
-X146035019Y-88485463D01*
-X146082131Y-88542869D01*
-X146139537Y-88589981D01*
-X146205030Y-88624988D01*
-X146276095Y-88646545D01*
-X146350000Y-88653824D01*
-X146448000Y-88653824D01*
-X146448001Y-88863861D01*
-X146351643Y-88893091D01*
-X146245089Y-88950045D01*
-X146151693Y-89026693D01*
-X146075045Y-89120089D01*
-X146018091Y-89226643D01*
-X146000000Y-89286280D01*
-X145981909Y-89226643D01*
-X145924955Y-89120089D01*
-X145848307Y-89026693D01*
-X145754911Y-88950045D01*
-X145648357Y-88893091D01*
-X145532739Y-88858018D01*
-X145412500Y-88846176D01*
-X144837500Y-88846176D01*
-X144717261Y-88858018D01*
-X144601643Y-88893091D01*
-X144495089Y-88950045D01*
-X144401693Y-89026693D01*
-X144371897Y-89063000D01*
-X143394505Y-89063000D01*
-X143389981Y-89054537D01*
-X143342869Y-88997131D01*
-X143285463Y-88950019D01*
-X143248010Y-88930000D01*
-X143285463Y-88909981D01*
-X143342869Y-88862869D01*
-X143389981Y-88805463D01*
-X143424988Y-88739970D01*
-X143446545Y-88668905D01*
-X143453824Y-88595000D01*
-X143453824Y-88275000D01*
-X144071176Y-88275000D01*
-X144078455Y-88348905D01*
-X144100012Y-88419970D01*
-X144135019Y-88485463D01*
-X144182131Y-88542869D01*
-X144239537Y-88589981D01*
-X144305030Y-88624988D01*
-X144376095Y-88646545D01*
-X144450000Y-88653824D01*
-X144828750Y-88652000D01*
-X144923000Y-88557750D01*
-X144923000Y-88027000D01*
-X144167250Y-88027000D01*
-X144073000Y-88121250D01*
-X144071176Y-88275000D01*
-X143453824Y-88275000D01*
-X143453824Y-87995000D01*
-X143446545Y-87921095D01*
-X143424988Y-87850030D01*
-X143389981Y-87784537D01*
-X143342869Y-87727131D01*
-X143285463Y-87680019D01*
-X143219970Y-87645012D01*
-X143148905Y-87623455D01*
-X143075000Y-87616176D01*
-X141525000Y-87616176D01*
-X141451095Y-87623455D01*
-X141380030Y-87645012D01*
-X141314537Y-87680019D01*
-X141257131Y-87727131D01*
-X141210019Y-87784537D01*
-X141175012Y-87850030D01*
-X141153455Y-87921095D01*
-X141146176Y-87995000D01*
-X141146176Y-88595000D01*
-X141153455Y-88668905D01*
-X141175012Y-88739970D01*
-X141210019Y-88805463D01*
-X141257131Y-88862869D01*
-X141314537Y-88909981D01*
-X141351990Y-88930000D01*
-X141314537Y-88950019D01*
-X141257131Y-88997131D01*
-X141210019Y-89054537D01*
-X141175012Y-89120030D01*
-X141153455Y-89191095D01*
-X141146176Y-89265000D01*
-X141146176Y-89865000D01*
-X141153455Y-89938905D01*
-X141175012Y-90009970D01*
-X141210019Y-90075463D01*
-X141257131Y-90132869D01*
-X141314537Y-90179981D01*
-X141351990Y-90200000D01*
-X141337023Y-90208000D01*
-X141055794Y-90208000D01*
-X141025000Y-90204967D01*
-X140994206Y-90208000D01*
-X140902087Y-90217073D01*
-X140783897Y-90252925D01*
-X140674972Y-90311147D01*
-X140579499Y-90389499D01*
-X140559866Y-90413422D01*
-X140028427Y-90944862D01*
-X140004499Y-90964499D01*
-X139926147Y-91059973D01*
-X139867925Y-91168898D01*
-X139841840Y-91254891D01*
-X139832073Y-91287088D01*
-X139819967Y-91410000D01*
-X139823000Y-91440794D01*
-X139823000Y-91530794D01*
-X139823001Y-91530804D01*
-X139823000Y-93344206D01*
-X139823000Y-93498835D01*
-X139776095Y-93503455D01*
-X139705030Y-93525012D01*
-X139639537Y-93560019D01*
-X139582131Y-93607131D01*
-X139535019Y-93664537D01*
-X139500012Y-93730030D01*
-X139500000Y-93730070D01*
-X139499988Y-93730030D01*
-X139464981Y-93664537D01*
-X139417869Y-93607131D01*
-X139360463Y-93560019D01*
-X139294970Y-93525012D01*
-X139223905Y-93503455D01*
-X139150000Y-93496176D01*
-X138771250Y-93498000D01*
-X138677000Y-93592250D01*
-X138677000Y-93873000D01*
-X138423000Y-93873000D01*
-X138423000Y-93592250D01*
-X138328750Y-93498000D01*
-X137950000Y-93496176D01*
-X137876095Y-93503455D01*
-X137805030Y-93525012D01*
-X137739537Y-93560019D01*
-X137682131Y-93607131D01*
-X137635019Y-93664537D01*
-X137600012Y-93730030D01*
-X137578455Y-93801095D01*
-X137571373Y-93873000D01*
-X136265354Y-93873000D01*
-X136283690Y-93828734D01*
-X136327000Y-93611000D01*
-X136327000Y-93389000D01*
-X136283690Y-93171266D01*
-X136198734Y-92966165D01*
-X136075398Y-92781579D01*
-X136072469Y-92778650D01*
-X136125000Y-92783824D01*
-X137675000Y-92783824D01*
-X137748905Y-92776545D01*
-X137819970Y-92754988D01*
-X137885463Y-92719981D01*
-X137942869Y-92672869D01*
-X137989981Y-92615463D01*
-X138024988Y-92549970D01*
-X138046545Y-92478905D01*
-X138053824Y-92405000D01*
-X138053824Y-91805000D01*
-X138046545Y-91731095D01*
-X138024988Y-91660030D01*
-X137989981Y-91594537D01*
-X137942869Y-91537131D01*
-X137885463Y-91490019D01*
-X137848010Y-91470000D01*
-X137885463Y-91449981D01*
-X137942869Y-91402869D01*
-X137989981Y-91345463D01*
-X138024988Y-91279970D01*
-X138046545Y-91208905D01*
-X138053824Y-91135000D01*
-X138052000Y-91056250D01*
-X137957750Y-90962000D01*
-X137027000Y-90962000D01*
-X137027000Y-90982000D01*
-X136773000Y-90982000D01*
-X136773000Y-90962000D01*
-X136753000Y-90962000D01*
-X136753000Y-90708000D01*
-X136773000Y-90708000D01*
-X136773000Y-90688000D01*
-X137027000Y-90688000D01*
-X137027000Y-90708000D01*
-X137957750Y-90708000D01*
-X138052000Y-90613750D01*
-X138053824Y-90535000D01*
-X138046545Y-90461095D01*
-X138024988Y-90390030D01*
-X137989981Y-90324537D01*
-X137942869Y-90267131D01*
-X137885463Y-90220019D01*
-X137848010Y-90200000D01*
-X137885463Y-90179981D01*
-X137942869Y-90132869D01*
-X137989981Y-90075463D01*
-X138024988Y-90009970D01*
-X138046545Y-89938905D01*
-X138053824Y-89865000D01*
-X138053824Y-89596111D01*
-X138862543Y-88787393D01*
-X138881684Y-88771684D01*
-X138897392Y-88752544D01*
-X138897400Y-88752536D01*
-X138944417Y-88695246D01*
-X138991031Y-88608037D01*
-X139019736Y-88513410D01*
-X139022140Y-88489000D01*
-X139027000Y-88439653D01*
-X139027000Y-88439646D01*
-X139029427Y-88415000D01*
-X139027000Y-88390355D01*
-X139027000Y-87525000D01*
-X144071176Y-87525000D01*
-X144073000Y-87678750D01*
-X144167250Y-87773000D01*
-X144923000Y-87773000D01*
-X144923000Y-87242250D01*
-X144828750Y-87148000D01*
-X144450000Y-87146176D01*
-X144376095Y-87153455D01*
-X144305030Y-87175012D01*
-X144239537Y-87210019D01*
-X144182131Y-87257131D01*
-X144135019Y-87314537D01*
-X144100012Y-87380030D01*
-X144078455Y-87451095D01*
-X144071176Y-87525000D01*
-X139027000Y-87525000D01*
-X139027000Y-87213388D01*
-X139048357Y-87206909D01*
-X139154911Y-87149955D01*
-X139248307Y-87073307D01*
-X139324955Y-86979911D01*
-X139372709Y-86890568D01*
-X139378455Y-86948905D01*
-X139400012Y-87019970D01*
-X139435019Y-87085463D01*
-X139482131Y-87142869D01*
-X139539537Y-87189981D01*
-X139605030Y-87224988D01*
-X139676095Y-87246545D01*
-X139750000Y-87253824D01*
-X140053750Y-87252000D01*
-X140148000Y-87157750D01*
-X140148000Y-86527000D01*
-X140402000Y-86527000D01*
-X140402000Y-87157750D01*
-X140496250Y-87252000D01*
-X140800000Y-87253824D01*
-X140873905Y-87246545D01*
-X140944970Y-87224988D01*
-X141010463Y-87189981D01*
-X141067869Y-87142869D01*
-X141114981Y-87085463D01*
-X141149988Y-87019970D01*
-X141171545Y-86948905D01*
-X141178824Y-86875000D01*
-X141177000Y-86621250D01*
-X141082750Y-86527000D01*
-X140402000Y-86527000D01*
-X140148000Y-86527000D01*
-X140128000Y-86527000D01*
-X140128000Y-86273000D01*
-X140148000Y-86273000D01*
-X140148000Y-85642250D01*
-X140402000Y-85642250D01*
-X140402000Y-86273000D01*
-X141082750Y-86273000D01*
-X141177000Y-86178750D01*
-X141178824Y-85925000D01*
-X141171545Y-85851095D01*
-X141149988Y-85780030D01*
-X141114981Y-85714537D01*
-X141067869Y-85657131D01*
-X141010463Y-85610019D01*
-X140944970Y-85575012D01*
-X140873905Y-85553455D01*
-X140800000Y-85546176D01*
-X140496250Y-85548000D01*
-X140402000Y-85642250D01*
-X140148000Y-85642250D01*
-X140053750Y-85548000D01*
-X139750000Y-85546176D01*
-X139676095Y-85553455D01*
-X139605030Y-85575012D01*
-X139539537Y-85610019D01*
-X139482131Y-85657131D01*
-X139435019Y-85714537D01*
-X139400012Y-85780030D01*
-X139378455Y-85851095D01*
-X139372709Y-85909432D01*
-X139324955Y-85820089D01*
-X139248307Y-85726693D01*
-X139154911Y-85650045D01*
-X139048357Y-85593091D01*
-X138932739Y-85558018D01*
-X138812500Y-85546176D01*
-X138237500Y-85546176D01*
-X138117261Y-85558018D01*
-X138001643Y-85593091D01*
-X137895089Y-85650045D01*
-X137801693Y-85726693D01*
-X137725045Y-85820089D01*
-X137668091Y-85926643D01*
-X137633018Y-86042261D01*
-X137621176Y-86162500D01*
-X137621176Y-86637500D01*
-X137633018Y-86757739D01*
-X137668091Y-86873357D01*
-X137725045Y-86979911D01*
-X137801693Y-87073307D01*
-X137895089Y-87149955D01*
-X138001643Y-87206909D01*
-X138023001Y-87213388D01*
-X138023000Y-87846311D01*
-X137989981Y-87784537D01*
-X137942869Y-87727131D01*
-X137885463Y-87680019D01*
-X137819970Y-87645012D01*
-X137748905Y-87623455D01*
-X137675000Y-87616176D01*
-X136797273Y-87616176D01*
-X136808640Y-87611798D01*
-X136858694Y-87585043D01*
-X136938805Y-87418410D01*
-X136300000Y-86779605D01*
-X135661195Y-87418410D01*
-X135741306Y-87585043D01*
-X135933017Y-87670141D01*
-X135914537Y-87680019D01*
-X135857131Y-87727131D01*
-X135810019Y-87784537D01*
-X135775012Y-87850030D01*
-X135753455Y-87921095D01*
-X135746176Y-87995000D01*
-X135746176Y-88595000D01*
-X135753455Y-88668905D01*
-X135775012Y-88739970D01*
-X135810019Y-88805463D01*
-X135857131Y-88862869D01*
-X135914537Y-88909981D01*
-X135951990Y-88930000D01*
-X135914537Y-88950019D01*
-X135857131Y-88997131D01*
-X135810019Y-89054537D01*
-X135775012Y-89120030D01*
-X135773562Y-89124812D01*
-X135743374Y-89133969D01*
-X135723807Y-89144428D01*
-X135656164Y-89180583D01*
-X135598874Y-89227600D01*
-X135598866Y-89227608D01*
-X135579726Y-89243316D01*
-X135564017Y-89262457D01*
-X134962462Y-89864013D01*
-X134943317Y-89879726D01*
-X134927604Y-89898872D01*
-X134927601Y-89898875D01*
-X134880584Y-89956165D01*
-X134852572Y-90008573D01*
-X134853824Y-89800000D01*
-X134846545Y-89726095D01*
-X134824988Y-89655030D01*
-X134789981Y-89589537D01*
-X134742869Y-89532131D01*
-X134685463Y-89485019D01*
-X134619970Y-89450012D01*
-X134548905Y-89428455D01*
-X134475000Y-89421176D01*
-X134221250Y-89423000D01*
-X134127000Y-89517250D01*
-X134127000Y-90198000D01*
-X134147000Y-90198000D01*
-X134147000Y-90452000D01*
-X134127000Y-90452000D01*
-X134127000Y-90472000D01*
-X133873000Y-90472000D01*
-X133873000Y-90452000D01*
-X133242250Y-90452000D01*
-X133239795Y-90454455D01*
-X133237538Y-90452603D01*
-X132678824Y-89893890D01*
-X132678824Y-89800000D01*
-X133146176Y-89800000D01*
-X133148000Y-90103750D01*
-X133242250Y-90198000D01*
-X133873000Y-90198000D01*
-X133873000Y-89517250D01*
-X133778750Y-89423000D01*
-X133525000Y-89421176D01*
-X133451095Y-89428455D01*
-X133380030Y-89450012D01*
-X133314537Y-89485019D01*
-X133257131Y-89532131D01*
-X133210019Y-89589537D01*
-X133175012Y-89655030D01*
-X133153455Y-89726095D01*
-X133146176Y-89800000D01*
-X132678824Y-89800000D01*
-X132678824Y-89462500D01*
-X132666982Y-89342261D01*
-X132631909Y-89226643D01*
-X132574955Y-89120089D01*
-X132498307Y-89026693D01*
-X132404911Y-88950045D01*
-X132298357Y-88893091D01*
-X132277000Y-88886612D01*
-X132277000Y-88653824D01*
-X132450000Y-88653824D01*
-X132523905Y-88646545D01*
-X132594970Y-88624988D01*
-X132660463Y-88589981D01*
-X132717869Y-88542869D01*
-X132764981Y-88485463D01*
-X132799988Y-88419970D01*
-X132821545Y-88348905D01*
-X132828824Y-88275000D01*
-X132828824Y-87525000D01*
-X132821545Y-87451095D01*
-X132799988Y-87380030D01*
-X132764981Y-87314537D01*
-X132717869Y-87257131D01*
-X132660463Y-87210019D01*
-X132594970Y-87175012D01*
-X132523905Y-87153455D01*
-X132450000Y-87146176D01*
-X131250000Y-87146176D01*
-X131176095Y-87153455D01*
-X131105030Y-87175012D01*
-X131039537Y-87210019D01*
-X130982131Y-87257131D01*
-X130935019Y-87314537D01*
-X130900012Y-87380030D01*
-X130900000Y-87380070D01*
-X130899988Y-87380030D01*
-X130864981Y-87314537D01*
-X130817869Y-87257131D01*
-X130760463Y-87210019D01*
-X130694970Y-87175012D01*
-X130623905Y-87153455D01*
-X130550000Y-87146176D01*
-X130171250Y-87148000D01*
-X130077000Y-87242250D01*
-X130077000Y-87773000D01*
-X130097000Y-87773000D01*
-X130097000Y-88027000D01*
-X130077000Y-88027000D01*
-X130077000Y-88557750D01*
-X130171250Y-88652000D01*
-X130550000Y-88653824D01*
-X130623905Y-88646545D01*
-X130694970Y-88624988D01*
-X130760463Y-88589981D01*
-X130817869Y-88542869D01*
-X130864981Y-88485463D01*
-X130899988Y-88419970D01*
-X130900000Y-88419930D01*
-X130900012Y-88419970D01*
-X130935019Y-88485463D01*
-X130982131Y-88542869D01*
-X131039537Y-88589981D01*
-X131105030Y-88624988D01*
-X131176095Y-88646545D01*
-X131250000Y-88653824D01*
-X131273001Y-88653824D01*
-X131273000Y-88886612D01*
-X131251643Y-88893091D01*
-X131145089Y-88950045D01*
-X131051693Y-89026693D01*
-X130975045Y-89120089D01*
-X130918091Y-89226643D01*
-X130900000Y-89286280D01*
-X130881909Y-89226643D01*
-X130824955Y-89120089D01*
-X130748307Y-89026693D01*
-X130654911Y-88950045D01*
-X130548357Y-88893091D01*
-X130432739Y-88858018D01*
-X130312500Y-88846176D01*
-X129737500Y-88846176D01*
-X129617261Y-88858018D01*
-X129501643Y-88893091D01*
-X129395089Y-88950045D01*
-X129301693Y-89026693D01*
-X129271897Y-89063000D01*
-X128394505Y-89063000D01*
-X128389981Y-89054537D01*
-X128342869Y-88997131D01*
-X128285463Y-88950019D01*
-X128248010Y-88930000D01*
-X128285463Y-88909981D01*
-X128342869Y-88862869D01*
-X128389981Y-88805463D01*
-X128424988Y-88739970D01*
-X128446545Y-88668905D01*
-X128453824Y-88595000D01*
-X128453824Y-88275000D01*
-X128971176Y-88275000D01*
-X128978455Y-88348905D01*
-X129000012Y-88419970D01*
-X129035019Y-88485463D01*
-X129082131Y-88542869D01*
-X129139537Y-88589981D01*
-X129205030Y-88624988D01*
-X129276095Y-88646545D01*
-X129350000Y-88653824D01*
-X129728750Y-88652000D01*
-X129823000Y-88557750D01*
-X129823000Y-88027000D01*
-X129067250Y-88027000D01*
-X128973000Y-88121250D01*
-X128971176Y-88275000D01*
-X128453824Y-88275000D01*
-X128453824Y-87995000D01*
-X128446545Y-87921095D01*
-X128424988Y-87850030D01*
-X128389981Y-87784537D01*
-X128342869Y-87727131D01*
-X128285463Y-87680019D01*
-X128219970Y-87645012D01*
-X128148905Y-87623455D01*
-X128075000Y-87616176D01*
-X126525000Y-87616176D01*
-X126451095Y-87623455D01*
-X126380030Y-87645012D01*
-X126314537Y-87680019D01*
-X126257131Y-87727131D01*
-X126210019Y-87784537D01*
-X126177000Y-87846311D01*
-X126177000Y-87525000D01*
-X128971176Y-87525000D01*
-X128973000Y-87678750D01*
-X129067250Y-87773000D01*
-X129823000Y-87773000D01*
-X129823000Y-87242250D01*
-X129728750Y-87148000D01*
-X129350000Y-87146176D01*
-X129276095Y-87153455D01*
-X129205030Y-87175012D01*
-X129139537Y-87210019D01*
-X129082131Y-87257131D01*
-X129035019Y-87314537D01*
-X129000012Y-87380030D01*
-X128978455Y-87451095D01*
-X128971176Y-87525000D01*
-X126177000Y-87525000D01*
-X126177000Y-86682723D01*
-X135170572Y-86682723D01*
-X135208412Y-86901474D01*
-X135288202Y-87108640D01*
-X135314957Y-87158694D01*
-X135481590Y-87238805D01*
-X136120395Y-86600000D01*
-X136479605Y-86600000D01*
-X137118410Y-87238805D01*
-X137285043Y-87158694D01*
-X137375111Y-86955787D01*
-X137423864Y-86739207D01*
-X137429428Y-86517277D01*
-X137391588Y-86298526D01*
-X137311798Y-86091360D01*
-X137285043Y-86041306D01*
-X137118410Y-85961195D01*
-X136479605Y-86600000D01*
-X136120395Y-86600000D01*
-X135481590Y-85961195D01*
-X135314957Y-86041306D01*
-X135224889Y-86244213D01*
-X135176136Y-86460793D01*
-X135170572Y-86682723D01*
-X126177000Y-86682723D01*
-X126177000Y-86651165D01*
-X126223905Y-86646545D01*
-X126294970Y-86624988D01*
-X126360463Y-86589981D01*
-X126417869Y-86542869D01*
-X126464981Y-86485463D01*
-X126499988Y-86419970D01*
-X126521545Y-86348905D01*
-X126528824Y-86275000D01*
-X126528824Y-85781590D01*
-X135661195Y-85781590D01*
-X136300000Y-86420395D01*
-X136938805Y-85781590D01*
-X136858694Y-85614957D01*
-X136655787Y-85524889D01*
-X136439207Y-85476136D01*
-X136217277Y-85470572D01*
-X135998526Y-85508412D01*
-X135791360Y-85588202D01*
-X135741306Y-85614957D01*
-X135661195Y-85781590D01*
-X126528824Y-85781590D01*
-X126528824Y-85525000D01*
-X126521545Y-85451095D01*
-X126499988Y-85380030D01*
-X126464981Y-85314537D01*
-X126417869Y-85257131D01*
-X126360463Y-85210019D01*
-X126294970Y-85175012D01*
-X126223905Y-85153455D01*
-X126150000Y-85146176D01*
-X124950000Y-85146176D01*
-X124876095Y-85153455D01*
-X124805030Y-85175012D01*
-X124739537Y-85210019D01*
-X124682131Y-85257131D01*
-X124635019Y-85314537D01*
-X124600012Y-85380030D01*
-X124600000Y-85380070D01*
-X124599988Y-85380030D01*
-X124564981Y-85314537D01*
-X124517869Y-85257131D01*
-X124460463Y-85210019D01*
-X124394970Y-85175012D01*
-X124323905Y-85153455D01*
-X124250000Y-85146176D01*
-X123871250Y-85148000D01*
-X123777000Y-85242250D01*
-X123777000Y-85773000D01*
-X123797000Y-85773000D01*
-X123797000Y-86027000D01*
-X123777000Y-86027000D01*
-X123777000Y-86557750D01*
-X123871250Y-86652000D01*
-X124250000Y-86653824D01*
-X124323905Y-86646545D01*
-X124394970Y-86624988D01*
-X124460463Y-86589981D01*
-X124517869Y-86542869D01*
-X124564981Y-86485463D01*
-X124599988Y-86419970D01*
-X124600000Y-86419930D01*
-X124600012Y-86419970D01*
-X124635019Y-86485463D01*
-X124682131Y-86542869D01*
-X124739537Y-86589981D01*
-X124805030Y-86624988D01*
-X124876095Y-86646545D01*
-X124923000Y-86651165D01*
-X124923001Y-90329196D01*
-X124919967Y-90360000D01*
-X124932073Y-90482912D01*
-X124965740Y-90593896D01*
-X124967926Y-90601103D01*
-X125026148Y-90710028D01*
-X125104500Y-90805501D01*
-X125128422Y-90825133D01*
-X125138289Y-90835000D01*
-X125078427Y-90894862D01*
-X125054499Y-90914499D01*
-X124976147Y-91009973D01*
-X124917925Y-91118898D01*
-X124887853Y-91218035D01*
-X124882073Y-91237088D01*
-X124869967Y-91360000D01*
-X124870063Y-91360972D01*
-X124869407Y-91359745D01*
-X124843027Y-91327601D01*
-X124822389Y-91302454D01*
-X124822387Y-91302452D01*
-X124806674Y-91283306D01*
-X124787528Y-91267593D01*
-X123053824Y-89533890D01*
-X123053824Y-89265000D01*
-X123046545Y-89191095D01*
-X123024988Y-89120030D01*
-X122989981Y-89054537D01*
-X122942869Y-88997131D01*
-X122885463Y-88950019D01*
-X122848010Y-88930000D01*
-X122885463Y-88909981D01*
-X122942869Y-88862869D01*
-X122989981Y-88805463D01*
-X123024988Y-88739970D01*
-X123046545Y-88668905D01*
-X123053824Y-88595000D01*
-X123053824Y-87995000D01*
-X123046545Y-87921095D01*
-X123024988Y-87850030D01*
-X122989981Y-87784537D01*
-X122942869Y-87727131D01*
-X122885463Y-87680019D01*
-X122819970Y-87645012D01*
-X122748905Y-87623455D01*
-X122675000Y-87616176D01*
-X121125000Y-87616176D01*
-X121051095Y-87623455D01*
-X121002000Y-87638348D01*
-X121002000Y-87444344D01*
-X122171344Y-86275000D01*
-X122671176Y-86275000D01*
-X122678455Y-86348905D01*
-X122700012Y-86419970D01*
-X122735019Y-86485463D01*
-X122782131Y-86542869D01*
-X122839537Y-86589981D01*
-X122905030Y-86624988D01*
-X122976095Y-86646545D01*
-X123050000Y-86653824D01*
-X123428750Y-86652000D01*
-X123523000Y-86557750D01*
-X123523000Y-86027000D01*
-X122767250Y-86027000D01*
-X122673000Y-86121250D01*
-X122671176Y-86275000D01*
-X122171344Y-86275000D01*
-X122720297Y-85726047D01*
-X122767250Y-85773000D01*
-X123523000Y-85773000D01*
-X123523000Y-85242250D01*
-X123428750Y-85148000D01*
-X123298970Y-85147375D01*
-X124287549Y-84158796D01*
-X124306695Y-84143083D01*
-X124336385Y-84106907D01*
-X124369427Y-84066645D01*
-X124369756Y-84066030D01*
-X124416042Y-83979435D01*
-X124444747Y-83884808D01*
-X124452011Y-83811052D01*
-X124452011Y-83811043D01*
-X124454438Y-83786400D01*
-X124452011Y-83761757D01*
-X124452011Y-82867815D01*
-X124498774Y-82980711D01*
-X124498774Y-82980711D01*
-G37*
-X124498774Y-82980711D02*
-X124583807Y-83107972D01*
-X124692034Y-83216199D01*
-X124819295Y-83301232D01*
-X124960700Y-83359804D01*
-X125110815Y-83389663D01*
-X125254409Y-83389663D01*
-X126756851Y-84892107D01*
-X126772560Y-84911248D01*
-X126791700Y-84926956D01*
-X126791708Y-84926964D01*
-X126848998Y-84973981D01*
-X126889359Y-84995554D01*
-X126936208Y-85020595D01*
-X127030835Y-85049300D01*
-X127104591Y-85056564D01*
-X127104601Y-85056564D01*
-X127129244Y-85058991D01*
-X127153887Y-85056564D01*
-X154146630Y-85056564D01*
-X154525664Y-85435598D01*
-X154371266Y-85466310D01*
-X154166165Y-85551266D01*
-X153981579Y-85674602D01*
-X153824602Y-85831579D01*
-X153701266Y-86016165D01*
-X153616310Y-86221266D01*
-X153573000Y-86439000D01*
-X153573000Y-86661000D01*
-X153616310Y-86878734D01*
-X153701266Y-87083835D01*
-X153824602Y-87268421D01*
-X153981579Y-87425398D01*
-X154166165Y-87548734D01*
-X154371266Y-87633690D01*
-X154589000Y-87677000D01*
-X154811000Y-87677000D01*
-X155028734Y-87633690D01*
-X155233835Y-87548734D01*
-X155418421Y-87425398D01*
-X155575398Y-87268421D01*
-X155698734Y-87083835D01*
-X155783690Y-86878734D01*
-X155814402Y-86724336D01*
-X156948064Y-87857999D01*
-X155764644Y-87857999D01*
-X155740001Y-87855572D01*
-X155715358Y-87857999D01*
-X155715348Y-87857999D01*
-X155641592Y-87865263D01*
-X155546965Y-87893968D01*
-X155459756Y-87940582D01*
-X155459754Y-87940583D01*
-X155459755Y-87940583D01*
-X155402465Y-87987599D01*
-X155402457Y-87987607D01*
-X155383317Y-88003315D01*
-X155367608Y-88022456D01*
-X154886977Y-88503088D01*
-X154835313Y-88498000D01*
-X154835303Y-88498000D01*
-X154810660Y-88495573D01*
-X154786017Y-88498000D01*
-X154761921Y-88498000D01*
-X154748734Y-88466165D01*
-X154625398Y-88281579D01*
-X154468421Y-88124602D01*
-X154283835Y-88001266D01*
-X154078734Y-87916310D01*
-X153861000Y-87873000D01*
-X153639000Y-87873000D01*
-X153421266Y-87916310D01*
-X153216165Y-88001266D01*
-X153031579Y-88124602D01*
-X152874602Y-88281579D01*
-X152751266Y-88466165D01*
-X152666310Y-88671266D01*
-X152623000Y-88889000D01*
-X152623000Y-89111000D01*
-X152666310Y-89328734D01*
-X152751266Y-89533835D01*
-X152874602Y-89718421D01*
-X153031579Y-89875398D01*
-X153216165Y-89998734D01*
-X153421266Y-90083690D01*
-X153639000Y-90127000D01*
-X153861000Y-90127000D01*
-X154078734Y-90083690D01*
-X154283835Y-89998734D01*
-X154398001Y-89922451D01*
-X154398000Y-90567065D01*
-X154267065Y-90698000D01*
-X154123472Y-90698000D01*
-X153973357Y-90727859D01*
-X153831952Y-90786431D01*
-X153704691Y-90871464D01*
-X153596464Y-90979691D01*
-X153511431Y-91106952D01*
-X153452859Y-91248357D01*
-X153423000Y-91398472D01*
-X153423000Y-91551528D01*
-X153452859Y-91701643D01*
-X153511431Y-91843048D01*
-X153596464Y-91970309D01*
-X153704691Y-92078536D01*
-X153831952Y-92163569D01*
-X153973357Y-92222141D01*
-X154123472Y-92252000D01*
-X154276528Y-92252000D01*
-X154426643Y-92222141D01*
-X154568048Y-92163569D01*
-X154695309Y-92078536D01*
-X154803536Y-91970309D01*
-X154888569Y-91843048D01*
-X154947141Y-91701643D01*
-X154977000Y-91551528D01*
-X154977000Y-91407935D01*
-X155237538Y-91147397D01*
-X155247673Y-91139079D01*
-X155246176Y-91450000D01*
-X155253455Y-91523905D01*
-X155275012Y-91594970D01*
-X155310019Y-91660463D01*
-X155357131Y-91717869D01*
-X155414537Y-91764981D01*
-X155480030Y-91799988D01*
-X155480070Y-91800000D01*
-X155480030Y-91800012D01*
-X155414537Y-91835019D01*
-X155357131Y-91882131D01*
-X155310019Y-91939537D01*
-X155275012Y-92005030D01*
-X155253455Y-92076095D01*
-X155246176Y-92150000D01*
-X155246176Y-92873000D01*
-X150500000Y-92873000D01*
-X150475224Y-92875440D01*
-X150451399Y-92882667D01*
-X150429443Y-92894403D01*
-X150410197Y-92910197D01*
-X149447394Y-93873000D01*
-X148701999Y-93873000D01*
-X148701999Y-91049644D01*
-X148704426Y-91024999D01*
-X148701999Y-91000353D01*
-X148701999Y-91000346D01*
-X148694735Y-90926590D01*
-X148694735Y-90926589D01*
-X148666030Y-90831962D01*
-X148619416Y-90744753D01*
-X148572399Y-90687464D01*
-X148572396Y-90687461D01*
-X148556683Y-90668315D01*
-X148537537Y-90652602D01*
-X147778824Y-89893890D01*
-X147778824Y-89462500D01*
-X147766982Y-89342261D01*
-X147731909Y-89226643D01*
-X147674955Y-89120089D01*
-X147598307Y-89026693D01*
-X147504911Y-88950045D01*
-X147452000Y-88921764D01*
-X147452000Y-88653824D01*
-X147550000Y-88653824D01*
-X147623905Y-88646545D01*
-X147694970Y-88624988D01*
-X147760463Y-88589981D01*
-X147817869Y-88542869D01*
-X147864981Y-88485463D01*
-X147899988Y-88419970D01*
-X147921545Y-88348905D01*
-X147928824Y-88275000D01*
-X147928824Y-87525000D01*
-X147921545Y-87451095D01*
-X147899988Y-87380030D01*
-X147864981Y-87314537D01*
-X147817869Y-87257131D01*
-X147760463Y-87210019D01*
-X147694970Y-87175012D01*
-X147623905Y-87153455D01*
-X147550000Y-87146176D01*
-X146350000Y-87146176D01*
-X146276095Y-87153455D01*
-X146205030Y-87175012D01*
-X146139537Y-87210019D01*
-X146082131Y-87257131D01*
-X146035019Y-87314537D01*
-X146000012Y-87380030D01*
-X146000000Y-87380070D01*
-X145999988Y-87380030D01*
-X145964981Y-87314537D01*
-X145917869Y-87257131D01*
-X145860463Y-87210019D01*
-X145794970Y-87175012D01*
-X145723905Y-87153455D01*
-X145650000Y-87146176D01*
-X145271250Y-87148000D01*
-X145177000Y-87242250D01*
-X145177000Y-87773000D01*
-X145197000Y-87773000D01*
-X145197000Y-88027000D01*
-X145177000Y-88027000D01*
-X145177000Y-88557750D01*
-X145271250Y-88652000D01*
-X145650000Y-88653824D01*
-X145723905Y-88646545D01*
-X145794970Y-88624988D01*
-X145860463Y-88589981D01*
-X145917869Y-88542869D01*
-X145964981Y-88485463D01*
-X145999988Y-88419970D01*
-X146000000Y-88419930D01*
-X146000012Y-88419970D01*
-X146035019Y-88485463D01*
-X146082131Y-88542869D01*
-X146139537Y-88589981D01*
-X146205030Y-88624988D01*
-X146276095Y-88646545D01*
-X146350000Y-88653824D01*
-X146448000Y-88653824D01*
-X146448001Y-88863861D01*
-X146351643Y-88893091D01*
-X146245089Y-88950045D01*
-X146151693Y-89026693D01*
-X146075045Y-89120089D01*
-X146018091Y-89226643D01*
-X146000000Y-89286280D01*
-X145981909Y-89226643D01*
-X145924955Y-89120089D01*
-X145848307Y-89026693D01*
-X145754911Y-88950045D01*
-X145648357Y-88893091D01*
-X145532739Y-88858018D01*
-X145412500Y-88846176D01*
-X144837500Y-88846176D01*
-X144717261Y-88858018D01*
-X144601643Y-88893091D01*
-X144495089Y-88950045D01*
-X144401693Y-89026693D01*
-X144371897Y-89063000D01*
-X143394505Y-89063000D01*
-X143389981Y-89054537D01*
-X143342869Y-88997131D01*
-X143285463Y-88950019D01*
-X143248010Y-88930000D01*
-X143285463Y-88909981D01*
-X143342869Y-88862869D01*
-X143389981Y-88805463D01*
-X143424988Y-88739970D01*
-X143446545Y-88668905D01*
-X143453824Y-88595000D01*
-X143453824Y-88275000D01*
-X144071176Y-88275000D01*
-X144078455Y-88348905D01*
-X144100012Y-88419970D01*
-X144135019Y-88485463D01*
-X144182131Y-88542869D01*
-X144239537Y-88589981D01*
-X144305030Y-88624988D01*
-X144376095Y-88646545D01*
-X144450000Y-88653824D01*
-X144828750Y-88652000D01*
-X144923000Y-88557750D01*
-X144923000Y-88027000D01*
-X144167250Y-88027000D01*
-X144073000Y-88121250D01*
-X144071176Y-88275000D01*
-X143453824Y-88275000D01*
-X143453824Y-87995000D01*
-X143446545Y-87921095D01*
-X143424988Y-87850030D01*
-X143389981Y-87784537D01*
-X143342869Y-87727131D01*
-X143285463Y-87680019D01*
-X143219970Y-87645012D01*
-X143148905Y-87623455D01*
-X143075000Y-87616176D01*
-X141525000Y-87616176D01*
-X141451095Y-87623455D01*
-X141380030Y-87645012D01*
-X141314537Y-87680019D01*
-X141257131Y-87727131D01*
-X141210019Y-87784537D01*
-X141175012Y-87850030D01*
-X141153455Y-87921095D01*
-X141146176Y-87995000D01*
-X141146176Y-88595000D01*
-X141153455Y-88668905D01*
-X141175012Y-88739970D01*
-X141210019Y-88805463D01*
-X141257131Y-88862869D01*
-X141314537Y-88909981D01*
-X141351990Y-88930000D01*
-X141314537Y-88950019D01*
-X141257131Y-88997131D01*
-X141210019Y-89054537D01*
-X141175012Y-89120030D01*
-X141153455Y-89191095D01*
-X141146176Y-89265000D01*
-X141146176Y-89865000D01*
-X141153455Y-89938905D01*
-X141175012Y-90009970D01*
-X141210019Y-90075463D01*
-X141257131Y-90132869D01*
-X141314537Y-90179981D01*
-X141351990Y-90200000D01*
-X141337023Y-90208000D01*
-X141055794Y-90208000D01*
-X141025000Y-90204967D01*
-X140994206Y-90208000D01*
-X140902087Y-90217073D01*
-X140783897Y-90252925D01*
-X140674972Y-90311147D01*
-X140579499Y-90389499D01*
-X140559866Y-90413422D01*
-X140028427Y-90944862D01*
-X140004499Y-90964499D01*
-X139926147Y-91059973D01*
-X139867925Y-91168898D01*
-X139841840Y-91254891D01*
-X139832073Y-91287088D01*
-X139819967Y-91410000D01*
-X139823000Y-91440794D01*
-X139823000Y-91530794D01*
-X139823001Y-91530804D01*
-X139823000Y-93344206D01*
-X139823000Y-93498835D01*
-X139776095Y-93503455D01*
-X139705030Y-93525012D01*
-X139639537Y-93560019D01*
-X139582131Y-93607131D01*
-X139535019Y-93664537D01*
-X139500012Y-93730030D01*
-X139500000Y-93730070D01*
-X139499988Y-93730030D01*
-X139464981Y-93664537D01*
-X139417869Y-93607131D01*
-X139360463Y-93560019D01*
-X139294970Y-93525012D01*
-X139223905Y-93503455D01*
-X139150000Y-93496176D01*
-X138771250Y-93498000D01*
-X138677000Y-93592250D01*
-X138677000Y-93873000D01*
-X138423000Y-93873000D01*
-X138423000Y-93592250D01*
-X138328750Y-93498000D01*
-X137950000Y-93496176D01*
-X137876095Y-93503455D01*
-X137805030Y-93525012D01*
-X137739537Y-93560019D01*
-X137682131Y-93607131D01*
-X137635019Y-93664537D01*
-X137600012Y-93730030D01*
-X137578455Y-93801095D01*
-X137571373Y-93873000D01*
-X136265354Y-93873000D01*
-X136283690Y-93828734D01*
-X136327000Y-93611000D01*
-X136327000Y-93389000D01*
-X136283690Y-93171266D01*
-X136198734Y-92966165D01*
-X136075398Y-92781579D01*
-X136072469Y-92778650D01*
-X136125000Y-92783824D01*
-X137675000Y-92783824D01*
-X137748905Y-92776545D01*
-X137819970Y-92754988D01*
-X137885463Y-92719981D01*
-X137942869Y-92672869D01*
-X137989981Y-92615463D01*
-X138024988Y-92549970D01*
-X138046545Y-92478905D01*
-X138053824Y-92405000D01*
-X138053824Y-91805000D01*
-X138046545Y-91731095D01*
-X138024988Y-91660030D01*
-X137989981Y-91594537D01*
-X137942869Y-91537131D01*
-X137885463Y-91490019D01*
-X137848010Y-91470000D01*
-X137885463Y-91449981D01*
-X137942869Y-91402869D01*
-X137989981Y-91345463D01*
-X138024988Y-91279970D01*
-X138046545Y-91208905D01*
-X138053824Y-91135000D01*
-X138052000Y-91056250D01*
-X137957750Y-90962000D01*
-X137027000Y-90962000D01*
-X137027000Y-90982000D01*
-X136773000Y-90982000D01*
-X136773000Y-90962000D01*
-X136753000Y-90962000D01*
-X136753000Y-90708000D01*
-X136773000Y-90708000D01*
-X136773000Y-90688000D01*
-X137027000Y-90688000D01*
-X137027000Y-90708000D01*
-X137957750Y-90708000D01*
-X138052000Y-90613750D01*
-X138053824Y-90535000D01*
-X138046545Y-90461095D01*
-X138024988Y-90390030D01*
-X137989981Y-90324537D01*
-X137942869Y-90267131D01*
-X137885463Y-90220019D01*
-X137848010Y-90200000D01*
-X137885463Y-90179981D01*
-X137942869Y-90132869D01*
-X137989981Y-90075463D01*
-X138024988Y-90009970D01*
-X138046545Y-89938905D01*
-X138053824Y-89865000D01*
-X138053824Y-89596111D01*
-X138862543Y-88787393D01*
-X138881684Y-88771684D01*
-X138897392Y-88752544D01*
-X138897400Y-88752536D01*
-X138944417Y-88695246D01*
-X138991031Y-88608037D01*
-X139019736Y-88513410D01*
-X139022140Y-88489000D01*
-X139027000Y-88439653D01*
-X139027000Y-88439646D01*
-X139029427Y-88415000D01*
-X139027000Y-88390355D01*
-X139027000Y-87525000D01*
-X144071176Y-87525000D01*
-X144073000Y-87678750D01*
-X144167250Y-87773000D01*
-X144923000Y-87773000D01*
-X144923000Y-87242250D01*
-X144828750Y-87148000D01*
-X144450000Y-87146176D01*
-X144376095Y-87153455D01*
-X144305030Y-87175012D01*
-X144239537Y-87210019D01*
-X144182131Y-87257131D01*
-X144135019Y-87314537D01*
-X144100012Y-87380030D01*
-X144078455Y-87451095D01*
-X144071176Y-87525000D01*
-X139027000Y-87525000D01*
-X139027000Y-87213388D01*
-X139048357Y-87206909D01*
-X139154911Y-87149955D01*
-X139248307Y-87073307D01*
-X139324955Y-86979911D01*
-X139372709Y-86890568D01*
-X139378455Y-86948905D01*
-X139400012Y-87019970D01*
-X139435019Y-87085463D01*
-X139482131Y-87142869D01*
-X139539537Y-87189981D01*
-X139605030Y-87224988D01*
-X139676095Y-87246545D01*
-X139750000Y-87253824D01*
-X140053750Y-87252000D01*
-X140148000Y-87157750D01*
-X140148000Y-86527000D01*
-X140402000Y-86527000D01*
-X140402000Y-87157750D01*
-X140496250Y-87252000D01*
-X140800000Y-87253824D01*
-X140873905Y-87246545D01*
-X140944970Y-87224988D01*
-X141010463Y-87189981D01*
-X141067869Y-87142869D01*
-X141114981Y-87085463D01*
-X141149988Y-87019970D01*
-X141171545Y-86948905D01*
-X141178824Y-86875000D01*
-X141177000Y-86621250D01*
-X141082750Y-86527000D01*
-X140402000Y-86527000D01*
-X140148000Y-86527000D01*
-X140128000Y-86527000D01*
-X140128000Y-86273000D01*
-X140148000Y-86273000D01*
-X140148000Y-85642250D01*
-X140402000Y-85642250D01*
-X140402000Y-86273000D01*
-X141082750Y-86273000D01*
-X141177000Y-86178750D01*
-X141178824Y-85925000D01*
-X141171545Y-85851095D01*
-X141149988Y-85780030D01*
-X141114981Y-85714537D01*
-X141067869Y-85657131D01*
-X141010463Y-85610019D01*
-X140944970Y-85575012D01*
-X140873905Y-85553455D01*
-X140800000Y-85546176D01*
-X140496250Y-85548000D01*
-X140402000Y-85642250D01*
-X140148000Y-85642250D01*
-X140053750Y-85548000D01*
-X139750000Y-85546176D01*
-X139676095Y-85553455D01*
-X139605030Y-85575012D01*
-X139539537Y-85610019D01*
-X139482131Y-85657131D01*
-X139435019Y-85714537D01*
-X139400012Y-85780030D01*
-X139378455Y-85851095D01*
-X139372709Y-85909432D01*
-X139324955Y-85820089D01*
-X139248307Y-85726693D01*
-X139154911Y-85650045D01*
-X139048357Y-85593091D01*
-X138932739Y-85558018D01*
-X138812500Y-85546176D01*
-X138237500Y-85546176D01*
-X138117261Y-85558018D01*
-X138001643Y-85593091D01*
-X137895089Y-85650045D01*
-X137801693Y-85726693D01*
-X137725045Y-85820089D01*
-X137668091Y-85926643D01*
-X137633018Y-86042261D01*
-X137621176Y-86162500D01*
-X137621176Y-86637500D01*
-X137633018Y-86757739D01*
-X137668091Y-86873357D01*
-X137725045Y-86979911D01*
-X137801693Y-87073307D01*
-X137895089Y-87149955D01*
-X138001643Y-87206909D01*
-X138023001Y-87213388D01*
-X138023000Y-87846311D01*
-X137989981Y-87784537D01*
-X137942869Y-87727131D01*
-X137885463Y-87680019D01*
-X137819970Y-87645012D01*
-X137748905Y-87623455D01*
-X137675000Y-87616176D01*
-X136797273Y-87616176D01*
-X136808640Y-87611798D01*
-X136858694Y-87585043D01*
-X136938805Y-87418410D01*
-X136300000Y-86779605D01*
-X135661195Y-87418410D01*
-X135741306Y-87585043D01*
-X135933017Y-87670141D01*
-X135914537Y-87680019D01*
-X135857131Y-87727131D01*
-X135810019Y-87784537D01*
-X135775012Y-87850030D01*
-X135753455Y-87921095D01*
-X135746176Y-87995000D01*
-X135746176Y-88595000D01*
-X135753455Y-88668905D01*
-X135775012Y-88739970D01*
-X135810019Y-88805463D01*
-X135857131Y-88862869D01*
-X135914537Y-88909981D01*
-X135951990Y-88930000D01*
-X135914537Y-88950019D01*
-X135857131Y-88997131D01*
-X135810019Y-89054537D01*
-X135775012Y-89120030D01*
-X135773562Y-89124812D01*
-X135743374Y-89133969D01*
-X135723807Y-89144428D01*
-X135656164Y-89180583D01*
-X135598874Y-89227600D01*
-X135598866Y-89227608D01*
-X135579726Y-89243316D01*
-X135564017Y-89262457D01*
-X134962462Y-89864013D01*
-X134943317Y-89879726D01*
-X134927604Y-89898872D01*
-X134927601Y-89898875D01*
-X134880584Y-89956165D01*
-X134852572Y-90008573D01*
-X134853824Y-89800000D01*
-X134846545Y-89726095D01*
-X134824988Y-89655030D01*
-X134789981Y-89589537D01*
-X134742869Y-89532131D01*
-X134685463Y-89485019D01*
-X134619970Y-89450012D01*
-X134548905Y-89428455D01*
-X134475000Y-89421176D01*
-X134221250Y-89423000D01*
-X134127000Y-89517250D01*
-X134127000Y-90198000D01*
-X134147000Y-90198000D01*
-X134147000Y-90452000D01*
-X134127000Y-90452000D01*
-X134127000Y-90472000D01*
-X133873000Y-90472000D01*
-X133873000Y-90452000D01*
-X133242250Y-90452000D01*
-X133239795Y-90454455D01*
-X133237538Y-90452603D01*
-X132678824Y-89893890D01*
-X132678824Y-89800000D01*
-X133146176Y-89800000D01*
-X133148000Y-90103750D01*
-X133242250Y-90198000D01*
-X133873000Y-90198000D01*
-X133873000Y-89517250D01*
-X133778750Y-89423000D01*
-X133525000Y-89421176D01*
-X133451095Y-89428455D01*
-X133380030Y-89450012D01*
-X133314537Y-89485019D01*
-X133257131Y-89532131D01*
-X133210019Y-89589537D01*
-X133175012Y-89655030D01*
-X133153455Y-89726095D01*
-X133146176Y-89800000D01*
-X132678824Y-89800000D01*
-X132678824Y-89462500D01*
-X132666982Y-89342261D01*
-X132631909Y-89226643D01*
-X132574955Y-89120089D01*
-X132498307Y-89026693D01*
-X132404911Y-88950045D01*
-X132298357Y-88893091D01*
-X132277000Y-88886612D01*
-X132277000Y-88653824D01*
-X132450000Y-88653824D01*
-X132523905Y-88646545D01*
-X132594970Y-88624988D01*
-X132660463Y-88589981D01*
-X132717869Y-88542869D01*
-X132764981Y-88485463D01*
-X132799988Y-88419970D01*
-X132821545Y-88348905D01*
-X132828824Y-88275000D01*
-X132828824Y-87525000D01*
-X132821545Y-87451095D01*
-X132799988Y-87380030D01*
-X132764981Y-87314537D01*
-X132717869Y-87257131D01*
-X132660463Y-87210019D01*
-X132594970Y-87175012D01*
-X132523905Y-87153455D01*
-X132450000Y-87146176D01*
-X131250000Y-87146176D01*
-X131176095Y-87153455D01*
-X131105030Y-87175012D01*
-X131039537Y-87210019D01*
-X130982131Y-87257131D01*
-X130935019Y-87314537D01*
-X130900012Y-87380030D01*
-X130900000Y-87380070D01*
-X130899988Y-87380030D01*
-X130864981Y-87314537D01*
-X130817869Y-87257131D01*
-X130760463Y-87210019D01*
-X130694970Y-87175012D01*
-X130623905Y-87153455D01*
-X130550000Y-87146176D01*
-X130171250Y-87148000D01*
-X130077000Y-87242250D01*
-X130077000Y-87773000D01*
-X130097000Y-87773000D01*
-X130097000Y-88027000D01*
-X130077000Y-88027000D01*
-X130077000Y-88557750D01*
-X130171250Y-88652000D01*
-X130550000Y-88653824D01*
-X130623905Y-88646545D01*
-X130694970Y-88624988D01*
-X130760463Y-88589981D01*
-X130817869Y-88542869D01*
-X130864981Y-88485463D01*
-X130899988Y-88419970D01*
-X130900000Y-88419930D01*
-X130900012Y-88419970D01*
-X130935019Y-88485463D01*
-X130982131Y-88542869D01*
-X131039537Y-88589981D01*
-X131105030Y-88624988D01*
-X131176095Y-88646545D01*
-X131250000Y-88653824D01*
-X131273001Y-88653824D01*
-X131273000Y-88886612D01*
-X131251643Y-88893091D01*
-X131145089Y-88950045D01*
-X131051693Y-89026693D01*
-X130975045Y-89120089D01*
-X130918091Y-89226643D01*
-X130900000Y-89286280D01*
-X130881909Y-89226643D01*
-X130824955Y-89120089D01*
-X130748307Y-89026693D01*
-X130654911Y-88950045D01*
-X130548357Y-88893091D01*
-X130432739Y-88858018D01*
-X130312500Y-88846176D01*
-X129737500Y-88846176D01*
-X129617261Y-88858018D01*
-X129501643Y-88893091D01*
-X129395089Y-88950045D01*
-X129301693Y-89026693D01*
-X129271897Y-89063000D01*
-X128394505Y-89063000D01*
-X128389981Y-89054537D01*
-X128342869Y-88997131D01*
-X128285463Y-88950019D01*
-X128248010Y-88930000D01*
-X128285463Y-88909981D01*
-X128342869Y-88862869D01*
-X128389981Y-88805463D01*
-X128424988Y-88739970D01*
-X128446545Y-88668905D01*
-X128453824Y-88595000D01*
-X128453824Y-88275000D01*
-X128971176Y-88275000D01*
-X128978455Y-88348905D01*
-X129000012Y-88419970D01*
-X129035019Y-88485463D01*
-X129082131Y-88542869D01*
-X129139537Y-88589981D01*
-X129205030Y-88624988D01*
-X129276095Y-88646545D01*
-X129350000Y-88653824D01*
-X129728750Y-88652000D01*
-X129823000Y-88557750D01*
-X129823000Y-88027000D01*
-X129067250Y-88027000D01*
-X128973000Y-88121250D01*
-X128971176Y-88275000D01*
-X128453824Y-88275000D01*
-X128453824Y-87995000D01*
-X128446545Y-87921095D01*
-X128424988Y-87850030D01*
-X128389981Y-87784537D01*
-X128342869Y-87727131D01*
-X128285463Y-87680019D01*
-X128219970Y-87645012D01*
-X128148905Y-87623455D01*
-X128075000Y-87616176D01*
-X126525000Y-87616176D01*
-X126451095Y-87623455D01*
-X126380030Y-87645012D01*
-X126314537Y-87680019D01*
-X126257131Y-87727131D01*
-X126210019Y-87784537D01*
-X126177000Y-87846311D01*
-X126177000Y-87525000D01*
-X128971176Y-87525000D01*
-X128973000Y-87678750D01*
-X129067250Y-87773000D01*
-X129823000Y-87773000D01*
-X129823000Y-87242250D01*
-X129728750Y-87148000D01*
-X129350000Y-87146176D01*
-X129276095Y-87153455D01*
-X129205030Y-87175012D01*
-X129139537Y-87210019D01*
-X129082131Y-87257131D01*
-X129035019Y-87314537D01*
-X129000012Y-87380030D01*
-X128978455Y-87451095D01*
-X128971176Y-87525000D01*
-X126177000Y-87525000D01*
-X126177000Y-86682723D01*
-X135170572Y-86682723D01*
-X135208412Y-86901474D01*
-X135288202Y-87108640D01*
-X135314957Y-87158694D01*
-X135481590Y-87238805D01*
-X136120395Y-86600000D01*
-X136479605Y-86600000D01*
-X137118410Y-87238805D01*
-X137285043Y-87158694D01*
-X137375111Y-86955787D01*
-X137423864Y-86739207D01*
-X137429428Y-86517277D01*
-X137391588Y-86298526D01*
-X137311798Y-86091360D01*
-X137285043Y-86041306D01*
-X137118410Y-85961195D01*
-X136479605Y-86600000D01*
-X136120395Y-86600000D01*
-X135481590Y-85961195D01*
-X135314957Y-86041306D01*
-X135224889Y-86244213D01*
-X135176136Y-86460793D01*
-X135170572Y-86682723D01*
-X126177000Y-86682723D01*
-X126177000Y-86651165D01*
-X126223905Y-86646545D01*
-X126294970Y-86624988D01*
-X126360463Y-86589981D01*
-X126417869Y-86542869D01*
-X126464981Y-86485463D01*
-X126499988Y-86419970D01*
-X126521545Y-86348905D01*
-X126528824Y-86275000D01*
-X126528824Y-85781590D01*
-X135661195Y-85781590D01*
-X136300000Y-86420395D01*
-X136938805Y-85781590D01*
-X136858694Y-85614957D01*
-X136655787Y-85524889D01*
-X136439207Y-85476136D01*
-X136217277Y-85470572D01*
-X135998526Y-85508412D01*
-X135791360Y-85588202D01*
-X135741306Y-85614957D01*
-X135661195Y-85781590D01*
-X126528824Y-85781590D01*
-X126528824Y-85525000D01*
-X126521545Y-85451095D01*
-X126499988Y-85380030D01*
-X126464981Y-85314537D01*
-X126417869Y-85257131D01*
-X126360463Y-85210019D01*
-X126294970Y-85175012D01*
-X126223905Y-85153455D01*
-X126150000Y-85146176D01*
-X124950000Y-85146176D01*
-X124876095Y-85153455D01*
-X124805030Y-85175012D01*
-X124739537Y-85210019D01*
-X124682131Y-85257131D01*
-X124635019Y-85314537D01*
-X124600012Y-85380030D01*
-X124600000Y-85380070D01*
-X124599988Y-85380030D01*
-X124564981Y-85314537D01*
-X124517869Y-85257131D01*
-X124460463Y-85210019D01*
-X124394970Y-85175012D01*
-X124323905Y-85153455D01*
-X124250000Y-85146176D01*
-X123871250Y-85148000D01*
-X123777000Y-85242250D01*
-X123777000Y-85773000D01*
-X123797000Y-85773000D01*
-X123797000Y-86027000D01*
-X123777000Y-86027000D01*
-X123777000Y-86557750D01*
-X123871250Y-86652000D01*
-X124250000Y-86653824D01*
-X124323905Y-86646545D01*
-X124394970Y-86624988D01*
-X124460463Y-86589981D01*
-X124517869Y-86542869D01*
-X124564981Y-86485463D01*
-X124599988Y-86419970D01*
-X124600000Y-86419930D01*
-X124600012Y-86419970D01*
-X124635019Y-86485463D01*
-X124682131Y-86542869D01*
-X124739537Y-86589981D01*
-X124805030Y-86624988D01*
-X124876095Y-86646545D01*
-X124923000Y-86651165D01*
-X124923001Y-90329196D01*
-X124919967Y-90360000D01*
-X124932073Y-90482912D01*
-X124965740Y-90593896D01*
-X124967926Y-90601103D01*
-X125026148Y-90710028D01*
-X125104500Y-90805501D01*
-X125128422Y-90825133D01*
-X125138289Y-90835000D01*
-X125078427Y-90894862D01*
-X125054499Y-90914499D01*
-X124976147Y-91009973D01*
-X124917925Y-91118898D01*
-X124887853Y-91218035D01*
-X124882073Y-91237088D01*
-X124869967Y-91360000D01*
-X124870063Y-91360972D01*
-X124869407Y-91359745D01*
-X124843027Y-91327601D01*
-X124822389Y-91302454D01*
-X124822387Y-91302452D01*
-X124806674Y-91283306D01*
-X124787528Y-91267593D01*
-X123053824Y-89533890D01*
-X123053824Y-89265000D01*
-X123046545Y-89191095D01*
-X123024988Y-89120030D01*
-X122989981Y-89054537D01*
-X122942869Y-88997131D01*
-X122885463Y-88950019D01*
-X122848010Y-88930000D01*
-X122885463Y-88909981D01*
-X122942869Y-88862869D01*
-X122989981Y-88805463D01*
-X123024988Y-88739970D01*
-X123046545Y-88668905D01*
-X123053824Y-88595000D01*
-X123053824Y-87995000D01*
-X123046545Y-87921095D01*
-X123024988Y-87850030D01*
-X122989981Y-87784537D01*
-X122942869Y-87727131D01*
-X122885463Y-87680019D01*
-X122819970Y-87645012D01*
-X122748905Y-87623455D01*
-X122675000Y-87616176D01*
-X121125000Y-87616176D01*
-X121051095Y-87623455D01*
-X121002000Y-87638348D01*
-X121002000Y-87444344D01*
-X122171344Y-86275000D01*
-X122671176Y-86275000D01*
-X122678455Y-86348905D01*
-X122700012Y-86419970D01*
-X122735019Y-86485463D01*
-X122782131Y-86542869D01*
-X122839537Y-86589981D01*
-X122905030Y-86624988D01*
-X122976095Y-86646545D01*
-X123050000Y-86653824D01*
-X123428750Y-86652000D01*
-X123523000Y-86557750D01*
-X123523000Y-86027000D01*
-X122767250Y-86027000D01*
-X122673000Y-86121250D01*
-X122671176Y-86275000D01*
-X122171344Y-86275000D01*
-X122720297Y-85726047D01*
-X122767250Y-85773000D01*
-X123523000Y-85773000D01*
-X123523000Y-85242250D01*
-X123428750Y-85148000D01*
-X123298970Y-85147375D01*
-X124287549Y-84158796D01*
-X124306695Y-84143083D01*
-X124336385Y-84106907D01*
-X124369427Y-84066645D01*
-X124369756Y-84066030D01*
-X124416042Y-83979435D01*
-X124444747Y-83884808D01*
-X124452011Y-83811052D01*
-X124452011Y-83811043D01*
-X124454438Y-83786400D01*
-X124452011Y-83761757D01*
-X124452011Y-82867815D01*
-X124498774Y-82980711D01*
-G36*
-X79493324Y-65407833D02*
-G01*
-X79333835Y-65301266D01*
-X79128734Y-65216310D01*
-X78911000Y-65173000D01*
-X78689000Y-65173000D01*
-X78471266Y-65216310D01*
-X78266165Y-65301266D01*
-X78081579Y-65424602D01*
-X77924602Y-65581579D01*
-X77801266Y-65766165D01*
-X77716310Y-65971266D01*
-X77673000Y-66189000D01*
-X77673000Y-66411000D01*
-X77716310Y-66628734D01*
-X77801266Y-66833835D01*
-X77924602Y-67018421D01*
-X78081579Y-67175398D01*
-X78173001Y-67236484D01*
-X78173001Y-67619257D01*
-X78147746Y-67629718D01*
-X77922213Y-67780414D01*
-X77730414Y-67972213D01*
-X77579718Y-68197746D01*
-X77475917Y-68448344D01*
-X77423000Y-68714377D01*
-X77423000Y-68985623D01*
-X77475917Y-69251656D01*
-X77579718Y-69502254D01*
-X77693807Y-69673000D01*
-X73797982Y-69673000D01*
-X73749999Y-69668274D01*
-X73702016Y-69673000D01*
-X73702007Y-69673000D01*
-X73558475Y-69687137D01*
-X73374309Y-69743003D01*
-X73204582Y-69833724D01*
-X73055814Y-69955814D01*
-X73025220Y-69993093D01*
-X72803609Y-70214705D01*
-X72633835Y-70101266D01*
-X72428734Y-70016310D01*
-X72211000Y-69973000D01*
-X71989000Y-69973000D01*
-X71771266Y-70016310D01*
-X71566165Y-70101266D01*
-X71381579Y-70224602D01*
-X71224602Y-70381579D01*
-X71101266Y-70566165D01*
-X71016310Y-70771266D01*
-X70973000Y-70989000D01*
-X70973000Y-71211000D01*
-X71016310Y-71428734D01*
-X71101266Y-71633835D01*
-X71214705Y-71803609D01*
-X71055593Y-71962721D01*
-X71018315Y-71993314D01*
-X70987722Y-72030592D01*
-X70987721Y-72030593D01*
-X70896225Y-72142082D01*
-X70805503Y-72311810D01*
-X70749637Y-72495975D01*
-X70730773Y-72687500D01*
-X70735501Y-72735503D01*
-X70735501Y-81015471D01*
-X70727152Y-81025644D01*
-X70669042Y-81134359D01*
-X70633259Y-81252323D01*
-X70621176Y-81375000D01*
-X70621176Y-82625000D01*
-X70633259Y-82747677D01*
-X70669042Y-82865641D01*
-X70727152Y-82974356D01*
-X70735501Y-82984529D01*
-X70735501Y-93873000D01*
-X70377000Y-93873000D01*
-X70377000Y-65127000D01*
-X79774157Y-65127000D01*
-X79493324Y-65407833D01*
-X79493324Y-65407833D01*
-G37*
-X79493324Y-65407833D02*
-X79333835Y-65301266D01*
-X79128734Y-65216310D01*
-X78911000Y-65173000D01*
-X78689000Y-65173000D01*
-X78471266Y-65216310D01*
-X78266165Y-65301266D01*
-X78081579Y-65424602D01*
-X77924602Y-65581579D01*
-X77801266Y-65766165D01*
-X77716310Y-65971266D01*
-X77673000Y-66189000D01*
-X77673000Y-66411000D01*
-X77716310Y-66628734D01*
-X77801266Y-66833835D01*
-X77924602Y-67018421D01*
-X78081579Y-67175398D01*
-X78173001Y-67236484D01*
-X78173001Y-67619257D01*
-X78147746Y-67629718D01*
-X77922213Y-67780414D01*
-X77730414Y-67972213D01*
-X77579718Y-68197746D01*
-X77475917Y-68448344D01*
-X77423000Y-68714377D01*
-X77423000Y-68985623D01*
-X77475917Y-69251656D01*
-X77579718Y-69502254D01*
-X77693807Y-69673000D01*
-X73797982Y-69673000D01*
-X73749999Y-69668274D01*
-X73702016Y-69673000D01*
-X73702007Y-69673000D01*
-X73558475Y-69687137D01*
-X73374309Y-69743003D01*
-X73204582Y-69833724D01*
-X73055814Y-69955814D01*
-X73025220Y-69993093D01*
-X72803609Y-70214705D01*
-X72633835Y-70101266D01*
-X72428734Y-70016310D01*
-X72211000Y-69973000D01*
-X71989000Y-69973000D01*
-X71771266Y-70016310D01*
-X71566165Y-70101266D01*
-X71381579Y-70224602D01*
-X71224602Y-70381579D01*
-X71101266Y-70566165D01*
-X71016310Y-70771266D01*
-X70973000Y-70989000D01*
-X70973000Y-71211000D01*
-X71016310Y-71428734D01*
-X71101266Y-71633835D01*
-X71214705Y-71803609D01*
-X71055593Y-71962721D01*
-X71018315Y-71993314D01*
-X70987722Y-72030592D01*
-X70987721Y-72030593D01*
-X70896225Y-72142082D01*
-X70805503Y-72311810D01*
-X70749637Y-72495975D01*
-X70730773Y-72687500D01*
-X70735501Y-72735503D01*
-X70735501Y-81015471D01*
-X70727152Y-81025644D01*
-X70669042Y-81134359D01*
-X70633259Y-81252323D01*
-X70621176Y-81375000D01*
-X70621176Y-82625000D01*
-X70633259Y-82747677D01*
-X70669042Y-82865641D01*
-X70727152Y-82974356D01*
-X70735501Y-82984529D01*
-X70735501Y-93873000D01*
-X70377000Y-93873000D01*
-X70377000Y-65127000D01*
-X79774157Y-65127000D01*
-X79493324Y-65407833D01*
-G36*
-X118623000Y-65500000D02*
-G01*
-X118630244Y-65573549D01*
-X118651697Y-65644272D01*
-X118686536Y-65709450D01*
-X118733421Y-65766579D01*
-X118790550Y-65813464D01*
-X118855728Y-65848303D01*
-X118926451Y-65869756D01*
-X119000000Y-65877000D01*
-X128697065Y-65877000D01*
-X129157607Y-66337543D01*
-X129173316Y-66356684D01*
-X129192456Y-66372392D01*
-X129192464Y-66372400D01*
-X129236422Y-66408475D01*
-X129249755Y-66419417D01*
-X129336964Y-66466031D01*
-X129431591Y-66494736D01*
-X129505347Y-66502000D01*
-X129505357Y-66502000D01*
-X129530000Y-66504427D01*
-X129554643Y-66502000D01*
-X134403155Y-66502000D01*
-X134504691Y-66603536D01*
-X134631952Y-66688569D01*
-X134773357Y-66747141D01*
-X134923472Y-66777000D01*
-X135076528Y-66777000D01*
-X135226643Y-66747141D01*
-X135368048Y-66688569D01*
-X135495309Y-66603536D01*
-X135596845Y-66502000D01*
-X146315357Y-66502000D01*
-X146340000Y-66504427D01*
-X146364643Y-66502000D01*
-X146364653Y-66502000D01*
-X146438409Y-66494736D01*
-X146533036Y-66466031D01*
-X146620245Y-66419417D01*
-X146696684Y-66356684D01*
-X146712401Y-66337533D01*
-X147172935Y-65877000D01*
-X158000000Y-65877000D01*
-X158073549Y-65869756D01*
-X158144272Y-65848303D01*
-X158209450Y-65813464D01*
-X158266579Y-65766579D01*
-X158313464Y-65709450D01*
-X158348303Y-65644272D01*
-X158369756Y-65573549D01*
-X158377000Y-65500000D01*
-X158377000Y-65152000D01*
-X158642118Y-65152000D01*
-X158670089Y-65174955D01*
-X158759432Y-65222709D01*
-X158701095Y-65228455D01*
-X158630030Y-65250012D01*
-X158564537Y-65285019D01*
-X158507131Y-65332131D01*
-X158460019Y-65389537D01*
-X158425012Y-65455030D01*
-X158403455Y-65526095D01*
-X158396176Y-65600000D01*
-X158398000Y-65903750D01*
-X158492250Y-65998000D01*
-X159123000Y-65998000D01*
-X159123000Y-65978000D01*
-X159377000Y-65978000D01*
-X159377000Y-65998000D01*
-X160007750Y-65998000D01*
-X160102000Y-65903750D01*
-X160103824Y-65600000D01*
-X160096545Y-65526095D01*
-X160074988Y-65455030D01*
-X160039981Y-65389537D01*
-X159992869Y-65332131D01*
-X159935463Y-65285019D01*
-X159869970Y-65250012D01*
-X159798905Y-65228455D01*
-X159740568Y-65222709D01*
-X159829911Y-65174955D01*
-X159923307Y-65098307D01*
-X159999955Y-65004911D01*
-X160056909Y-64898357D01*
-X160091982Y-64782739D01*
-X160103824Y-64662500D01*
-X160103824Y-64087500D01*
-X160091982Y-63967261D01*
-X160056909Y-63851643D01*
-X159999955Y-63745089D01*
-X159923307Y-63651693D01*
-X159829911Y-63575045D01*
-X159723357Y-63518091D01*
-X159607739Y-63483018D01*
-X159487500Y-63471176D01*
-X159012500Y-63471176D01*
-X158892261Y-63483018D01*
-X158776643Y-63518091D01*
-X158670089Y-63575045D01*
-X158642118Y-63598000D01*
-X158377000Y-63598000D01*
-X158377000Y-55377000D01*
-X159873000Y-55377000D01*
-X159873000Y-63000000D01*
-X159875440Y-63024776D01*
-X159882667Y-63048601D01*
-X159894403Y-63070557D01*
-X159910197Y-63089803D01*
-X161910197Y-65089803D01*
-X161929443Y-65105597D01*
-X161951399Y-65117333D01*
-X161975224Y-65124560D01*
-X162000000Y-65127000D01*
-X169623001Y-65127000D01*
-X169623001Y-93873000D01*
-X169202000Y-93873000D01*
-X169202000Y-93124644D01*
-X169204427Y-93099999D01*
-X169202000Y-93075356D01*
-X169202000Y-93075346D01*
-X169194736Y-93001590D01*
-X169166031Y-92906963D01*
-X169141176Y-92860463D01*
-X169119417Y-92819753D01*
-X169072400Y-92762463D01*
-X169072392Y-92762455D01*
-X169056684Y-92743315D01*
-X169037543Y-92727606D01*
-X167497397Y-91187462D01*
-X167481684Y-91168316D01*
-X167462536Y-91152601D01*
-X167462535Y-91152600D01*
-X167438347Y-91132750D01*
-X167405245Y-91105583D01*
-X167318036Y-91058969D01*
-X167223409Y-91030264D01*
-X167149653Y-91023000D01*
-X167149643Y-91023000D01*
-X167125000Y-91020573D01*
-X167100357Y-91023000D01*
-X165169505Y-91023000D01*
-X165164981Y-91014537D01*
-X165117869Y-90957131D01*
-X165060463Y-90910019D01*
-X165023010Y-90890000D01*
-X165060463Y-90869981D01*
-X165117869Y-90822869D01*
-X165164981Y-90765463D01*
-X165169505Y-90757000D01*
-X167747066Y-90757000D01*
-X167973000Y-90982935D01*
-X167973000Y-91126528D01*
-X168002859Y-91276643D01*
-X168061431Y-91418048D01*
-X168146464Y-91545309D01*
-X168254691Y-91653536D01*
-X168381952Y-91738569D01*
-X168523357Y-91797141D01*
-X168673472Y-91827000D01*
-X168826528Y-91827000D01*
-X168976643Y-91797141D01*
-X169118048Y-91738569D01*
-X169245309Y-91653536D01*
-X169353536Y-91545309D01*
-X169438569Y-91418048D01*
-X169497141Y-91276643D01*
-X169527000Y-91126528D01*
-X169527000Y-90973472D01*
-X169497141Y-90823357D01*
-X169438569Y-90681952D01*
-X169353536Y-90554691D01*
-X169245309Y-90446464D01*
-X169118048Y-90361431D01*
-X168976643Y-90302859D01*
-X168826528Y-90273000D01*
-X168682935Y-90273000D01*
-X168327399Y-89917465D01*
-X168311684Y-89898316D01*
-X168235245Y-89835583D01*
-X168148036Y-89788969D01*
-X168053409Y-89760264D01*
-X167979653Y-89753000D01*
-X167979643Y-89753000D01*
-X167955000Y-89750573D01*
-X167930357Y-89753000D01*
-X165169505Y-89753000D01*
-X165164981Y-89744537D01*
-X165117869Y-89687131D01*
-X165060463Y-89640019D01*
-X165023010Y-89620000D01*
-X165060463Y-89599981D01*
-X165117869Y-89552869D01*
-X165164981Y-89495463D01*
-X165169505Y-89487000D01*
-X165240357Y-89487000D01*
-X165265000Y-89489427D01*
-X165289643Y-89487000D01*
-X165289653Y-89487000D01*
-X165363409Y-89479736D01*
-X165458036Y-89451031D01*
-X165545245Y-89404417D01*
-X165621684Y-89341684D01*
-X165637402Y-89322533D01*
-X168037538Y-86922397D01*
-X168056684Y-86906684D01*
-X168078809Y-86879726D01*
-X168107976Y-86844186D01*
-X168119417Y-86830245D01*
-X168166031Y-86743036D01*
-X168194736Y-86648409D01*
-X168202000Y-86574653D01*
-X168202000Y-86574644D01*
-X168204427Y-86550001D01*
-X168202000Y-86525358D01*
-X168202000Y-70907934D01*
-X168887538Y-70222397D01*
-X168906684Y-70206684D01*
-X168922397Y-70187538D01*
-X168922400Y-70187535D01*
-X168969417Y-70130246D01*
-X169016031Y-70043037D01*
-X169044736Y-69948410D01*
-X169047020Y-69925221D01*
-X169052000Y-69874653D01*
-X169052000Y-69874646D01*
-X169054427Y-69850000D01*
-X169052000Y-69825355D01*
-X169052000Y-68074642D01*
-X169054427Y-68049999D01*
-X169052000Y-68025356D01*
-X169052000Y-68025347D01*
-X169044736Y-67951591D01*
-X169016031Y-67856964D01*
-X168969417Y-67769755D01*
-X168947352Y-67742869D01*
-X168922399Y-67712464D01*
-X168922397Y-67712462D01*
-X168906684Y-67693316D01*
-X168887536Y-67677601D01*
-X168522401Y-67312467D01*
-X168506684Y-67293316D01*
-X168430245Y-67230583D01*
-X168343036Y-67183969D01*
-X168248409Y-67155264D01*
-X168218930Y-67152361D01*
-X168174955Y-67070089D01*
-X168098307Y-66976693D01*
-X168004911Y-66900045D01*
-X167898357Y-66843091D01*
-X167782739Y-66808018D01*
-X167662500Y-66796176D01*
-X167087500Y-66796176D01*
-X166967261Y-66808018D01*
-X166851643Y-66843091D01*
-X166745089Y-66900045D01*
-X166651693Y-66976693D01*
-X166575045Y-67070089D01*
-X166518091Y-67176643D01*
-X166500000Y-67236280D01*
-X166481909Y-67176643D01*
-X166424955Y-67070089D01*
-X166348307Y-66976693D01*
-X166254911Y-66900045D01*
-X166148357Y-66843091D01*
-X166055138Y-66814813D01*
-X166044417Y-66794755D01*
-X166020843Y-66766031D01*
-X165997399Y-66737464D01*
-X165997397Y-66737462D01*
-X165981684Y-66718316D01*
-X165962538Y-66702603D01*
-X165122390Y-65862456D01*
-X165106673Y-65843305D01*
-X165030234Y-65780572D01*
-X164943025Y-65733958D01*
-X164848398Y-65705253D01*
-X164774642Y-65697989D01*
-X164774632Y-65697989D01*
-X164749989Y-65695562D01*
-X164725346Y-65697989D01*
-X160792732Y-65697989D01*
-X160768089Y-65695562D01*
-X160743446Y-65697989D01*
-X160743437Y-65697989D01*
-X160669681Y-65705253D01*
-X160575054Y-65733958D01*
-X160487845Y-65780572D01*
-X160484003Y-65783725D01*
-X160434633Y-65824243D01*
-X160411406Y-65843305D01*
-X160395693Y-65862451D01*
-X160006144Y-66252000D01*
-X159377000Y-66252000D01*
-X159377000Y-66272000D01*
-X159123000Y-66272000D01*
-X159123000Y-66252000D01*
-X158492250Y-66252000D01*
-X158398000Y-66346250D01*
-X158396188Y-66648000D01*
-X144691822Y-66648000D01*
-X144667179Y-66645573D01*
-X144642536Y-66648000D01*
-X144642527Y-66648000D01*
-X144568771Y-66655264D01*
-X144474144Y-66683969D01*
-X144386935Y-66730583D01*
-X144367971Y-66746147D01*
-X144330377Y-66777000D01*
-X144310496Y-66793316D01*
-X144294783Y-66812462D01*
-X144009246Y-67098000D01*
-X125664642Y-67098000D01*
-X125639999Y-67095573D01*
-X125615356Y-67098000D01*
-X125615347Y-67098000D01*
-X125541591Y-67105264D01*
-X125446964Y-67133969D01*
-X125359755Y-67180583D01*
-X125359753Y-67180584D01*
-X125359754Y-67180584D01*
-X125303197Y-67227000D01*
-X125283316Y-67243316D01*
-X125267603Y-67262462D01*
-X124300569Y-68229497D01*
-X124268734Y-68216310D01*
-X124051000Y-68173000D01*
-X123829000Y-68173000D01*
-X123611266Y-68216310D01*
-X123406165Y-68301266D01*
-X123221579Y-68424602D01*
-X123064602Y-68581579D01*
-X122941266Y-68766165D01*
-X122856310Y-68971266D01*
-X122813000Y-69189000D01*
-X122813000Y-69411000D01*
-X122856310Y-69628734D01*
-X122941266Y-69833835D01*
-X123064602Y-70018421D01*
-X123221579Y-70175398D01*
-X123406165Y-70298734D01*
-X123611266Y-70383690D01*
-X123829000Y-70427000D01*
-X124051000Y-70427000D01*
-X124268734Y-70383690D01*
-X124473835Y-70298734D01*
-X124658421Y-70175398D01*
-X124715409Y-70118410D01*
-X132441195Y-70118410D01*
-X132521306Y-70285043D01*
-X132724213Y-70375111D01*
-X132940793Y-70423864D01*
-X133162723Y-70429428D01*
-X133381474Y-70391588D01*
-X133588640Y-70311798D01*
-X133638694Y-70285043D01*
-X133718805Y-70118410D01*
-X133080000Y-69479605D01*
-X132441195Y-70118410D01*
-X124715409Y-70118410D01*
-X124815398Y-70018421D01*
-X124938734Y-69833835D01*
-X125023690Y-69628734D01*
-X125067000Y-69411000D01*
-X125067000Y-69382723D01*
-X131950572Y-69382723D01*
-X131988412Y-69601474D01*
-X132068202Y-69808640D01*
-X132094957Y-69858694D01*
-X132261590Y-69938805D01*
-X132900395Y-69300000D01*
-X133259605Y-69300000D01*
-X133898410Y-69938805D01*
-X134065043Y-69858694D01*
-X134155111Y-69655787D01*
-X134203864Y-69439207D01*
-X134209428Y-69217277D01*
-X134171588Y-68998526D01*
-X134091798Y-68791360D01*
-X134065043Y-68741306D01*
-X133898410Y-68661195D01*
-X133259605Y-69300000D01*
-X132900395Y-69300000D01*
-X132261590Y-68661195D01*
-X132094957Y-68741306D01*
-X132004889Y-68944213D01*
-X131956136Y-69160793D01*
-X131950572Y-69382723D01*
-X125067000Y-69382723D01*
-X125067000Y-69189000D01*
-X125023690Y-68971266D01*
-X125010503Y-68939431D01*
-X125468344Y-68481590D01*
-X132441195Y-68481590D01*
-X133080000Y-69120395D01*
-X133718805Y-68481590D01*
-X133638694Y-68314957D01*
-X133435787Y-68224889D01*
-X133219207Y-68176136D01*
-X132997277Y-68170572D01*
-X132778526Y-68208412D01*
-X132571360Y-68288202D01*
-X132521306Y-68314957D01*
-X132441195Y-68481590D01*
-X125468344Y-68481590D01*
-X125847935Y-68102000D01*
-X135858065Y-68102000D01*
-X135730569Y-68229497D01*
-X135698734Y-68216310D01*
-X135481000Y-68173000D01*
-X135259000Y-68173000D01*
-X135041266Y-68216310D01*
-X134836165Y-68301266D01*
-X134651579Y-68424602D01*
-X134494602Y-68581579D01*
-X134371266Y-68766165D01*
-X134286310Y-68971266D01*
-X134243000Y-69189000D01*
-X134243000Y-69411000D01*
-X134286310Y-69628734D01*
-X134371266Y-69833835D01*
-X134494602Y-70018421D01*
-X134651579Y-70175398D01*
-X134836165Y-70298734D01*
-X135041266Y-70383690D01*
-X135259000Y-70427000D01*
-X135481000Y-70427000D01*
-X135698734Y-70383690D01*
-X135903835Y-70298734D01*
-X136088421Y-70175398D01*
-X136245398Y-70018421D01*
-X136368734Y-69833835D01*
-X136453690Y-69628734D01*
-X136497000Y-69411000D01*
-X136497000Y-69189000D01*
-X136453690Y-68971266D01*
-X136440503Y-68939431D01*
-X136827935Y-68552000D01*
-X136864853Y-68552000D01*
-X136811431Y-68631952D01*
-X136752859Y-68773357D01*
-X136723000Y-68923472D01*
-X136723000Y-69076528D01*
-X136752859Y-69226643D01*
-X136811431Y-69368048D01*
-X136855726Y-69434340D01*
-X135792066Y-70498000D01*
-X135024642Y-70498000D01*
-X134999999Y-70495573D01*
-X134975356Y-70498000D01*
-X134975347Y-70498000D01*
-X134901591Y-70505264D01*
-X134806964Y-70533969D01*
-X134719755Y-70580583D01*
-X134643316Y-70643316D01*
-X134627603Y-70662462D01*
-X133777467Y-71512599D01*
-X133758316Y-71528316D01*
-X133695583Y-71604755D01*
-X133648969Y-71691965D01*
-X133620264Y-71786592D01*
-X133613000Y-71860348D01*
-X133613000Y-71860357D01*
-X133610573Y-71885000D01*
-X133613000Y-71909643D01*
-X133613000Y-71955495D01*
-X133604537Y-71960019D01*
-X133547131Y-72007131D01*
-X133500019Y-72064537D01*
-X133480000Y-72101990D01*
-X133459981Y-72064537D01*
-X133412869Y-72007131D01*
-X133355463Y-71960019D01*
-X133289970Y-71925012D01*
-X133218905Y-71903455D01*
-X133145000Y-71896176D01*
-X132545000Y-71896176D01*
-X132471095Y-71903455D01*
-X132400030Y-71925012D01*
-X132334537Y-71960019D01*
-X132277131Y-72007131D01*
-X132230019Y-72064537D01*
-X132195012Y-72130030D01*
-X132173455Y-72201095D01*
-X132166176Y-72275000D01*
-X132166176Y-73573000D01*
-X131751165Y-73573000D01*
-X131746545Y-73526095D01*
-X131724988Y-73455030D01*
-X131689981Y-73389537D01*
-X131642869Y-73332131D01*
-X131585463Y-73285019D01*
-X131519970Y-73250012D01*
-X131519930Y-73250000D01*
-X131519970Y-73249988D01*
-X131585463Y-73214981D01*
-X131642869Y-73167869D01*
-X131689981Y-73110463D01*
-X131724988Y-73044970D01*
-X131746545Y-72973905D01*
-X131753824Y-72900000D01*
-X131752000Y-72521250D01*
-X131657750Y-72427000D01*
-X131127000Y-72427000D01*
-X131127000Y-72447000D01*
-X130873000Y-72447000D01*
-X130873000Y-72427000D01*
-X130342250Y-72427000D01*
-X130248000Y-72521250D01*
-X130246176Y-72900000D01*
-X130253455Y-72973905D01*
-X130275012Y-73044970D01*
-X130310019Y-73110463D01*
-X130357131Y-73167869D01*
-X130414537Y-73214981D01*
-X130480030Y-73249988D01*
-X130480070Y-73250000D01*
-X130480030Y-73250012D01*
-X130414537Y-73285019D01*
-X130357131Y-73332131D01*
-X130310019Y-73389537D01*
-X130292133Y-73423000D01*
-X129977000Y-73423000D01*
-X129977000Y-72338155D01*
-X129980758Y-72299999D01*
-X129977000Y-72261843D01*
-X129977000Y-72261834D01*
-X129965757Y-72147681D01*
-X129921327Y-72001216D01*
-X129894503Y-71951032D01*
-X129849177Y-71866233D01*
-X129807976Y-71816030D01*
-X129752080Y-71747920D01*
-X129722432Y-71723589D01*
-X129698843Y-71700000D01*
-X130246176Y-71700000D01*
-X130248000Y-72078750D01*
-X130342250Y-72173000D01*
-X130873000Y-72173000D01*
-X130873000Y-71417250D01*
-X131127000Y-71417250D01*
-X131127000Y-72173000D01*
-X131657750Y-72173000D01*
-X131752000Y-72078750D01*
-X131753824Y-71700000D01*
-X131746545Y-71626095D01*
-X131724988Y-71555030D01*
-X131689981Y-71489537D01*
-X131642869Y-71432131D01*
-X131585463Y-71385019D01*
-X131519970Y-71350012D01*
-X131448905Y-71328455D01*
-X131375000Y-71321176D01*
-X131221250Y-71323000D01*
-X131127000Y-71417250D01*
-X130873000Y-71417250D01*
-X130778750Y-71323000D01*
-X130625000Y-71321176D01*
-X130551095Y-71328455D01*
-X130480030Y-71350012D01*
-X130414537Y-71385019D01*
-X130357131Y-71432131D01*
-X130310019Y-71489537D01*
-X130275012Y-71555030D01*
-X130253455Y-71626095D01*
-X130246176Y-71700000D01*
-X129698843Y-71700000D01*
-X129301413Y-71302570D01*
-X129277080Y-71272920D01*
-X129158766Y-71175823D01*
-X129023784Y-71103673D01*
-X128877319Y-71059243D01*
-X128763166Y-71048000D01*
-X128763163Y-71048000D01*
-X128725000Y-71044241D01*
-X128686837Y-71048000D01*
-X128607882Y-71048000D01*
-X128579911Y-71025045D01*
-X128473357Y-70968091D01*
-X128357739Y-70933018D01*
-X128237500Y-70921176D01*
-X127762500Y-70921176D01*
-X127642261Y-70933018D01*
-X127526643Y-70968091D01*
-X127420089Y-71025045D01*
-X127392118Y-71048000D01*
-X126907882Y-71048000D01*
-X126879911Y-71025045D01*
-X126773357Y-70968091D01*
-X126657739Y-70933018D01*
-X126537500Y-70921176D01*
-X126062500Y-70921176D01*
-X125942261Y-70933018D01*
-X125826643Y-70968091D01*
-X125720089Y-71025045D01*
-X125692118Y-71048000D01*
-X120847160Y-71048000D01*
-X119917570Y-70118410D01*
-X121011195Y-70118410D01*
-X121091306Y-70285043D01*
-X121294213Y-70375111D01*
-X121510793Y-70423864D01*
-X121732723Y-70429428D01*
-X121951474Y-70391588D01*
-X122158640Y-70311798D01*
-X122208694Y-70285043D01*
-X122288805Y-70118410D01*
-X121650000Y-69479605D01*
-X121011195Y-70118410D01*
-X119917570Y-70118410D01*
-X119181883Y-69382723D01*
-X120520572Y-69382723D01*
-X120558412Y-69601474D01*
-X120638202Y-69808640D01*
-X120664957Y-69858694D01*
-X120831590Y-69938805D01*
-X121470395Y-69300000D01*
-X121829605Y-69300000D01*
-X122468410Y-69938805D01*
-X122635043Y-69858694D01*
-X122725111Y-69655787D01*
-X122773864Y-69439207D01*
-X122779428Y-69217277D01*
-X122741588Y-68998526D01*
-X122661798Y-68791360D01*
-X122635043Y-68741306D01*
-X122468410Y-68661195D01*
-X121829605Y-69300000D01*
-X121470395Y-69300000D01*
-X120831590Y-68661195D01*
-X120664957Y-68741306D01*
-X120574889Y-68944213D01*
-X120526136Y-69160793D01*
-X120520572Y-69382723D01*
-X119181883Y-69382723D01*
-X118280750Y-68481590D01*
-X121011195Y-68481590D01*
-X121650000Y-69120395D01*
-X122288805Y-68481590D01*
-X122208694Y-68314957D01*
-X122005787Y-68224889D01*
-X121789207Y-68176136D01*
-X121567277Y-68170572D01*
-X121348526Y-68208412D01*
-X121141360Y-68288202D01*
-X121091306Y-68314957D01*
-X121011195Y-68481590D01*
-X118280750Y-68481590D01*
-X117573759Y-67774600D01*
-X117610463Y-67754981D01*
-X117667869Y-67707869D01*
-X117714981Y-67650463D01*
-X117749988Y-67584970D01*
-X117771545Y-67513905D01*
-X117778824Y-67440000D01*
-X117778824Y-65740000D01*
-X117771545Y-65666095D01*
-X117749988Y-65595030D01*
-X117714981Y-65529537D01*
-X117667869Y-65472131D01*
-X117610463Y-65425019D01*
-X117544970Y-65390012D01*
-X117473905Y-65368455D01*
-X117400000Y-65361176D01*
-X115948758Y-65361176D01*
-X116110918Y-65199016D01*
-X116309466Y-65259245D01*
-X116489732Y-65277000D01*
-X116610268Y-65277000D01*
-X116790534Y-65259245D01*
-X117021824Y-65189084D01*
-X117234983Y-65075149D01*
-X117421817Y-64921817D01*
-X117575149Y-64734983D01*
-X117689084Y-64521824D01*
-X117759245Y-64290534D01*
-X117782936Y-64050000D01*
-X117759245Y-63809466D01*
-X117689084Y-63578176D01*
-X117575149Y-63365017D01*
-X117421817Y-63178183D01*
-X117234983Y-63024851D01*
-X117021824Y-62910916D01*
-X116790534Y-62840755D01*
-X116610268Y-62823000D01*
-X116489732Y-62823000D01*
-X116309466Y-62840755D01*
-X116078176Y-62910916D01*
-X115865017Y-63024851D01*
-X115678183Y-63178183D01*
-X115524851Y-63365017D01*
-X115410916Y-63578176D01*
-X115340755Y-63809466D01*
-X115317064Y-64050000D01*
-X115340755Y-64290534D01*
-X115400984Y-64489082D01*
-X115051990Y-64838076D01*
-X115051990Y-61826351D01*
-X115364482Y-61826351D01*
-X115388518Y-61905605D01*
-X115488014Y-62124597D01*
-X115628322Y-62319971D01*
-X115804049Y-62484218D01*
-X116008443Y-62611026D01*
-X116233648Y-62695523D01*
-X116423000Y-62636166D01*
-X116423000Y-61637000D01*
-X116677000Y-61637000D01*
-X116677000Y-62636166D01*
-X116866352Y-62695523D01*
-X117091557Y-62611026D01*
-X117295951Y-62484218D01*
-X117471678Y-62319971D01*
-X117611986Y-62124597D01*
-X117711482Y-61905605D01*
-X117735518Y-61826351D01*
-X117675608Y-61637000D01*
-X116677000Y-61637000D01*
-X116423000Y-61637000D01*
-X115424392Y-61637000D01*
-X115364482Y-61826351D01*
-X115051990Y-61826351D01*
-X115051990Y-61193649D01*
-X115364482Y-61193649D01*
-X115424392Y-61383000D01*
-X116423000Y-61383000D01*
-X116423000Y-60383834D01*
-X116677000Y-60383834D01*
-X116677000Y-61383000D01*
-X117675608Y-61383000D01*
-X117735518Y-61193649D01*
-X117711482Y-61114395D01*
-X117611986Y-60895403D01*
-X117471678Y-60700029D01*
-X117295951Y-60535782D01*
-X117091557Y-60408974D01*
-X116866352Y-60324477D01*
-X116677000Y-60383834D01*
-X116423000Y-60383834D01*
-X116233648Y-60324477D01*
-X116008443Y-60408974D01*
-X115804049Y-60535782D01*
-X115628322Y-60700029D01*
-X115488014Y-60895403D01*
-X115388518Y-61114395D01*
-X115364482Y-61193649D01*
-X115051990Y-61193649D01*
-X115051990Y-61177944D01*
-X116072400Y-60157535D01*
-X116072404Y-60157530D01*
-X116110918Y-60119016D01*
-X116309466Y-60179245D01*
-X116489732Y-60197000D01*
-X116610268Y-60197000D01*
-X116790534Y-60179245D01*
-X117021824Y-60109084D01*
-X117234983Y-59995149D01*
-X117421817Y-59841817D01*
-X117575149Y-59654983D01*
-X117689084Y-59441824D01*
-X117759245Y-59210534D01*
-X117782936Y-58970000D01*
-X117759245Y-58729466D01*
-X117689084Y-58498176D01*
-X117575149Y-58285017D01*
-X117421817Y-58098183D01*
-X117234983Y-57944851D01*
-X117021824Y-57830916D01*
-X116790534Y-57760755D01*
-X116610268Y-57743000D01*
-X116489732Y-57743000D01*
-X116309466Y-57760755D01*
-X116078176Y-57830916D01*
-X115865017Y-57944851D01*
-X115678183Y-58098183D01*
-X115524851Y-58285017D01*
-X115410916Y-58498176D01*
-X115340755Y-58729466D01*
-X115317064Y-58970000D01*
-X115340755Y-59210534D01*
-X115400984Y-59409082D01*
-X115362470Y-59447596D01*
-X115362465Y-59447600D01*
-X114212453Y-60597613D01*
-X114193307Y-60613326D01*
-X114177594Y-60632472D01*
-X114177591Y-60632475D01*
-X114130574Y-60689765D01*
-X114083960Y-60776974D01*
-X114055255Y-60871601D01*
-X114045563Y-60970010D01*
-X114047991Y-60994663D01*
-X114047990Y-67525347D01*
-X114045563Y-67549990D01*
-X114047990Y-67574633D01*
-X114047990Y-67574642D01*
-X114055254Y-67648398D01*
-X114083959Y-67743025D01*
-X114130573Y-67830235D01*
-X114193306Y-67906674D01*
-X114212458Y-67922392D01*
-X122752603Y-76462538D01*
-X122768316Y-76481684D01*
-X122787462Y-76497397D01*
-X122787464Y-76497399D01*
-X122818659Y-76523000D01*
-X122844755Y-76544417D01*
-X122886776Y-76566878D01*
-X121002001Y-78451654D01*
-X121002001Y-75014642D01*
-X121004428Y-74989999D01*
-X121002001Y-74965356D01*
-X121002001Y-74965346D01*
-X120994737Y-74891590D01*
-X120966032Y-74796963D01*
-X120940080Y-74748410D01*
-X120919418Y-74709753D01*
-X120872401Y-74652463D01*
-X120872393Y-74652455D01*
-X120856685Y-74633315D01*
-X120837544Y-74617606D01*
-X117057402Y-70837466D01*
-X117041685Y-70818315D01*
-X116965246Y-70755582D01*
-X116878037Y-70708968D01*
-X116783410Y-70680263D01*
-X116709654Y-70672999D01*
-X116709644Y-70672999D01*
-X116685001Y-70670572D01*
-X116660358Y-70672999D01*
-X113480438Y-70672999D01*
-X113474987Y-70655029D01*
-X113439980Y-70589536D01*
-X113392868Y-70532130D01*
-X113335462Y-70485018D01*
-X113269969Y-70450011D01*
-X113198904Y-70428454D01*
-X113124999Y-70421175D01*
-X113102000Y-70421175D01*
-X113102000Y-69851511D01*
-X113104911Y-69849955D01*
-X113198307Y-69773307D01*
-X113274955Y-69679911D01*
-X113331909Y-69573357D01*
-X113366982Y-69457739D01*
-X113378824Y-69337500D01*
-X113378824Y-68862500D01*
-X113366982Y-68742261D01*
-X113331909Y-68626643D01*
-X113274955Y-68520089D01*
-X113198307Y-68426693D01*
-X113104911Y-68350045D01*
-X113102000Y-68348489D01*
-X113102000Y-67851511D01*
-X113104911Y-67849955D01*
-X113198307Y-67773307D01*
-X113274955Y-67679911D01*
-X113331909Y-67573357D01*
-X113366982Y-67457739D01*
-X113378824Y-67337500D01*
-X113378824Y-66862500D01*
-X113366982Y-66742261D01*
-X113331909Y-66626643D01*
-X113274955Y-66520089D01*
-X113198307Y-66426693D01*
-X113104911Y-66350045D01*
-X112998357Y-66293091D01*
-X112882739Y-66258018D01*
-X112762500Y-66246176D01*
-X112187500Y-66246176D01*
-X112067261Y-66258018D01*
-X111951643Y-66293091D01*
-X111845089Y-66350045D01*
-X111751693Y-66426693D01*
-X111675045Y-66520089D01*
-X111618091Y-66626643D01*
-X111600000Y-66686280D01*
-X111581909Y-66626643D01*
-X111524955Y-66520089D01*
-X111448307Y-66426693D01*
-X111354911Y-66350045D01*
-X111248357Y-66293091D01*
-X111132739Y-66258018D01*
-X111012500Y-66246176D01*
-X110437500Y-66246176D01*
-X110317261Y-66258018D01*
-X110201643Y-66293091D01*
-X110095089Y-66350045D01*
-X110001693Y-66426693D01*
-X109925045Y-66520089D01*
-X109868091Y-66626643D01*
-X109833018Y-66742261D01*
-X109821176Y-66862500D01*
-X109821176Y-67117112D01*
-X109622019Y-67316269D01*
-X109532750Y-67227000D01*
-X108777000Y-67227000D01*
-X108777000Y-67757750D01*
-X108871250Y-67852000D01*
-X109085258Y-67853031D01*
-X108737500Y-68200788D01*
-X108388904Y-67852192D01*
-X108428750Y-67852000D01*
-X108523000Y-67757750D01*
-X108523000Y-67227000D01*
-X108503000Y-67227000D01*
-X108503000Y-66973000D01*
-X108523000Y-66973000D01*
-X108523000Y-66442250D01*
-X108777000Y-66442250D01*
-X108777000Y-66973000D01*
-X109532750Y-66973000D01*
-X109627000Y-66878750D01*
-X109628824Y-66725000D01*
-X109621545Y-66651095D01*
-X109599988Y-66580030D01*
-X109564981Y-66514537D01*
-X109517869Y-66457131D01*
-X109460463Y-66410019D01*
-X109394970Y-66375012D01*
-X109323905Y-66353455D01*
-X109250000Y-66346176D01*
-X108871250Y-66348000D01*
-X108777000Y-66442250D01*
-X108523000Y-66442250D01*
-X108489353Y-66408603D01*
-X108521327Y-66348784D01*
-X108527428Y-66328673D01*
-X108565757Y-66202319D01*
-X108577000Y-66088166D01*
-X108577000Y-66088157D01*
-X108580758Y-66050001D01*
-X108577000Y-66011845D01*
-X108577000Y-64778824D01*
-X108800000Y-64778824D01*
-X108873905Y-64771545D01*
-X108944970Y-64749988D01*
-X109010463Y-64714981D01*
-X109067869Y-64667869D01*
-X109114981Y-64610463D01*
-X109149988Y-64544970D01*
-X109171545Y-64473905D01*
-X109178824Y-64400000D01*
-X109178824Y-62400000D01*
-X109171545Y-62326095D01*
-X109149988Y-62255030D01*
-X109114981Y-62189537D01*
-X109067869Y-62132131D01*
-X109010463Y-62085019D01*
-X108944970Y-62050012D01*
-X108873905Y-62028455D01*
-X108800000Y-62021176D01*
-X106800000Y-62021176D01*
-X106726095Y-62028455D01*
-X106655030Y-62050012D01*
-X106589537Y-62085019D01*
-X106532131Y-62132131D01*
-X106485019Y-62189537D01*
-X106450012Y-62255030D01*
-X106428455Y-62326095D01*
-X106421176Y-62400000D01*
-X106421176Y-63397138D01*
-X104269787Y-65548527D01*
-X104264981Y-65539537D01*
-X104217869Y-65482131D01*
-X104160463Y-65435019D01*
-X104094970Y-65400012D01*
-X104023905Y-65378455D01*
-X103950000Y-65371176D01*
-X101650000Y-65371176D01*
-X101576095Y-65378455D01*
-X101505030Y-65400012D01*
-X101439537Y-65435019D01*
-X101382131Y-65482131D01*
-X101335019Y-65539537D01*
-X101300012Y-65605030D01*
-X101278455Y-65676095D01*
-X101271176Y-65750000D01*
-X101271176Y-69250000D01*
-X101278455Y-69323905D01*
-X101300012Y-69394970D01*
-X101317337Y-69427383D01*
-X101247681Y-69434243D01*
-X101132530Y-69469174D01*
-X101101216Y-69478673D01*
-X100966233Y-69550823D01*
-X100886777Y-69616031D01*
-X100847920Y-69647920D01*
-X100823592Y-69677564D01*
-X100028157Y-70473000D01*
-X98953824Y-70473000D01*
-X98953824Y-70470000D01*
-X98946545Y-70396095D01*
-X98924988Y-70325030D01*
-X98889981Y-70259537D01*
-X98842869Y-70202131D01*
-X98785463Y-70155019D01*
-X98719970Y-70120012D01*
-X98648905Y-70098455D01*
-X98575000Y-70091176D01*
-X97925000Y-70091176D01*
-X97851095Y-70098455D01*
-X97780030Y-70120012D01*
-X97775000Y-70122701D01*
-X97769970Y-70120012D01*
-X97698905Y-70098455D01*
-X97625000Y-70091176D01*
-X97521250Y-70093000D01*
-X97427000Y-70187250D01*
-X97427000Y-71123000D01*
-X97447000Y-71123000D01*
-X97447000Y-71377000D01*
-X97427000Y-71377000D01*
-X97427000Y-71397000D01*
-X97173000Y-71397000D01*
-X97173000Y-71377000D01*
-X97153000Y-71377000D01*
-X97153000Y-71123000D01*
-X97173000Y-71123000D01*
-X97173000Y-70187250D01*
-X97078750Y-70093000D01*
-X96975000Y-70091176D01*
-X96901095Y-70098455D01*
-X96830030Y-70120012D01*
-X96825000Y-70122701D01*
-X96819970Y-70120012D01*
-X96748905Y-70098455D01*
-X96675000Y-70091176D01*
-X96025000Y-70091176D01*
-X95951095Y-70098455D01*
-X95880030Y-70120012D01*
-X95814537Y-70155019D01*
-X95757131Y-70202131D01*
-X95710019Y-70259537D01*
-X95675012Y-70325030D01*
-X95653455Y-70396095D01*
-X95646176Y-70470000D01*
-X95646176Y-70473000D01*
-X91938155Y-70473000D01*
-X91899999Y-70469242D01*
-X91861843Y-70473000D01*
-X91861834Y-70473000D01*
-X91747681Y-70484243D01*
-X91601216Y-70528673D01*
-X91521699Y-70571176D01*
-X88900000Y-70571176D01*
-X88826095Y-70578455D01*
-X88755030Y-70600012D01*
-X88689537Y-70635019D01*
-X88632131Y-70682131D01*
-X88585019Y-70739537D01*
-X88550012Y-70805030D01*
-X88528455Y-70876095D01*
-X88521176Y-70950000D01*
-X88521176Y-73350000D01*
-X88528455Y-73423905D01*
-X88550012Y-73494970D01*
-X88585019Y-73560463D01*
-X88632131Y-73617869D01*
-X88689537Y-73664981D01*
-X88755030Y-73699988D01*
-X88826095Y-73721545D01*
-X88900000Y-73728824D01*
-X93100000Y-73728824D01*
-X93173905Y-73721545D01*
-X93244970Y-73699988D01*
-X93310463Y-73664981D01*
-X93367869Y-73617869D01*
-X93414981Y-73560463D01*
-X93449988Y-73494970D01*
-X93471545Y-73423905D01*
-X93478824Y-73350000D01*
-X93478824Y-72027000D01*
-X95573001Y-72027000D01*
-X95573001Y-72446829D01*
-X95569241Y-72485002D01*
-X95584244Y-72637320D01*
-X95628673Y-72783785D01*
-X95700823Y-72918768D01*
-X95746073Y-72973905D01*
-X95797921Y-73037082D01*
-X95827565Y-73061410D01*
-X96188585Y-73422430D01*
-X96189053Y-73423000D01*
-X94113163Y-73423000D01*
-X94075000Y-73419241D01*
-X94036836Y-73423000D01*
-X94036834Y-73423000D01*
-X93922681Y-73434243D01*
-X93776216Y-73478673D01*
-X93745727Y-73494970D01*
-X93641233Y-73550823D01*
-X93559469Y-73617925D01*
-X93522920Y-73647920D01*
-X93498592Y-73677564D01*
-X92827565Y-74348592D01*
-X92797921Y-74372920D01*
-X92773593Y-74402564D01*
-X92773591Y-74402566D01*
-X92700823Y-74491234D01*
-X92628673Y-74626217D01*
-X92599486Y-74722434D01*
-X92584244Y-74772681D01*
-X92583981Y-74775348D01*
-X92569241Y-74925000D01*
-X92573001Y-74963173D01*
-X92573001Y-75392132D01*
-X92539537Y-75410019D01*
-X92482131Y-75457131D01*
-X92435019Y-75514537D01*
-X92400012Y-75580030D01*
-X92378455Y-75651095D01*
-X92371176Y-75725000D01*
-X92371176Y-76475000D01*
-X92373001Y-76493528D01*
-X92373001Y-77506474D01*
-X92371176Y-77525000D01*
-X92371176Y-78123000D01*
-X91228824Y-78123000D01*
-X91228824Y-77200000D01*
-X91221545Y-77126095D01*
-X91199988Y-77055030D01*
-X91164981Y-76989537D01*
-X91117869Y-76932131D01*
-X91060463Y-76885019D01*
-X90994970Y-76850012D01*
-X90923905Y-76828455D01*
-X90850000Y-76821176D01*
-X88850000Y-76821176D01*
-X88776095Y-76828455D01*
-X88705030Y-76850012D01*
-X88639537Y-76885019D01*
-X88582131Y-76932131D01*
-X88535019Y-76989537D01*
-X88500012Y-77055030D01*
-X88478455Y-77126095D01*
-X88471176Y-77200000D01*
-X88471176Y-78123000D01*
-X84851409Y-78123000D01*
-X84817869Y-78082131D01*
-X84760463Y-78035019D01*
-X84694970Y-78000012D01*
-X84623905Y-77978455D01*
-X84550000Y-77971176D01*
-X82550000Y-77971176D01*
-X82476095Y-77978455D01*
-X82405030Y-78000012D01*
-X82339537Y-78035019D01*
-X82326997Y-78045310D01*
-X81831687Y-77550000D01*
-X82171176Y-77550000D01*
-X82178455Y-77623905D01*
-X82200012Y-77694970D01*
-X82235019Y-77760463D01*
-X82282131Y-77817869D01*
-X82339537Y-77864981D01*
-X82405030Y-77899988D01*
-X82476095Y-77921545D01*
-X82550000Y-77928824D01*
-X83328750Y-77927000D01*
-X83423000Y-77832750D01*
-X83423000Y-76927000D01*
-X83677000Y-76927000D01*
-X83677000Y-77832750D01*
-X83771250Y-77927000D01*
-X84550000Y-77928824D01*
-X84623905Y-77921545D01*
-X84694970Y-77899988D01*
-X84760463Y-77864981D01*
-X84817869Y-77817869D01*
-X84864981Y-77760463D01*
-X84899988Y-77694970D01*
-X84921545Y-77623905D01*
-X84928824Y-77550000D01*
-X84927000Y-77021250D01*
-X84832750Y-76927000D01*
-X83677000Y-76927000D01*
-X83423000Y-76927000D01*
-X82267250Y-76927000D01*
-X82173000Y-77021250D01*
-X82171176Y-77550000D01*
-X81831687Y-77550000D01*
-X80331687Y-76050000D01*
-X82171176Y-76050000D01*
-X82173000Y-76578750D01*
-X82267250Y-76673000D01*
-X83423000Y-76673000D01*
-X83423000Y-75767250D01*
-X83677000Y-75767250D01*
-X83677000Y-76673000D01*
-X84832750Y-76673000D01*
-X84927000Y-76578750D01*
-X84928824Y-76050000D01*
-X84921545Y-75976095D01*
-X84899988Y-75905030D01*
-X84864981Y-75839537D01*
-X84817869Y-75782131D01*
-X84760463Y-75735019D01*
-X84694970Y-75700012D01*
-X84623905Y-75678455D01*
-X84550000Y-75671176D01*
-X83771250Y-75673000D01*
-X83677000Y-75767250D01*
-X83423000Y-75767250D01*
-X83328750Y-75673000D01*
-X82550000Y-75671176D01*
-X82476095Y-75678455D01*
-X82405030Y-75700012D01*
-X82339537Y-75735019D01*
-X82282131Y-75782131D01*
-X82235019Y-75839537D01*
-X82200012Y-75905030D01*
-X82178455Y-75976095D01*
-X82171176Y-76050000D01*
-X80331687Y-76050000D01*
-X80178824Y-75897138D01*
-X80178824Y-74900000D01*
-X80171545Y-74826095D01*
-X80149988Y-74755030D01*
-X80114981Y-74689537D01*
-X80067869Y-74632131D01*
-X80010463Y-74585019D01*
-X79944970Y-74550012D01*
-X79873905Y-74528455D01*
-X79800000Y-74521176D01*
-X77800000Y-74521176D01*
-X77726095Y-74528455D01*
-X77655030Y-74550012D01*
-X77589537Y-74585019D01*
-X77532131Y-74632131D01*
-X77485019Y-74689537D01*
-X77450012Y-74755030D01*
-X77428455Y-74826095D01*
-X77421176Y-74900000D01*
-X77421176Y-76900000D01*
-X77428455Y-76973905D01*
-X77450012Y-77044970D01*
-X77485019Y-77110463D01*
-X77532131Y-77167869D01*
-X77589537Y-77214981D01*
-X77655030Y-77249988D01*
-X77726095Y-77271545D01*
-X77800000Y-77278824D01*
-X78797138Y-77278824D01*
-X81275220Y-79756907D01*
-X81305814Y-79794186D01*
-X81454582Y-79916276D01*
-X81624309Y-80006997D01*
-X81808475Y-80062863D01*
-X81952007Y-80077000D01*
-X81952016Y-80077000D01*
-X81999999Y-80081726D01*
-X82047982Y-80077000D01*
-X82248591Y-80077000D01*
-X82282131Y-80117869D01*
-X82339537Y-80164981D01*
-X82405030Y-80199988D01*
-X82476095Y-80221545D01*
-X82550000Y-80228824D01*
-X84550000Y-80228824D01*
-X84623905Y-80221545D01*
-X84694970Y-80199988D01*
-X84760463Y-80164981D01*
-X84817869Y-80117869D01*
-X84851409Y-80077000D01*
-X88471176Y-80077000D01*
-X88471176Y-81000000D01*
-X88478455Y-81073905D01*
-X88500012Y-81144970D01*
-X88535019Y-81210463D01*
-X88582131Y-81267869D01*
-X88639537Y-81314981D01*
-X88705030Y-81349988D01*
-X88776095Y-81371545D01*
-X88850000Y-81378824D01*
-X90850000Y-81378824D01*
-X90923905Y-81371545D01*
-X90994970Y-81349988D01*
-X91060463Y-81314981D01*
-X91117869Y-81267869D01*
-X91164981Y-81210463D01*
-X91199988Y-81144970D01*
-X91221545Y-81073905D01*
-X91228824Y-81000000D01*
-X91228824Y-80077000D01*
-X92245314Y-80077000D01*
-X92374220Y-80205907D01*
-X92378455Y-80248905D01*
-X92400012Y-80319970D01*
-X92435019Y-80385463D01*
-X92482131Y-80442869D01*
-X92539537Y-80489981D01*
-X92573000Y-80507868D01*
-X92573000Y-81013165D01*
-X92573001Y-81013175D01*
-X92573000Y-84948154D01*
-X91437569Y-86083586D01*
-X91437564Y-86083590D01*
-X89477564Y-88043591D01*
-X89447920Y-88067919D01*
-X89423592Y-88097563D01*
-X89423590Y-88097565D01*
-X89350822Y-88186233D01*
-X89278672Y-88321216D01*
-X89255380Y-88398000D01*
-X89235815Y-88462500D01*
-X89234243Y-88467681D01*
-X89219240Y-88619999D01*
-X89223000Y-88658172D01*
-X89222999Y-90586835D01*
-X89219240Y-90624999D01*
-X89222999Y-90663162D01*
-X89222999Y-90663164D01*
-X89234242Y-90777317D01*
-X89274492Y-90910001D01*
-X89278672Y-90923782D01*
-X89350822Y-91058765D01*
-X89390148Y-91106684D01*
-X89447919Y-91177079D01*
-X89477568Y-91201411D01*
-X90248592Y-91972436D01*
-X90272920Y-92002080D01*
-X90302564Y-92026408D01*
-X90302565Y-92026409D01*
-X90391234Y-92099178D01*
-X90478034Y-92145573D01*
-X90526216Y-92171327D01*
-X90672681Y-92215757D01*
-X90786834Y-92227000D01*
-X90786836Y-92227000D01*
-X90825000Y-92230759D01*
-X90863163Y-92227000D01*
-X91292133Y-92227000D01*
-X91310019Y-92260463D01*
-X91357131Y-92317869D01*
-X91414537Y-92364981D01*
-X91480030Y-92399988D01*
-X91551095Y-92421545D01*
-X91625000Y-92428824D01*
-X92375000Y-92428824D01*
-X92448905Y-92421545D01*
-X92519970Y-92399988D01*
-X92585463Y-92364981D01*
-X92642869Y-92317869D01*
-X92689981Y-92260463D01*
-X92721230Y-92202000D01*
-X93471176Y-92202000D01*
-X93471176Y-92400000D01*
-X93478455Y-92473905D01*
-X93491270Y-92516150D01*
-X93381952Y-92561431D01*
-X93254691Y-92646464D01*
-X93146464Y-92754691D01*
-X93061431Y-92881952D01*
-X93002859Y-93023357D01*
-X92973000Y-93173472D01*
-X92973000Y-93326528D01*
-X93002859Y-93476643D01*
-X93061431Y-93618048D01*
-X93073785Y-93636537D01*
-X91749210Y-93644778D01*
-X91725224Y-93647216D01*
-X91701399Y-93654443D01*
-X91679443Y-93666179D01*
-X91660197Y-93681973D01*
-X91644403Y-93701219D01*
-X91632667Y-93723175D01*
-X91625440Y-93747000D01*
-X91623000Y-93771776D01*
-X91623000Y-93873000D01*
-X72689500Y-93873000D01*
-X72689500Y-89097122D01*
-X72982483Y-89097122D01*
-X73092881Y-89289330D01*
-X73338495Y-89404430D01*
-X73601845Y-89469400D01*
-X73872809Y-89481745D01*
-X74140975Y-89440991D01*
-X74396038Y-89348703D01*
-X74507119Y-89289330D01*
-X74617517Y-89097122D01*
-X73800000Y-88279605D01*
-X72982483Y-89097122D01*
-X72689500Y-89097122D01*
-X72689500Y-88852396D01*
-X72802878Y-88917517D01*
-X73620395Y-88100000D01*
-X73979605Y-88100000D01*
-X74797122Y-88917517D01*
-X74989330Y-88807119D01*
-X75104430Y-88561505D01*
-X75169400Y-88298155D01*
-X75181745Y-88027191D01*
-X75140991Y-87759025D01*
-X75048703Y-87503962D01*
-X74989330Y-87392881D01*
-X74797122Y-87282483D01*
-X73979605Y-88100000D01*
-X73620395Y-88100000D01*
-X72802878Y-87282483D01*
-X72689500Y-87347604D01*
-X72689500Y-87102878D01*
-X72982483Y-87102878D01*
-X73800000Y-87920395D01*
-X74617517Y-87102878D01*
-X74507119Y-86910670D01*
-X74261505Y-86795570D01*
-X73998155Y-86730600D01*
-X73727191Y-86718255D01*
-X73459025Y-86759009D01*
-X73203962Y-86851297D01*
-X73092881Y-86910670D01*
-X72982483Y-87102878D01*
-X72689500Y-87102878D01*
-X72689500Y-82984528D01*
-X72697848Y-82974356D01*
-X72755958Y-82865641D01*
-X72791741Y-82747677D01*
-X72803824Y-82625000D01*
-X72803824Y-81375000D01*
-X73596176Y-81375000D01*
-X73596176Y-82625000D01*
-X73608259Y-82747677D01*
-X73644042Y-82865641D01*
-X73702152Y-82974356D01*
-X73780354Y-83069646D01*
-X73875644Y-83147848D01*
-X73984359Y-83205958D01*
-X74102323Y-83241741D01*
-X74225000Y-83253824D01*
-X74559638Y-83253824D01*
-X77823001Y-86517188D01*
-X77823001Y-86721176D01*
-X77800000Y-86721176D01*
-X77726095Y-86728455D01*
-X77655030Y-86750012D01*
-X77589537Y-86785019D01*
-X77532131Y-86832131D01*
-X77485019Y-86889537D01*
-X77450012Y-86955030D01*
-X77428455Y-87026095D01*
-X77421176Y-87100000D01*
-X77421176Y-89100000D01*
-X77428455Y-89173905D01*
-X77450012Y-89244970D01*
-X77485019Y-89310463D01*
-X77532131Y-89367869D01*
-X77589537Y-89414981D01*
-X77655030Y-89449988D01*
-X77726095Y-89471545D01*
-X77800000Y-89478824D01*
-X79800000Y-89478824D01*
-X79873905Y-89471545D01*
-X79944970Y-89449988D01*
-X80010463Y-89414981D01*
-X80067869Y-89367869D01*
-X80114981Y-89310463D01*
-X80149988Y-89244970D01*
-X80171545Y-89173905D01*
-X80178824Y-89100000D01*
-X80178824Y-88418410D01*
-X85961195Y-88418410D01*
-X86041306Y-88585043D01*
-X86244213Y-88675111D01*
-X86460793Y-88723864D01*
-X86682723Y-88729428D01*
-X86901474Y-88691588D01*
-X87108640Y-88611798D01*
-X87158694Y-88585043D01*
-X87238805Y-88418410D01*
-X86600000Y-87779605D01*
-X85961195Y-88418410D01*
-X80178824Y-88418410D01*
-X80178824Y-87682723D01*
-X85470572Y-87682723D01*
-X85508412Y-87901474D01*
-X85588202Y-88108640D01*
-X85614957Y-88158694D01*
-X85781590Y-88238805D01*
-X86420395Y-87600000D01*
-X86779605Y-87600000D01*
-X87418410Y-88238805D01*
-X87585043Y-88158694D01*
-X87675111Y-87955787D01*
-X87723864Y-87739207D01*
-X87729428Y-87517277D01*
-X87691588Y-87298526D01*
-X87611798Y-87091360D01*
-X87585043Y-87041306D01*
-X87418410Y-86961195D01*
-X86779605Y-87600000D01*
-X86420395Y-87600000D01*
-X85781590Y-86961195D01*
-X85614957Y-87041306D01*
-X85524889Y-87244213D01*
-X85476136Y-87460793D01*
-X85470572Y-87682723D01*
-X80178824Y-87682723D01*
-X80178824Y-87100000D01*
-X80171545Y-87026095D01*
-X80149988Y-86955030D01*
-X80114981Y-86889537D01*
-X80067869Y-86832131D01*
-X80010463Y-86785019D01*
-X80004048Y-86781590D01*
-X85961195Y-86781590D01*
-X86600000Y-87420395D01*
-X87238805Y-86781590D01*
-X87158694Y-86614957D01*
-X86955787Y-86524889D01*
-X86739207Y-86476136D01*
-X86517277Y-86470572D01*
-X86298526Y-86508412D01*
-X86091360Y-86588202D01*
-X86041306Y-86614957D01*
-X85961195Y-86781590D01*
-X80004048Y-86781590D01*
-X79944970Y-86750012D01*
-X79873905Y-86728455D01*
-X79800000Y-86721176D01*
-X79777000Y-86721176D01*
-X79777000Y-86554686D01*
-X81220061Y-85111625D01*
-X81224602Y-85118421D01*
-X81381579Y-85275398D01*
-X81566165Y-85398734D01*
-X81771266Y-85483690D01*
-X81989000Y-85527000D01*
-X82211000Y-85527000D01*
-X82428734Y-85483690D01*
-X82633835Y-85398734D01*
-X82818421Y-85275398D01*
-X82975398Y-85118421D01*
-X83098734Y-84933835D01*
-X83183690Y-84728734D01*
-X83227000Y-84511000D01*
-X83227000Y-84289000D01*
-X83183690Y-84071266D01*
-X83098734Y-83866165D01*
-X82975398Y-83681579D01*
-X82818421Y-83524602D01*
-X82811625Y-83520061D01*
-X83802863Y-82528824D01*
-X84550000Y-82528824D01*
-X84623905Y-82521545D01*
-X84694970Y-82499988D01*
-X84760463Y-82464981D01*
-X84817869Y-82417869D01*
-X84864981Y-82360463D01*
-X84899988Y-82294970D01*
-X84921545Y-82223905D01*
-X84928824Y-82150000D01*
-X84928824Y-80650000D01*
-X84921545Y-80576095D01*
-X84899988Y-80505030D01*
-X84864981Y-80439537D01*
-X84817869Y-80382131D01*
-X84760463Y-80335019D01*
-X84694970Y-80300012D01*
-X84623905Y-80278455D01*
-X84550000Y-80271176D01*
-X82550000Y-80271176D01*
-X82476095Y-80278455D01*
-X82405030Y-80300012D01*
-X82339537Y-80335019D01*
-X82282131Y-80382131D01*
-X82235019Y-80439537D01*
-X82200012Y-80505030D01*
-X82178455Y-80576095D01*
-X82171176Y-80650000D01*
-X82171176Y-81397137D01*
-X81500640Y-82067674D01*
-X81496545Y-82026095D01*
-X81474988Y-81955030D01*
-X81439981Y-81889537D01*
-X81392869Y-81832131D01*
-X81335463Y-81785019D01*
-X81269970Y-81750012D01*
-X81269930Y-81750000D01*
-X81269970Y-81749988D01*
-X81335463Y-81714981D01*
-X81392869Y-81667869D01*
-X81439981Y-81610463D01*
-X81474988Y-81544970D01*
-X81496545Y-81473905D01*
-X81503824Y-81400000D01*
-X81502000Y-81021250D01*
-X81407750Y-80927000D01*
-X80877000Y-80927000D01*
-X80877000Y-80947000D01*
-X80623000Y-80947000D01*
-X80623000Y-80927000D01*
-X80092250Y-80927000D01*
-X79998000Y-81021250D01*
-X79996176Y-81400000D01*
-X80003455Y-81473905D01*
-X80025012Y-81544970D01*
-X80060019Y-81610463D01*
-X80107131Y-81667869D01*
-X80164537Y-81714981D01*
-X80230030Y-81749988D01*
-X80230070Y-81750000D01*
-X80230030Y-81750012D01*
-X80164537Y-81785019D01*
-X80107131Y-81832131D01*
-X80060019Y-81889537D01*
-X80025012Y-81955030D01*
-X80003455Y-82026095D01*
-X79998584Y-82075551D01*
-X79933725Y-82154582D01*
-X79843003Y-82324310D01*
-X79787137Y-82508475D01*
-X79768273Y-82700000D01*
-X79787137Y-82891525D01*
-X79843003Y-83075690D01*
-X79933725Y-83245418D01*
-X79998584Y-83324450D01*
-X80003455Y-83373905D01*
-X80025012Y-83444970D01*
-X80059264Y-83509050D01*
-X78818750Y-84749564D01*
-X75778824Y-81709638D01*
-X75778824Y-81375000D01*
-X75766741Y-81252323D01*
-X75730958Y-81134359D01*
-X75672848Y-81025644D01*
-X75594646Y-80930354D01*
-X75499356Y-80852152D01*
-X75390641Y-80794042D01*
-X75272677Y-80758259D01*
-X75150000Y-80746176D01*
-X74225000Y-80746176D01*
-X74102323Y-80758259D01*
-X73984359Y-80794042D01*
-X73875644Y-80852152D01*
-X73780354Y-80930354D01*
-X73702152Y-81025644D01*
-X73644042Y-81134359D01*
-X73608259Y-81252323D01*
-X73596176Y-81375000D01*
-X72803824Y-81375000D01*
-X72791741Y-81252323D01*
-X72755958Y-81134359D01*
-X72697848Y-81025644D01*
-X72689500Y-81015472D01*
-X72689500Y-80200000D01*
-X79996176Y-80200000D01*
-X79998000Y-80578750D01*
-X80092250Y-80673000D01*
-X80623000Y-80673000D01*
-X80623000Y-79917250D01*
-X80877000Y-79917250D01*
-X80877000Y-80673000D01*
-X81407750Y-80673000D01*
-X81502000Y-80578750D01*
-X81503824Y-80200000D01*
-X81496545Y-80126095D01*
-X81474988Y-80055030D01*
-X81439981Y-79989537D01*
-X81392869Y-79932131D01*
-X81335463Y-79885019D01*
-X81269970Y-79850012D01*
-X81198905Y-79828455D01*
-X81125000Y-79821176D01*
-X80971250Y-79823000D01*
-X80877000Y-79917250D01*
-X80623000Y-79917250D01*
-X80528750Y-79823000D01*
-X80375000Y-79821176D01*
-X80301095Y-79828455D01*
-X80230030Y-79850012D01*
-X80164537Y-79885019D01*
-X80107131Y-79932131D01*
-X80060019Y-79989537D01*
-X80025012Y-80055030D01*
-X80003455Y-80126095D01*
-X79996176Y-80200000D01*
-X72689500Y-80200000D01*
-X72689500Y-76897122D01*
-X72982483Y-76897122D01*
-X73092881Y-77089330D01*
-X73338495Y-77204430D01*
-X73601845Y-77269400D01*
-X73872809Y-77281745D01*
-X74140975Y-77240991D01*
-X74396038Y-77148703D01*
-X74507119Y-77089330D01*
-X74617517Y-76897122D01*
-X73800000Y-76079605D01*
-X72982483Y-76897122D01*
-X72689500Y-76897122D01*
-X72689500Y-76652396D01*
-X72802878Y-76717517D01*
-X73620395Y-75900000D01*
-X73979605Y-75900000D01*
-X74797122Y-76717517D01*
-X74989330Y-76607119D01*
-X75104430Y-76361505D01*
-X75169400Y-76098155D01*
-X75181745Y-75827191D01*
-X75140991Y-75559025D01*
-X75048703Y-75303962D01*
-X74989330Y-75192881D01*
-X74797122Y-75082483D01*
-X73979605Y-75900000D01*
-X73620395Y-75900000D01*
-X72802878Y-75082483D01*
-X72689500Y-75147604D01*
-X72689500Y-74902878D01*
-X72982483Y-74902878D01*
-X73800000Y-75720395D01*
-X74617517Y-74902878D01*
-X74507119Y-74710670D01*
-X74261505Y-74595570D01*
-X73998155Y-74530600D01*
-X73727191Y-74518255D01*
-X73459025Y-74559009D01*
-X73203962Y-74651297D01*
-X73092881Y-74710670D01*
-X72982483Y-74902878D01*
-X72689500Y-74902878D01*
-X72689500Y-73092186D01*
-X73774779Y-72006907D01*
-X74154687Y-71627000D01*
-X81779322Y-71627000D01*
-X81855354Y-71719646D01*
-X81950644Y-71797848D01*
-X82059359Y-71855958D01*
-X82177323Y-71891741D01*
-X82300000Y-71903824D01*
-X83225000Y-71903824D01*
-X83347677Y-71891741D01*
-X83465641Y-71855958D01*
-X83574356Y-71797848D01*
-X83669646Y-71719646D01*
-X83747848Y-71624356D01*
-X83805958Y-71515641D01*
-X83841741Y-71397677D01*
-X83853824Y-71275000D01*
-X83853824Y-70025000D01*
-X83841741Y-69902323D01*
-X83805958Y-69784359D01*
-X83747848Y-69675644D01*
-X83669646Y-69580354D01*
-X83574356Y-69502152D01*
-X83465641Y-69444042D01*
-X83347677Y-69408259D01*
-X83225000Y-69396176D01*
-X82300000Y-69396176D01*
-X82177323Y-69408259D01*
-X82059359Y-69444042D01*
-X81950644Y-69502152D01*
-X81855354Y-69580354D01*
-X81779322Y-69673000D01*
-X79906193Y-69673000D01*
-X80020282Y-69502254D01*
-X80124083Y-69251656D01*
-X80177000Y-68985623D01*
-X80177000Y-68714377D01*
-X80124083Y-68448344D01*
-X80072993Y-68325000D01*
-X82371176Y-68325000D01*
-X82378455Y-68398905D01*
-X82400012Y-68469970D01*
-X82435019Y-68535463D01*
-X82482131Y-68592869D01*
-X82539537Y-68639981D01*
-X82605030Y-68674988D01*
-X82676095Y-68696545D01*
-X82750000Y-68703824D01*
-X83128750Y-68702000D01*
-X83223000Y-68607750D01*
-X83223000Y-68077000D01*
-X82467250Y-68077000D01*
-X82373000Y-68171250D01*
-X82371176Y-68325000D01*
-X80072993Y-68325000D01*
-X80020282Y-68197746D01*
-X79869586Y-67972213D01*
-X79727000Y-67829627D01*
-X79727000Y-67575000D01*
-X82371176Y-67575000D01*
-X82373000Y-67728750D01*
-X82467250Y-67823000D01*
-X83223000Y-67823000D01*
-X83223000Y-67292250D01*
-X83477000Y-67292250D01*
-X83477000Y-67823000D01*
-X83497000Y-67823000D01*
-X83497000Y-68077000D01*
-X83477000Y-68077000D01*
-X83477000Y-68607750D01*
-X83571250Y-68702000D01*
-X83950000Y-68703824D01*
-X84023905Y-68696545D01*
-X84094970Y-68674988D01*
-X84160463Y-68639981D01*
-X84217869Y-68592869D01*
-X84264981Y-68535463D01*
-X84299988Y-68469970D01*
-X84300000Y-68469930D01*
-X84300012Y-68469970D01*
-X84335019Y-68535463D01*
-X84382131Y-68592869D01*
-X84439537Y-68639981D01*
-X84505030Y-68674988D01*
-X84576095Y-68696545D01*
-X84619094Y-68700780D01*
-X84760501Y-68842187D01*
-X84760500Y-69665471D01*
-X84752152Y-69675644D01*
-X84694042Y-69784359D01*
-X84658259Y-69902323D01*
-X84646176Y-70025000D01*
-X84646176Y-71275000D01*
-X84658259Y-71397677D01*
-X84694042Y-71515641D01*
-X84752152Y-71624356D01*
-X84830354Y-71719646D01*
-X84925644Y-71797848D01*
-X85034359Y-71855958D01*
-X85152323Y-71891741D01*
-X85275000Y-71903824D01*
-X86200000Y-71903824D01*
-X86322677Y-71891741D01*
-X86440641Y-71855958D01*
-X86549356Y-71797848D01*
-X86644646Y-71719646D01*
-X86722848Y-71624356D01*
-X86780958Y-71515641D01*
-X86816741Y-71397677D01*
-X86828824Y-71275000D01*
-X86828824Y-70025000D01*
-X86816741Y-69902323D01*
-X86780958Y-69784359D01*
-X86722848Y-69675644D01*
-X86714500Y-69665472D01*
-X86714500Y-68927000D01*
-X88529394Y-68927000D01*
-X88550012Y-68994970D01*
-X88585019Y-69060463D01*
-X88632131Y-69117869D01*
-X88689537Y-69164981D01*
-X88755030Y-69199988D01*
-X88826095Y-69221545D01*
-X88900000Y-69228824D01*
-X93100000Y-69228824D01*
-X93173905Y-69221545D01*
-X93244970Y-69199988D01*
-X93310463Y-69164981D01*
-X93367869Y-69117869D01*
-X93414981Y-69060463D01*
-X93449988Y-68994970D01*
-X93471545Y-68923905D01*
-X93478824Y-68850000D01*
-X93478824Y-68627000D01*
-X94252007Y-68627000D01*
-X94300000Y-68631727D01*
-X94491525Y-68612863D01*
-X94511159Y-68606907D01*
-X94675691Y-68556997D01*
-X94845418Y-68466276D01*
-X94994186Y-68344186D01*
-X95024784Y-68306902D01*
-X95661233Y-67670453D01*
-X95653455Y-67696095D01*
-X95646176Y-67770000D01*
-X95646176Y-69330000D01*
-X95653455Y-69403905D01*
-X95675012Y-69474970D01*
-X95710019Y-69540463D01*
-X95757131Y-69597869D01*
-X95814537Y-69644981D01*
-X95880030Y-69679988D01*
-X95951095Y-69701545D01*
-X96025000Y-69708824D01*
-X96675000Y-69708824D01*
-X96748905Y-69701545D01*
-X96819970Y-69679988D01*
-X96825000Y-69677299D01*
-X96830030Y-69679988D01*
-X96901095Y-69701545D01*
-X96975000Y-69708824D01*
-X97625000Y-69708824D01*
-X97698905Y-69701545D01*
-X97769970Y-69679988D01*
-X97775000Y-69677299D01*
-X97780030Y-69679988D01*
-X97851095Y-69701545D01*
-X97925000Y-69708824D01*
-X98575000Y-69708824D01*
-X98648905Y-69701545D01*
-X98719970Y-69679988D01*
-X98785463Y-69644981D01*
-X98842869Y-69597869D01*
-X98889981Y-69540463D01*
-X98924988Y-69474970D01*
-X98946545Y-69403905D01*
-X98953824Y-69330000D01*
-X98953824Y-68881530D01*
-X98971327Y-68848784D01*
-X99015757Y-68702319D01*
-X99027000Y-68588166D01*
-X99027000Y-68133155D01*
-X99030758Y-68094999D01*
-X99027000Y-68056843D01*
-X99027000Y-68056835D01*
-X99015757Y-67942681D01*
-X98971327Y-67796216D01*
-X98959082Y-67773307D01*
-X98953036Y-67761995D01*
-X98946545Y-67696095D01*
-X98924988Y-67625030D01*
-X98889981Y-67559537D01*
-X98877000Y-67543720D01*
-X98877000Y-67300794D01*
-X98880033Y-67270000D01*
-X98867927Y-67147087D01*
-X98855756Y-67106964D01*
-X98832075Y-67028897D01*
-X98773853Y-66919972D01*
-X98695501Y-66824499D01*
-X98671578Y-66804866D01*
-X98257497Y-66390785D01*
-X98206997Y-66224309D01*
-X98116276Y-66054582D01*
-X98077000Y-66006724D01*
-X98077000Y-64638155D01*
-X98080758Y-64599999D01*
-X98077000Y-64561843D01*
-X98077000Y-64561834D01*
-X98065757Y-64447681D01*
-X98021327Y-64301216D01*
-X98010118Y-64280245D01*
-X97949177Y-64166233D01*
-X97876409Y-64077565D01*
-X97876408Y-64077564D01*
-X97852080Y-64047920D01*
-X97822437Y-64023593D01*
-X97478824Y-63679980D01*
-X97478824Y-62400000D01*
-X97471545Y-62326095D01*
-X97449988Y-62255030D01*
-X97414981Y-62189537D01*
-X97367869Y-62132131D01*
-X97310463Y-62085019D01*
-X97244970Y-62050012D01*
-X97173905Y-62028455D01*
-X97100000Y-62021176D01*
-X95100000Y-62021176D01*
-X95026095Y-62028455D01*
-X94955030Y-62050012D01*
-X94889537Y-62085019D01*
-X94832131Y-62132131D01*
-X94785019Y-62189537D01*
-X94750012Y-62255030D01*
-X94728455Y-62326095D01*
-X94721176Y-62400000D01*
-X94721176Y-64400000D01*
-X94728455Y-64473905D01*
-X94750012Y-64544970D01*
-X94785019Y-64610463D01*
-X94832131Y-64667869D01*
-X94889537Y-64714981D01*
-X94955030Y-64749988D01*
-X95026095Y-64771545D01*
-X95100000Y-64778824D01*
-X96379980Y-64778824D01*
-X96523000Y-64921844D01*
-X96523001Y-65623000D01*
-X95397990Y-65623000D01*
-X95349999Y-65618273D01*
-X95302009Y-65623000D01*
-X95302007Y-65623000D01*
-X95158475Y-65637137D01*
-X94974309Y-65693003D01*
-X94804582Y-65783724D01*
-X94655814Y-65905814D01*
-X94625220Y-65943093D01*
-X94506372Y-66061942D01*
-X94451216Y-66078673D01*
-X94420177Y-66095264D01*
-X94316234Y-66150822D01*
-X94253485Y-66202319D01*
-X94197920Y-66247920D01*
-X94173592Y-66277564D01*
-X93778156Y-66673000D01*
-X93478824Y-66673000D01*
-X93478824Y-66450000D01*
-X93471545Y-66376095D01*
-X93449988Y-66305030D01*
-X93414981Y-66239537D01*
-X93367869Y-66182131D01*
-X93310463Y-66135019D01*
-X93244970Y-66100012D01*
-X93173905Y-66078455D01*
-X93100000Y-66071176D01*
-X88900000Y-66071176D01*
-X88826095Y-66078455D01*
-X88755030Y-66100012D01*
-X88689537Y-66135019D01*
-X88632131Y-66182131D01*
-X88585019Y-66239537D01*
-X88550012Y-66305030D01*
-X88528455Y-66376095D01*
-X88521176Y-66450000D01*
-X88521176Y-66973000D01*
-X88484035Y-66973000D01*
-X88483690Y-66971266D01*
-X88398734Y-66766165D01*
-X88275398Y-66581579D01*
-X88118421Y-66424602D01*
-X87933835Y-66301266D01*
-X87728734Y-66216310D01*
-X87511000Y-66173000D01*
-X87289000Y-66173000D01*
-X87071266Y-66216310D01*
-X86866165Y-66301266D01*
-X86681579Y-66424602D01*
-X86524602Y-66581579D01*
-X86401266Y-66766165D01*
-X86316310Y-66971266D01*
-X86315965Y-66973000D01*
-X85297993Y-66973000D01*
-X85250000Y-66968273D01*
-X85202007Y-66973000D01*
-X85058475Y-66987137D01*
-X84874309Y-67043003D01*
-X84704582Y-67133724D01*
-X84625549Y-67198584D01*
-X84576095Y-67203455D01*
-X84505030Y-67225012D01*
-X84439537Y-67260019D01*
-X84382131Y-67307131D01*
-X84335019Y-67364537D01*
-X84300012Y-67430030D01*
-X84300000Y-67430070D01*
-X84299988Y-67430030D01*
-X84264981Y-67364537D01*
-X84217869Y-67307131D01*
-X84160463Y-67260019D01*
-X84094970Y-67225012D01*
-X84023905Y-67203455D01*
-X83950000Y-67196176D01*
-X83571250Y-67198000D01*
-X83477000Y-67292250D01*
-X83223000Y-67292250D01*
-X83128750Y-67198000D01*
-X82750000Y-67196176D01*
-X82676095Y-67203455D01*
-X82605030Y-67225012D01*
-X82539537Y-67260019D01*
-X82482131Y-67307131D01*
-X82435019Y-67364537D01*
-X82400012Y-67430030D01*
-X82378455Y-67501095D01*
-X82371176Y-67575000D01*
-X79727000Y-67575000D01*
-X79727000Y-67371843D01*
-X83386534Y-63712309D01*
-X83432131Y-63767869D01*
-X83489537Y-63814981D01*
-X83555030Y-63849988D01*
-X83626095Y-63871545D01*
-X83700000Y-63878824D01*
-X86300000Y-63878824D01*
-X86373905Y-63871545D01*
-X86444970Y-63849988D01*
-X86510463Y-63814981D01*
-X86567869Y-63767869D01*
-X86614981Y-63710463D01*
-X86649988Y-63644970D01*
-X86671545Y-63573905D01*
-X86678824Y-63500000D01*
-X86678824Y-61500000D01*
-X86671545Y-61426095D01*
-X86649988Y-61355030D01*
-X86614981Y-61289537D01*
-X86567869Y-61232131D01*
-X86510463Y-61185019D01*
-X86444970Y-61150012D01*
-X86373905Y-61128455D01*
-X86300000Y-61121176D01*
-X86038950Y-61121176D01*
-X86170617Y-61034376D01*
-X86363489Y-60843883D01*
-X86515491Y-60619423D01*
-X86620783Y-60369622D01*
-X86634383Y-60299929D01*
-X86576448Y-60087000D01*
-X85127000Y-60087000D01*
-X85127000Y-60107000D01*
-X84873000Y-60107000D01*
-X84873000Y-60087000D01*
-X83423552Y-60087000D01*
-X83365617Y-60299929D01*
-X83379217Y-60369622D01*
-X83484509Y-60619423D01*
-X83636511Y-60843883D01*
-X83829383Y-61034376D01*
-X83961050Y-61121176D01*
-X83700000Y-61121176D01*
-X83626095Y-61128455D01*
-X83555030Y-61150012D01*
-X83489537Y-61185019D01*
-X83432131Y-61232131D01*
-X83385019Y-61289537D01*
-X83350012Y-61355030D01*
-X83328455Y-61426095D01*
-X83321176Y-61500000D01*
-X83321176Y-61742283D01*
-X83201216Y-61778673D01*
-X83151906Y-61805030D01*
-X83066233Y-61850823D01*
-X82999481Y-61905605D01*
-X82947920Y-61947920D01*
-X82923592Y-61977564D01*
-X80127000Y-64774157D01*
-X80127000Y-59620071D01*
-X83365617Y-59620071D01*
-X83423552Y-59833000D01*
-X84873000Y-59833000D01*
-X84873000Y-58583000D01*
-X85127000Y-58583000D01*
-X85127000Y-59833000D01*
-X86576448Y-59833000D01*
-X86634383Y-59620071D01*
-X86620783Y-59550378D01*
-X86556186Y-59397122D01*
-X95282483Y-59397122D01*
-X95392881Y-59589330D01*
-X95638495Y-59704430D01*
-X95901845Y-59769400D01*
-X96172809Y-59781745D01*
-X96440975Y-59740991D01*
-X96696038Y-59648703D01*
-X96807119Y-59589330D01*
-X96917517Y-59397122D01*
-X106982483Y-59397122D01*
-X107092881Y-59589330D01*
-X107338495Y-59704430D01*
-X107601845Y-59769400D01*
-X107872809Y-59781745D01*
-X108140975Y-59740991D01*
-X108396038Y-59648703D01*
-X108507119Y-59589330D01*
-X108617517Y-59397122D01*
-X107800000Y-58579605D01*
-X106982483Y-59397122D01*
-X96917517Y-59397122D01*
-X96100000Y-58579605D01*
-X95282483Y-59397122D01*
-X86556186Y-59397122D01*
-X86515491Y-59300577D01*
-X86363489Y-59076117D01*
-X86170617Y-58885624D01*
-X85944288Y-58736419D01*
-X85693199Y-58634235D01*
-X85427000Y-58583000D01*
-X85127000Y-58583000D01*
-X84873000Y-58583000D01*
-X84573000Y-58583000D01*
-X84306801Y-58634235D01*
-X84055712Y-58736419D01*
-X83829383Y-58885624D01*
-X83636511Y-59076117D01*
-X83484509Y-59300577D01*
-X83379217Y-59550378D01*
-X83365617Y-59620071D01*
-X80127000Y-59620071D01*
-X80127000Y-58472809D01*
-X94718255Y-58472809D01*
-X94759009Y-58740975D01*
-X94851297Y-58996038D01*
-X94910670Y-59107119D01*
-X95102878Y-59217517D01*
-X95920395Y-58400000D01*
-X96279605Y-58400000D01*
-X97097122Y-59217517D01*
-X97289330Y-59107119D01*
-X97404430Y-58861505D01*
-X97469400Y-58598155D01*
-X97475110Y-58472809D01*
-X106418255Y-58472809D01*
-X106459009Y-58740975D01*
-X106551297Y-58996038D01*
-X106610670Y-59107119D01*
-X106802878Y-59217517D01*
-X107620395Y-58400000D01*
-X107979605Y-58400000D01*
-X108797122Y-59217517D01*
-X108989330Y-59107119D01*
-X109104430Y-58861505D01*
-X109169400Y-58598155D01*
-X109181745Y-58327191D01*
-X109140991Y-58059025D01*
-X109048703Y-57803962D01*
-X108989330Y-57692881D01*
-X108797122Y-57582483D01*
-X107979605Y-58400000D01*
-X107620395Y-58400000D01*
-X106802878Y-57582483D01*
-X106610670Y-57692881D01*
-X106495570Y-57938495D01*
-X106430600Y-58201845D01*
-X106418255Y-58472809D01*
-X97475110Y-58472809D01*
-X97481745Y-58327191D01*
-X97440991Y-58059025D01*
-X97348703Y-57803962D01*
-X97289330Y-57692881D01*
-X97097122Y-57582483D01*
-X96279605Y-58400000D01*
-X95920395Y-58400000D01*
-X95102878Y-57582483D01*
-X94910670Y-57692881D01*
-X94795570Y-57938495D01*
-X94730600Y-58201845D01*
-X94718255Y-58472809D01*
-X80127000Y-58472809D01*
-X80127000Y-57402878D01*
-X95282483Y-57402878D01*
-X96100000Y-58220395D01*
-X96917517Y-57402878D01*
-X106982483Y-57402878D01*
-X107800000Y-58220395D01*
-X108617517Y-57402878D01*
-X108507119Y-57210670D01*
-X108261505Y-57095570D01*
-X107998155Y-57030600D01*
-X107727191Y-57018255D01*
-X107459025Y-57059009D01*
-X107203962Y-57151297D01*
-X107092881Y-57210670D01*
-X106982483Y-57402878D01*
-X96917517Y-57402878D01*
-X96807119Y-57210670D01*
-X96561505Y-57095570D01*
-X96298155Y-57030600D01*
-X96027191Y-57018255D01*
-X95759025Y-57059009D01*
-X95503962Y-57151297D01*
-X95392881Y-57210670D01*
-X95282483Y-57402878D01*
-X80127000Y-57402878D01*
-X80127000Y-55377000D01*
-X118623000Y-55377000D01*
-X118623000Y-65500000D01*
-X118623000Y-65500000D01*
-G37*
-X118623000Y-65500000D02*
-X118630244Y-65573549D01*
-X118651697Y-65644272D01*
-X118686536Y-65709450D01*
-X118733421Y-65766579D01*
-X118790550Y-65813464D01*
-X118855728Y-65848303D01*
-X118926451Y-65869756D01*
-X119000000Y-65877000D01*
-X128697065Y-65877000D01*
-X129157607Y-66337543D01*
-X129173316Y-66356684D01*
-X129192456Y-66372392D01*
-X129192464Y-66372400D01*
-X129236422Y-66408475D01*
-X129249755Y-66419417D01*
-X129336964Y-66466031D01*
-X129431591Y-66494736D01*
-X129505347Y-66502000D01*
-X129505357Y-66502000D01*
-X129530000Y-66504427D01*
-X129554643Y-66502000D01*
-X134403155Y-66502000D01*
-X134504691Y-66603536D01*
-X134631952Y-66688569D01*
-X134773357Y-66747141D01*
-X134923472Y-66777000D01*
-X135076528Y-66777000D01*
-X135226643Y-66747141D01*
-X135368048Y-66688569D01*
-X135495309Y-66603536D01*
-X135596845Y-66502000D01*
-X146315357Y-66502000D01*
-X146340000Y-66504427D01*
-X146364643Y-66502000D01*
-X146364653Y-66502000D01*
-X146438409Y-66494736D01*
-X146533036Y-66466031D01*
-X146620245Y-66419417D01*
-X146696684Y-66356684D01*
-X146712401Y-66337533D01*
-X147172935Y-65877000D01*
-X158000000Y-65877000D01*
-X158073549Y-65869756D01*
-X158144272Y-65848303D01*
-X158209450Y-65813464D01*
-X158266579Y-65766579D01*
-X158313464Y-65709450D01*
-X158348303Y-65644272D01*
-X158369756Y-65573549D01*
-X158377000Y-65500000D01*
-X158377000Y-65152000D01*
-X158642118Y-65152000D01*
-X158670089Y-65174955D01*
-X158759432Y-65222709D01*
-X158701095Y-65228455D01*
-X158630030Y-65250012D01*
-X158564537Y-65285019D01*
-X158507131Y-65332131D01*
-X158460019Y-65389537D01*
-X158425012Y-65455030D01*
-X158403455Y-65526095D01*
-X158396176Y-65600000D01*
-X158398000Y-65903750D01*
-X158492250Y-65998000D01*
-X159123000Y-65998000D01*
-X159123000Y-65978000D01*
-X159377000Y-65978000D01*
-X159377000Y-65998000D01*
-X160007750Y-65998000D01*
-X160102000Y-65903750D01*
-X160103824Y-65600000D01*
-X160096545Y-65526095D01*
-X160074988Y-65455030D01*
-X160039981Y-65389537D01*
-X159992869Y-65332131D01*
-X159935463Y-65285019D01*
-X159869970Y-65250012D01*
-X159798905Y-65228455D01*
-X159740568Y-65222709D01*
-X159829911Y-65174955D01*
-X159923307Y-65098307D01*
-X159999955Y-65004911D01*
-X160056909Y-64898357D01*
-X160091982Y-64782739D01*
-X160103824Y-64662500D01*
-X160103824Y-64087500D01*
-X160091982Y-63967261D01*
-X160056909Y-63851643D01*
-X159999955Y-63745089D01*
-X159923307Y-63651693D01*
-X159829911Y-63575045D01*
-X159723357Y-63518091D01*
-X159607739Y-63483018D01*
-X159487500Y-63471176D01*
-X159012500Y-63471176D01*
-X158892261Y-63483018D01*
-X158776643Y-63518091D01*
-X158670089Y-63575045D01*
-X158642118Y-63598000D01*
-X158377000Y-63598000D01*
-X158377000Y-55377000D01*
-X159873000Y-55377000D01*
-X159873000Y-63000000D01*
-X159875440Y-63024776D01*
-X159882667Y-63048601D01*
-X159894403Y-63070557D01*
-X159910197Y-63089803D01*
-X161910197Y-65089803D01*
-X161929443Y-65105597D01*
-X161951399Y-65117333D01*
-X161975224Y-65124560D01*
-X162000000Y-65127000D01*
-X169623001Y-65127000D01*
-X169623001Y-93873000D01*
-X169202000Y-93873000D01*
-X169202000Y-93124644D01*
-X169204427Y-93099999D01*
-X169202000Y-93075356D01*
-X169202000Y-93075346D01*
-X169194736Y-93001590D01*
-X169166031Y-92906963D01*
-X169141176Y-92860463D01*
-X169119417Y-92819753D01*
-X169072400Y-92762463D01*
-X169072392Y-92762455D01*
-X169056684Y-92743315D01*
-X169037543Y-92727606D01*
-X167497397Y-91187462D01*
-X167481684Y-91168316D01*
-X167462536Y-91152601D01*
-X167462535Y-91152600D01*
-X167438347Y-91132750D01*
-X167405245Y-91105583D01*
-X167318036Y-91058969D01*
-X167223409Y-91030264D01*
-X167149653Y-91023000D01*
-X167149643Y-91023000D01*
-X167125000Y-91020573D01*
-X167100357Y-91023000D01*
-X165169505Y-91023000D01*
-X165164981Y-91014537D01*
-X165117869Y-90957131D01*
-X165060463Y-90910019D01*
-X165023010Y-90890000D01*
-X165060463Y-90869981D01*
-X165117869Y-90822869D01*
-X165164981Y-90765463D01*
-X165169505Y-90757000D01*
-X167747066Y-90757000D01*
-X167973000Y-90982935D01*
-X167973000Y-91126528D01*
-X168002859Y-91276643D01*
-X168061431Y-91418048D01*
-X168146464Y-91545309D01*
-X168254691Y-91653536D01*
-X168381952Y-91738569D01*
-X168523357Y-91797141D01*
-X168673472Y-91827000D01*
-X168826528Y-91827000D01*
-X168976643Y-91797141D01*
-X169118048Y-91738569D01*
-X169245309Y-91653536D01*
-X169353536Y-91545309D01*
-X169438569Y-91418048D01*
-X169497141Y-91276643D01*
-X169527000Y-91126528D01*
-X169527000Y-90973472D01*
-X169497141Y-90823357D01*
-X169438569Y-90681952D01*
-X169353536Y-90554691D01*
-X169245309Y-90446464D01*
-X169118048Y-90361431D01*
-X168976643Y-90302859D01*
-X168826528Y-90273000D01*
-X168682935Y-90273000D01*
-X168327399Y-89917465D01*
-X168311684Y-89898316D01*
-X168235245Y-89835583D01*
-X168148036Y-89788969D01*
-X168053409Y-89760264D01*
-X167979653Y-89753000D01*
-X167979643Y-89753000D01*
-X167955000Y-89750573D01*
-X167930357Y-89753000D01*
-X165169505Y-89753000D01*
-X165164981Y-89744537D01*
-X165117869Y-89687131D01*
-X165060463Y-89640019D01*
-X165023010Y-89620000D01*
-X165060463Y-89599981D01*
-X165117869Y-89552869D01*
-X165164981Y-89495463D01*
-X165169505Y-89487000D01*
-X165240357Y-89487000D01*
-X165265000Y-89489427D01*
-X165289643Y-89487000D01*
-X165289653Y-89487000D01*
-X165363409Y-89479736D01*
-X165458036Y-89451031D01*
-X165545245Y-89404417D01*
-X165621684Y-89341684D01*
-X165637402Y-89322533D01*
-X168037538Y-86922397D01*
-X168056684Y-86906684D01*
-X168078809Y-86879726D01*
-X168107976Y-86844186D01*
-X168119417Y-86830245D01*
-X168166031Y-86743036D01*
-X168194736Y-86648409D01*
-X168202000Y-86574653D01*
-X168202000Y-86574644D01*
-X168204427Y-86550001D01*
-X168202000Y-86525358D01*
-X168202000Y-70907934D01*
-X168887538Y-70222397D01*
-X168906684Y-70206684D01*
-X168922397Y-70187538D01*
-X168922400Y-70187535D01*
-X168969417Y-70130246D01*
-X169016031Y-70043037D01*
-X169044736Y-69948410D01*
-X169047020Y-69925221D01*
-X169052000Y-69874653D01*
-X169052000Y-69874646D01*
-X169054427Y-69850000D01*
-X169052000Y-69825355D01*
-X169052000Y-68074642D01*
-X169054427Y-68049999D01*
-X169052000Y-68025356D01*
-X169052000Y-68025347D01*
-X169044736Y-67951591D01*
-X169016031Y-67856964D01*
-X168969417Y-67769755D01*
-X168947352Y-67742869D01*
-X168922399Y-67712464D01*
-X168922397Y-67712462D01*
-X168906684Y-67693316D01*
-X168887536Y-67677601D01*
-X168522401Y-67312467D01*
-X168506684Y-67293316D01*
-X168430245Y-67230583D01*
-X168343036Y-67183969D01*
-X168248409Y-67155264D01*
-X168218930Y-67152361D01*
-X168174955Y-67070089D01*
-X168098307Y-66976693D01*
-X168004911Y-66900045D01*
-X167898357Y-66843091D01*
-X167782739Y-66808018D01*
-X167662500Y-66796176D01*
-X167087500Y-66796176D01*
-X166967261Y-66808018D01*
-X166851643Y-66843091D01*
-X166745089Y-66900045D01*
-X166651693Y-66976693D01*
-X166575045Y-67070089D01*
-X166518091Y-67176643D01*
-X166500000Y-67236280D01*
-X166481909Y-67176643D01*
-X166424955Y-67070089D01*
-X166348307Y-66976693D01*
-X166254911Y-66900045D01*
-X166148357Y-66843091D01*
-X166055138Y-66814813D01*
-X166044417Y-66794755D01*
-X166020843Y-66766031D01*
-X165997399Y-66737464D01*
-X165997397Y-66737462D01*
-X165981684Y-66718316D01*
-X165962538Y-66702603D01*
-X165122390Y-65862456D01*
-X165106673Y-65843305D01*
-X165030234Y-65780572D01*
-X164943025Y-65733958D01*
-X164848398Y-65705253D01*
-X164774642Y-65697989D01*
-X164774632Y-65697989D01*
-X164749989Y-65695562D01*
-X164725346Y-65697989D01*
-X160792732Y-65697989D01*
-X160768089Y-65695562D01*
-X160743446Y-65697989D01*
-X160743437Y-65697989D01*
-X160669681Y-65705253D01*
-X160575054Y-65733958D01*
-X160487845Y-65780572D01*
-X160484003Y-65783725D01*
-X160434633Y-65824243D01*
-X160411406Y-65843305D01*
-X160395693Y-65862451D01*
-X160006144Y-66252000D01*
-X159377000Y-66252000D01*
-X159377000Y-66272000D01*
-X159123000Y-66272000D01*
-X159123000Y-66252000D01*
-X158492250Y-66252000D01*
-X158398000Y-66346250D01*
-X158396188Y-66648000D01*
-X144691822Y-66648000D01*
-X144667179Y-66645573D01*
-X144642536Y-66648000D01*
-X144642527Y-66648000D01*
-X144568771Y-66655264D01*
-X144474144Y-66683969D01*
-X144386935Y-66730583D01*
-X144367971Y-66746147D01*
-X144330377Y-66777000D01*
-X144310496Y-66793316D01*
-X144294783Y-66812462D01*
-X144009246Y-67098000D01*
-X125664642Y-67098000D01*
-X125639999Y-67095573D01*
-X125615356Y-67098000D01*
-X125615347Y-67098000D01*
-X125541591Y-67105264D01*
-X125446964Y-67133969D01*
-X125359755Y-67180583D01*
-X125359753Y-67180584D01*
-X125359754Y-67180584D01*
-X125303197Y-67227000D01*
-X125283316Y-67243316D01*
-X125267603Y-67262462D01*
-X124300569Y-68229497D01*
-X124268734Y-68216310D01*
-X124051000Y-68173000D01*
-X123829000Y-68173000D01*
-X123611266Y-68216310D01*
-X123406165Y-68301266D01*
-X123221579Y-68424602D01*
-X123064602Y-68581579D01*
-X122941266Y-68766165D01*
-X122856310Y-68971266D01*
-X122813000Y-69189000D01*
-X122813000Y-69411000D01*
-X122856310Y-69628734D01*
-X122941266Y-69833835D01*
-X123064602Y-70018421D01*
-X123221579Y-70175398D01*
-X123406165Y-70298734D01*
-X123611266Y-70383690D01*
-X123829000Y-70427000D01*
-X124051000Y-70427000D01*
-X124268734Y-70383690D01*
-X124473835Y-70298734D01*
-X124658421Y-70175398D01*
-X124715409Y-70118410D01*
-X132441195Y-70118410D01*
-X132521306Y-70285043D01*
-X132724213Y-70375111D01*
-X132940793Y-70423864D01*
-X133162723Y-70429428D01*
-X133381474Y-70391588D01*
-X133588640Y-70311798D01*
-X133638694Y-70285043D01*
-X133718805Y-70118410D01*
-X133080000Y-69479605D01*
-X132441195Y-70118410D01*
-X124715409Y-70118410D01*
-X124815398Y-70018421D01*
-X124938734Y-69833835D01*
-X125023690Y-69628734D01*
-X125067000Y-69411000D01*
-X125067000Y-69382723D01*
-X131950572Y-69382723D01*
-X131988412Y-69601474D01*
-X132068202Y-69808640D01*
-X132094957Y-69858694D01*
-X132261590Y-69938805D01*
-X132900395Y-69300000D01*
-X133259605Y-69300000D01*
-X133898410Y-69938805D01*
-X134065043Y-69858694D01*
-X134155111Y-69655787D01*
-X134203864Y-69439207D01*
-X134209428Y-69217277D01*
-X134171588Y-68998526D01*
-X134091798Y-68791360D01*
-X134065043Y-68741306D01*
-X133898410Y-68661195D01*
-X133259605Y-69300000D01*
-X132900395Y-69300000D01*
-X132261590Y-68661195D01*
-X132094957Y-68741306D01*
-X132004889Y-68944213D01*
-X131956136Y-69160793D01*
-X131950572Y-69382723D01*
-X125067000Y-69382723D01*
-X125067000Y-69189000D01*
-X125023690Y-68971266D01*
-X125010503Y-68939431D01*
-X125468344Y-68481590D01*
-X132441195Y-68481590D01*
-X133080000Y-69120395D01*
-X133718805Y-68481590D01*
-X133638694Y-68314957D01*
-X133435787Y-68224889D01*
-X133219207Y-68176136D01*
-X132997277Y-68170572D01*
-X132778526Y-68208412D01*
-X132571360Y-68288202D01*
-X132521306Y-68314957D01*
-X132441195Y-68481590D01*
-X125468344Y-68481590D01*
-X125847935Y-68102000D01*
-X135858065Y-68102000D01*
-X135730569Y-68229497D01*
-X135698734Y-68216310D01*
-X135481000Y-68173000D01*
-X135259000Y-68173000D01*
-X135041266Y-68216310D01*
-X134836165Y-68301266D01*
-X134651579Y-68424602D01*
-X134494602Y-68581579D01*
-X134371266Y-68766165D01*
-X134286310Y-68971266D01*
-X134243000Y-69189000D01*
-X134243000Y-69411000D01*
-X134286310Y-69628734D01*
-X134371266Y-69833835D01*
-X134494602Y-70018421D01*
-X134651579Y-70175398D01*
-X134836165Y-70298734D01*
-X135041266Y-70383690D01*
-X135259000Y-70427000D01*
-X135481000Y-70427000D01*
-X135698734Y-70383690D01*
-X135903835Y-70298734D01*
-X136088421Y-70175398D01*
-X136245398Y-70018421D01*
-X136368734Y-69833835D01*
-X136453690Y-69628734D01*
-X136497000Y-69411000D01*
-X136497000Y-69189000D01*
-X136453690Y-68971266D01*
-X136440503Y-68939431D01*
-X136827935Y-68552000D01*
-X136864853Y-68552000D01*
-X136811431Y-68631952D01*
-X136752859Y-68773357D01*
-X136723000Y-68923472D01*
-X136723000Y-69076528D01*
-X136752859Y-69226643D01*
-X136811431Y-69368048D01*
-X136855726Y-69434340D01*
-X135792066Y-70498000D01*
-X135024642Y-70498000D01*
-X134999999Y-70495573D01*
-X134975356Y-70498000D01*
-X134975347Y-70498000D01*
-X134901591Y-70505264D01*
-X134806964Y-70533969D01*
-X134719755Y-70580583D01*
-X134643316Y-70643316D01*
-X134627603Y-70662462D01*
-X133777467Y-71512599D01*
-X133758316Y-71528316D01*
-X133695583Y-71604755D01*
-X133648969Y-71691965D01*
-X133620264Y-71786592D01*
-X133613000Y-71860348D01*
-X133613000Y-71860357D01*
-X133610573Y-71885000D01*
-X133613000Y-71909643D01*
-X133613000Y-71955495D01*
-X133604537Y-71960019D01*
-X133547131Y-72007131D01*
-X133500019Y-72064537D01*
-X133480000Y-72101990D01*
-X133459981Y-72064537D01*
-X133412869Y-72007131D01*
-X133355463Y-71960019D01*
-X133289970Y-71925012D01*
-X133218905Y-71903455D01*
-X133145000Y-71896176D01*
-X132545000Y-71896176D01*
-X132471095Y-71903455D01*
-X132400030Y-71925012D01*
-X132334537Y-71960019D01*
-X132277131Y-72007131D01*
-X132230019Y-72064537D01*
-X132195012Y-72130030D01*
-X132173455Y-72201095D01*
-X132166176Y-72275000D01*
-X132166176Y-73573000D01*
-X131751165Y-73573000D01*
-X131746545Y-73526095D01*
-X131724988Y-73455030D01*
-X131689981Y-73389537D01*
-X131642869Y-73332131D01*
-X131585463Y-73285019D01*
-X131519970Y-73250012D01*
-X131519930Y-73250000D01*
-X131519970Y-73249988D01*
-X131585463Y-73214981D01*
-X131642869Y-73167869D01*
-X131689981Y-73110463D01*
-X131724988Y-73044970D01*
-X131746545Y-72973905D01*
-X131753824Y-72900000D01*
-X131752000Y-72521250D01*
-X131657750Y-72427000D01*
-X131127000Y-72427000D01*
-X131127000Y-72447000D01*
-X130873000Y-72447000D01*
-X130873000Y-72427000D01*
-X130342250Y-72427000D01*
-X130248000Y-72521250D01*
-X130246176Y-72900000D01*
-X130253455Y-72973905D01*
-X130275012Y-73044970D01*
-X130310019Y-73110463D01*
-X130357131Y-73167869D01*
-X130414537Y-73214981D01*
-X130480030Y-73249988D01*
-X130480070Y-73250000D01*
-X130480030Y-73250012D01*
-X130414537Y-73285019D01*
-X130357131Y-73332131D01*
-X130310019Y-73389537D01*
-X130292133Y-73423000D01*
-X129977000Y-73423000D01*
-X129977000Y-72338155D01*
-X129980758Y-72299999D01*
-X129977000Y-72261843D01*
-X129977000Y-72261834D01*
-X129965757Y-72147681D01*
-X129921327Y-72001216D01*
-X129894503Y-71951032D01*
-X129849177Y-71866233D01*
-X129807976Y-71816030D01*
-X129752080Y-71747920D01*
-X129722432Y-71723589D01*
-X129698843Y-71700000D01*
-X130246176Y-71700000D01*
-X130248000Y-72078750D01*
-X130342250Y-72173000D01*
-X130873000Y-72173000D01*
-X130873000Y-71417250D01*
-X131127000Y-71417250D01*
-X131127000Y-72173000D01*
-X131657750Y-72173000D01*
-X131752000Y-72078750D01*
-X131753824Y-71700000D01*
-X131746545Y-71626095D01*
-X131724988Y-71555030D01*
-X131689981Y-71489537D01*
-X131642869Y-71432131D01*
-X131585463Y-71385019D01*
-X131519970Y-71350012D01*
-X131448905Y-71328455D01*
-X131375000Y-71321176D01*
-X131221250Y-71323000D01*
-X131127000Y-71417250D01*
-X130873000Y-71417250D01*
-X130778750Y-71323000D01*
-X130625000Y-71321176D01*
-X130551095Y-71328455D01*
-X130480030Y-71350012D01*
-X130414537Y-71385019D01*
-X130357131Y-71432131D01*
-X130310019Y-71489537D01*
-X130275012Y-71555030D01*
-X130253455Y-71626095D01*
-X130246176Y-71700000D01*
-X129698843Y-71700000D01*
-X129301413Y-71302570D01*
-X129277080Y-71272920D01*
-X129158766Y-71175823D01*
-X129023784Y-71103673D01*
-X128877319Y-71059243D01*
-X128763166Y-71048000D01*
-X128763163Y-71048000D01*
-X128725000Y-71044241D01*
-X128686837Y-71048000D01*
-X128607882Y-71048000D01*
-X128579911Y-71025045D01*
-X128473357Y-70968091D01*
-X128357739Y-70933018D01*
-X128237500Y-70921176D01*
-X127762500Y-70921176D01*
-X127642261Y-70933018D01*
-X127526643Y-70968091D01*
-X127420089Y-71025045D01*
-X127392118Y-71048000D01*
-X126907882Y-71048000D01*
-X126879911Y-71025045D01*
-X126773357Y-70968091D01*
-X126657739Y-70933018D01*
-X126537500Y-70921176D01*
-X126062500Y-70921176D01*
-X125942261Y-70933018D01*
-X125826643Y-70968091D01*
-X125720089Y-71025045D01*
-X125692118Y-71048000D01*
-X120847160Y-71048000D01*
-X119917570Y-70118410D01*
-X121011195Y-70118410D01*
-X121091306Y-70285043D01*
-X121294213Y-70375111D01*
-X121510793Y-70423864D01*
-X121732723Y-70429428D01*
-X121951474Y-70391588D01*
-X122158640Y-70311798D01*
-X122208694Y-70285043D01*
-X122288805Y-70118410D01*
-X121650000Y-69479605D01*
-X121011195Y-70118410D01*
-X119917570Y-70118410D01*
-X119181883Y-69382723D01*
-X120520572Y-69382723D01*
-X120558412Y-69601474D01*
-X120638202Y-69808640D01*
-X120664957Y-69858694D01*
-X120831590Y-69938805D01*
-X121470395Y-69300000D01*
-X121829605Y-69300000D01*
-X122468410Y-69938805D01*
-X122635043Y-69858694D01*
-X122725111Y-69655787D01*
-X122773864Y-69439207D01*
-X122779428Y-69217277D01*
-X122741588Y-68998526D01*
-X122661798Y-68791360D01*
-X122635043Y-68741306D01*
-X122468410Y-68661195D01*
-X121829605Y-69300000D01*
-X121470395Y-69300000D01*
-X120831590Y-68661195D01*
-X120664957Y-68741306D01*
-X120574889Y-68944213D01*
-X120526136Y-69160793D01*
-X120520572Y-69382723D01*
-X119181883Y-69382723D01*
-X118280750Y-68481590D01*
-X121011195Y-68481590D01*
-X121650000Y-69120395D01*
-X122288805Y-68481590D01*
-X122208694Y-68314957D01*
-X122005787Y-68224889D01*
-X121789207Y-68176136D01*
-X121567277Y-68170572D01*
-X121348526Y-68208412D01*
-X121141360Y-68288202D01*
-X121091306Y-68314957D01*
-X121011195Y-68481590D01*
-X118280750Y-68481590D01*
-X117573759Y-67774600D01*
-X117610463Y-67754981D01*
-X117667869Y-67707869D01*
-X117714981Y-67650463D01*
-X117749988Y-67584970D01*
-X117771545Y-67513905D01*
-X117778824Y-67440000D01*
-X117778824Y-65740000D01*
-X117771545Y-65666095D01*
-X117749988Y-65595030D01*
-X117714981Y-65529537D01*
-X117667869Y-65472131D01*
-X117610463Y-65425019D01*
-X117544970Y-65390012D01*
-X117473905Y-65368455D01*
-X117400000Y-65361176D01*
-X115948758Y-65361176D01*
-X116110918Y-65199016D01*
-X116309466Y-65259245D01*
-X116489732Y-65277000D01*
-X116610268Y-65277000D01*
-X116790534Y-65259245D01*
-X117021824Y-65189084D01*
-X117234983Y-65075149D01*
-X117421817Y-64921817D01*
-X117575149Y-64734983D01*
-X117689084Y-64521824D01*
-X117759245Y-64290534D01*
-X117782936Y-64050000D01*
-X117759245Y-63809466D01*
-X117689084Y-63578176D01*
-X117575149Y-63365017D01*
-X117421817Y-63178183D01*
-X117234983Y-63024851D01*
-X117021824Y-62910916D01*
-X116790534Y-62840755D01*
-X116610268Y-62823000D01*
-X116489732Y-62823000D01*
-X116309466Y-62840755D01*
-X116078176Y-62910916D01*
-X115865017Y-63024851D01*
-X115678183Y-63178183D01*
-X115524851Y-63365017D01*
-X115410916Y-63578176D01*
-X115340755Y-63809466D01*
-X115317064Y-64050000D01*
-X115340755Y-64290534D01*
-X115400984Y-64489082D01*
-X115051990Y-64838076D01*
-X115051990Y-61826351D01*
-X115364482Y-61826351D01*
-X115388518Y-61905605D01*
-X115488014Y-62124597D01*
-X115628322Y-62319971D01*
-X115804049Y-62484218D01*
-X116008443Y-62611026D01*
-X116233648Y-62695523D01*
-X116423000Y-62636166D01*
-X116423000Y-61637000D01*
-X116677000Y-61637000D01*
-X116677000Y-62636166D01*
-X116866352Y-62695523D01*
-X117091557Y-62611026D01*
-X117295951Y-62484218D01*
-X117471678Y-62319971D01*
-X117611986Y-62124597D01*
-X117711482Y-61905605D01*
-X117735518Y-61826351D01*
-X117675608Y-61637000D01*
-X116677000Y-61637000D01*
-X116423000Y-61637000D01*
-X115424392Y-61637000D01*
-X115364482Y-61826351D01*
-X115051990Y-61826351D01*
-X115051990Y-61193649D01*
-X115364482Y-61193649D01*
-X115424392Y-61383000D01*
-X116423000Y-61383000D01*
-X116423000Y-60383834D01*
-X116677000Y-60383834D01*
-X116677000Y-61383000D01*
-X117675608Y-61383000D01*
-X117735518Y-61193649D01*
-X117711482Y-61114395D01*
-X117611986Y-60895403D01*
-X117471678Y-60700029D01*
-X117295951Y-60535782D01*
-X117091557Y-60408974D01*
-X116866352Y-60324477D01*
-X116677000Y-60383834D01*
-X116423000Y-60383834D01*
-X116233648Y-60324477D01*
-X116008443Y-60408974D01*
-X115804049Y-60535782D01*
-X115628322Y-60700029D01*
-X115488014Y-60895403D01*
-X115388518Y-61114395D01*
-X115364482Y-61193649D01*
-X115051990Y-61193649D01*
-X115051990Y-61177944D01*
-X116072400Y-60157535D01*
-X116072404Y-60157530D01*
-X116110918Y-60119016D01*
-X116309466Y-60179245D01*
-X116489732Y-60197000D01*
-X116610268Y-60197000D01*
-X116790534Y-60179245D01*
-X117021824Y-60109084D01*
-X117234983Y-59995149D01*
-X117421817Y-59841817D01*
-X117575149Y-59654983D01*
-X117689084Y-59441824D01*
-X117759245Y-59210534D01*
-X117782936Y-58970000D01*
-X117759245Y-58729466D01*
-X117689084Y-58498176D01*
-X117575149Y-58285017D01*
-X117421817Y-58098183D01*
-X117234983Y-57944851D01*
-X117021824Y-57830916D01*
-X116790534Y-57760755D01*
-X116610268Y-57743000D01*
-X116489732Y-57743000D01*
-X116309466Y-57760755D01*
-X116078176Y-57830916D01*
-X115865017Y-57944851D01*
-X115678183Y-58098183D01*
-X115524851Y-58285017D01*
-X115410916Y-58498176D01*
-X115340755Y-58729466D01*
-X115317064Y-58970000D01*
-X115340755Y-59210534D01*
-X115400984Y-59409082D01*
-X115362470Y-59447596D01*
-X115362465Y-59447600D01*
-X114212453Y-60597613D01*
-X114193307Y-60613326D01*
-X114177594Y-60632472D01*
-X114177591Y-60632475D01*
-X114130574Y-60689765D01*
-X114083960Y-60776974D01*
-X114055255Y-60871601D01*
-X114045563Y-60970010D01*
-X114047991Y-60994663D01*
-X114047990Y-67525347D01*
-X114045563Y-67549990D01*
-X114047990Y-67574633D01*
-X114047990Y-67574642D01*
-X114055254Y-67648398D01*
-X114083959Y-67743025D01*
-X114130573Y-67830235D01*
-X114193306Y-67906674D01*
-X114212458Y-67922392D01*
-X122752603Y-76462538D01*
-X122768316Y-76481684D01*
-X122787462Y-76497397D01*
-X122787464Y-76497399D01*
-X122818659Y-76523000D01*
-X122844755Y-76544417D01*
-X122886776Y-76566878D01*
-X121002001Y-78451654D01*
-X121002001Y-75014642D01*
-X121004428Y-74989999D01*
-X121002001Y-74965356D01*
-X121002001Y-74965346D01*
-X120994737Y-74891590D01*
-X120966032Y-74796963D01*
-X120940080Y-74748410D01*
-X120919418Y-74709753D01*
-X120872401Y-74652463D01*
-X120872393Y-74652455D01*
-X120856685Y-74633315D01*
-X120837544Y-74617606D01*
-X117057402Y-70837466D01*
-X117041685Y-70818315D01*
-X116965246Y-70755582D01*
-X116878037Y-70708968D01*
-X116783410Y-70680263D01*
-X116709654Y-70672999D01*
-X116709644Y-70672999D01*
-X116685001Y-70670572D01*
-X116660358Y-70672999D01*
-X113480438Y-70672999D01*
-X113474987Y-70655029D01*
-X113439980Y-70589536D01*
-X113392868Y-70532130D01*
-X113335462Y-70485018D01*
-X113269969Y-70450011D01*
-X113198904Y-70428454D01*
-X113124999Y-70421175D01*
-X113102000Y-70421175D01*
-X113102000Y-69851511D01*
-X113104911Y-69849955D01*
-X113198307Y-69773307D01*
-X113274955Y-69679911D01*
-X113331909Y-69573357D01*
-X113366982Y-69457739D01*
-X113378824Y-69337500D01*
-X113378824Y-68862500D01*
-X113366982Y-68742261D01*
-X113331909Y-68626643D01*
-X113274955Y-68520089D01*
-X113198307Y-68426693D01*
-X113104911Y-68350045D01*
-X113102000Y-68348489D01*
-X113102000Y-67851511D01*
-X113104911Y-67849955D01*
-X113198307Y-67773307D01*
-X113274955Y-67679911D01*
-X113331909Y-67573357D01*
-X113366982Y-67457739D01*
-X113378824Y-67337500D01*
-X113378824Y-66862500D01*
-X113366982Y-66742261D01*
-X113331909Y-66626643D01*
-X113274955Y-66520089D01*
-X113198307Y-66426693D01*
-X113104911Y-66350045D01*
-X112998357Y-66293091D01*
-X112882739Y-66258018D01*
-X112762500Y-66246176D01*
-X112187500Y-66246176D01*
-X112067261Y-66258018D01*
-X111951643Y-66293091D01*
-X111845089Y-66350045D01*
-X111751693Y-66426693D01*
-X111675045Y-66520089D01*
-X111618091Y-66626643D01*
-X111600000Y-66686280D01*
-X111581909Y-66626643D01*
-X111524955Y-66520089D01*
-X111448307Y-66426693D01*
-X111354911Y-66350045D01*
-X111248357Y-66293091D01*
-X111132739Y-66258018D01*
-X111012500Y-66246176D01*
-X110437500Y-66246176D01*
-X110317261Y-66258018D01*
-X110201643Y-66293091D01*
-X110095089Y-66350045D01*
-X110001693Y-66426693D01*
-X109925045Y-66520089D01*
-X109868091Y-66626643D01*
-X109833018Y-66742261D01*
-X109821176Y-66862500D01*
-X109821176Y-67117112D01*
-X109622019Y-67316269D01*
-X109532750Y-67227000D01*
-X108777000Y-67227000D01*
-X108777000Y-67757750D01*
-X108871250Y-67852000D01*
-X109085258Y-67853031D01*
-X108737500Y-68200788D01*
-X108388904Y-67852192D01*
-X108428750Y-67852000D01*
-X108523000Y-67757750D01*
-X108523000Y-67227000D01*
-X108503000Y-67227000D01*
-X108503000Y-66973000D01*
-X108523000Y-66973000D01*
-X108523000Y-66442250D01*
-X108777000Y-66442250D01*
-X108777000Y-66973000D01*
-X109532750Y-66973000D01*
-X109627000Y-66878750D01*
-X109628824Y-66725000D01*
-X109621545Y-66651095D01*
-X109599988Y-66580030D01*
-X109564981Y-66514537D01*
-X109517869Y-66457131D01*
-X109460463Y-66410019D01*
-X109394970Y-66375012D01*
-X109323905Y-66353455D01*
-X109250000Y-66346176D01*
-X108871250Y-66348000D01*
-X108777000Y-66442250D01*
-X108523000Y-66442250D01*
-X108489353Y-66408603D01*
-X108521327Y-66348784D01*
-X108527428Y-66328673D01*
-X108565757Y-66202319D01*
-X108577000Y-66088166D01*
-X108577000Y-66088157D01*
-X108580758Y-66050001D01*
-X108577000Y-66011845D01*
-X108577000Y-64778824D01*
-X108800000Y-64778824D01*
-X108873905Y-64771545D01*
-X108944970Y-64749988D01*
-X109010463Y-64714981D01*
-X109067869Y-64667869D01*
-X109114981Y-64610463D01*
-X109149988Y-64544970D01*
-X109171545Y-64473905D01*
-X109178824Y-64400000D01*
-X109178824Y-62400000D01*
-X109171545Y-62326095D01*
-X109149988Y-62255030D01*
-X109114981Y-62189537D01*
-X109067869Y-62132131D01*
-X109010463Y-62085019D01*
-X108944970Y-62050012D01*
-X108873905Y-62028455D01*
-X108800000Y-62021176D01*
-X106800000Y-62021176D01*
-X106726095Y-62028455D01*
-X106655030Y-62050012D01*
-X106589537Y-62085019D01*
-X106532131Y-62132131D01*
-X106485019Y-62189537D01*
-X106450012Y-62255030D01*
-X106428455Y-62326095D01*
-X106421176Y-62400000D01*
-X106421176Y-63397138D01*
-X104269787Y-65548527D01*
-X104264981Y-65539537D01*
-X104217869Y-65482131D01*
-X104160463Y-65435019D01*
-X104094970Y-65400012D01*
-X104023905Y-65378455D01*
-X103950000Y-65371176D01*
-X101650000Y-65371176D01*
-X101576095Y-65378455D01*
-X101505030Y-65400012D01*
-X101439537Y-65435019D01*
-X101382131Y-65482131D01*
-X101335019Y-65539537D01*
-X101300012Y-65605030D01*
-X101278455Y-65676095D01*
-X101271176Y-65750000D01*
-X101271176Y-69250000D01*
-X101278455Y-69323905D01*
-X101300012Y-69394970D01*
-X101317337Y-69427383D01*
-X101247681Y-69434243D01*
-X101132530Y-69469174D01*
-X101101216Y-69478673D01*
-X100966233Y-69550823D01*
-X100886777Y-69616031D01*
-X100847920Y-69647920D01*
-X100823592Y-69677564D01*
-X100028157Y-70473000D01*
-X98953824Y-70473000D01*
-X98953824Y-70470000D01*
-X98946545Y-70396095D01*
-X98924988Y-70325030D01*
-X98889981Y-70259537D01*
-X98842869Y-70202131D01*
-X98785463Y-70155019D01*
-X98719970Y-70120012D01*
-X98648905Y-70098455D01*
-X98575000Y-70091176D01*
-X97925000Y-70091176D01*
-X97851095Y-70098455D01*
-X97780030Y-70120012D01*
-X97775000Y-70122701D01*
-X97769970Y-70120012D01*
-X97698905Y-70098455D01*
-X97625000Y-70091176D01*
-X97521250Y-70093000D01*
-X97427000Y-70187250D01*
-X97427000Y-71123000D01*
-X97447000Y-71123000D01*
-X97447000Y-71377000D01*
-X97427000Y-71377000D01*
-X97427000Y-71397000D01*
-X97173000Y-71397000D01*
-X97173000Y-71377000D01*
-X97153000Y-71377000D01*
-X97153000Y-71123000D01*
-X97173000Y-71123000D01*
-X97173000Y-70187250D01*
-X97078750Y-70093000D01*
-X96975000Y-70091176D01*
-X96901095Y-70098455D01*
-X96830030Y-70120012D01*
-X96825000Y-70122701D01*
-X96819970Y-70120012D01*
-X96748905Y-70098455D01*
-X96675000Y-70091176D01*
-X96025000Y-70091176D01*
-X95951095Y-70098455D01*
-X95880030Y-70120012D01*
-X95814537Y-70155019D01*
-X95757131Y-70202131D01*
-X95710019Y-70259537D01*
-X95675012Y-70325030D01*
-X95653455Y-70396095D01*
-X95646176Y-70470000D01*
-X95646176Y-70473000D01*
-X91938155Y-70473000D01*
-X91899999Y-70469242D01*
-X91861843Y-70473000D01*
-X91861834Y-70473000D01*
-X91747681Y-70484243D01*
-X91601216Y-70528673D01*
-X91521699Y-70571176D01*
-X88900000Y-70571176D01*
-X88826095Y-70578455D01*
-X88755030Y-70600012D01*
-X88689537Y-70635019D01*
-X88632131Y-70682131D01*
-X88585019Y-70739537D01*
-X88550012Y-70805030D01*
-X88528455Y-70876095D01*
-X88521176Y-70950000D01*
-X88521176Y-73350000D01*
-X88528455Y-73423905D01*
-X88550012Y-73494970D01*
-X88585019Y-73560463D01*
-X88632131Y-73617869D01*
-X88689537Y-73664981D01*
-X88755030Y-73699988D01*
-X88826095Y-73721545D01*
-X88900000Y-73728824D01*
-X93100000Y-73728824D01*
-X93173905Y-73721545D01*
-X93244970Y-73699988D01*
-X93310463Y-73664981D01*
-X93367869Y-73617869D01*
-X93414981Y-73560463D01*
-X93449988Y-73494970D01*
-X93471545Y-73423905D01*
-X93478824Y-73350000D01*
-X93478824Y-72027000D01*
-X95573001Y-72027000D01*
-X95573001Y-72446829D01*
-X95569241Y-72485002D01*
-X95584244Y-72637320D01*
-X95628673Y-72783785D01*
-X95700823Y-72918768D01*
-X95746073Y-72973905D01*
-X95797921Y-73037082D01*
-X95827565Y-73061410D01*
-X96188585Y-73422430D01*
-X96189053Y-73423000D01*
-X94113163Y-73423000D01*
-X94075000Y-73419241D01*
-X94036836Y-73423000D01*
-X94036834Y-73423000D01*
-X93922681Y-73434243D01*
-X93776216Y-73478673D01*
-X93745727Y-73494970D01*
-X93641233Y-73550823D01*
-X93559469Y-73617925D01*
-X93522920Y-73647920D01*
-X93498592Y-73677564D01*
-X92827565Y-74348592D01*
-X92797921Y-74372920D01*
-X92773593Y-74402564D01*
-X92773591Y-74402566D01*
-X92700823Y-74491234D01*
-X92628673Y-74626217D01*
-X92599486Y-74722434D01*
-X92584244Y-74772681D01*
-X92583981Y-74775348D01*
-X92569241Y-74925000D01*
-X92573001Y-74963173D01*
-X92573001Y-75392132D01*
-X92539537Y-75410019D01*
-X92482131Y-75457131D01*
-X92435019Y-75514537D01*
-X92400012Y-75580030D01*
-X92378455Y-75651095D01*
-X92371176Y-75725000D01*
-X92371176Y-76475000D01*
-X92373001Y-76493528D01*
-X92373001Y-77506474D01*
-X92371176Y-77525000D01*
-X92371176Y-78123000D01*
-X91228824Y-78123000D01*
-X91228824Y-77200000D01*
-X91221545Y-77126095D01*
-X91199988Y-77055030D01*
-X91164981Y-76989537D01*
-X91117869Y-76932131D01*
-X91060463Y-76885019D01*
-X90994970Y-76850012D01*
-X90923905Y-76828455D01*
-X90850000Y-76821176D01*
-X88850000Y-76821176D01*
-X88776095Y-76828455D01*
-X88705030Y-76850012D01*
-X88639537Y-76885019D01*
-X88582131Y-76932131D01*
-X88535019Y-76989537D01*
-X88500012Y-77055030D01*
-X88478455Y-77126095D01*
-X88471176Y-77200000D01*
-X88471176Y-78123000D01*
-X84851409Y-78123000D01*
-X84817869Y-78082131D01*
-X84760463Y-78035019D01*
-X84694970Y-78000012D01*
-X84623905Y-77978455D01*
-X84550000Y-77971176D01*
-X82550000Y-77971176D01*
-X82476095Y-77978455D01*
-X82405030Y-78000012D01*
-X82339537Y-78035019D01*
-X82326997Y-78045310D01*
-X81831687Y-77550000D01*
-X82171176Y-77550000D01*
-X82178455Y-77623905D01*
-X82200012Y-77694970D01*
-X82235019Y-77760463D01*
-X82282131Y-77817869D01*
-X82339537Y-77864981D01*
-X82405030Y-77899988D01*
-X82476095Y-77921545D01*
-X82550000Y-77928824D01*
-X83328750Y-77927000D01*
-X83423000Y-77832750D01*
-X83423000Y-76927000D01*
-X83677000Y-76927000D01*
-X83677000Y-77832750D01*
-X83771250Y-77927000D01*
-X84550000Y-77928824D01*
-X84623905Y-77921545D01*
-X84694970Y-77899988D01*
-X84760463Y-77864981D01*
-X84817869Y-77817869D01*
-X84864981Y-77760463D01*
-X84899988Y-77694970D01*
-X84921545Y-77623905D01*
-X84928824Y-77550000D01*
-X84927000Y-77021250D01*
-X84832750Y-76927000D01*
-X83677000Y-76927000D01*
-X83423000Y-76927000D01*
-X82267250Y-76927000D01*
-X82173000Y-77021250D01*
-X82171176Y-77550000D01*
-X81831687Y-77550000D01*
-X80331687Y-76050000D01*
-X82171176Y-76050000D01*
-X82173000Y-76578750D01*
-X82267250Y-76673000D01*
-X83423000Y-76673000D01*
-X83423000Y-75767250D01*
-X83677000Y-75767250D01*
-X83677000Y-76673000D01*
-X84832750Y-76673000D01*
-X84927000Y-76578750D01*
-X84928824Y-76050000D01*
-X84921545Y-75976095D01*
-X84899988Y-75905030D01*
-X84864981Y-75839537D01*
-X84817869Y-75782131D01*
-X84760463Y-75735019D01*
-X84694970Y-75700012D01*
-X84623905Y-75678455D01*
-X84550000Y-75671176D01*
-X83771250Y-75673000D01*
-X83677000Y-75767250D01*
-X83423000Y-75767250D01*
-X83328750Y-75673000D01*
-X82550000Y-75671176D01*
-X82476095Y-75678455D01*
-X82405030Y-75700012D01*
-X82339537Y-75735019D01*
-X82282131Y-75782131D01*
-X82235019Y-75839537D01*
-X82200012Y-75905030D01*
-X82178455Y-75976095D01*
-X82171176Y-76050000D01*
-X80331687Y-76050000D01*
-X80178824Y-75897138D01*
-X80178824Y-74900000D01*
-X80171545Y-74826095D01*
-X80149988Y-74755030D01*
-X80114981Y-74689537D01*
-X80067869Y-74632131D01*
-X80010463Y-74585019D01*
-X79944970Y-74550012D01*
-X79873905Y-74528455D01*
-X79800000Y-74521176D01*
-X77800000Y-74521176D01*
-X77726095Y-74528455D01*
-X77655030Y-74550012D01*
-X77589537Y-74585019D01*
-X77532131Y-74632131D01*
-X77485019Y-74689537D01*
-X77450012Y-74755030D01*
-X77428455Y-74826095D01*
-X77421176Y-74900000D01*
-X77421176Y-76900000D01*
-X77428455Y-76973905D01*
-X77450012Y-77044970D01*
-X77485019Y-77110463D01*
-X77532131Y-77167869D01*
-X77589537Y-77214981D01*
-X77655030Y-77249988D01*
-X77726095Y-77271545D01*
-X77800000Y-77278824D01*
-X78797138Y-77278824D01*
-X81275220Y-79756907D01*
-X81305814Y-79794186D01*
-X81454582Y-79916276D01*
-X81624309Y-80006997D01*
-X81808475Y-80062863D01*
-X81952007Y-80077000D01*
-X81952016Y-80077000D01*
-X81999999Y-80081726D01*
-X82047982Y-80077000D01*
-X82248591Y-80077000D01*
-X82282131Y-80117869D01*
-X82339537Y-80164981D01*
-X82405030Y-80199988D01*
-X82476095Y-80221545D01*
-X82550000Y-80228824D01*
-X84550000Y-80228824D01*
-X84623905Y-80221545D01*
-X84694970Y-80199988D01*
-X84760463Y-80164981D01*
-X84817869Y-80117869D01*
-X84851409Y-80077000D01*
-X88471176Y-80077000D01*
-X88471176Y-81000000D01*
-X88478455Y-81073905D01*
-X88500012Y-81144970D01*
-X88535019Y-81210463D01*
-X88582131Y-81267869D01*
-X88639537Y-81314981D01*
-X88705030Y-81349988D01*
-X88776095Y-81371545D01*
-X88850000Y-81378824D01*
-X90850000Y-81378824D01*
-X90923905Y-81371545D01*
-X90994970Y-81349988D01*
-X91060463Y-81314981D01*
-X91117869Y-81267869D01*
-X91164981Y-81210463D01*
-X91199988Y-81144970D01*
-X91221545Y-81073905D01*
-X91228824Y-81000000D01*
-X91228824Y-80077000D01*
-X92245314Y-80077000D01*
-X92374220Y-80205907D01*
-X92378455Y-80248905D01*
-X92400012Y-80319970D01*
-X92435019Y-80385463D01*
-X92482131Y-80442869D01*
-X92539537Y-80489981D01*
-X92573000Y-80507868D01*
-X92573000Y-81013165D01*
-X92573001Y-81013175D01*
-X92573000Y-84948154D01*
-X91437569Y-86083586D01*
-X91437564Y-86083590D01*
-X89477564Y-88043591D01*
-X89447920Y-88067919D01*
-X89423592Y-88097563D01*
-X89423590Y-88097565D01*
-X89350822Y-88186233D01*
-X89278672Y-88321216D01*
-X89255380Y-88398000D01*
-X89235815Y-88462500D01*
-X89234243Y-88467681D01*
-X89219240Y-88619999D01*
-X89223000Y-88658172D01*
-X89222999Y-90586835D01*
-X89219240Y-90624999D01*
-X89222999Y-90663162D01*
-X89222999Y-90663164D01*
-X89234242Y-90777317D01*
-X89274492Y-90910001D01*
-X89278672Y-90923782D01*
-X89350822Y-91058765D01*
-X89390148Y-91106684D01*
-X89447919Y-91177079D01*
-X89477568Y-91201411D01*
-X90248592Y-91972436D01*
-X90272920Y-92002080D01*
-X90302564Y-92026408D01*
-X90302565Y-92026409D01*
-X90391234Y-92099178D01*
-X90478034Y-92145573D01*
-X90526216Y-92171327D01*
-X90672681Y-92215757D01*
-X90786834Y-92227000D01*
-X90786836Y-92227000D01*
-X90825000Y-92230759D01*
-X90863163Y-92227000D01*
-X91292133Y-92227000D01*
-X91310019Y-92260463D01*
-X91357131Y-92317869D01*
-X91414537Y-92364981D01*
-X91480030Y-92399988D01*
-X91551095Y-92421545D01*
-X91625000Y-92428824D01*
-X92375000Y-92428824D01*
-X92448905Y-92421545D01*
-X92519970Y-92399988D01*
-X92585463Y-92364981D01*
-X92642869Y-92317869D01*
-X92689981Y-92260463D01*
-X92721230Y-92202000D01*
-X93471176Y-92202000D01*
-X93471176Y-92400000D01*
-X93478455Y-92473905D01*
-X93491270Y-92516150D01*
-X93381952Y-92561431D01*
-X93254691Y-92646464D01*
-X93146464Y-92754691D01*
-X93061431Y-92881952D01*
-X93002859Y-93023357D01*
-X92973000Y-93173472D01*
-X92973000Y-93326528D01*
-X93002859Y-93476643D01*
-X93061431Y-93618048D01*
-X93073785Y-93636537D01*
-X91749210Y-93644778D01*
-X91725224Y-93647216D01*
-X91701399Y-93654443D01*
-X91679443Y-93666179D01*
-X91660197Y-93681973D01*
-X91644403Y-93701219D01*
-X91632667Y-93723175D01*
-X91625440Y-93747000D01*
-X91623000Y-93771776D01*
-X91623000Y-93873000D01*
-X72689500Y-93873000D01*
-X72689500Y-89097122D01*
-X72982483Y-89097122D01*
-X73092881Y-89289330D01*
-X73338495Y-89404430D01*
-X73601845Y-89469400D01*
-X73872809Y-89481745D01*
-X74140975Y-89440991D01*
-X74396038Y-89348703D01*
-X74507119Y-89289330D01*
-X74617517Y-89097122D01*
-X73800000Y-88279605D01*
-X72982483Y-89097122D01*
-X72689500Y-89097122D01*
-X72689500Y-88852396D01*
-X72802878Y-88917517D01*
-X73620395Y-88100000D01*
-X73979605Y-88100000D01*
-X74797122Y-88917517D01*
-X74989330Y-88807119D01*
-X75104430Y-88561505D01*
-X75169400Y-88298155D01*
-X75181745Y-88027191D01*
-X75140991Y-87759025D01*
-X75048703Y-87503962D01*
-X74989330Y-87392881D01*
-X74797122Y-87282483D01*
-X73979605Y-88100000D01*
-X73620395Y-88100000D01*
-X72802878Y-87282483D01*
-X72689500Y-87347604D01*
-X72689500Y-87102878D01*
-X72982483Y-87102878D01*
-X73800000Y-87920395D01*
-X74617517Y-87102878D01*
-X74507119Y-86910670D01*
-X74261505Y-86795570D01*
-X73998155Y-86730600D01*
-X73727191Y-86718255D01*
-X73459025Y-86759009D01*
-X73203962Y-86851297D01*
-X73092881Y-86910670D01*
-X72982483Y-87102878D01*
-X72689500Y-87102878D01*
-X72689500Y-82984528D01*
-X72697848Y-82974356D01*
-X72755958Y-82865641D01*
-X72791741Y-82747677D01*
-X72803824Y-82625000D01*
-X72803824Y-81375000D01*
-X73596176Y-81375000D01*
-X73596176Y-82625000D01*
-X73608259Y-82747677D01*
-X73644042Y-82865641D01*
-X73702152Y-82974356D01*
-X73780354Y-83069646D01*
-X73875644Y-83147848D01*
-X73984359Y-83205958D01*
-X74102323Y-83241741D01*
-X74225000Y-83253824D01*
-X74559638Y-83253824D01*
-X77823001Y-86517188D01*
-X77823001Y-86721176D01*
-X77800000Y-86721176D01*
-X77726095Y-86728455D01*
-X77655030Y-86750012D01*
-X77589537Y-86785019D01*
-X77532131Y-86832131D01*
-X77485019Y-86889537D01*
-X77450012Y-86955030D01*
-X77428455Y-87026095D01*
-X77421176Y-87100000D01*
-X77421176Y-89100000D01*
-X77428455Y-89173905D01*
-X77450012Y-89244970D01*
-X77485019Y-89310463D01*
-X77532131Y-89367869D01*
-X77589537Y-89414981D01*
-X77655030Y-89449988D01*
-X77726095Y-89471545D01*
-X77800000Y-89478824D01*
-X79800000Y-89478824D01*
-X79873905Y-89471545D01*
-X79944970Y-89449988D01*
-X80010463Y-89414981D01*
-X80067869Y-89367869D01*
-X80114981Y-89310463D01*
-X80149988Y-89244970D01*
-X80171545Y-89173905D01*
-X80178824Y-89100000D01*
-X80178824Y-88418410D01*
-X85961195Y-88418410D01*
-X86041306Y-88585043D01*
-X86244213Y-88675111D01*
-X86460793Y-88723864D01*
-X86682723Y-88729428D01*
-X86901474Y-88691588D01*
-X87108640Y-88611798D01*
-X87158694Y-88585043D01*
-X87238805Y-88418410D01*
-X86600000Y-87779605D01*
-X85961195Y-88418410D01*
-X80178824Y-88418410D01*
-X80178824Y-87682723D01*
-X85470572Y-87682723D01*
-X85508412Y-87901474D01*
-X85588202Y-88108640D01*
-X85614957Y-88158694D01*
-X85781590Y-88238805D01*
-X86420395Y-87600000D01*
-X86779605Y-87600000D01*
-X87418410Y-88238805D01*
-X87585043Y-88158694D01*
-X87675111Y-87955787D01*
-X87723864Y-87739207D01*
-X87729428Y-87517277D01*
-X87691588Y-87298526D01*
-X87611798Y-87091360D01*
-X87585043Y-87041306D01*
-X87418410Y-86961195D01*
-X86779605Y-87600000D01*
-X86420395Y-87600000D01*
-X85781590Y-86961195D01*
-X85614957Y-87041306D01*
-X85524889Y-87244213D01*
-X85476136Y-87460793D01*
-X85470572Y-87682723D01*
-X80178824Y-87682723D01*
-X80178824Y-87100000D01*
-X80171545Y-87026095D01*
-X80149988Y-86955030D01*
-X80114981Y-86889537D01*
-X80067869Y-86832131D01*
-X80010463Y-86785019D01*
-X80004048Y-86781590D01*
-X85961195Y-86781590D01*
-X86600000Y-87420395D01*
-X87238805Y-86781590D01*
-X87158694Y-86614957D01*
-X86955787Y-86524889D01*
-X86739207Y-86476136D01*
-X86517277Y-86470572D01*
-X86298526Y-86508412D01*
-X86091360Y-86588202D01*
-X86041306Y-86614957D01*
-X85961195Y-86781590D01*
-X80004048Y-86781590D01*
-X79944970Y-86750012D01*
-X79873905Y-86728455D01*
-X79800000Y-86721176D01*
-X79777000Y-86721176D01*
-X79777000Y-86554686D01*
-X81220061Y-85111625D01*
-X81224602Y-85118421D01*
-X81381579Y-85275398D01*
-X81566165Y-85398734D01*
-X81771266Y-85483690D01*
-X81989000Y-85527000D01*
-X82211000Y-85527000D01*
-X82428734Y-85483690D01*
-X82633835Y-85398734D01*
-X82818421Y-85275398D01*
-X82975398Y-85118421D01*
-X83098734Y-84933835D01*
-X83183690Y-84728734D01*
-X83227000Y-84511000D01*
-X83227000Y-84289000D01*
-X83183690Y-84071266D01*
-X83098734Y-83866165D01*
-X82975398Y-83681579D01*
-X82818421Y-83524602D01*
-X82811625Y-83520061D01*
-X83802863Y-82528824D01*
-X84550000Y-82528824D01*
-X84623905Y-82521545D01*
-X84694970Y-82499988D01*
-X84760463Y-82464981D01*
-X84817869Y-82417869D01*
-X84864981Y-82360463D01*
-X84899988Y-82294970D01*
-X84921545Y-82223905D01*
-X84928824Y-82150000D01*
-X84928824Y-80650000D01*
-X84921545Y-80576095D01*
-X84899988Y-80505030D01*
-X84864981Y-80439537D01*
-X84817869Y-80382131D01*
-X84760463Y-80335019D01*
-X84694970Y-80300012D01*
-X84623905Y-80278455D01*
-X84550000Y-80271176D01*
-X82550000Y-80271176D01*
-X82476095Y-80278455D01*
-X82405030Y-80300012D01*
-X82339537Y-80335019D01*
-X82282131Y-80382131D01*
-X82235019Y-80439537D01*
-X82200012Y-80505030D01*
-X82178455Y-80576095D01*
-X82171176Y-80650000D01*
-X82171176Y-81397137D01*
-X81500640Y-82067674D01*
-X81496545Y-82026095D01*
-X81474988Y-81955030D01*
-X81439981Y-81889537D01*
-X81392869Y-81832131D01*
-X81335463Y-81785019D01*
-X81269970Y-81750012D01*
-X81269930Y-81750000D01*
-X81269970Y-81749988D01*
-X81335463Y-81714981D01*
-X81392869Y-81667869D01*
-X81439981Y-81610463D01*
-X81474988Y-81544970D01*
-X81496545Y-81473905D01*
-X81503824Y-81400000D01*
-X81502000Y-81021250D01*
-X81407750Y-80927000D01*
-X80877000Y-80927000D01*
-X80877000Y-80947000D01*
-X80623000Y-80947000D01*
-X80623000Y-80927000D01*
-X80092250Y-80927000D01*
-X79998000Y-81021250D01*
-X79996176Y-81400000D01*
-X80003455Y-81473905D01*
-X80025012Y-81544970D01*
-X80060019Y-81610463D01*
-X80107131Y-81667869D01*
-X80164537Y-81714981D01*
-X80230030Y-81749988D01*
-X80230070Y-81750000D01*
-X80230030Y-81750012D01*
-X80164537Y-81785019D01*
-X80107131Y-81832131D01*
-X80060019Y-81889537D01*
-X80025012Y-81955030D01*
-X80003455Y-82026095D01*
-X79998584Y-82075551D01*
-X79933725Y-82154582D01*
-X79843003Y-82324310D01*
-X79787137Y-82508475D01*
-X79768273Y-82700000D01*
-X79787137Y-82891525D01*
-X79843003Y-83075690D01*
-X79933725Y-83245418D01*
-X79998584Y-83324450D01*
-X80003455Y-83373905D01*
-X80025012Y-83444970D01*
-X80059264Y-83509050D01*
-X78818750Y-84749564D01*
-X75778824Y-81709638D01*
-X75778824Y-81375000D01*
-X75766741Y-81252323D01*
-X75730958Y-81134359D01*
-X75672848Y-81025644D01*
-X75594646Y-80930354D01*
-X75499356Y-80852152D01*
-X75390641Y-80794042D01*
-X75272677Y-80758259D01*
-X75150000Y-80746176D01*
-X74225000Y-80746176D01*
-X74102323Y-80758259D01*
-X73984359Y-80794042D01*
-X73875644Y-80852152D01*
-X73780354Y-80930354D01*
-X73702152Y-81025644D01*
-X73644042Y-81134359D01*
-X73608259Y-81252323D01*
-X73596176Y-81375000D01*
-X72803824Y-81375000D01*
-X72791741Y-81252323D01*
-X72755958Y-81134359D01*
-X72697848Y-81025644D01*
-X72689500Y-81015472D01*
-X72689500Y-80200000D01*
-X79996176Y-80200000D01*
-X79998000Y-80578750D01*
-X80092250Y-80673000D01*
-X80623000Y-80673000D01*
-X80623000Y-79917250D01*
-X80877000Y-79917250D01*
-X80877000Y-80673000D01*
-X81407750Y-80673000D01*
-X81502000Y-80578750D01*
-X81503824Y-80200000D01*
-X81496545Y-80126095D01*
-X81474988Y-80055030D01*
-X81439981Y-79989537D01*
-X81392869Y-79932131D01*
-X81335463Y-79885019D01*
-X81269970Y-79850012D01*
-X81198905Y-79828455D01*
-X81125000Y-79821176D01*
-X80971250Y-79823000D01*
-X80877000Y-79917250D01*
-X80623000Y-79917250D01*
-X80528750Y-79823000D01*
-X80375000Y-79821176D01*
-X80301095Y-79828455D01*
-X80230030Y-79850012D01*
-X80164537Y-79885019D01*
-X80107131Y-79932131D01*
-X80060019Y-79989537D01*
-X80025012Y-80055030D01*
-X80003455Y-80126095D01*
-X79996176Y-80200000D01*
-X72689500Y-80200000D01*
-X72689500Y-76897122D01*
-X72982483Y-76897122D01*
-X73092881Y-77089330D01*
-X73338495Y-77204430D01*
-X73601845Y-77269400D01*
-X73872809Y-77281745D01*
-X74140975Y-77240991D01*
-X74396038Y-77148703D01*
-X74507119Y-77089330D01*
-X74617517Y-76897122D01*
-X73800000Y-76079605D01*
-X72982483Y-76897122D01*
-X72689500Y-76897122D01*
-X72689500Y-76652396D01*
-X72802878Y-76717517D01*
-X73620395Y-75900000D01*
-X73979605Y-75900000D01*
-X74797122Y-76717517D01*
-X74989330Y-76607119D01*
-X75104430Y-76361505D01*
-X75169400Y-76098155D01*
-X75181745Y-75827191D01*
-X75140991Y-75559025D01*
-X75048703Y-75303962D01*
-X74989330Y-75192881D01*
-X74797122Y-75082483D01*
-X73979605Y-75900000D01*
-X73620395Y-75900000D01*
-X72802878Y-75082483D01*
-X72689500Y-75147604D01*
-X72689500Y-74902878D01*
-X72982483Y-74902878D01*
-X73800000Y-75720395D01*
-X74617517Y-74902878D01*
-X74507119Y-74710670D01*
-X74261505Y-74595570D01*
-X73998155Y-74530600D01*
-X73727191Y-74518255D01*
-X73459025Y-74559009D01*
-X73203962Y-74651297D01*
-X73092881Y-74710670D01*
-X72982483Y-74902878D01*
-X72689500Y-74902878D01*
-X72689500Y-73092186D01*
-X73774779Y-72006907D01*
-X74154687Y-71627000D01*
-X81779322Y-71627000D01*
-X81855354Y-71719646D01*
-X81950644Y-71797848D01*
-X82059359Y-71855958D01*
-X82177323Y-71891741D01*
-X82300000Y-71903824D01*
-X83225000Y-71903824D01*
-X83347677Y-71891741D01*
-X83465641Y-71855958D01*
-X83574356Y-71797848D01*
-X83669646Y-71719646D01*
-X83747848Y-71624356D01*
-X83805958Y-71515641D01*
-X83841741Y-71397677D01*
-X83853824Y-71275000D01*
-X83853824Y-70025000D01*
-X83841741Y-69902323D01*
-X83805958Y-69784359D01*
-X83747848Y-69675644D01*
-X83669646Y-69580354D01*
-X83574356Y-69502152D01*
-X83465641Y-69444042D01*
-X83347677Y-69408259D01*
-X83225000Y-69396176D01*
-X82300000Y-69396176D01*
-X82177323Y-69408259D01*
-X82059359Y-69444042D01*
-X81950644Y-69502152D01*
-X81855354Y-69580354D01*
-X81779322Y-69673000D01*
-X79906193Y-69673000D01*
-X80020282Y-69502254D01*
-X80124083Y-69251656D01*
-X80177000Y-68985623D01*
-X80177000Y-68714377D01*
-X80124083Y-68448344D01*
-X80072993Y-68325000D01*
-X82371176Y-68325000D01*
-X82378455Y-68398905D01*
-X82400012Y-68469970D01*
-X82435019Y-68535463D01*
-X82482131Y-68592869D01*
-X82539537Y-68639981D01*
-X82605030Y-68674988D01*
-X82676095Y-68696545D01*
-X82750000Y-68703824D01*
-X83128750Y-68702000D01*
-X83223000Y-68607750D01*
-X83223000Y-68077000D01*
-X82467250Y-68077000D01*
-X82373000Y-68171250D01*
-X82371176Y-68325000D01*
-X80072993Y-68325000D01*
-X80020282Y-68197746D01*
-X79869586Y-67972213D01*
-X79727000Y-67829627D01*
-X79727000Y-67575000D01*
-X82371176Y-67575000D01*
-X82373000Y-67728750D01*
-X82467250Y-67823000D01*
-X83223000Y-67823000D01*
-X83223000Y-67292250D01*
-X83477000Y-67292250D01*
-X83477000Y-67823000D01*
-X83497000Y-67823000D01*
-X83497000Y-68077000D01*
-X83477000Y-68077000D01*
-X83477000Y-68607750D01*
-X83571250Y-68702000D01*
-X83950000Y-68703824D01*
-X84023905Y-68696545D01*
-X84094970Y-68674988D01*
-X84160463Y-68639981D01*
-X84217869Y-68592869D01*
-X84264981Y-68535463D01*
-X84299988Y-68469970D01*
-X84300000Y-68469930D01*
-X84300012Y-68469970D01*
-X84335019Y-68535463D01*
-X84382131Y-68592869D01*
-X84439537Y-68639981D01*
-X84505030Y-68674988D01*
-X84576095Y-68696545D01*
-X84619094Y-68700780D01*
-X84760501Y-68842187D01*
-X84760500Y-69665471D01*
-X84752152Y-69675644D01*
-X84694042Y-69784359D01*
-X84658259Y-69902323D01*
-X84646176Y-70025000D01*
-X84646176Y-71275000D01*
-X84658259Y-71397677D01*
-X84694042Y-71515641D01*
-X84752152Y-71624356D01*
-X84830354Y-71719646D01*
-X84925644Y-71797848D01*
-X85034359Y-71855958D01*
-X85152323Y-71891741D01*
-X85275000Y-71903824D01*
-X86200000Y-71903824D01*
-X86322677Y-71891741D01*
-X86440641Y-71855958D01*
-X86549356Y-71797848D01*
-X86644646Y-71719646D01*
-X86722848Y-71624356D01*
-X86780958Y-71515641D01*
-X86816741Y-71397677D01*
-X86828824Y-71275000D01*
-X86828824Y-70025000D01*
-X86816741Y-69902323D01*
-X86780958Y-69784359D01*
-X86722848Y-69675644D01*
-X86714500Y-69665472D01*
-X86714500Y-68927000D01*
-X88529394Y-68927000D01*
-X88550012Y-68994970D01*
-X88585019Y-69060463D01*
-X88632131Y-69117869D01*
-X88689537Y-69164981D01*
-X88755030Y-69199988D01*
-X88826095Y-69221545D01*
-X88900000Y-69228824D01*
-X93100000Y-69228824D01*
-X93173905Y-69221545D01*
-X93244970Y-69199988D01*
-X93310463Y-69164981D01*
-X93367869Y-69117869D01*
-X93414981Y-69060463D01*
-X93449988Y-68994970D01*
-X93471545Y-68923905D01*
-X93478824Y-68850000D01*
-X93478824Y-68627000D01*
-X94252007Y-68627000D01*
-X94300000Y-68631727D01*
-X94491525Y-68612863D01*
-X94511159Y-68606907D01*
-X94675691Y-68556997D01*
-X94845418Y-68466276D01*
-X94994186Y-68344186D01*
-X95024784Y-68306902D01*
-X95661233Y-67670453D01*
-X95653455Y-67696095D01*
-X95646176Y-67770000D01*
-X95646176Y-69330000D01*
-X95653455Y-69403905D01*
-X95675012Y-69474970D01*
-X95710019Y-69540463D01*
-X95757131Y-69597869D01*
-X95814537Y-69644981D01*
-X95880030Y-69679988D01*
-X95951095Y-69701545D01*
-X96025000Y-69708824D01*
-X96675000Y-69708824D01*
-X96748905Y-69701545D01*
-X96819970Y-69679988D01*
-X96825000Y-69677299D01*
-X96830030Y-69679988D01*
-X96901095Y-69701545D01*
-X96975000Y-69708824D01*
-X97625000Y-69708824D01*
-X97698905Y-69701545D01*
-X97769970Y-69679988D01*
-X97775000Y-69677299D01*
-X97780030Y-69679988D01*
-X97851095Y-69701545D01*
-X97925000Y-69708824D01*
-X98575000Y-69708824D01*
-X98648905Y-69701545D01*
-X98719970Y-69679988D01*
-X98785463Y-69644981D01*
-X98842869Y-69597869D01*
-X98889981Y-69540463D01*
-X98924988Y-69474970D01*
-X98946545Y-69403905D01*
-X98953824Y-69330000D01*
-X98953824Y-68881530D01*
-X98971327Y-68848784D01*
-X99015757Y-68702319D01*
-X99027000Y-68588166D01*
-X99027000Y-68133155D01*
-X99030758Y-68094999D01*
-X99027000Y-68056843D01*
-X99027000Y-68056835D01*
-X99015757Y-67942681D01*
-X98971327Y-67796216D01*
-X98959082Y-67773307D01*
-X98953036Y-67761995D01*
-X98946545Y-67696095D01*
-X98924988Y-67625030D01*
-X98889981Y-67559537D01*
-X98877000Y-67543720D01*
-X98877000Y-67300794D01*
-X98880033Y-67270000D01*
-X98867927Y-67147087D01*
-X98855756Y-67106964D01*
-X98832075Y-67028897D01*
-X98773853Y-66919972D01*
-X98695501Y-66824499D01*
-X98671578Y-66804866D01*
-X98257497Y-66390785D01*
-X98206997Y-66224309D01*
-X98116276Y-66054582D01*
-X98077000Y-66006724D01*
-X98077000Y-64638155D01*
-X98080758Y-64599999D01*
-X98077000Y-64561843D01*
-X98077000Y-64561834D01*
-X98065757Y-64447681D01*
-X98021327Y-64301216D01*
-X98010118Y-64280245D01*
-X97949177Y-64166233D01*
-X97876409Y-64077565D01*
-X97876408Y-64077564D01*
-X97852080Y-64047920D01*
-X97822437Y-64023593D01*
-X97478824Y-63679980D01*
-X97478824Y-62400000D01*
-X97471545Y-62326095D01*
-X97449988Y-62255030D01*
-X97414981Y-62189537D01*
-X97367869Y-62132131D01*
-X97310463Y-62085019D01*
-X97244970Y-62050012D01*
-X97173905Y-62028455D01*
-X97100000Y-62021176D01*
-X95100000Y-62021176D01*
-X95026095Y-62028455D01*
-X94955030Y-62050012D01*
-X94889537Y-62085019D01*
-X94832131Y-62132131D01*
-X94785019Y-62189537D01*
-X94750012Y-62255030D01*
-X94728455Y-62326095D01*
-X94721176Y-62400000D01*
-X94721176Y-64400000D01*
-X94728455Y-64473905D01*
-X94750012Y-64544970D01*
-X94785019Y-64610463D01*
-X94832131Y-64667869D01*
-X94889537Y-64714981D01*
-X94955030Y-64749988D01*
-X95026095Y-64771545D01*
-X95100000Y-64778824D01*
-X96379980Y-64778824D01*
-X96523000Y-64921844D01*
-X96523001Y-65623000D01*
-X95397990Y-65623000D01*
-X95349999Y-65618273D01*
-X95302009Y-65623000D01*
-X95302007Y-65623000D01*
-X95158475Y-65637137D01*
-X94974309Y-65693003D01*
-X94804582Y-65783724D01*
-X94655814Y-65905814D01*
-X94625220Y-65943093D01*
-X94506372Y-66061942D01*
-X94451216Y-66078673D01*
-X94420177Y-66095264D01*
-X94316234Y-66150822D01*
-X94253485Y-66202319D01*
-X94197920Y-66247920D01*
-X94173592Y-66277564D01*
-X93778156Y-66673000D01*
-X93478824Y-66673000D01*
-X93478824Y-66450000D01*
-X93471545Y-66376095D01*
-X93449988Y-66305030D01*
-X93414981Y-66239537D01*
-X93367869Y-66182131D01*
-X93310463Y-66135019D01*
-X93244970Y-66100012D01*
-X93173905Y-66078455D01*
-X93100000Y-66071176D01*
-X88900000Y-66071176D01*
-X88826095Y-66078455D01*
-X88755030Y-66100012D01*
-X88689537Y-66135019D01*
-X88632131Y-66182131D01*
-X88585019Y-66239537D01*
-X88550012Y-66305030D01*
-X88528455Y-66376095D01*
-X88521176Y-66450000D01*
-X88521176Y-66973000D01*
-X88484035Y-66973000D01*
-X88483690Y-66971266D01*
-X88398734Y-66766165D01*
-X88275398Y-66581579D01*
-X88118421Y-66424602D01*
-X87933835Y-66301266D01*
-X87728734Y-66216310D01*
-X87511000Y-66173000D01*
-X87289000Y-66173000D01*
-X87071266Y-66216310D01*
-X86866165Y-66301266D01*
-X86681579Y-66424602D01*
-X86524602Y-66581579D01*
-X86401266Y-66766165D01*
-X86316310Y-66971266D01*
-X86315965Y-66973000D01*
-X85297993Y-66973000D01*
-X85250000Y-66968273D01*
-X85202007Y-66973000D01*
-X85058475Y-66987137D01*
-X84874309Y-67043003D01*
-X84704582Y-67133724D01*
-X84625549Y-67198584D01*
-X84576095Y-67203455D01*
-X84505030Y-67225012D01*
-X84439537Y-67260019D01*
-X84382131Y-67307131D01*
-X84335019Y-67364537D01*
-X84300012Y-67430030D01*
-X84300000Y-67430070D01*
-X84299988Y-67430030D01*
-X84264981Y-67364537D01*
-X84217869Y-67307131D01*
-X84160463Y-67260019D01*
-X84094970Y-67225012D01*
-X84023905Y-67203455D01*
-X83950000Y-67196176D01*
-X83571250Y-67198000D01*
-X83477000Y-67292250D01*
-X83223000Y-67292250D01*
-X83128750Y-67198000D01*
-X82750000Y-67196176D01*
-X82676095Y-67203455D01*
-X82605030Y-67225012D01*
-X82539537Y-67260019D01*
-X82482131Y-67307131D01*
-X82435019Y-67364537D01*
-X82400012Y-67430030D01*
-X82378455Y-67501095D01*
-X82371176Y-67575000D01*
-X79727000Y-67575000D01*
-X79727000Y-67371843D01*
-X83386534Y-63712309D01*
-X83432131Y-63767869D01*
-X83489537Y-63814981D01*
-X83555030Y-63849988D01*
-X83626095Y-63871545D01*
-X83700000Y-63878824D01*
-X86300000Y-63878824D01*
-X86373905Y-63871545D01*
-X86444970Y-63849988D01*
-X86510463Y-63814981D01*
-X86567869Y-63767869D01*
-X86614981Y-63710463D01*
-X86649988Y-63644970D01*
-X86671545Y-63573905D01*
-X86678824Y-63500000D01*
-X86678824Y-61500000D01*
-X86671545Y-61426095D01*
-X86649988Y-61355030D01*
-X86614981Y-61289537D01*
-X86567869Y-61232131D01*
-X86510463Y-61185019D01*
-X86444970Y-61150012D01*
-X86373905Y-61128455D01*
-X86300000Y-61121176D01*
-X86038950Y-61121176D01*
-X86170617Y-61034376D01*
-X86363489Y-60843883D01*
-X86515491Y-60619423D01*
-X86620783Y-60369622D01*
-X86634383Y-60299929D01*
-X86576448Y-60087000D01*
-X85127000Y-60087000D01*
-X85127000Y-60107000D01*
-X84873000Y-60107000D01*
-X84873000Y-60087000D01*
-X83423552Y-60087000D01*
-X83365617Y-60299929D01*
-X83379217Y-60369622D01*
-X83484509Y-60619423D01*
-X83636511Y-60843883D01*
-X83829383Y-61034376D01*
-X83961050Y-61121176D01*
-X83700000Y-61121176D01*
-X83626095Y-61128455D01*
-X83555030Y-61150012D01*
-X83489537Y-61185019D01*
-X83432131Y-61232131D01*
-X83385019Y-61289537D01*
-X83350012Y-61355030D01*
-X83328455Y-61426095D01*
-X83321176Y-61500000D01*
-X83321176Y-61742283D01*
-X83201216Y-61778673D01*
-X83151906Y-61805030D01*
-X83066233Y-61850823D01*
-X82999481Y-61905605D01*
-X82947920Y-61947920D01*
-X82923592Y-61977564D01*
-X80127000Y-64774157D01*
-X80127000Y-59620071D01*
-X83365617Y-59620071D01*
-X83423552Y-59833000D01*
-X84873000Y-59833000D01*
-X84873000Y-58583000D01*
-X85127000Y-58583000D01*
-X85127000Y-59833000D01*
-X86576448Y-59833000D01*
-X86634383Y-59620071D01*
-X86620783Y-59550378D01*
-X86556186Y-59397122D01*
-X95282483Y-59397122D01*
-X95392881Y-59589330D01*
-X95638495Y-59704430D01*
-X95901845Y-59769400D01*
-X96172809Y-59781745D01*
-X96440975Y-59740991D01*
-X96696038Y-59648703D01*
-X96807119Y-59589330D01*
-X96917517Y-59397122D01*
-X106982483Y-59397122D01*
-X107092881Y-59589330D01*
-X107338495Y-59704430D01*
-X107601845Y-59769400D01*
-X107872809Y-59781745D01*
-X108140975Y-59740991D01*
-X108396038Y-59648703D01*
-X108507119Y-59589330D01*
-X108617517Y-59397122D01*
-X107800000Y-58579605D01*
-X106982483Y-59397122D01*
-X96917517Y-59397122D01*
-X96100000Y-58579605D01*
-X95282483Y-59397122D01*
-X86556186Y-59397122D01*
-X86515491Y-59300577D01*
-X86363489Y-59076117D01*
-X86170617Y-58885624D01*
-X85944288Y-58736419D01*
-X85693199Y-58634235D01*
-X85427000Y-58583000D01*
-X85127000Y-58583000D01*
-X84873000Y-58583000D01*
-X84573000Y-58583000D01*
-X84306801Y-58634235D01*
-X84055712Y-58736419D01*
-X83829383Y-58885624D01*
-X83636511Y-59076117D01*
-X83484509Y-59300577D01*
-X83379217Y-59550378D01*
-X83365617Y-59620071D01*
-X80127000Y-59620071D01*
-X80127000Y-58472809D01*
-X94718255Y-58472809D01*
-X94759009Y-58740975D01*
-X94851297Y-58996038D01*
-X94910670Y-59107119D01*
-X95102878Y-59217517D01*
-X95920395Y-58400000D01*
-X96279605Y-58400000D01*
-X97097122Y-59217517D01*
-X97289330Y-59107119D01*
-X97404430Y-58861505D01*
-X97469400Y-58598155D01*
-X97475110Y-58472809D01*
-X106418255Y-58472809D01*
-X106459009Y-58740975D01*
-X106551297Y-58996038D01*
-X106610670Y-59107119D01*
-X106802878Y-59217517D01*
-X107620395Y-58400000D01*
-X107979605Y-58400000D01*
-X108797122Y-59217517D01*
-X108989330Y-59107119D01*
-X109104430Y-58861505D01*
-X109169400Y-58598155D01*
-X109181745Y-58327191D01*
-X109140991Y-58059025D01*
-X109048703Y-57803962D01*
-X108989330Y-57692881D01*
-X108797122Y-57582483D01*
-X107979605Y-58400000D01*
-X107620395Y-58400000D01*
-X106802878Y-57582483D01*
-X106610670Y-57692881D01*
-X106495570Y-57938495D01*
-X106430600Y-58201845D01*
-X106418255Y-58472809D01*
-X97475110Y-58472809D01*
-X97481745Y-58327191D01*
-X97440991Y-58059025D01*
-X97348703Y-57803962D01*
-X97289330Y-57692881D01*
-X97097122Y-57582483D01*
-X96279605Y-58400000D01*
-X95920395Y-58400000D01*
-X95102878Y-57582483D01*
-X94910670Y-57692881D01*
-X94795570Y-57938495D01*
-X94730600Y-58201845D01*
-X94718255Y-58472809D01*
-X80127000Y-58472809D01*
-X80127000Y-57402878D01*
-X95282483Y-57402878D01*
-X96100000Y-58220395D01*
-X96917517Y-57402878D01*
-X106982483Y-57402878D01*
-X107800000Y-58220395D01*
-X108617517Y-57402878D01*
-X108507119Y-57210670D01*
-X108261505Y-57095570D01*
-X107998155Y-57030600D01*
-X107727191Y-57018255D01*
-X107459025Y-57059009D01*
-X107203962Y-57151297D01*
-X107092881Y-57210670D01*
-X106982483Y-57402878D01*
-X96917517Y-57402878D01*
-X96807119Y-57210670D01*
-X96561505Y-57095570D01*
-X96298155Y-57030600D01*
-X96027191Y-57018255D01*
-X95759025Y-57059009D01*
-X95503962Y-57151297D01*
-X95392881Y-57210670D01*
-X95282483Y-57402878D01*
-X80127000Y-57402878D01*
-X80127000Y-55377000D01*
-X118623000Y-55377000D01*
-X118623000Y-65500000D01*
-G36*
-X141351990Y-91470000D02*
-G01*
-X141314537Y-91490019D01*
-X141257131Y-91537131D01*
-X141210019Y-91594537D01*
-X141175012Y-91660030D01*
-X141153455Y-91731095D01*
-X141146176Y-91805000D01*
-X141146176Y-92405000D01*
-X141153455Y-92478905D01*
-X141175012Y-92549970D01*
-X141210019Y-92615463D01*
-X141257131Y-92672869D01*
-X141314537Y-92719981D01*
-X141380030Y-92754988D01*
-X141451095Y-92776545D01*
-X141525000Y-92783824D01*
-X143075000Y-92783824D01*
-X143148905Y-92776545D01*
-X143219970Y-92754988D01*
-X143285463Y-92719981D01*
-X143342869Y-92672869D01*
-X143389981Y-92615463D01*
-X143394505Y-92607000D01*
-X144245185Y-92607000D01*
-X144239537Y-92610019D01*
-X144182131Y-92657131D01*
-X144135019Y-92714537D01*
-X144100012Y-92780030D01*
-X144078455Y-92851095D01*
-X144071176Y-92925000D01*
-X144073000Y-93078750D01*
-X144167250Y-93173000D01*
-X144923000Y-93173000D01*
-X144923000Y-92642250D01*
-X144828750Y-92548000D01*
-X144756773Y-92547653D01*
-X144800245Y-92524417D01*
-X144876684Y-92461684D01*
-X144892401Y-92442533D01*
-X144981110Y-92353824D01*
-X145412500Y-92353824D01*
-X145532739Y-92341982D01*
-X145648357Y-92306909D01*
-X145754911Y-92249955D01*
-X145848307Y-92173307D01*
-X145924955Y-92079911D01*
-X145981909Y-91973357D01*
-X146000000Y-91913720D01*
-X146018091Y-91973357D01*
-X146075045Y-92079911D01*
-X146151693Y-92173307D01*
-X146245089Y-92249955D01*
-X146351643Y-92306909D01*
-X146373000Y-92313388D01*
-X146373001Y-92546176D01*
-X146350000Y-92546176D01*
-X146276095Y-92553455D01*
-X146205030Y-92575012D01*
-X146139537Y-92610019D01*
-X146082131Y-92657131D01*
-X146035019Y-92714537D01*
-X146000012Y-92780030D01*
-X146000000Y-92780070D01*
-X145999988Y-92780030D01*
-X145964981Y-92714537D01*
-X145917869Y-92657131D01*
-X145860463Y-92610019D01*
-X145794970Y-92575012D01*
-X145723905Y-92553455D01*
-X145650000Y-92546176D01*
-X145271250Y-92548000D01*
-X145177000Y-92642250D01*
-X145177000Y-93173000D01*
-X145197000Y-93173000D01*
-X145197000Y-93427000D01*
-X145177000Y-93427000D01*
-X145177000Y-93447000D01*
-X144923000Y-93447000D01*
-X144923000Y-93427000D01*
-X144167250Y-93427000D01*
-X144073000Y-93521250D01*
-X144071176Y-93675000D01*
-X144073441Y-93698000D01*
-X143424643Y-93698000D01*
-X143400000Y-93695573D01*
-X143375357Y-93698000D01*
-X143375347Y-93698000D01*
-X143301591Y-93705264D01*
-X143277000Y-93712724D01*
-X143277000Y-93673472D01*
-X143247141Y-93523357D01*
-X143188569Y-93381952D01*
-X143103536Y-93254691D01*
-X142995309Y-93146464D01*
-X142868048Y-93061431D01*
-X142726643Y-93002859D01*
-X142576528Y-92973000D01*
-X142423472Y-92973000D01*
-X142273357Y-93002859D01*
-X142131952Y-93061431D01*
-X142004691Y-93146464D01*
-X141896464Y-93254691D01*
-X141811431Y-93381952D01*
-X141752859Y-93523357D01*
-X141733039Y-93623000D01*
-X141330892Y-93623000D01*
-X141317869Y-93607131D01*
-X141260463Y-93560019D01*
-X141194970Y-93525012D01*
-X141123905Y-93503455D01*
-X141077000Y-93498835D01*
-X141077000Y-91669711D01*
-X141284712Y-91462000D01*
-X141337023Y-91462000D01*
-X141351990Y-91470000D01*
-X141351990Y-91470000D01*
-G37*
-X141351990Y-91470000D02*
-X141314537Y-91490019D01*
-X141257131Y-91537131D01*
-X141210019Y-91594537D01*
-X141175012Y-91660030D01*
-X141153455Y-91731095D01*
-X141146176Y-91805000D01*
-X141146176Y-92405000D01*
-X141153455Y-92478905D01*
-X141175012Y-92549970D01*
-X141210019Y-92615463D01*
-X141257131Y-92672869D01*
-X141314537Y-92719981D01*
-X141380030Y-92754988D01*
-X141451095Y-92776545D01*
-X141525000Y-92783824D01*
-X143075000Y-92783824D01*
-X143148905Y-92776545D01*
-X143219970Y-92754988D01*
-X143285463Y-92719981D01*
-X143342869Y-92672869D01*
-X143389981Y-92615463D01*
-X143394505Y-92607000D01*
-X144245185Y-92607000D01*
-X144239537Y-92610019D01*
-X144182131Y-92657131D01*
-X144135019Y-92714537D01*
-X144100012Y-92780030D01*
-X144078455Y-92851095D01*
-X144071176Y-92925000D01*
-X144073000Y-93078750D01*
-X144167250Y-93173000D01*
-X144923000Y-93173000D01*
-X144923000Y-92642250D01*
-X144828750Y-92548000D01*
-X144756773Y-92547653D01*
-X144800245Y-92524417D01*
-X144876684Y-92461684D01*
-X144892401Y-92442533D01*
-X144981110Y-92353824D01*
-X145412500Y-92353824D01*
-X145532739Y-92341982D01*
-X145648357Y-92306909D01*
-X145754911Y-92249955D01*
-X145848307Y-92173307D01*
-X145924955Y-92079911D01*
-X145981909Y-91973357D01*
-X146000000Y-91913720D01*
-X146018091Y-91973357D01*
-X146075045Y-92079911D01*
-X146151693Y-92173307D01*
-X146245089Y-92249955D01*
-X146351643Y-92306909D01*
-X146373000Y-92313388D01*
-X146373001Y-92546176D01*
-X146350000Y-92546176D01*
-X146276095Y-92553455D01*
-X146205030Y-92575012D01*
-X146139537Y-92610019D01*
-X146082131Y-92657131D01*
-X146035019Y-92714537D01*
-X146000012Y-92780030D01*
-X146000000Y-92780070D01*
-X145999988Y-92780030D01*
-X145964981Y-92714537D01*
-X145917869Y-92657131D01*
-X145860463Y-92610019D01*
-X145794970Y-92575012D01*
-X145723905Y-92553455D01*
-X145650000Y-92546176D01*
-X145271250Y-92548000D01*
-X145177000Y-92642250D01*
-X145177000Y-93173000D01*
-X145197000Y-93173000D01*
-X145197000Y-93427000D01*
-X145177000Y-93427000D01*
-X145177000Y-93447000D01*
-X144923000Y-93447000D01*
-X144923000Y-93427000D01*
-X144167250Y-93427000D01*
-X144073000Y-93521250D01*
-X144071176Y-93675000D01*
-X144073441Y-93698000D01*
-X143424643Y-93698000D01*
-X143400000Y-93695573D01*
-X143375357Y-93698000D01*
-X143375347Y-93698000D01*
-X143301591Y-93705264D01*
-X143277000Y-93712724D01*
-X143277000Y-93673472D01*
-X143247141Y-93523357D01*
-X143188569Y-93381952D01*
-X143103536Y-93254691D01*
-X142995309Y-93146464D01*
-X142868048Y-93061431D01*
-X142726643Y-93002859D01*
-X142576528Y-92973000D01*
-X142423472Y-92973000D01*
-X142273357Y-93002859D01*
-X142131952Y-93061431D01*
-X142004691Y-93146464D01*
-X141896464Y-93254691D01*
-X141811431Y-93381952D01*
-X141752859Y-93523357D01*
-X141733039Y-93623000D01*
-X141330892Y-93623000D01*
-X141317869Y-93607131D01*
-X141260463Y-93560019D01*
-X141194970Y-93525012D01*
-X141123905Y-93503455D01*
-X141077000Y-93498835D01*
-X141077000Y-91669711D01*
-X141284712Y-91462000D01*
-X141337023Y-91462000D01*
-X141351990Y-91470000D01*
-G36*
-X161498000Y-92575357D02*
-G01*
-X161495573Y-92600000D01*
-X161498000Y-92624643D01*
-X161498000Y-92624652D01*
-X161505264Y-92698408D01*
-X161533969Y-92793035D01*
-X161580583Y-92880245D01*
-X161643316Y-92956684D01*
-X161662467Y-92972401D01*
-X161823000Y-93132934D01*
-X161823000Y-93276528D01*
-X161852859Y-93426643D01*
-X161911431Y-93568048D01*
-X161964846Y-93647990D01*
-X160707927Y-93647990D01*
-X160277001Y-93217065D01*
-X160277001Y-92174644D01*
-X160279428Y-92150001D01*
-X160277001Y-92125358D01*
-X160277001Y-92125348D01*
-X160269737Y-92051592D01*
-X160241032Y-91956965D01*
-X160194418Y-91869756D01*
-X160167975Y-91837536D01*
-X160147400Y-91812465D01*
-X160147398Y-91812463D01*
-X160131685Y-91793317D01*
-X160112539Y-91777604D01*
-X159828824Y-91493889D01*
-X159828824Y-91413025D01*
-X159900001Y-91420035D01*
-X160022913Y-91407929D01*
-X160141104Y-91372076D01*
-X160250028Y-91313854D01*
-X160321582Y-91255132D01*
-X161498000Y-90078715D01*
-X161498000Y-92575357D01*
-X161498000Y-92575357D01*
-G37*
-X161498000Y-92575357D02*
-X161495573Y-92600000D01*
-X161498000Y-92624643D01*
-X161498000Y-92624652D01*
-X161505264Y-92698408D01*
-X161533969Y-92793035D01*
-X161580583Y-92880245D01*
-X161643316Y-92956684D01*
-X161662467Y-92972401D01*
-X161823000Y-93132934D01*
-X161823000Y-93276528D01*
-X161852859Y-93426643D01*
-X161911431Y-93568048D01*
-X161964846Y-93647990D01*
-X160707927Y-93647990D01*
-X160277001Y-93217065D01*
-X160277001Y-92174644D01*
-X160279428Y-92150001D01*
-X160277001Y-92125358D01*
-X160277001Y-92125348D01*
-X160269737Y-92051592D01*
-X160241032Y-91956965D01*
-X160194418Y-91869756D01*
-X160167975Y-91837536D01*
-X160147400Y-91812465D01*
-X160147398Y-91812463D01*
-X160131685Y-91793317D01*
-X160112539Y-91777604D01*
-X159828824Y-91493889D01*
-X159828824Y-91413025D01*
-X159900001Y-91420035D01*
-X160022913Y-91407929D01*
-X160141104Y-91372076D01*
-X160250028Y-91313854D01*
-X160321582Y-91255132D01*
-X161498000Y-90078715D01*
-X161498000Y-92575357D01*
-G36*
-X98953455Y-87023905D02*
-G01*
-X98975012Y-87094970D01*
-X99010019Y-87160463D01*
-X99057131Y-87217869D01*
-X99114537Y-87264981D01*
-X99180030Y-87299988D01*
-X99180070Y-87300000D01*
-X99180030Y-87300012D01*
-X99114537Y-87335019D01*
-X99057131Y-87382131D01*
-X99010019Y-87439537D01*
-X98975012Y-87505030D01*
-X98953455Y-87576095D01*
-X98946176Y-87650000D01*
-X98948000Y-88028750D01*
-X99042250Y-88123000D01*
-X99573000Y-88123000D01*
-X99573000Y-88103000D01*
-X99827000Y-88103000D01*
-X99827000Y-88123000D01*
-X99847000Y-88123000D01*
-X99847000Y-88377000D01*
-X99827000Y-88377000D01*
-X99827000Y-88397000D01*
-X99573000Y-88397000D01*
-X99573000Y-88377000D01*
-X99042250Y-88377000D01*
-X98948000Y-88471250D01*
-X98946176Y-88850000D01*
-X98953455Y-88923905D01*
-X98975012Y-88994970D01*
-X99010019Y-89060463D01*
-X99057131Y-89117869D01*
-X99114537Y-89164981D01*
-X99180030Y-89199988D01*
-X99251095Y-89221545D01*
-X99254924Y-89221922D01*
-X99142261Y-89233018D01*
-X99026643Y-89268091D01*
-X98920089Y-89325045D01*
-X98826693Y-89401693D01*
-X98750045Y-89495089D01*
-X98693091Y-89601643D01*
-X98658018Y-89717261D01*
-X98646176Y-89837500D01*
-X98646176Y-90412500D01*
-X98658018Y-90532739D01*
-X98693091Y-90648357D01*
-X98750045Y-90754911D01*
-X98826693Y-90848307D01*
-X98920089Y-90924955D01*
-X99026643Y-90981909D01*
-X99086280Y-91000000D01*
-X99026643Y-91018091D01*
-X98920089Y-91075045D01*
-X98826693Y-91151693D01*
-X98750045Y-91245089D01*
-X98693091Y-91351643D01*
-X98658018Y-91467261D01*
-X98646176Y-91587500D01*
-X98646176Y-92018889D01*
-X98353824Y-92311241D01*
-X98353824Y-91150000D01*
-X98346545Y-91076095D01*
-X98324988Y-91005030D01*
-X98289981Y-90939537D01*
-X98242869Y-90882131D01*
-X98185463Y-90835019D01*
-X98119970Y-90800012D01*
-X98119930Y-90800000D01*
-X98119970Y-90799988D01*
-X98185463Y-90764981D01*
-X98242869Y-90717869D01*
-X98289981Y-90660463D01*
-X98324988Y-90594970D01*
-X98346545Y-90523905D01*
-X98353824Y-90450000D01*
-X98353824Y-89250000D01*
-X98346545Y-89176095D01*
-X98324988Y-89105030D01*
-X98289981Y-89039537D01*
-X98242869Y-88982131D01*
-X98185463Y-88935019D01*
-X98119970Y-88900012D01*
-X98048905Y-88878455D01*
-X97975000Y-88871176D01*
-X97225000Y-88871176D01*
-X97151095Y-88878455D01*
-X97080030Y-88900012D01*
-X97014537Y-88935019D01*
-X96957131Y-88982131D01*
-X96910019Y-89039537D01*
-X96875012Y-89105030D01*
-X96853455Y-89176095D01*
-X96846176Y-89250000D01*
-X96846176Y-89348000D01*
-X96526770Y-89348000D01*
-X96580245Y-89319417D01*
-X96656684Y-89256684D01*
-X96672401Y-89237533D01*
-X98947410Y-86962526D01*
-X98953455Y-87023905D01*
-X98953455Y-87023905D01*
-G37*
-X98953455Y-87023905D02*
-X98975012Y-87094970D01*
-X99010019Y-87160463D01*
-X99057131Y-87217869D01*
-X99114537Y-87264981D01*
-X99180030Y-87299988D01*
-X99180070Y-87300000D01*
-X99180030Y-87300012D01*
-X99114537Y-87335019D01*
-X99057131Y-87382131D01*
-X99010019Y-87439537D01*
-X98975012Y-87505030D01*
-X98953455Y-87576095D01*
-X98946176Y-87650000D01*
-X98948000Y-88028750D01*
-X99042250Y-88123000D01*
-X99573000Y-88123000D01*
-X99573000Y-88103000D01*
-X99827000Y-88103000D01*
-X99827000Y-88123000D01*
-X99847000Y-88123000D01*
-X99847000Y-88377000D01*
-X99827000Y-88377000D01*
-X99827000Y-88397000D01*
-X99573000Y-88397000D01*
-X99573000Y-88377000D01*
-X99042250Y-88377000D01*
-X98948000Y-88471250D01*
-X98946176Y-88850000D01*
-X98953455Y-88923905D01*
-X98975012Y-88994970D01*
-X99010019Y-89060463D01*
-X99057131Y-89117869D01*
-X99114537Y-89164981D01*
-X99180030Y-89199988D01*
-X99251095Y-89221545D01*
-X99254924Y-89221922D01*
-X99142261Y-89233018D01*
-X99026643Y-89268091D01*
-X98920089Y-89325045D01*
-X98826693Y-89401693D01*
-X98750045Y-89495089D01*
-X98693091Y-89601643D01*
-X98658018Y-89717261D01*
-X98646176Y-89837500D01*
-X98646176Y-90412500D01*
-X98658018Y-90532739D01*
-X98693091Y-90648357D01*
-X98750045Y-90754911D01*
-X98826693Y-90848307D01*
-X98920089Y-90924955D01*
-X99026643Y-90981909D01*
-X99086280Y-91000000D01*
-X99026643Y-91018091D01*
-X98920089Y-91075045D01*
-X98826693Y-91151693D01*
-X98750045Y-91245089D01*
-X98693091Y-91351643D01*
-X98658018Y-91467261D01*
-X98646176Y-91587500D01*
-X98646176Y-92018889D01*
-X98353824Y-92311241D01*
-X98353824Y-91150000D01*
-X98346545Y-91076095D01*
-X98324988Y-91005030D01*
-X98289981Y-90939537D01*
-X98242869Y-90882131D01*
-X98185463Y-90835019D01*
-X98119970Y-90800012D01*
-X98119930Y-90800000D01*
-X98119970Y-90799988D01*
-X98185463Y-90764981D01*
-X98242869Y-90717869D01*
-X98289981Y-90660463D01*
-X98324988Y-90594970D01*
-X98346545Y-90523905D01*
-X98353824Y-90450000D01*
-X98353824Y-89250000D01*
-X98346545Y-89176095D01*
-X98324988Y-89105030D01*
-X98289981Y-89039537D01*
-X98242869Y-88982131D01*
-X98185463Y-88935019D01*
-X98119970Y-88900012D01*
-X98048905Y-88878455D01*
-X97975000Y-88871176D01*
-X97225000Y-88871176D01*
-X97151095Y-88878455D01*
-X97080030Y-88900012D01*
-X97014537Y-88935019D01*
-X96957131Y-88982131D01*
-X96910019Y-89039537D01*
-X96875012Y-89105030D01*
-X96853455Y-89176095D01*
-X96846176Y-89250000D01*
-X96846176Y-89348000D01*
-X96526770Y-89348000D01*
-X96580245Y-89319417D01*
-X96656684Y-89256684D01*
-X96672401Y-89237533D01*
-X98947410Y-86962526D01*
-X98953455Y-87023905D01*
-G36*
-X102225045Y-88879911D02*
-G01*
-X102301693Y-88973307D01*
-X102395089Y-89049955D01*
-X102501643Y-89106909D01*
-X102617261Y-89141982D01*
-X102737500Y-89153824D01*
-X103214175Y-89153824D01*
-X103180584Y-89194755D01*
-X103133970Y-89281964D01*
-X103114491Y-89346176D01*
-X103070000Y-89346176D01*
-X102996095Y-89353455D01*
-X102925030Y-89375012D01*
-X102859537Y-89410019D01*
-X102802131Y-89457131D01*
-X102755019Y-89514537D01*
-X102720012Y-89580030D01*
-X102698455Y-89651095D01*
-X102691176Y-89725000D01*
-X102691176Y-90375000D01*
-X102698455Y-90448905D01*
-X102720012Y-90519970D01*
-X102755019Y-90585463D01*
-X102802131Y-90642869D01*
-X102859537Y-90689981D01*
-X102925030Y-90724988D01*
-X102996095Y-90746545D01*
-X103070000Y-90753824D01*
-X103511242Y-90753824D01*
-X103262463Y-91002603D01*
-X103243317Y-91018316D01*
-X103227604Y-91037462D01*
-X103227601Y-91037465D01*
-X103180584Y-91094755D01*
-X103133970Y-91181964D01*
-X103114491Y-91246176D01*
-X103070000Y-91246176D01*
-X102996095Y-91253455D01*
-X102925030Y-91275012D01*
-X102859537Y-91310019D01*
-X102802131Y-91357131D01*
-X102755019Y-91414537D01*
-X102733898Y-91454052D01*
-X102730432Y-91454393D01*
-X102721590Y-91455264D01*
-X102626963Y-91483969D01*
-X102539754Y-91530583D01*
-X102482464Y-91577600D01*
-X102482456Y-91577608D01*
-X102463316Y-91593316D01*
-X102447607Y-91612457D01*
-X102308824Y-91751240D01*
-X102308824Y-91625000D01*
-X102301545Y-91551095D01*
-X102279988Y-91480030D01*
-X102277299Y-91475000D01*
-X102279988Y-91469970D01*
-X102301545Y-91398905D01*
-X102308824Y-91325000D01*
-X102307000Y-91221250D01*
-X102212750Y-91127000D01*
-X101527000Y-91127000D01*
-X101527000Y-91147000D01*
-X101273000Y-91147000D01*
-X101273000Y-91127000D01*
-X101253000Y-91127000D01*
-X101253000Y-90873000D01*
-X101273000Y-90873000D01*
-X101273000Y-90853000D01*
-X101527000Y-90853000D01*
-X101527000Y-90873000D01*
-X102212750Y-90873000D01*
-X102307000Y-90778750D01*
-X102308824Y-90675000D01*
-X102301545Y-90601095D01*
-X102279988Y-90530030D01*
-X102277299Y-90525000D01*
-X102279988Y-90519970D01*
-X102301545Y-90448905D01*
-X102308824Y-90375000D01*
-X102308824Y-89725000D01*
-X102301545Y-89651095D01*
-X102279988Y-89580030D01*
-X102244981Y-89514537D01*
-X102197869Y-89457131D01*
-X102140463Y-89410019D01*
-X102074970Y-89375012D01*
-X102003905Y-89353455D01*
-X101930000Y-89346176D01*
-X101902000Y-89346176D01*
-X101902000Y-88956827D01*
-X101973307Y-88898307D01*
-X102049955Y-88804911D01*
-X102051511Y-88802000D01*
-X102183401Y-88802000D01*
-X102225045Y-88879911D01*
-X102225045Y-88879911D01*
-G37*
-X102225045Y-88879911D02*
-X102301693Y-88973307D01*
-X102395089Y-89049955D01*
-X102501643Y-89106909D01*
-X102617261Y-89141982D01*
-X102737500Y-89153824D01*
-X103214175Y-89153824D01*
-X103180584Y-89194755D01*
-X103133970Y-89281964D01*
-X103114491Y-89346176D01*
-X103070000Y-89346176D01*
-X102996095Y-89353455D01*
-X102925030Y-89375012D01*
-X102859537Y-89410019D01*
-X102802131Y-89457131D01*
-X102755019Y-89514537D01*
-X102720012Y-89580030D01*
-X102698455Y-89651095D01*
-X102691176Y-89725000D01*
-X102691176Y-90375000D01*
-X102698455Y-90448905D01*
-X102720012Y-90519970D01*
-X102755019Y-90585463D01*
-X102802131Y-90642869D01*
-X102859537Y-90689981D01*
-X102925030Y-90724988D01*
-X102996095Y-90746545D01*
-X103070000Y-90753824D01*
-X103511242Y-90753824D01*
-X103262463Y-91002603D01*
-X103243317Y-91018316D01*
-X103227604Y-91037462D01*
-X103227601Y-91037465D01*
-X103180584Y-91094755D01*
-X103133970Y-91181964D01*
-X103114491Y-91246176D01*
-X103070000Y-91246176D01*
-X102996095Y-91253455D01*
-X102925030Y-91275012D01*
-X102859537Y-91310019D01*
-X102802131Y-91357131D01*
-X102755019Y-91414537D01*
-X102733898Y-91454052D01*
-X102730432Y-91454393D01*
-X102721590Y-91455264D01*
-X102626963Y-91483969D01*
-X102539754Y-91530583D01*
-X102482464Y-91577600D01*
-X102482456Y-91577608D01*
-X102463316Y-91593316D01*
-X102447607Y-91612457D01*
-X102308824Y-91751240D01*
-X102308824Y-91625000D01*
-X102301545Y-91551095D01*
-X102279988Y-91480030D01*
-X102277299Y-91475000D01*
-X102279988Y-91469970D01*
-X102301545Y-91398905D01*
-X102308824Y-91325000D01*
-X102307000Y-91221250D01*
-X102212750Y-91127000D01*
-X101527000Y-91127000D01*
-X101527000Y-91147000D01*
-X101273000Y-91147000D01*
-X101273000Y-91127000D01*
-X101253000Y-91127000D01*
-X101253000Y-90873000D01*
-X101273000Y-90873000D01*
-X101273000Y-90853000D01*
-X101527000Y-90853000D01*
-X101527000Y-90873000D01*
-X102212750Y-90873000D01*
-X102307000Y-90778750D01*
-X102308824Y-90675000D01*
-X102301545Y-90601095D01*
-X102279988Y-90530030D01*
-X102277299Y-90525000D01*
-X102279988Y-90519970D01*
-X102301545Y-90448905D01*
-X102308824Y-90375000D01*
-X102308824Y-89725000D01*
-X102301545Y-89651095D01*
-X102279988Y-89580030D01*
-X102244981Y-89514537D01*
-X102197869Y-89457131D01*
-X102140463Y-89410019D01*
-X102074970Y-89375012D01*
-X102003905Y-89353455D01*
-X101930000Y-89346176D01*
-X101902000Y-89346176D01*
-X101902000Y-88956827D01*
-X101973307Y-88898307D01*
-X102049955Y-88804911D01*
-X102051511Y-88802000D01*
-X102183401Y-88802000D01*
-X102225045Y-88879911D01*
-G36*
-X120746176Y-89596111D02*
-G01*
-X120746176Y-89865000D01*
-X120753455Y-89938905D01*
-X120775012Y-90009970D01*
-X120810019Y-90075463D01*
-X120857131Y-90132869D01*
-X120914537Y-90179981D01*
-X120951990Y-90200000D01*
-X120914537Y-90220019D01*
-X120857131Y-90267131D01*
-X120810019Y-90324537D01*
-X120775012Y-90390030D01*
-X120753455Y-90461095D01*
-X120746176Y-90535000D01*
-X120748000Y-90613750D01*
-X120842250Y-90708000D01*
-X121773000Y-90708000D01*
-X121773000Y-90688000D01*
-X122027000Y-90688000D01*
-X122027000Y-90708000D01*
-X122047000Y-90708000D01*
-X122047000Y-90962000D01*
-X122027000Y-90962000D01*
-X122027000Y-90982000D01*
-X121773000Y-90982000D01*
-X121773000Y-90962000D01*
-X120842250Y-90962000D01*
-X120748000Y-91056250D01*
-X120746176Y-91135000D01*
-X120753455Y-91208905D01*
-X120775012Y-91279970D01*
-X120810019Y-91345463D01*
-X120857131Y-91402869D01*
-X120914537Y-91449981D01*
-X120951990Y-91470000D01*
-X120914537Y-91490019D01*
-X120857131Y-91537131D01*
-X120810019Y-91594537D01*
-X120805495Y-91603000D01*
-X120439931Y-91603000D01*
-X119776998Y-90940068D01*
-X119776998Y-89732936D01*
-X120330001Y-89179935D01*
-X120746176Y-89596111D01*
-X120746176Y-89596111D01*
-G37*
-X120746176Y-89596111D02*
-X120746176Y-89865000D01*
-X120753455Y-89938905D01*
-X120775012Y-90009970D01*
-X120810019Y-90075463D01*
-X120857131Y-90132869D01*
-X120914537Y-90179981D01*
-X120951990Y-90200000D01*
-X120914537Y-90220019D01*
-X120857131Y-90267131D01*
-X120810019Y-90324537D01*
-X120775012Y-90390030D01*
-X120753455Y-90461095D01*
-X120746176Y-90535000D01*
-X120748000Y-90613750D01*
-X120842250Y-90708000D01*
-X121773000Y-90708000D01*
-X121773000Y-90688000D01*
-X122027000Y-90688000D01*
-X122027000Y-90708000D01*
-X122047000Y-90708000D01*
-X122047000Y-90962000D01*
-X122027000Y-90962000D01*
-X122027000Y-90982000D01*
-X121773000Y-90982000D01*
-X121773000Y-90962000D01*
-X120842250Y-90962000D01*
-X120748000Y-91056250D01*
-X120746176Y-91135000D01*
-X120753455Y-91208905D01*
-X120775012Y-91279970D01*
-X120810019Y-91345463D01*
-X120857131Y-91402869D01*
-X120914537Y-91449981D01*
-X120951990Y-91470000D01*
-X120914537Y-91490019D01*
-X120857131Y-91537131D01*
-X120810019Y-91594537D01*
-X120805495Y-91603000D01*
-X120439931Y-91603000D01*
-X119776998Y-90940068D01*
-X119776998Y-89732936D01*
-X120330001Y-89179935D01*
-X120746176Y-89596111D01*
-G36*
-X93500609Y-89237543D02*
-G01*
-X93516318Y-89256684D01*
-X93535458Y-89272392D01*
-X93535466Y-89272400D01*
-X93592756Y-89319417D01*
-X93629733Y-89339181D01*
-X93679966Y-89366031D01*
-X93774593Y-89394736D01*
-X93848349Y-89402000D01*
-X93848359Y-89402000D01*
-X93873002Y-89404427D01*
-X93897645Y-89402000D01*
-X96173230Y-89402000D01*
-X96119755Y-89430583D01*
-X96119753Y-89430584D01*
-X96119754Y-89430584D01*
-X96063264Y-89476945D01*
-X96043316Y-89493316D01*
-X96027603Y-89512462D01*
-X95162463Y-90377603D01*
-X95143317Y-90393316D01*
-X95127604Y-90412462D01*
-X95127601Y-90412465D01*
-X95080584Y-90469755D01*
-X95033970Y-90556964D01*
-X95014491Y-90621176D01*
-X94850000Y-90621176D01*
-X94776095Y-90628455D01*
-X94750000Y-90636371D01*
-X94723905Y-90628455D01*
-X94650000Y-90621176D01*
-X94617250Y-90623000D01*
-X94523000Y-90717250D01*
-X94523000Y-90812023D01*
-X94500012Y-90855030D01*
-X94500000Y-90855070D01*
-X94499988Y-90855030D01*
-X94477000Y-90812023D01*
-X94477000Y-90717250D01*
-X94382750Y-90623000D01*
-X94350000Y-90621176D01*
-X94276095Y-90628455D01*
-X94250000Y-90636371D01*
-X94223905Y-90628455D01*
-X94150000Y-90621176D01*
-X93850000Y-90621176D01*
-X93776095Y-90628455D01*
-X93705030Y-90650012D01*
-X93639537Y-90685019D01*
-X93582131Y-90732131D01*
-X93535019Y-90789537D01*
-X93500012Y-90855030D01*
-X93478455Y-90926095D01*
-X93471176Y-91000000D01*
-X93471176Y-91198000D01*
-X92753824Y-91198000D01*
-X92753824Y-90850000D01*
-X92746545Y-90776095D01*
-X92724988Y-90705030D01*
-X92689981Y-90639537D01*
-X92642869Y-90582131D01*
-X92585463Y-90535019D01*
-X92519970Y-90500012D01*
-X92519930Y-90500000D01*
-X92519970Y-90499988D01*
-X92585463Y-90464981D01*
-X92642869Y-90417869D01*
-X92689981Y-90360463D01*
-X92724988Y-90294970D01*
-X92746545Y-90223905D01*
-X92753824Y-90150000D01*
-X92752000Y-89771250D01*
-X92657750Y-89677000D01*
-X92127000Y-89677000D01*
-X92127000Y-89697000D01*
-X91873000Y-89697000D01*
-X91873000Y-89677000D01*
-X91342250Y-89677000D01*
-X91248000Y-89771250D01*
-X91246176Y-90150000D01*
-X91253455Y-90223905D01*
-X91275012Y-90294970D01*
-X91310019Y-90360463D01*
-X91357131Y-90417869D01*
-X91414537Y-90464981D01*
-X91480030Y-90499988D01*
-X91480070Y-90500000D01*
-X91480030Y-90500012D01*
-X91414537Y-90535019D01*
-X91357131Y-90582131D01*
-X91310019Y-90639537D01*
-X91292133Y-90673000D01*
-X91146844Y-90673000D01*
-X90776999Y-90303156D01*
-X90776999Y-88950000D01*
-X91246176Y-88950000D01*
-X91248000Y-89328750D01*
-X91342250Y-89423000D01*
-X91873000Y-89423000D01*
-X91873000Y-88667250D01*
-X92127000Y-88667250D01*
-X92127000Y-89423000D01*
-X92657750Y-89423000D01*
-X92752000Y-89328750D01*
-X92753824Y-88950000D01*
-X92746545Y-88876095D01*
-X92724988Y-88805030D01*
-X92689981Y-88739537D01*
-X92642869Y-88682131D01*
-X92585463Y-88635019D01*
-X92519970Y-88600012D01*
-X92448905Y-88578455D01*
-X92375000Y-88571176D01*
-X92221250Y-88573000D01*
-X92127000Y-88667250D01*
-X91873000Y-88667250D01*
-X91778750Y-88573000D01*
-X91625000Y-88571176D01*
-X91551095Y-88578455D01*
-X91480030Y-88600012D01*
-X91414537Y-88635019D01*
-X91357131Y-88682131D01*
-X91310019Y-88739537D01*
-X91275012Y-88805030D01*
-X91253455Y-88876095D01*
-X91246176Y-88950000D01*
-X90776999Y-88950000D01*
-X90776999Y-88941842D01*
-X91990955Y-87727887D01*
-X93500609Y-89237543D01*
-X93500609Y-89237543D01*
-G37*
-X93500609Y-89237543D02*
-X93516318Y-89256684D01*
-X93535458Y-89272392D01*
-X93535466Y-89272400D01*
-X93592756Y-89319417D01*
-X93629733Y-89339181D01*
-X93679966Y-89366031D01*
-X93774593Y-89394736D01*
-X93848349Y-89402000D01*
-X93848359Y-89402000D01*
-X93873002Y-89404427D01*
-X93897645Y-89402000D01*
-X96173230Y-89402000D01*
-X96119755Y-89430583D01*
-X96119753Y-89430584D01*
-X96119754Y-89430584D01*
-X96063264Y-89476945D01*
-X96043316Y-89493316D01*
-X96027603Y-89512462D01*
-X95162463Y-90377603D01*
-X95143317Y-90393316D01*
-X95127604Y-90412462D01*
-X95127601Y-90412465D01*
-X95080584Y-90469755D01*
-X95033970Y-90556964D01*
-X95014491Y-90621176D01*
-X94850000Y-90621176D01*
-X94776095Y-90628455D01*
-X94750000Y-90636371D01*
-X94723905Y-90628455D01*
-X94650000Y-90621176D01*
-X94617250Y-90623000D01*
-X94523000Y-90717250D01*
-X94523000Y-90812023D01*
-X94500012Y-90855030D01*
-X94500000Y-90855070D01*
-X94499988Y-90855030D01*
-X94477000Y-90812023D01*
-X94477000Y-90717250D01*
-X94382750Y-90623000D01*
-X94350000Y-90621176D01*
-X94276095Y-90628455D01*
-X94250000Y-90636371D01*
-X94223905Y-90628455D01*
-X94150000Y-90621176D01*
-X93850000Y-90621176D01*
-X93776095Y-90628455D01*
-X93705030Y-90650012D01*
-X93639537Y-90685019D01*
-X93582131Y-90732131D01*
-X93535019Y-90789537D01*
-X93500012Y-90855030D01*
-X93478455Y-90926095D01*
-X93471176Y-91000000D01*
-X93471176Y-91198000D01*
-X92753824Y-91198000D01*
-X92753824Y-90850000D01*
-X92746545Y-90776095D01*
-X92724988Y-90705030D01*
-X92689981Y-90639537D01*
-X92642869Y-90582131D01*
-X92585463Y-90535019D01*
-X92519970Y-90500012D01*
-X92519930Y-90500000D01*
-X92519970Y-90499988D01*
-X92585463Y-90464981D01*
-X92642869Y-90417869D01*
-X92689981Y-90360463D01*
-X92724988Y-90294970D01*
-X92746545Y-90223905D01*
-X92753824Y-90150000D01*
-X92752000Y-89771250D01*
-X92657750Y-89677000D01*
-X92127000Y-89677000D01*
-X92127000Y-89697000D01*
-X91873000Y-89697000D01*
-X91873000Y-89677000D01*
-X91342250Y-89677000D01*
-X91248000Y-89771250D01*
-X91246176Y-90150000D01*
-X91253455Y-90223905D01*
-X91275012Y-90294970D01*
-X91310019Y-90360463D01*
-X91357131Y-90417869D01*
-X91414537Y-90464981D01*
-X91480030Y-90499988D01*
-X91480070Y-90500000D01*
-X91480030Y-90500012D01*
-X91414537Y-90535019D01*
-X91357131Y-90582131D01*
-X91310019Y-90639537D01*
-X91292133Y-90673000D01*
-X91146844Y-90673000D01*
-X90776999Y-90303156D01*
-X90776999Y-88950000D01*
-X91246176Y-88950000D01*
-X91248000Y-89328750D01*
-X91342250Y-89423000D01*
-X91873000Y-89423000D01*
-X91873000Y-88667250D01*
-X92127000Y-88667250D01*
-X92127000Y-89423000D01*
-X92657750Y-89423000D01*
-X92752000Y-89328750D01*
-X92753824Y-88950000D01*
-X92746545Y-88876095D01*
-X92724988Y-88805030D01*
-X92689981Y-88739537D01*
-X92642869Y-88682131D01*
-X92585463Y-88635019D01*
-X92519970Y-88600012D01*
-X92448905Y-88578455D01*
-X92375000Y-88571176D01*
-X92221250Y-88573000D01*
-X92127000Y-88667250D01*
-X91873000Y-88667250D01*
-X91778750Y-88573000D01*
-X91625000Y-88571176D01*
-X91551095Y-88578455D01*
-X91480030Y-88600012D01*
-X91414537Y-88635019D01*
-X91357131Y-88682131D01*
-X91310019Y-88739537D01*
-X91275012Y-88805030D01*
-X91253455Y-88876095D01*
-X91246176Y-88950000D01*
-X90776999Y-88950000D01*
-X90776999Y-88941842D01*
-X91990955Y-87727887D01*
-X93500609Y-89237543D01*
-G36*
-X158847000Y-89112000D02*
-G01*
-X158827000Y-89112000D01*
-X158827000Y-89132000D01*
-X158573000Y-89132000D01*
-X158573000Y-89112000D01*
-X157667250Y-89112000D01*
-X157573000Y-89206250D01*
-X157571176Y-89285000D01*
-X157578455Y-89358905D01*
-X157600012Y-89429970D01*
-X157635019Y-89495463D01*
-X157682131Y-89552869D01*
-X157739537Y-89599981D01*
-X157776990Y-89620000D01*
-X157739537Y-89640019D01*
-X157682131Y-89687131D01*
-X157635019Y-89744537D01*
-X157630495Y-89753000D01*
-X157551845Y-89753000D01*
-X157495309Y-89696464D01*
-X157368048Y-89611431D01*
-X157226643Y-89552859D01*
-X157076528Y-89523000D01*
-X156923472Y-89523000D01*
-X156773357Y-89552859D01*
-X156631952Y-89611431D01*
-X156504691Y-89696464D01*
-X156396464Y-89804691D01*
-X156351857Y-89871451D01*
-X156221250Y-89873000D01*
-X156127000Y-89967250D01*
-X156127000Y-90723000D01*
-X156147000Y-90723000D01*
-X156147000Y-90977000D01*
-X156127000Y-90977000D01*
-X156127000Y-90997000D01*
-X155873000Y-90997000D01*
-X155873000Y-90977000D01*
-X155853000Y-90977000D01*
-X155853000Y-90723000D01*
-X155873000Y-90723000D01*
-X155873000Y-89967250D01*
-X155778750Y-89873000D01*
-X155625000Y-89871176D01*
-X155551095Y-89878455D01*
-X155480030Y-89900012D01*
-X155414537Y-89935019D01*
-X155402000Y-89945308D01*
-X155402000Y-89407934D01*
-X155947937Y-88861999D01*
-X158847000Y-88861999D01*
-X158847000Y-89112000D01*
-X158847000Y-89112000D01*
-G37*
-X158847000Y-89112000D02*
-X158827000Y-89112000D01*
-X158827000Y-89132000D01*
-X158573000Y-89132000D01*
-X158573000Y-89112000D01*
-X157667250Y-89112000D01*
-X157573000Y-89206250D01*
-X157571176Y-89285000D01*
-X157578455Y-89358905D01*
-X157600012Y-89429970D01*
-X157635019Y-89495463D01*
-X157682131Y-89552869D01*
-X157739537Y-89599981D01*
-X157776990Y-89620000D01*
-X157739537Y-89640019D01*
-X157682131Y-89687131D01*
-X157635019Y-89744537D01*
-X157630495Y-89753000D01*
-X157551845Y-89753000D01*
-X157495309Y-89696464D01*
-X157368048Y-89611431D01*
-X157226643Y-89552859D01*
-X157076528Y-89523000D01*
-X156923472Y-89523000D01*
-X156773357Y-89552859D01*
-X156631952Y-89611431D01*
-X156504691Y-89696464D01*
-X156396464Y-89804691D01*
-X156351857Y-89871451D01*
-X156221250Y-89873000D01*
-X156127000Y-89967250D01*
-X156127000Y-90723000D01*
-X156147000Y-90723000D01*
-X156147000Y-90977000D01*
-X156127000Y-90977000D01*
-X156127000Y-90997000D01*
-X155873000Y-90997000D01*
-X155873000Y-90977000D01*
-X155853000Y-90977000D01*
-X155853000Y-90723000D01*
-X155873000Y-90723000D01*
-X155873000Y-89967250D01*
-X155778750Y-89873000D01*
-X155625000Y-89871176D01*
-X155551095Y-89878455D01*
-X155480030Y-89900012D01*
-X155414537Y-89935019D01*
-X155402000Y-89945308D01*
-X155402000Y-89407934D01*
-X155947937Y-88861999D01*
-X158847000Y-88861999D01*
-X158847000Y-89112000D01*
-G36*
-X100458018Y-88582739D02*
-G01*
-X100493091Y-88698357D01*
-X100550045Y-88804911D01*
-X100626693Y-88898307D01*
-X100720089Y-88974955D01*
-X100826643Y-89031909D01*
-X100898001Y-89053555D01*
-X100898000Y-89346176D01*
-X100870000Y-89346176D01*
-X100796095Y-89353455D01*
-X100725030Y-89375012D01*
-X100659537Y-89410019D01*
-X100602131Y-89457131D01*
-X100555019Y-89514537D01*
-X100520012Y-89580030D01*
-X100498455Y-89651095D01*
-X100491176Y-89725000D01*
-X100491176Y-90375000D01*
-X100494589Y-90409654D01*
-X100353824Y-90268889D01*
-X100353824Y-89837500D01*
-X100341982Y-89717261D01*
-X100306909Y-89601643D01*
-X100249955Y-89495089D01*
-X100173307Y-89401693D01*
-X100079911Y-89325045D01*
-X99973357Y-89268091D01*
-X99857739Y-89233018D01*
-X99796635Y-89227000D01*
-X99827002Y-89227000D01*
-X99827002Y-89132752D01*
-X99921250Y-89227000D01*
-X100075000Y-89228824D01*
-X100148905Y-89221545D01*
-X100219970Y-89199988D01*
-X100285463Y-89164981D01*
-X100342869Y-89117869D01*
-X100389981Y-89060463D01*
-X100424988Y-88994970D01*
-X100446545Y-88923905D01*
-X100453824Y-88850000D01*
-X100452255Y-88524225D01*
-X100458018Y-88582739D01*
-X100458018Y-88582739D01*
-G37*
-X100458018Y-88582739D02*
-X100493091Y-88698357D01*
-X100550045Y-88804911D01*
-X100626693Y-88898307D01*
-X100720089Y-88974955D01*
-X100826643Y-89031909D01*
-X100898001Y-89053555D01*
-X100898000Y-89346176D01*
-X100870000Y-89346176D01*
-X100796095Y-89353455D01*
-X100725030Y-89375012D01*
-X100659537Y-89410019D01*
-X100602131Y-89457131D01*
-X100555019Y-89514537D01*
-X100520012Y-89580030D01*
-X100498455Y-89651095D01*
-X100491176Y-89725000D01*
-X100491176Y-90375000D01*
-X100494589Y-90409654D01*
-X100353824Y-90268889D01*
-X100353824Y-89837500D01*
-X100341982Y-89717261D01*
-X100306909Y-89601643D01*
-X100249955Y-89495089D01*
-X100173307Y-89401693D01*
-X100079911Y-89325045D01*
-X99973357Y-89268091D01*
-X99857739Y-89233018D01*
-X99796635Y-89227000D01*
-X99827002Y-89227000D01*
-X99827002Y-89132752D01*
-X99921250Y-89227000D01*
-X100075000Y-89228824D01*
-X100148905Y-89221545D01*
-X100219970Y-89199988D01*
-X100285463Y-89164981D01*
-X100342869Y-89117869D01*
-X100389981Y-89060463D01*
-X100424988Y-88994970D01*
-X100446545Y-88923905D01*
-X100453824Y-88850000D01*
-X100452255Y-88524225D01*
-X100458018Y-88582739D01*
-G36*
-X96023000Y-88282750D02*
-G01*
-X96115158Y-88374908D01*
-X96092066Y-88398000D01*
-X94080938Y-88398000D01*
-X94061762Y-88378824D01*
-X94150000Y-88378824D01*
-X94223905Y-88371545D01*
-X94250000Y-88363629D01*
-X94276095Y-88371545D01*
-X94350000Y-88378824D01*
-X94650000Y-88378824D01*
-X94723905Y-88371545D01*
-X94750000Y-88363629D01*
-X94776095Y-88371545D01*
-X94850000Y-88378824D01*
-X95150000Y-88378824D01*
-X95223905Y-88371545D01*
-X95250000Y-88363629D01*
-X95276095Y-88371545D01*
-X95350000Y-88378824D01*
-X95382750Y-88377000D01*
-X95477000Y-88282750D01*
-X95477000Y-88187977D01*
-X95499988Y-88144970D01*
-X95500000Y-88144930D01*
-X95500012Y-88144970D01*
-X95523000Y-88187977D01*
-X95523000Y-88282750D01*
-X95617250Y-88377000D01*
-X95650000Y-88378824D01*
-X95723905Y-88371545D01*
-X95750000Y-88363629D01*
-X95776095Y-88371545D01*
-X95850000Y-88378824D01*
-X95882750Y-88377000D01*
-X95977000Y-88282750D01*
-X95977000Y-88187977D01*
-X95999988Y-88144970D01*
-X96021545Y-88073905D01*
-X96023000Y-88059132D01*
-X96023000Y-88282750D01*
-X96023000Y-88282750D01*
-G37*
-X96023000Y-88282750D02*
-X96115158Y-88374908D01*
-X96092066Y-88398000D01*
-X94080938Y-88398000D01*
-X94061762Y-88378824D01*
-X94150000Y-88378824D01*
-X94223905Y-88371545D01*
-X94250000Y-88363629D01*
-X94276095Y-88371545D01*
-X94350000Y-88378824D01*
-X94650000Y-88378824D01*
-X94723905Y-88371545D01*
-X94750000Y-88363629D01*
-X94776095Y-88371545D01*
-X94850000Y-88378824D01*
-X95150000Y-88378824D01*
-X95223905Y-88371545D01*
-X95250000Y-88363629D01*
-X95276095Y-88371545D01*
-X95350000Y-88378824D01*
-X95382750Y-88377000D01*
-X95477000Y-88282750D01*
-X95477000Y-88187977D01*
-X95499988Y-88144970D01*
-X95500000Y-88144930D01*
-X95500012Y-88144970D01*
-X95523000Y-88187977D01*
-X95523000Y-88282750D01*
-X95617250Y-88377000D01*
-X95650000Y-88378824D01*
-X95723905Y-88371545D01*
-X95750000Y-88363629D01*
-X95776095Y-88371545D01*
-X95850000Y-88378824D01*
-X95882750Y-88377000D01*
-X95977000Y-88282750D01*
-X95977000Y-88187977D01*
-X95999988Y-88144970D01*
-X96021545Y-88073905D01*
-X96023000Y-88059132D01*
-X96023000Y-88282750D01*
-G36*
-X100593589Y-75772435D02*
-G01*
-X100617919Y-75802081D01*
-X100647563Y-75826409D01*
-X100647564Y-75826410D01*
-X100736233Y-75899179D01*
-X100814532Y-75941030D01*
-X100871215Y-75971328D01*
-X101017680Y-76015758D01*
-X101131833Y-76027001D01*
-X101131835Y-76027001D01*
-X101169999Y-76030760D01*
-X101208162Y-76027001D01*
-X105128158Y-76027001D01*
-X107523009Y-78421853D01*
-X107523010Y-80611835D01*
-X107523009Y-80611845D01*
-X107523010Y-81861836D01*
-X107519250Y-81900009D01*
-X107529672Y-82005815D01*
-X107534253Y-82052328D01*
-X107536203Y-82058756D01*
-X107578682Y-82198792D01*
-X107650832Y-82333775D01*
-X107721289Y-82419627D01*
-X107747930Y-82452089D01*
-X107777574Y-82476417D01*
-X108523592Y-83222436D01*
-X108547920Y-83252080D01*
-X108577564Y-83276408D01*
-X108577565Y-83276409D01*
-X108666233Y-83349177D01*
-X108774343Y-83406963D01*
-X108801216Y-83421327D01*
-X108947681Y-83465757D01*
-X109061834Y-83477000D01*
-X109061843Y-83477000D01*
-X109099999Y-83480758D01*
-X109138155Y-83477000D01*
-X114861837Y-83477000D01*
-X114900000Y-83480759D01*
-X114938163Y-83477000D01*
-X114938166Y-83477000D01*
-X115052319Y-83465757D01*
-X115198784Y-83421327D01*
-X115333766Y-83349177D01*
-X115452080Y-83252080D01*
-X115476412Y-83222431D01*
-X116551419Y-82147425D01*
-X116624187Y-82058756D01*
-X116696337Y-81923773D01*
-X116740766Y-81777309D01*
-X116742385Y-81760871D01*
-X116823472Y-81777000D01*
-X116976528Y-81777000D01*
-X117126643Y-81747141D01*
-X117198001Y-81717584D01*
-X117198001Y-81803154D01*
-X117096464Y-81904691D01*
-X117011431Y-82031952D01*
-X116952859Y-82173357D01*
-X116923000Y-82323472D01*
-X116923000Y-82476528D01*
-X116952859Y-82626643D01*
-X117011431Y-82768048D01*
-X117096464Y-82895309D01*
-X117204691Y-83003536D01*
-X117331952Y-83088569D01*
-X117473357Y-83147141D01*
-X117623472Y-83177000D01*
-X117776528Y-83177000D01*
-X117926643Y-83147141D01*
-X118068048Y-83088569D01*
-X118195309Y-83003536D01*
-X118303536Y-82895309D01*
-X118388569Y-82768048D01*
-X118447141Y-82626643D01*
-X118477000Y-82476528D01*
-X118477000Y-82323472D01*
-X118447141Y-82173357D01*
-X118388569Y-82031952D01*
-X118303536Y-81904691D01*
-X118202000Y-81803155D01*
-X118202000Y-81657934D01*
-X118346176Y-81513758D01*
-X118346176Y-81550000D01*
-X118353455Y-81623905D01*
-X118375012Y-81694970D01*
-X118410019Y-81760463D01*
-X118457131Y-81817869D01*
-X118514537Y-81864981D01*
-X118580030Y-81899988D01*
-X118651095Y-81921545D01*
-X118725000Y-81928824D01*
-X118948000Y-81928824D01*
-X118948001Y-82204783D01*
-X118931952Y-82211431D01*
-X118804691Y-82296464D01*
-X118696464Y-82404691D01*
-X118611431Y-82531952D01*
-X118552859Y-82673357D01*
-X118523000Y-82823472D01*
-X118523000Y-82967065D01*
-X114228313Y-87261753D01*
-X114229428Y-87217277D01*
-X114191588Y-86998526D01*
-X114111798Y-86791360D01*
-X114085043Y-86741306D01*
-X113918410Y-86661195D01*
-X113279605Y-87300000D01*
-X113293748Y-87314143D01*
-X113114143Y-87493748D01*
-X113100000Y-87479605D01*
-X113085858Y-87493748D01*
-X112906253Y-87314143D01*
-X112920395Y-87300000D01*
-X112281590Y-86661195D01*
-X112114957Y-86741306D01*
-X112024889Y-86944213D01*
-X111976136Y-87160793D01*
-X111970572Y-87382723D01*
-X112008412Y-87601474D01*
-X112088202Y-87808640D01*
-X112114957Y-87858694D01*
-X112281588Y-87938804D01*
-X112234453Y-87985939D01*
-X112154457Y-87952804D01*
-X112004342Y-87922945D01*
-X111851286Y-87922945D01*
-X111701171Y-87952804D01*
-X111559766Y-88011376D01*
-X111432505Y-88096409D01*
-X111324278Y-88204636D01*
-X111256615Y-88305901D01*
-X111198734Y-88166165D01*
-X111075398Y-87981579D01*
-X110918421Y-87824602D01*
-X110733835Y-87701266D01*
-X110528734Y-87616310D01*
-X110311000Y-87573000D01*
-X110089000Y-87573000D01*
-X109871266Y-87616310D01*
-X109666165Y-87701266D01*
-X109481579Y-87824602D01*
-X109324602Y-87981579D01*
-X109268352Y-88065764D01*
-X109283690Y-88028734D01*
-X109327000Y-87811000D01*
-X109327000Y-87589000D01*
-X109283690Y-87371266D01*
-X109198734Y-87166165D01*
-X109075398Y-86981579D01*
-X108918421Y-86824602D01*
-X108733835Y-86701266D01*
-X108528734Y-86616310D01*
-X108311000Y-86573000D01*
-X108089000Y-86573000D01*
-X107871266Y-86616310D01*
-X107666165Y-86701266D01*
-X107481579Y-86824602D01*
-X107324602Y-86981579D01*
-X107231240Y-87121305D01*
-X106591525Y-86481590D01*
-X112461195Y-86481590D01*
-X113100000Y-87120395D01*
-X113738805Y-86481590D01*
-X113658694Y-86314957D01*
-X113455787Y-86224889D01*
-X113239207Y-86176136D01*
-X113017277Y-86170572D01*
-X112798526Y-86208412D01*
-X112591360Y-86288202D01*
-X112541306Y-86314957D01*
-X112461195Y-86481590D01*
-X106591525Y-86481590D01*
-X106472403Y-86362469D01*
-X106472399Y-86362464D01*
-X104497390Y-84387456D01*
-X104481673Y-84368305D01*
-X104405234Y-84305572D01*
-X104318025Y-84258958D01*
-X104223398Y-84230253D01*
-X104149642Y-84222989D01*
-X104149632Y-84222989D01*
-X104124989Y-84220562D01*
-X104100346Y-84222989D01*
-X95513244Y-84222989D01*
-X95488601Y-84220562D01*
-X95463958Y-84222989D01*
-X95463948Y-84222989D01*
-X95390192Y-84230253D01*
-X95295565Y-84258958D01*
-X95258247Y-84278905D01*
-X95208355Y-84305572D01*
-X95151065Y-84352589D01*
-X95151057Y-84352597D01*
-X95131917Y-84368305D01*
-X95116208Y-84387446D01*
-X94119517Y-85384138D01*
-X94127000Y-85308164D01*
-X94127000Y-85308155D01*
-X94130758Y-85269999D01*
-X94127000Y-85231843D01*
-X94127000Y-80507867D01*
-X94160463Y-80489981D01*
-X94217869Y-80442869D01*
-X94264981Y-80385463D01*
-X94299988Y-80319970D01*
-X94300000Y-80319930D01*
-X94300012Y-80319970D01*
-X94335019Y-80385463D01*
-X94382131Y-80442869D01*
-X94439537Y-80489981D01*
-X94505030Y-80524988D01*
-X94576095Y-80546545D01*
-X94650000Y-80553824D01*
-X95028750Y-80552000D01*
-X95123000Y-80457750D01*
-X95123000Y-79927000D01*
-X95377000Y-79927000D01*
-X95377000Y-80457750D01*
-X95471250Y-80552000D01*
-X95850000Y-80553824D01*
-X95923905Y-80546545D01*
-X95994970Y-80524988D01*
-X96060463Y-80489981D01*
-X96117869Y-80442869D01*
-X96164981Y-80385463D01*
-X96199988Y-80319970D01*
-X96221545Y-80248905D01*
-X96228824Y-80175000D01*
-X96227000Y-80021250D01*
-X96132750Y-79927000D01*
-X95377000Y-79927000D01*
-X95123000Y-79927000D01*
-X95103000Y-79927000D01*
-X95103000Y-79673000D01*
-X95123000Y-79673000D01*
-X95123000Y-79142250D01*
-X95377000Y-79142250D01*
-X95377000Y-79673000D01*
-X96132750Y-79673000D01*
-X96227000Y-79578750D01*
-X96228824Y-79425000D01*
-X96221545Y-79351095D01*
-X96199988Y-79280030D01*
-X96164981Y-79214537D01*
-X96117869Y-79157131D01*
-X96060463Y-79110019D01*
-X95994970Y-79075012D01*
-X95923905Y-79053455D01*
-X95850000Y-79046176D01*
-X95471250Y-79048000D01*
-X95377000Y-79142250D01*
-X95123000Y-79142250D01*
-X95028750Y-79048000D01*
-X94650000Y-79046176D01*
-X94576095Y-79053455D01*
-X94505030Y-79075012D01*
-X94439537Y-79110019D01*
-X94382131Y-79157131D01*
-X94335019Y-79214537D01*
-X94327000Y-79229539D01*
-X94327000Y-78470461D01*
-X94335019Y-78485463D01*
-X94382131Y-78542869D01*
-X94439537Y-78589981D01*
-X94505030Y-78624988D01*
-X94576095Y-78646545D01*
-X94650000Y-78653824D01*
-X95028750Y-78652000D01*
-X95123000Y-78557750D01*
-X95123000Y-78027000D01*
-X95377000Y-78027000D01*
-X95377000Y-78557750D01*
-X95471250Y-78652000D01*
-X95850000Y-78653824D01*
-X95923905Y-78646545D01*
-X95994970Y-78624988D01*
-X96060463Y-78589981D01*
-X96117869Y-78542869D01*
-X96164981Y-78485463D01*
-X96199988Y-78419970D01*
-X96221545Y-78348905D01*
-X96228824Y-78275000D01*
-X96227000Y-78121250D01*
-X96132750Y-78027000D01*
-X95377000Y-78027000D01*
-X95123000Y-78027000D01*
-X95103000Y-78027000D01*
-X95103000Y-77773000D01*
-X95123000Y-77773000D01*
-X95123000Y-77242250D01*
-X95377000Y-77242250D01*
-X95377000Y-77773000D01*
-X96132750Y-77773000D01*
-X96227000Y-77678750D01*
-X96228824Y-77525000D01*
-X96221545Y-77451095D01*
-X96199988Y-77380030D01*
-X96164981Y-77314537D01*
-X96117869Y-77257131D01*
-X96060463Y-77210019D01*
-X95994970Y-77175012D01*
-X95923905Y-77153455D01*
-X95850000Y-77146176D01*
-X95471250Y-77148000D01*
-X95377000Y-77242250D01*
-X95123000Y-77242250D01*
-X95028750Y-77148000D01*
-X94650000Y-77146176D01*
-X94576095Y-77153455D01*
-X94505030Y-77175012D01*
-X94439537Y-77210019D01*
-X94382131Y-77257131D01*
-X94335019Y-77314537D01*
-X94327000Y-77329539D01*
-X94327000Y-76670461D01*
-X94335019Y-76685463D01*
-X94382131Y-76742869D01*
-X94439537Y-76789981D01*
-X94505030Y-76824988D01*
-X94576095Y-76846545D01*
-X94650000Y-76853824D01*
-X95028750Y-76852000D01*
-X95123000Y-76757750D01*
-X95123000Y-76227000D01*
-X95377000Y-76227000D01*
-X95377000Y-76757750D01*
-X95471250Y-76852000D01*
-X95850000Y-76853824D01*
-X95923905Y-76846545D01*
-X95994970Y-76824988D01*
-X96060463Y-76789981D01*
-X96117869Y-76742869D01*
-X96164981Y-76685463D01*
-X96199988Y-76619970D01*
-X96221545Y-76548905D01*
-X96228824Y-76475000D01*
-X96227000Y-76321250D01*
-X96132750Y-76227000D01*
-X95377000Y-76227000D01*
-X95123000Y-76227000D01*
-X95103000Y-76227000D01*
-X95103000Y-75973000D01*
-X95123000Y-75973000D01*
-X95123000Y-75442250D01*
-X95377000Y-75442250D01*
-X95377000Y-75973000D01*
-X96132750Y-75973000D01*
-X96227000Y-75878750D01*
-X96228824Y-75725000D01*
-X96221545Y-75651095D01*
-X96199988Y-75580030D01*
-X96164981Y-75514537D01*
-X96117869Y-75457131D01*
-X96060463Y-75410019D01*
-X95994970Y-75375012D01*
-X95923905Y-75353455D01*
-X95850000Y-75346176D01*
-X95471250Y-75348000D01*
-X95377000Y-75442250D01*
-X95123000Y-75442250D01*
-X95028750Y-75348000D01*
-X94650000Y-75346176D01*
-X94576095Y-75353455D01*
-X94505030Y-75375012D01*
-X94439537Y-75410019D01*
-X94382131Y-75457131D01*
-X94335019Y-75514537D01*
-X94300012Y-75580030D01*
-X94300000Y-75580070D01*
-X94299988Y-75580030D01*
-X94264981Y-75514537D01*
-X94217869Y-75457131D01*
-X94160463Y-75410019D01*
-X94127000Y-75392133D01*
-X94127000Y-75246843D01*
-X94396844Y-74977000D01*
-X99798155Y-74977000D01*
-X100593589Y-75772435D01*
-X100593589Y-75772435D01*
-G37*
-X100593589Y-75772435D02*
-X100617919Y-75802081D01*
-X100647563Y-75826409D01*
-X100647564Y-75826410D01*
-X100736233Y-75899179D01*
-X100814532Y-75941030D01*
-X100871215Y-75971328D01*
-X101017680Y-76015758D01*
-X101131833Y-76027001D01*
-X101131835Y-76027001D01*
-X101169999Y-76030760D01*
-X101208162Y-76027001D01*
-X105128158Y-76027001D01*
-X107523009Y-78421853D01*
-X107523010Y-80611835D01*
-X107523009Y-80611845D01*
-X107523010Y-81861836D01*
-X107519250Y-81900009D01*
-X107529672Y-82005815D01*
-X107534253Y-82052328D01*
-X107536203Y-82058756D01*
-X107578682Y-82198792D01*
-X107650832Y-82333775D01*
-X107721289Y-82419627D01*
-X107747930Y-82452089D01*
-X107777574Y-82476417D01*
-X108523592Y-83222436D01*
-X108547920Y-83252080D01*
-X108577564Y-83276408D01*
-X108577565Y-83276409D01*
-X108666233Y-83349177D01*
-X108774343Y-83406963D01*
-X108801216Y-83421327D01*
-X108947681Y-83465757D01*
-X109061834Y-83477000D01*
-X109061843Y-83477000D01*
-X109099999Y-83480758D01*
-X109138155Y-83477000D01*
-X114861837Y-83477000D01*
-X114900000Y-83480759D01*
-X114938163Y-83477000D01*
-X114938166Y-83477000D01*
-X115052319Y-83465757D01*
-X115198784Y-83421327D01*
-X115333766Y-83349177D01*
-X115452080Y-83252080D01*
-X115476412Y-83222431D01*
-X116551419Y-82147425D01*
-X116624187Y-82058756D01*
-X116696337Y-81923773D01*
-X116740766Y-81777309D01*
-X116742385Y-81760871D01*
-X116823472Y-81777000D01*
-X116976528Y-81777000D01*
-X117126643Y-81747141D01*
-X117198001Y-81717584D01*
-X117198001Y-81803154D01*
-X117096464Y-81904691D01*
-X117011431Y-82031952D01*
-X116952859Y-82173357D01*
-X116923000Y-82323472D01*
-X116923000Y-82476528D01*
-X116952859Y-82626643D01*
-X117011431Y-82768048D01*
-X117096464Y-82895309D01*
-X117204691Y-83003536D01*
-X117331952Y-83088569D01*
-X117473357Y-83147141D01*
-X117623472Y-83177000D01*
-X117776528Y-83177000D01*
-X117926643Y-83147141D01*
-X118068048Y-83088569D01*
-X118195309Y-83003536D01*
-X118303536Y-82895309D01*
-X118388569Y-82768048D01*
-X118447141Y-82626643D01*
-X118477000Y-82476528D01*
-X118477000Y-82323472D01*
-X118447141Y-82173357D01*
-X118388569Y-82031952D01*
-X118303536Y-81904691D01*
-X118202000Y-81803155D01*
-X118202000Y-81657934D01*
-X118346176Y-81513758D01*
-X118346176Y-81550000D01*
-X118353455Y-81623905D01*
-X118375012Y-81694970D01*
-X118410019Y-81760463D01*
-X118457131Y-81817869D01*
-X118514537Y-81864981D01*
-X118580030Y-81899988D01*
-X118651095Y-81921545D01*
-X118725000Y-81928824D01*
-X118948000Y-81928824D01*
-X118948001Y-82204783D01*
-X118931952Y-82211431D01*
-X118804691Y-82296464D01*
-X118696464Y-82404691D01*
-X118611431Y-82531952D01*
-X118552859Y-82673357D01*
-X118523000Y-82823472D01*
-X118523000Y-82967065D01*
-X114228313Y-87261753D01*
-X114229428Y-87217277D01*
-X114191588Y-86998526D01*
-X114111798Y-86791360D01*
-X114085043Y-86741306D01*
-X113918410Y-86661195D01*
-X113279605Y-87300000D01*
-X113293748Y-87314143D01*
-X113114143Y-87493748D01*
-X113100000Y-87479605D01*
-X113085858Y-87493748D01*
-X112906253Y-87314143D01*
-X112920395Y-87300000D01*
-X112281590Y-86661195D01*
-X112114957Y-86741306D01*
-X112024889Y-86944213D01*
-X111976136Y-87160793D01*
-X111970572Y-87382723D01*
-X112008412Y-87601474D01*
-X112088202Y-87808640D01*
-X112114957Y-87858694D01*
-X112281588Y-87938804D01*
-X112234453Y-87985939D01*
-X112154457Y-87952804D01*
-X112004342Y-87922945D01*
-X111851286Y-87922945D01*
-X111701171Y-87952804D01*
-X111559766Y-88011376D01*
-X111432505Y-88096409D01*
-X111324278Y-88204636D01*
-X111256615Y-88305901D01*
-X111198734Y-88166165D01*
-X111075398Y-87981579D01*
-X110918421Y-87824602D01*
-X110733835Y-87701266D01*
-X110528734Y-87616310D01*
-X110311000Y-87573000D01*
-X110089000Y-87573000D01*
-X109871266Y-87616310D01*
-X109666165Y-87701266D01*
-X109481579Y-87824602D01*
-X109324602Y-87981579D01*
-X109268352Y-88065764D01*
-X109283690Y-88028734D01*
-X109327000Y-87811000D01*
-X109327000Y-87589000D01*
-X109283690Y-87371266D01*
-X109198734Y-87166165D01*
-X109075398Y-86981579D01*
-X108918421Y-86824602D01*
-X108733835Y-86701266D01*
-X108528734Y-86616310D01*
-X108311000Y-86573000D01*
-X108089000Y-86573000D01*
-X107871266Y-86616310D01*
-X107666165Y-86701266D01*
-X107481579Y-86824602D01*
-X107324602Y-86981579D01*
-X107231240Y-87121305D01*
-X106591525Y-86481590D01*
-X112461195Y-86481590D01*
-X113100000Y-87120395D01*
-X113738805Y-86481590D01*
-X113658694Y-86314957D01*
-X113455787Y-86224889D01*
-X113239207Y-86176136D01*
-X113017277Y-86170572D01*
-X112798526Y-86208412D01*
-X112591360Y-86288202D01*
-X112541306Y-86314957D01*
-X112461195Y-86481590D01*
-X106591525Y-86481590D01*
-X106472403Y-86362469D01*
-X106472399Y-86362464D01*
-X104497390Y-84387456D01*
-X104481673Y-84368305D01*
-X104405234Y-84305572D01*
-X104318025Y-84258958D01*
-X104223398Y-84230253D01*
-X104149642Y-84222989D01*
-X104149632Y-84222989D01*
-X104124989Y-84220562D01*
-X104100346Y-84222989D01*
-X95513244Y-84222989D01*
-X95488601Y-84220562D01*
-X95463958Y-84222989D01*
-X95463948Y-84222989D01*
-X95390192Y-84230253D01*
-X95295565Y-84258958D01*
-X95258247Y-84278905D01*
-X95208355Y-84305572D01*
-X95151065Y-84352589D01*
-X95151057Y-84352597D01*
-X95131917Y-84368305D01*
-X95116208Y-84387446D01*
-X94119517Y-85384138D01*
-X94127000Y-85308164D01*
-X94127000Y-85308155D01*
-X94130758Y-85269999D01*
-X94127000Y-85231843D01*
-X94127000Y-80507867D01*
-X94160463Y-80489981D01*
-X94217869Y-80442869D01*
-X94264981Y-80385463D01*
-X94299988Y-80319970D01*
-X94300000Y-80319930D01*
-X94300012Y-80319970D01*
-X94335019Y-80385463D01*
-X94382131Y-80442869D01*
-X94439537Y-80489981D01*
-X94505030Y-80524988D01*
-X94576095Y-80546545D01*
-X94650000Y-80553824D01*
-X95028750Y-80552000D01*
-X95123000Y-80457750D01*
-X95123000Y-79927000D01*
-X95377000Y-79927000D01*
-X95377000Y-80457750D01*
-X95471250Y-80552000D01*
-X95850000Y-80553824D01*
-X95923905Y-80546545D01*
-X95994970Y-80524988D01*
-X96060463Y-80489981D01*
-X96117869Y-80442869D01*
-X96164981Y-80385463D01*
-X96199988Y-80319970D01*
-X96221545Y-80248905D01*
-X96228824Y-80175000D01*
-X96227000Y-80021250D01*
-X96132750Y-79927000D01*
-X95377000Y-79927000D01*
-X95123000Y-79927000D01*
-X95103000Y-79927000D01*
-X95103000Y-79673000D01*
-X95123000Y-79673000D01*
-X95123000Y-79142250D01*
-X95377000Y-79142250D01*
-X95377000Y-79673000D01*
-X96132750Y-79673000D01*
-X96227000Y-79578750D01*
-X96228824Y-79425000D01*
-X96221545Y-79351095D01*
-X96199988Y-79280030D01*
-X96164981Y-79214537D01*
-X96117869Y-79157131D01*
-X96060463Y-79110019D01*
-X95994970Y-79075012D01*
-X95923905Y-79053455D01*
-X95850000Y-79046176D01*
-X95471250Y-79048000D01*
-X95377000Y-79142250D01*
-X95123000Y-79142250D01*
-X95028750Y-79048000D01*
-X94650000Y-79046176D01*
-X94576095Y-79053455D01*
-X94505030Y-79075012D01*
-X94439537Y-79110019D01*
-X94382131Y-79157131D01*
-X94335019Y-79214537D01*
-X94327000Y-79229539D01*
-X94327000Y-78470461D01*
-X94335019Y-78485463D01*
-X94382131Y-78542869D01*
-X94439537Y-78589981D01*
-X94505030Y-78624988D01*
-X94576095Y-78646545D01*
-X94650000Y-78653824D01*
-X95028750Y-78652000D01*
-X95123000Y-78557750D01*
-X95123000Y-78027000D01*
-X95377000Y-78027000D01*
-X95377000Y-78557750D01*
-X95471250Y-78652000D01*
-X95850000Y-78653824D01*
-X95923905Y-78646545D01*
-X95994970Y-78624988D01*
-X96060463Y-78589981D01*
-X96117869Y-78542869D01*
-X96164981Y-78485463D01*
-X96199988Y-78419970D01*
-X96221545Y-78348905D01*
-X96228824Y-78275000D01*
-X96227000Y-78121250D01*
-X96132750Y-78027000D01*
-X95377000Y-78027000D01*
-X95123000Y-78027000D01*
-X95103000Y-78027000D01*
-X95103000Y-77773000D01*
-X95123000Y-77773000D01*
-X95123000Y-77242250D01*
-X95377000Y-77242250D01*
-X95377000Y-77773000D01*
-X96132750Y-77773000D01*
-X96227000Y-77678750D01*
-X96228824Y-77525000D01*
-X96221545Y-77451095D01*
-X96199988Y-77380030D01*
-X96164981Y-77314537D01*
-X96117869Y-77257131D01*
-X96060463Y-77210019D01*
-X95994970Y-77175012D01*
-X95923905Y-77153455D01*
-X95850000Y-77146176D01*
-X95471250Y-77148000D01*
-X95377000Y-77242250D01*
-X95123000Y-77242250D01*
-X95028750Y-77148000D01*
-X94650000Y-77146176D01*
-X94576095Y-77153455D01*
-X94505030Y-77175012D01*
-X94439537Y-77210019D01*
-X94382131Y-77257131D01*
-X94335019Y-77314537D01*
-X94327000Y-77329539D01*
-X94327000Y-76670461D01*
-X94335019Y-76685463D01*
-X94382131Y-76742869D01*
-X94439537Y-76789981D01*
-X94505030Y-76824988D01*
-X94576095Y-76846545D01*
-X94650000Y-76853824D01*
-X95028750Y-76852000D01*
-X95123000Y-76757750D01*
-X95123000Y-76227000D01*
-X95377000Y-76227000D01*
-X95377000Y-76757750D01*
-X95471250Y-76852000D01*
-X95850000Y-76853824D01*
-X95923905Y-76846545D01*
-X95994970Y-76824988D01*
-X96060463Y-76789981D01*
-X96117869Y-76742869D01*
-X96164981Y-76685463D01*
-X96199988Y-76619970D01*
-X96221545Y-76548905D01*
-X96228824Y-76475000D01*
-X96227000Y-76321250D01*
-X96132750Y-76227000D01*
-X95377000Y-76227000D01*
-X95123000Y-76227000D01*
-X95103000Y-76227000D01*
-X95103000Y-75973000D01*
-X95123000Y-75973000D01*
-X95123000Y-75442250D01*
-X95377000Y-75442250D01*
-X95377000Y-75973000D01*
-X96132750Y-75973000D01*
-X96227000Y-75878750D01*
-X96228824Y-75725000D01*
-X96221545Y-75651095D01*
-X96199988Y-75580030D01*
-X96164981Y-75514537D01*
-X96117869Y-75457131D01*
-X96060463Y-75410019D01*
-X95994970Y-75375012D01*
-X95923905Y-75353455D01*
-X95850000Y-75346176D01*
-X95471250Y-75348000D01*
-X95377000Y-75442250D01*
-X95123000Y-75442250D01*
-X95028750Y-75348000D01*
-X94650000Y-75346176D01*
-X94576095Y-75353455D01*
-X94505030Y-75375012D01*
-X94439537Y-75410019D01*
-X94382131Y-75457131D01*
-X94335019Y-75514537D01*
-X94300012Y-75580030D01*
-X94300000Y-75580070D01*
-X94299988Y-75580030D01*
-X94264981Y-75514537D01*
-X94217869Y-75457131D01*
-X94160463Y-75410019D01*
-X94127000Y-75392133D01*
-X94127000Y-75246843D01*
-X94396844Y-74977000D01*
-X99798155Y-74977000D01*
-X100593589Y-75772435D01*
-G36*
-X98946176Y-85750000D02*
-G01*
-X98946176Y-85848000D01*
-X98874645Y-85848000D01*
-X98850000Y-85845573D01*
-X98825354Y-85848000D01*
-X98825347Y-85848000D01*
-X98760432Y-85854393D01*
-X98751590Y-85855264D01*
-X98656963Y-85883969D01*
-X98569754Y-85930583D01*
-X98512464Y-85977600D01*
-X98512456Y-85977608D01*
-X98493316Y-85993316D01*
-X98477607Y-86012457D01*
-X96528677Y-87961389D01*
-X96527000Y-87521250D01*
-X96432750Y-87427000D01*
-X96023000Y-87427000D01*
-X96023000Y-87517250D01*
-X95977000Y-87471250D01*
-X95977000Y-87427000D01*
-X95853000Y-87427000D01*
-X95853000Y-87173000D01*
-X95977000Y-87173000D01*
-X95977000Y-87128750D01*
-X96023000Y-87082750D01*
-X96023000Y-87173000D01*
-X96432750Y-87173000D01*
-X96527000Y-87078750D01*
-X96528824Y-86600000D01*
-X96521545Y-86526095D01*
-X96499988Y-86455030D01*
-X96464981Y-86389537D01*
-X96417869Y-86332131D01*
-X96360463Y-86285019D01*
-X96294970Y-86250012D01*
-X96223905Y-86228455D01*
-X96150000Y-86221176D01*
-X96117250Y-86223000D01*
-X96023000Y-86317250D01*
-X96023000Y-86540868D01*
-X96021545Y-86526095D01*
-X95999988Y-86455030D01*
-X95977000Y-86412023D01*
-X95977000Y-86317250D01*
-X95882750Y-86223000D01*
-X95850000Y-86221176D01*
-X95776095Y-86228455D01*
-X95750000Y-86236371D01*
-X95723905Y-86228455D01*
-X95650000Y-86221176D01*
-X95617250Y-86223000D01*
-X95523000Y-86317250D01*
-X95523000Y-86412023D01*
-X95500012Y-86455030D01*
-X95500000Y-86455070D01*
-X95499988Y-86455030D01*
-X95477000Y-86412023D01*
-X95477000Y-86317250D01*
-X95382750Y-86223000D01*
-X95350000Y-86221176D01*
-X95337530Y-86222404D01*
-X95882936Y-85676999D01*
-X98953366Y-85676999D01*
-X98946176Y-85750000D01*
-X98946176Y-85750000D01*
-G37*
-X98946176Y-85750000D02*
-X98946176Y-85848000D01*
-X98874645Y-85848000D01*
-X98850000Y-85845573D01*
-X98825354Y-85848000D01*
-X98825347Y-85848000D01*
-X98760432Y-85854393D01*
-X98751590Y-85855264D01*
-X98656963Y-85883969D01*
-X98569754Y-85930583D01*
-X98512464Y-85977600D01*
-X98512456Y-85977608D01*
-X98493316Y-85993316D01*
-X98477607Y-86012457D01*
-X96528677Y-87961389D01*
-X96527000Y-87521250D01*
-X96432750Y-87427000D01*
-X96023000Y-87427000D01*
-X96023000Y-87517250D01*
-X95977000Y-87471250D01*
-X95977000Y-87427000D01*
-X95853000Y-87427000D01*
-X95853000Y-87173000D01*
-X95977000Y-87173000D01*
-X95977000Y-87128750D01*
-X96023000Y-87082750D01*
-X96023000Y-87173000D01*
-X96432750Y-87173000D01*
-X96527000Y-87078750D01*
-X96528824Y-86600000D01*
-X96521545Y-86526095D01*
-X96499988Y-86455030D01*
-X96464981Y-86389537D01*
-X96417869Y-86332131D01*
-X96360463Y-86285019D01*
-X96294970Y-86250012D01*
-X96223905Y-86228455D01*
-X96150000Y-86221176D01*
-X96117250Y-86223000D01*
-X96023000Y-86317250D01*
-X96023000Y-86540868D01*
-X96021545Y-86526095D01*
-X95999988Y-86455030D01*
-X95977000Y-86412023D01*
-X95977000Y-86317250D01*
-X95882750Y-86223000D01*
-X95850000Y-86221176D01*
-X95776095Y-86228455D01*
-X95750000Y-86236371D01*
-X95723905Y-86228455D01*
-X95650000Y-86221176D01*
-X95617250Y-86223000D01*
-X95523000Y-86317250D01*
-X95523000Y-86412023D01*
-X95500012Y-86455030D01*
-X95500000Y-86455070D01*
-X95499988Y-86455030D01*
-X95477000Y-86412023D01*
-X95477000Y-86317250D01*
-X95382750Y-86223000D01*
-X95350000Y-86221176D01*
-X95337530Y-86222404D01*
-X95882936Y-85676999D01*
-X98953366Y-85676999D01*
-X98946176Y-85750000D01*
-G36*
-X159709527Y-78268720D02*
-G01*
-X159881603Y-78478396D01*
-X160091279Y-78650473D01*
-X160330495Y-78778337D01*
-X160359221Y-78787051D01*
-X160365264Y-78848408D01*
-X160393969Y-78943035D01*
-X160440583Y-79030245D01*
-X160503316Y-79106684D01*
-X160522467Y-79122401D01*
-X161498001Y-80097936D01*
-X161498001Y-85836289D01*
-X160577000Y-84915289D01*
-X160577000Y-83325792D01*
-X160580033Y-83294998D01*
-X160567927Y-83172085D01*
-X160532075Y-83053895D01*
-X160501866Y-82997378D01*
-X160473853Y-82944970D01*
-X160395501Y-82849497D01*
-X160371568Y-82829856D01*
-X160199674Y-82657961D01*
-X160180036Y-82634032D01*
-X160084563Y-82555680D01*
-X159975638Y-82497458D01*
-X159857448Y-82461606D01*
-X159765329Y-82452533D01*
-X159734535Y-82449500D01*
-X159703741Y-82452533D01*
-X158947000Y-82452533D01*
-X158947000Y-78726224D01*
-X159088720Y-78650473D01*
-X159298396Y-78478397D01*
-X159470473Y-78268721D01*
-X159590000Y-78045102D01*
-X159709527Y-78268720D01*
-X159709527Y-78268720D01*
-G37*
-X159709527Y-78268720D02*
-X159881603Y-78478396D01*
-X160091279Y-78650473D01*
-X160330495Y-78778337D01*
-X160359221Y-78787051D01*
-X160365264Y-78848408D01*
-X160393969Y-78943035D01*
-X160440583Y-79030245D01*
-X160503316Y-79106684D01*
-X160522467Y-79122401D01*
-X161498001Y-80097936D01*
-X161498001Y-85836289D01*
-X160577000Y-84915289D01*
-X160577000Y-83325792D01*
-X160580033Y-83294998D01*
-X160567927Y-83172085D01*
-X160532075Y-83053895D01*
-X160501866Y-82997378D01*
-X160473853Y-82944970D01*
-X160395501Y-82849497D01*
-X160371568Y-82829856D01*
-X160199674Y-82657961D01*
-X160180036Y-82634032D01*
-X160084563Y-82555680D01*
-X159975638Y-82497458D01*
-X159857448Y-82461606D01*
-X159765329Y-82452533D01*
-X159734535Y-82449500D01*
-X159703741Y-82452533D01*
-X158947000Y-82452533D01*
-X158947000Y-78726224D01*
-X159088720Y-78650473D01*
-X159298396Y-78478397D01*
-X159470473Y-78268721D01*
-X159590000Y-78045102D01*
-X159709527Y-78268720D01*
-G36*
-X140609865Y-68589473D02*
-G01*
-X140681588Y-68661196D01*
-X140514957Y-68741306D01*
-X140424889Y-68944213D01*
-X140376136Y-69160793D01*
-X140370572Y-69382723D01*
-X140408412Y-69601474D01*
-X140488202Y-69808640D01*
-X140514957Y-69858694D01*
-X140681590Y-69938805D01*
-X141320395Y-69300000D01*
-X141306253Y-69285858D01*
-X141485858Y-69106253D01*
-X141500000Y-69120395D01*
-X141514143Y-69106253D01*
-X141693748Y-69285858D01*
-X141679605Y-69300000D01*
-X142318410Y-69938805D01*
-X142485043Y-69858694D01*
-X142575111Y-69655787D01*
-X142623864Y-69439207D01*
-X142629428Y-69217277D01*
-X142591588Y-68998526D01*
-X142511798Y-68791360D01*
-X142485043Y-68741306D01*
-X142318412Y-68661196D01*
-X142390135Y-68589473D01*
-X142352662Y-68552000D01*
-X142944181Y-68552000D01*
-X142914602Y-68581579D01*
-X142791266Y-68766165D01*
-X142706310Y-68971266D01*
-X142663000Y-69189000D01*
-X142663000Y-69411000D01*
-X142706310Y-69628734D01*
-X142791266Y-69833835D01*
-X142914602Y-70018421D01*
-X143071579Y-70175398D01*
-X143256165Y-70298734D01*
-X143461266Y-70383690D01*
-X143679000Y-70427000D01*
-X143901000Y-70427000D01*
-X144118734Y-70383690D01*
-X144323835Y-70298734D01*
-X144508421Y-70175398D01*
-X144565409Y-70118410D01*
-X152291195Y-70118410D01*
-X152371306Y-70285043D01*
-X152574213Y-70375111D01*
-X152790793Y-70423864D01*
-X153012723Y-70429428D01*
-X153231474Y-70391588D01*
-X153438640Y-70311798D01*
-X153488694Y-70285043D01*
-X153568805Y-70118410D01*
-X152930000Y-69479605D01*
-X152291195Y-70118410D01*
-X144565409Y-70118410D01*
-X144665398Y-70018421D01*
-X144788734Y-69833835D01*
-X144873690Y-69628734D01*
-X144917000Y-69411000D01*
-X144917000Y-69189000D01*
-X144873690Y-68971266D01*
-X144860503Y-68939431D01*
-X145247914Y-68552021D01*
-X152077317Y-68552021D01*
-X152039865Y-68589473D01*
-X152111588Y-68661196D01*
-X151944957Y-68741306D01*
-X151854889Y-68944213D01*
-X151806136Y-69160793D01*
-X151800572Y-69382723D01*
-X151838412Y-69601474D01*
-X151918202Y-69808640D01*
-X151944957Y-69858694D01*
-X152111590Y-69938805D01*
-X152750395Y-69300000D01*
-X152736253Y-69285858D01*
-X152915858Y-69106253D01*
-X152930000Y-69120395D01*
-X152944143Y-69106253D01*
-X153123748Y-69285858D01*
-X153109605Y-69300000D01*
-X153748410Y-69938805D01*
-X153915043Y-69858694D01*
-X154005111Y-69655787D01*
-X154053864Y-69439207D01*
-X154059428Y-69217277D01*
-X154021588Y-68998526D01*
-X153941798Y-68791360D01*
-X153915043Y-68741306D01*
-X153748412Y-68661196D01*
-X153820135Y-68589473D01*
-X153782683Y-68552021D01*
-X154374160Y-68552021D01*
-X154344602Y-68581579D01*
-X154221266Y-68766165D01*
-X154136310Y-68971266D01*
-X154093000Y-69189000D01*
-X154093000Y-69411000D01*
-X154136310Y-69628734D01*
-X154221266Y-69833835D01*
-X154344602Y-70018421D01*
-X154501579Y-70175398D01*
-X154686165Y-70298734D01*
-X154891266Y-70383690D01*
-X155109000Y-70427000D01*
-X155331000Y-70427000D01*
-X155548734Y-70383690D01*
-X155753835Y-70298734D01*
-X155938421Y-70175398D01*
-X156095398Y-70018421D01*
-X156218734Y-69833835D01*
-X156294053Y-69652000D01*
-X160433401Y-69652000D01*
-X160475045Y-69729911D01*
-X160551693Y-69823307D01*
-X160645089Y-69899955D01*
-X160751643Y-69956909D01*
-X160867261Y-69991982D01*
-X160987500Y-70003824D01*
-X161562500Y-70003824D01*
-X161682739Y-69991982D01*
-X161798357Y-69956909D01*
-X161904911Y-69899955D01*
-X161998307Y-69823307D01*
-X162074955Y-69729911D01*
-X162131909Y-69623357D01*
-X162150000Y-69563720D01*
-X162168091Y-69623357D01*
-X162225045Y-69729911D01*
-X162301693Y-69823307D01*
-X162395089Y-69899955D01*
-X162501643Y-69956909D01*
-X162617261Y-69991982D01*
-X162737500Y-70003824D01*
-X163168889Y-70003824D01*
-X163186536Y-70021471D01*
-X163186542Y-70021476D01*
-X165847968Y-72682903D01*
-X165847967Y-83942098D01*
-X165147198Y-84642868D01*
-X165117869Y-84607131D01*
-X165060463Y-84560019D01*
-X165023010Y-84540000D01*
-X165060463Y-84519981D01*
-X165117869Y-84472869D01*
-X165164981Y-84415463D01*
-X165199988Y-84349970D01*
-X165221545Y-84278905D01*
-X165228824Y-84205000D01*
-X165227000Y-84126250D01*
-X165132750Y-84032000D01*
-X164227000Y-84032000D01*
-X164227000Y-84052000D01*
-X163973000Y-84052000D01*
-X163973000Y-84032000D01*
-X163953000Y-84032000D01*
-X163953000Y-83778000D01*
-X163973000Y-83778000D01*
-X163973000Y-83322250D01*
-X164227000Y-83322250D01*
-X164227000Y-83778000D01*
-X165132750Y-83778000D01*
-X165227000Y-83683750D01*
-X165228824Y-83605000D01*
-X165221545Y-83531095D01*
-X165199988Y-83460030D01*
-X165164981Y-83394537D01*
-X165117869Y-83337131D01*
-X165060463Y-83290019D01*
-X164994970Y-83255012D01*
-X164923905Y-83233455D01*
-X164850000Y-83226176D01*
-X164321250Y-83228000D01*
-X164227000Y-83322250D01*
-X163973000Y-83322250D01*
-X163878750Y-83228000D01*
-X163350000Y-83226176D01*
-X163276095Y-83233455D01*
-X163227010Y-83248345D01*
-X163227010Y-80132944D01*
-X163737538Y-79622417D01*
-X163756684Y-79606704D01*
-X163782419Y-79575347D01*
-X163816913Y-79533316D01*
-X163819417Y-79530265D01*
-X163866031Y-79443056D01*
-X163894736Y-79348429D01*
-X163902000Y-79274673D01*
-X163902000Y-79274664D01*
-X163904427Y-79250021D01*
-X163902000Y-79225378D01*
-X163902000Y-78878824D01*
-X164400000Y-78878824D01*
-X164473905Y-78871545D01*
-X164544970Y-78849988D01*
-X164610463Y-78814981D01*
-X164667869Y-78767869D01*
-X164714981Y-78710463D01*
-X164749988Y-78644970D01*
-X164771545Y-78573905D01*
-X164778824Y-78500000D01*
-X164778824Y-75900000D01*
-X164771545Y-75826095D01*
-X164749988Y-75755030D01*
-X164714981Y-75689537D01*
-X164667869Y-75632131D01*
-X164610463Y-75585019D01*
-X164544970Y-75550012D01*
-X164473905Y-75528455D01*
-X164400000Y-75521176D01*
-X162400000Y-75521176D01*
-X162326095Y-75528455D01*
-X162255030Y-75550012D01*
-X162189537Y-75585019D01*
-X162132131Y-75632131D01*
-X162085019Y-75689537D01*
-X162050012Y-75755030D01*
-X162028455Y-75826095D01*
-X162021176Y-75900000D01*
-X162021176Y-76151303D01*
-X162010473Y-76131279D01*
-X161838397Y-75921603D01*
-X161628721Y-75749527D01*
-X161389505Y-75621663D01*
-X161129939Y-75542925D01*
-X160860000Y-75516338D01*
-X160590062Y-75542925D01*
-X160330496Y-75621663D01*
-X160091280Y-75749527D01*
-X159881604Y-75921603D01*
-X159709527Y-76131279D01*
-X159590000Y-76354898D01*
-X159470473Y-76131279D01*
-X159298397Y-75921603D01*
-X159088721Y-75749527D01*
-X158849505Y-75621663D01*
-X158589939Y-75542925D01*
-X158320000Y-75516338D01*
-X158050062Y-75542925D01*
-X157790496Y-75621663D01*
-X157551280Y-75749527D01*
-X157341604Y-75921603D01*
-X157169527Y-76131279D01*
-X157046563Y-76361328D01*
-X157003581Y-76255712D01*
-X156854376Y-76029383D01*
-X156663883Y-75836511D01*
-X156439423Y-75684509D01*
-X156189622Y-75579217D01*
-X156119929Y-75565617D01*
-X155907000Y-75623552D01*
-X155907000Y-77073000D01*
-X155927000Y-77073000D01*
-X155927000Y-77327000D01*
-X155907000Y-77327000D01*
-X155907000Y-78776448D01*
-X156119929Y-78834383D01*
-X156189622Y-78820783D01*
-X156439423Y-78715491D01*
-X156663883Y-78563489D01*
-X156854376Y-78370617D01*
-X157003581Y-78144288D01*
-X157046563Y-78038671D01*
-X157169527Y-78268720D01*
-X157341603Y-78478396D01*
-X157551279Y-78650473D01*
-X157693000Y-78726225D01*
-X157693001Y-82452533D01*
-X130423095Y-82452533D01*
-X132173630Y-80702000D01*
-X135355357Y-80702000D01*
-X135380000Y-80704427D01*
-X135404643Y-80702000D01*
-X135404653Y-80702000D01*
-X135478409Y-80694736D01*
-X135573036Y-80666031D01*
-X135660245Y-80619417D01*
-X135736684Y-80556684D01*
-X135752401Y-80537533D01*
-X136686111Y-79603824D01*
-X136955000Y-79603824D01*
-X137028905Y-79596545D01*
-X137099970Y-79574988D01*
-X137165463Y-79539981D01*
-X137222869Y-79492869D01*
-X137269981Y-79435463D01*
-X137304988Y-79369970D01*
-X137326545Y-79298905D01*
-X137333824Y-79225000D01*
-X137333824Y-77675000D01*
-X137326545Y-77601095D01*
-X137304988Y-77530030D01*
-X137269981Y-77464537D01*
-X137222869Y-77407131D01*
-X137165463Y-77360019D01*
-X137099970Y-77325012D01*
-X137028905Y-77303455D01*
-X136955000Y-77296176D01*
-X136355000Y-77296176D01*
-X136281095Y-77303455D01*
-X136210030Y-77325012D01*
-X136177575Y-77342360D01*
-X136542935Y-76977000D01*
-X138186612Y-76977000D01*
-X138193091Y-76998357D01*
-X138250045Y-77104911D01*
-X138326693Y-77198307D01*
-X138420089Y-77274955D01*
-X138526643Y-77331909D01*
-X138642261Y-77366982D01*
-X138762500Y-77378824D01*
-X139237500Y-77378824D01*
-X139357739Y-77366982D01*
-X139473357Y-77331909D01*
-X139482541Y-77327000D01*
-X154403000Y-77327000D01*
-X154403000Y-77627000D01*
-X154454235Y-77893199D01*
-X154556419Y-78144288D01*
-X154705624Y-78370617D01*
-X154896117Y-78563489D01*
-X155120577Y-78715491D01*
-X155370378Y-78820783D01*
-X155440071Y-78834383D01*
-X155653000Y-78776448D01*
-X155653000Y-77327000D01*
-X154403000Y-77327000D01*
-X139482541Y-77327000D01*
-X139579911Y-77274955D01*
-X139673307Y-77198307D01*
-X139749955Y-77104911D01*
-X139806909Y-76998357D01*
-X139841982Y-76882739D01*
-X139852789Y-76773000D01*
-X154403000Y-76773000D01*
-X154403000Y-77073000D01*
-X155653000Y-77073000D01*
-X155653000Y-75623552D01*
-X155440071Y-75565617D01*
-X155370378Y-75579217D01*
-X155120577Y-75684509D01*
-X154896117Y-75836511D01*
-X154705624Y-76029383D01*
-X154556419Y-76255712D01*
-X154454235Y-76506801D01*
-X154403000Y-76773000D01*
-X139852789Y-76773000D01*
-X139853824Y-76762500D01*
-X139853824Y-76187500D01*
-X139841982Y-76067261D01*
-X139806909Y-75951643D01*
-X139749955Y-75845089D01*
-X139673307Y-75751693D01*
-X139579911Y-75675045D01*
-X139490568Y-75627291D01*
-X139548905Y-75621545D01*
-X139619970Y-75599988D01*
-X139685463Y-75564981D01*
-X139742869Y-75517869D01*
-X139789981Y-75460463D01*
-X139824988Y-75394970D01*
-X139846545Y-75323905D01*
-X139853824Y-75250000D01*
-X139852000Y-74946250D01*
-X139757750Y-74852000D01*
-X139127000Y-74852000D01*
-X139127000Y-74872000D01*
-X138873000Y-74872000D01*
-X138873000Y-74852000D01*
-X138242250Y-74852000D01*
-X138148000Y-74946250D01*
-X138146176Y-75250000D01*
-X138153455Y-75323905D01*
-X138175012Y-75394970D01*
-X138210019Y-75460463D01*
-X138257131Y-75517869D01*
-X138314537Y-75564981D01*
-X138380030Y-75599988D01*
-X138451095Y-75621545D01*
-X138509432Y-75627291D01*
-X138420089Y-75675045D01*
-X138326693Y-75751693D01*
-X138250045Y-75845089D01*
-X138193091Y-75951643D01*
-X138186612Y-75973000D01*
-X136359643Y-75973000D01*
-X136335000Y-75970573D01*
-X136310357Y-75973000D01*
-X136310347Y-75973000D01*
-X136236591Y-75980264D01*
-X136141964Y-76008969D01*
-X136054755Y-76055583D01*
-X136054753Y-76055584D01*
-X136054754Y-76055584D01*
-X136002882Y-76098155D01*
-X135978316Y-76118316D01*
-X135962603Y-76137462D01*
-X135047465Y-77052601D01*
-X135028317Y-77068316D01*
-X135012604Y-77087462D01*
-X135012601Y-77087465D01*
-X134965584Y-77144755D01*
-X134918970Y-77231964D01*
-X134890265Y-77326591D01*
-X134887664Y-77353003D01*
-X134874537Y-77360019D01*
-X134817131Y-77407131D01*
-X134770019Y-77464537D01*
-X134750000Y-77501990D01*
-X134729981Y-77464537D01*
-X134682869Y-77407131D01*
-X134625463Y-77360019D01*
-X134559970Y-77325012D01*
-X134488905Y-77303455D01*
-X134415000Y-77296176D01*
-X133815000Y-77296176D01*
-X133741095Y-77303455D01*
-X133670030Y-77325012D01*
-X133604537Y-77360019D01*
-X133547131Y-77407131D01*
-X133500019Y-77464537D01*
-X133480000Y-77501990D01*
-X133459981Y-77464537D01*
-X133412869Y-77407131D01*
-X133355463Y-77360019D01*
-X133289970Y-77325012D01*
-X133218905Y-77303455D01*
-X133145000Y-77296176D01*
-X132545000Y-77296176D01*
-X132471095Y-77303455D01*
-X132400030Y-77325012D01*
-X132334537Y-77360019D01*
-X132277131Y-77407131D01*
-X132230019Y-77464537D01*
-X132195012Y-77530030D01*
-X132173455Y-77601095D01*
-X132166176Y-77675000D01*
-X132166176Y-77964490D01*
-X132101964Y-77983969D01*
-X132014755Y-78030583D01*
-X132014753Y-78030584D01*
-X132014754Y-78030584D01*
-X131958197Y-78077000D01*
-X131938316Y-78093316D01*
-X131922603Y-78112462D01*
-X130137066Y-79898000D01*
-X129349096Y-79898000D01*
-X129353824Y-79850000D01*
-X129352000Y-79471250D01*
-X129257750Y-79377000D01*
-X128727000Y-79377000D01*
-X128727000Y-79397000D01*
-X128473000Y-79397000D01*
-X128473000Y-79377000D01*
-X128453000Y-79377000D01*
-X128453000Y-79123000D01*
-X128473000Y-79123000D01*
-X128473000Y-79103000D01*
-X128727000Y-79103000D01*
-X128727000Y-79123000D01*
-X129257750Y-79123000D01*
-X129352000Y-79028750D01*
-X129353824Y-78650000D01*
-X129346545Y-78576095D01*
-X129324988Y-78505030D01*
-X129289981Y-78439537D01*
-X129242869Y-78382131D01*
-X129185463Y-78335019D01*
-X129119970Y-78300012D01*
-X129119930Y-78300000D01*
-X129119970Y-78299988D01*
-X129185463Y-78264981D01*
-X129242869Y-78217869D01*
-X129289981Y-78160463D01*
-X129324988Y-78094970D01*
-X129330439Y-78077000D01*
-X129676528Y-78077000D01*
-X129714154Y-78069516D01*
-X129752319Y-78065757D01*
-X129789013Y-78054626D01*
-X129826643Y-78047141D01*
-X129862092Y-78032457D01*
-X129898784Y-78021327D01*
-X129932598Y-78003253D01*
-X129968048Y-77988569D01*
-X129999955Y-77967250D01*
-X130033766Y-77949177D01*
-X130063402Y-77924856D01*
-X130095309Y-77903536D01*
-X130122442Y-77876403D01*
-X130152080Y-77852080D01*
-X130176403Y-77822442D01*
-X130203536Y-77795309D01*
-X130224856Y-77763402D01*
-X130249177Y-77733766D01*
-X130267250Y-77699955D01*
-X130288569Y-77668048D01*
-X130303253Y-77632598D01*
-X130321327Y-77598784D01*
-X130332457Y-77562092D01*
-X130347141Y-77526643D01*
-X130354626Y-77489013D01*
-X130365757Y-77452319D01*
-X130369516Y-77414154D01*
-X130377000Y-77376528D01*
-X130377000Y-77338166D01*
-X130380759Y-77300000D01*
-X130377000Y-77261834D01*
-X130377000Y-77223472D01*
-X130369516Y-77185846D01*
-X130365757Y-77147681D01*
-X130354626Y-77110987D01*
-X130347141Y-77073357D01*
-X130332457Y-77037908D01*
-X130321327Y-77001216D01*
-X130303253Y-76967402D01*
-X130288569Y-76931952D01*
-X130267250Y-76900045D01*
-X130249177Y-76866234D01*
-X130224856Y-76836598D01*
-X130203536Y-76804691D01*
-X130176403Y-76777558D01*
-X130152080Y-76747920D01*
-X130122442Y-76723597D01*
-X130095309Y-76696464D01*
-X130063402Y-76675144D01*
-X130033766Y-76650823D01*
-X129999955Y-76632750D01*
-X129968048Y-76611431D01*
-X129932598Y-76596747D01*
-X129898784Y-76578673D01*
-X129862092Y-76567543D01*
-X129826643Y-76552859D01*
-X129789013Y-76545374D01*
-X129752319Y-76534243D01*
-X129714154Y-76530484D01*
-X129676528Y-76523000D01*
-X129502010Y-76523000D01*
-X129502010Y-75321843D01*
-X129722430Y-75101423D01*
-X129752080Y-75077090D01*
-X129776413Y-75047440D01*
-X129846853Y-74977000D01*
-X130292133Y-74977000D01*
-X130310019Y-75010463D01*
-X130357131Y-75067869D01*
-X130414537Y-75114981D01*
-X130480030Y-75149988D01*
-X130551095Y-75171545D01*
-X130625000Y-75178824D01*
-X131375000Y-75178824D01*
-X131448905Y-75171545D01*
-X131519970Y-75149988D01*
-X131585463Y-75114981D01*
-X131642869Y-75067869D01*
-X131689981Y-75010463D01*
-X131724988Y-74944970D01*
-X131746545Y-74873905D01*
-X131751165Y-74827000D01*
-X132609206Y-74827000D01*
-X132640000Y-74830033D01*
-X132670794Y-74827000D01*
-X132762913Y-74817927D01*
-X132881103Y-74782075D01*
-X132990028Y-74723853D01*
-X133085501Y-74645501D01*
-X133105138Y-74621573D01*
-X133266573Y-74460138D01*
-X133290501Y-74440501D01*
-X133368853Y-74345028D01*
-X133427075Y-74236103D01*
-X133462927Y-74117913D01*
-X133472000Y-74025794D01*
-X133473548Y-74010082D01*
-X133480000Y-73998010D01*
-X133500019Y-74035463D01*
-X133547131Y-74092869D01*
-X133604537Y-74139981D01*
-X133670030Y-74174988D01*
-X133741095Y-74196545D01*
-X133815000Y-74203824D01*
-X134415000Y-74203824D01*
-X134488905Y-74196545D01*
-X134559970Y-74174988D01*
-X134625463Y-74139981D01*
-X134682869Y-74092869D01*
-X134729981Y-74035463D01*
-X134750000Y-73998010D01*
-X134770019Y-74035463D01*
-X134817131Y-74092869D01*
-X134874537Y-74139981D01*
-X134940030Y-74174988D01*
-X135011095Y-74196545D01*
-X135085000Y-74203824D01*
-X135685000Y-74203824D01*
-X135758905Y-74196545D01*
-X135829970Y-74174988D01*
-X135895463Y-74139981D01*
-X135952869Y-74092869D01*
-X135999981Y-74035463D01*
-X136020000Y-73998010D01*
-X136040019Y-74035463D01*
-X136087131Y-74092869D01*
-X136144537Y-74139981D01*
-X136210030Y-74174988D01*
-X136281095Y-74196545D01*
-X136355000Y-74203824D01*
-X136433750Y-74202000D01*
-X136528000Y-74107750D01*
-X136528000Y-73177000D01*
-X136782000Y-73177000D01*
-X136782000Y-74107750D01*
-X136876250Y-74202000D01*
-X136955000Y-74203824D01*
-X136993825Y-74200000D01*
-X138146176Y-74200000D01*
-X138148000Y-74503750D01*
-X138242250Y-74598000D01*
-X138873000Y-74598000D01*
-X138873000Y-73917250D01*
-X139127000Y-73917250D01*
-X139127000Y-74598000D01*
-X139757750Y-74598000D01*
-X139852000Y-74503750D01*
-X139853824Y-74200000D01*
-X139846545Y-74126095D01*
-X139824988Y-74055030D01*
-X139789981Y-73989537D01*
-X139742869Y-73932131D01*
-X139685463Y-73885019D01*
-X139619970Y-73850012D01*
-X139548905Y-73828455D01*
-X139475000Y-73821176D01*
-X139221250Y-73823000D01*
-X139127000Y-73917250D01*
-X138873000Y-73917250D01*
-X138778750Y-73823000D01*
-X138525000Y-73821176D01*
-X138451095Y-73828455D01*
-X138380030Y-73850012D01*
-X138314537Y-73885019D01*
-X138257131Y-73932131D01*
-X138210019Y-73989537D01*
-X138175012Y-74055030D01*
-X138153455Y-74126095D01*
-X138146176Y-74200000D01*
-X136993825Y-74200000D01*
-X137028905Y-74196545D01*
-X137099970Y-74174988D01*
-X137165463Y-74139981D01*
-X137222869Y-74092869D01*
-X137269981Y-74035463D01*
-X137304988Y-73969970D01*
-X137326545Y-73898905D01*
-X137333824Y-73825000D01*
-X137332000Y-73271250D01*
-X137237750Y-73177000D01*
-X136782000Y-73177000D01*
-X136528000Y-73177000D01*
-X136508000Y-73177000D01*
-X136508000Y-72923000D01*
-X136528000Y-72923000D01*
-X136528000Y-72903000D01*
-X136782000Y-72903000D01*
-X136782000Y-72923000D01*
-X137237750Y-72923000D01*
-X137332000Y-72828750D01*
-X137333824Y-72275000D01*
-X137326545Y-72201095D01*
-X137304988Y-72130030D01*
-X137269981Y-72064537D01*
-X137222869Y-72007131D01*
-X137165463Y-71960019D01*
-X137099970Y-71925012D01*
-X137028905Y-71903455D01*
-X136955000Y-71896176D01*
-X136876250Y-71898000D01*
-X136782002Y-71992248D01*
-X136782002Y-71898000D01*
-X136766341Y-71898000D01*
-X136816684Y-71856684D01*
-X136832401Y-71837533D01*
-X138551525Y-70118410D01*
-X140861195Y-70118410D01*
-X140941306Y-70285043D01*
-X141144213Y-70375111D01*
-X141360793Y-70423864D01*
-X141582723Y-70429428D01*
-X141801474Y-70391588D01*
-X142008640Y-70311798D01*
-X142058694Y-70285043D01*
-X142138805Y-70118410D01*
-X141500000Y-69479605D01*
-X140861195Y-70118410D01*
-X138551525Y-70118410D01*
-X138837543Y-69832393D01*
-X138856684Y-69816684D01*
-X138872392Y-69797544D01*
-X138872400Y-69797536D01*
-X138919417Y-69740246D01*
-X138964081Y-69656684D01*
-X138966031Y-69653036D01*
-X138977421Y-69615488D01*
-X138995309Y-69603536D01*
-X139103536Y-69495309D01*
-X139188569Y-69368048D01*
-X139247141Y-69226643D01*
-X139277000Y-69076528D01*
-X139277000Y-68923472D01*
-X139247141Y-68773357D01*
-X139188569Y-68631952D01*
-X139135147Y-68552000D01*
-X140647338Y-68552000D01*
-X140609865Y-68589473D01*
-X140609865Y-68589473D01*
-G37*
-X140609865Y-68589473D02*
-X140681588Y-68661196D01*
-X140514957Y-68741306D01*
-X140424889Y-68944213D01*
-X140376136Y-69160793D01*
-X140370572Y-69382723D01*
-X140408412Y-69601474D01*
-X140488202Y-69808640D01*
-X140514957Y-69858694D01*
-X140681590Y-69938805D01*
-X141320395Y-69300000D01*
-X141306253Y-69285858D01*
-X141485858Y-69106253D01*
-X141500000Y-69120395D01*
-X141514143Y-69106253D01*
-X141693748Y-69285858D01*
-X141679605Y-69300000D01*
-X142318410Y-69938805D01*
-X142485043Y-69858694D01*
-X142575111Y-69655787D01*
-X142623864Y-69439207D01*
-X142629428Y-69217277D01*
-X142591588Y-68998526D01*
-X142511798Y-68791360D01*
-X142485043Y-68741306D01*
-X142318412Y-68661196D01*
-X142390135Y-68589473D01*
-X142352662Y-68552000D01*
-X142944181Y-68552000D01*
-X142914602Y-68581579D01*
-X142791266Y-68766165D01*
-X142706310Y-68971266D01*
-X142663000Y-69189000D01*
-X142663000Y-69411000D01*
-X142706310Y-69628734D01*
-X142791266Y-69833835D01*
-X142914602Y-70018421D01*
-X143071579Y-70175398D01*
-X143256165Y-70298734D01*
-X143461266Y-70383690D01*
-X143679000Y-70427000D01*
-X143901000Y-70427000D01*
-X144118734Y-70383690D01*
-X144323835Y-70298734D01*
-X144508421Y-70175398D01*
-X144565409Y-70118410D01*
-X152291195Y-70118410D01*
-X152371306Y-70285043D01*
-X152574213Y-70375111D01*
-X152790793Y-70423864D01*
-X153012723Y-70429428D01*
-X153231474Y-70391588D01*
-X153438640Y-70311798D01*
-X153488694Y-70285043D01*
-X153568805Y-70118410D01*
-X152930000Y-69479605D01*
-X152291195Y-70118410D01*
-X144565409Y-70118410D01*
-X144665398Y-70018421D01*
-X144788734Y-69833835D01*
-X144873690Y-69628734D01*
-X144917000Y-69411000D01*
-X144917000Y-69189000D01*
-X144873690Y-68971266D01*
-X144860503Y-68939431D01*
-X145247914Y-68552021D01*
-X152077317Y-68552021D01*
-X152039865Y-68589473D01*
-X152111588Y-68661196D01*
-X151944957Y-68741306D01*
-X151854889Y-68944213D01*
-X151806136Y-69160793D01*
-X151800572Y-69382723D01*
-X151838412Y-69601474D01*
-X151918202Y-69808640D01*
-X151944957Y-69858694D01*
-X152111590Y-69938805D01*
-X152750395Y-69300000D01*
-X152736253Y-69285858D01*
-X152915858Y-69106253D01*
-X152930000Y-69120395D01*
-X152944143Y-69106253D01*
-X153123748Y-69285858D01*
-X153109605Y-69300000D01*
-X153748410Y-69938805D01*
-X153915043Y-69858694D01*
-X154005111Y-69655787D01*
-X154053864Y-69439207D01*
-X154059428Y-69217277D01*
-X154021588Y-68998526D01*
-X153941798Y-68791360D01*
-X153915043Y-68741306D01*
-X153748412Y-68661196D01*
-X153820135Y-68589473D01*
-X153782683Y-68552021D01*
-X154374160Y-68552021D01*
-X154344602Y-68581579D01*
-X154221266Y-68766165D01*
-X154136310Y-68971266D01*
-X154093000Y-69189000D01*
-X154093000Y-69411000D01*
-X154136310Y-69628734D01*
-X154221266Y-69833835D01*
-X154344602Y-70018421D01*
-X154501579Y-70175398D01*
-X154686165Y-70298734D01*
-X154891266Y-70383690D01*
-X155109000Y-70427000D01*
-X155331000Y-70427000D01*
-X155548734Y-70383690D01*
-X155753835Y-70298734D01*
-X155938421Y-70175398D01*
-X156095398Y-70018421D01*
-X156218734Y-69833835D01*
-X156294053Y-69652000D01*
-X160433401Y-69652000D01*
-X160475045Y-69729911D01*
-X160551693Y-69823307D01*
-X160645089Y-69899955D01*
-X160751643Y-69956909D01*
-X160867261Y-69991982D01*
-X160987500Y-70003824D01*
-X161562500Y-70003824D01*
-X161682739Y-69991982D01*
-X161798357Y-69956909D01*
-X161904911Y-69899955D01*
-X161998307Y-69823307D01*
-X162074955Y-69729911D01*
-X162131909Y-69623357D01*
-X162150000Y-69563720D01*
-X162168091Y-69623357D01*
-X162225045Y-69729911D01*
-X162301693Y-69823307D01*
-X162395089Y-69899955D01*
-X162501643Y-69956909D01*
-X162617261Y-69991982D01*
-X162737500Y-70003824D01*
-X163168889Y-70003824D01*
-X163186536Y-70021471D01*
-X163186542Y-70021476D01*
-X165847968Y-72682903D01*
-X165847967Y-83942098D01*
-X165147198Y-84642868D01*
-X165117869Y-84607131D01*
-X165060463Y-84560019D01*
-X165023010Y-84540000D01*
-X165060463Y-84519981D01*
-X165117869Y-84472869D01*
-X165164981Y-84415463D01*
-X165199988Y-84349970D01*
-X165221545Y-84278905D01*
-X165228824Y-84205000D01*
-X165227000Y-84126250D01*
-X165132750Y-84032000D01*
-X164227000Y-84032000D01*
-X164227000Y-84052000D01*
-X163973000Y-84052000D01*
-X163973000Y-84032000D01*
-X163953000Y-84032000D01*
-X163953000Y-83778000D01*
-X163973000Y-83778000D01*
-X163973000Y-83322250D01*
-X164227000Y-83322250D01*
-X164227000Y-83778000D01*
-X165132750Y-83778000D01*
-X165227000Y-83683750D01*
-X165228824Y-83605000D01*
-X165221545Y-83531095D01*
-X165199988Y-83460030D01*
-X165164981Y-83394537D01*
-X165117869Y-83337131D01*
-X165060463Y-83290019D01*
-X164994970Y-83255012D01*
-X164923905Y-83233455D01*
-X164850000Y-83226176D01*
-X164321250Y-83228000D01*
-X164227000Y-83322250D01*
-X163973000Y-83322250D01*
-X163878750Y-83228000D01*
-X163350000Y-83226176D01*
-X163276095Y-83233455D01*
-X163227010Y-83248345D01*
-X163227010Y-80132944D01*
-X163737538Y-79622417D01*
-X163756684Y-79606704D01*
-X163782419Y-79575347D01*
-X163816913Y-79533316D01*
-X163819417Y-79530265D01*
-X163866031Y-79443056D01*
-X163894736Y-79348429D01*
-X163902000Y-79274673D01*
-X163902000Y-79274664D01*
-X163904427Y-79250021D01*
-X163902000Y-79225378D01*
-X163902000Y-78878824D01*
-X164400000Y-78878824D01*
-X164473905Y-78871545D01*
-X164544970Y-78849988D01*
-X164610463Y-78814981D01*
-X164667869Y-78767869D01*
-X164714981Y-78710463D01*
-X164749988Y-78644970D01*
-X164771545Y-78573905D01*
-X164778824Y-78500000D01*
-X164778824Y-75900000D01*
-X164771545Y-75826095D01*
-X164749988Y-75755030D01*
-X164714981Y-75689537D01*
-X164667869Y-75632131D01*
-X164610463Y-75585019D01*
-X164544970Y-75550012D01*
-X164473905Y-75528455D01*
-X164400000Y-75521176D01*
-X162400000Y-75521176D01*
-X162326095Y-75528455D01*
-X162255030Y-75550012D01*
-X162189537Y-75585019D01*
-X162132131Y-75632131D01*
-X162085019Y-75689537D01*
-X162050012Y-75755030D01*
-X162028455Y-75826095D01*
-X162021176Y-75900000D01*
-X162021176Y-76151303D01*
-X162010473Y-76131279D01*
-X161838397Y-75921603D01*
-X161628721Y-75749527D01*
-X161389505Y-75621663D01*
-X161129939Y-75542925D01*
-X160860000Y-75516338D01*
-X160590062Y-75542925D01*
-X160330496Y-75621663D01*
-X160091280Y-75749527D01*
-X159881604Y-75921603D01*
-X159709527Y-76131279D01*
-X159590000Y-76354898D01*
-X159470473Y-76131279D01*
-X159298397Y-75921603D01*
-X159088721Y-75749527D01*
-X158849505Y-75621663D01*
-X158589939Y-75542925D01*
-X158320000Y-75516338D01*
-X158050062Y-75542925D01*
-X157790496Y-75621663D01*
-X157551280Y-75749527D01*
-X157341604Y-75921603D01*
-X157169527Y-76131279D01*
-X157046563Y-76361328D01*
-X157003581Y-76255712D01*
-X156854376Y-76029383D01*
-X156663883Y-75836511D01*
-X156439423Y-75684509D01*
-X156189622Y-75579217D01*
-X156119929Y-75565617D01*
-X155907000Y-75623552D01*
-X155907000Y-77073000D01*
-X155927000Y-77073000D01*
-X155927000Y-77327000D01*
-X155907000Y-77327000D01*
-X155907000Y-78776448D01*
-X156119929Y-78834383D01*
-X156189622Y-78820783D01*
-X156439423Y-78715491D01*
-X156663883Y-78563489D01*
-X156854376Y-78370617D01*
-X157003581Y-78144288D01*
-X157046563Y-78038671D01*
-X157169527Y-78268720D01*
-X157341603Y-78478396D01*
-X157551279Y-78650473D01*
-X157693000Y-78726225D01*
-X157693001Y-82452533D01*
-X130423095Y-82452533D01*
-X132173630Y-80702000D01*
-X135355357Y-80702000D01*
-X135380000Y-80704427D01*
-X135404643Y-80702000D01*
-X135404653Y-80702000D01*
-X135478409Y-80694736D01*
-X135573036Y-80666031D01*
-X135660245Y-80619417D01*
-X135736684Y-80556684D01*
-X135752401Y-80537533D01*
-X136686111Y-79603824D01*
-X136955000Y-79603824D01*
-X137028905Y-79596545D01*
-X137099970Y-79574988D01*
-X137165463Y-79539981D01*
-X137222869Y-79492869D01*
-X137269981Y-79435463D01*
-X137304988Y-79369970D01*
-X137326545Y-79298905D01*
-X137333824Y-79225000D01*
-X137333824Y-77675000D01*
-X137326545Y-77601095D01*
-X137304988Y-77530030D01*
-X137269981Y-77464537D01*
-X137222869Y-77407131D01*
-X137165463Y-77360019D01*
-X137099970Y-77325012D01*
-X137028905Y-77303455D01*
-X136955000Y-77296176D01*
-X136355000Y-77296176D01*
-X136281095Y-77303455D01*
-X136210030Y-77325012D01*
-X136177575Y-77342360D01*
-X136542935Y-76977000D01*
-X138186612Y-76977000D01*
-X138193091Y-76998357D01*
-X138250045Y-77104911D01*
-X138326693Y-77198307D01*
-X138420089Y-77274955D01*
-X138526643Y-77331909D01*
-X138642261Y-77366982D01*
-X138762500Y-77378824D01*
-X139237500Y-77378824D01*
-X139357739Y-77366982D01*
-X139473357Y-77331909D01*
-X139482541Y-77327000D01*
-X154403000Y-77327000D01*
-X154403000Y-77627000D01*
-X154454235Y-77893199D01*
-X154556419Y-78144288D01*
-X154705624Y-78370617D01*
-X154896117Y-78563489D01*
-X155120577Y-78715491D01*
-X155370378Y-78820783D01*
-X155440071Y-78834383D01*
-X155653000Y-78776448D01*
-X155653000Y-77327000D01*
-X154403000Y-77327000D01*
-X139482541Y-77327000D01*
-X139579911Y-77274955D01*
-X139673307Y-77198307D01*
-X139749955Y-77104911D01*
-X139806909Y-76998357D01*
-X139841982Y-76882739D01*
-X139852789Y-76773000D01*
-X154403000Y-76773000D01*
-X154403000Y-77073000D01*
-X155653000Y-77073000D01*
-X155653000Y-75623552D01*
-X155440071Y-75565617D01*
-X155370378Y-75579217D01*
-X155120577Y-75684509D01*
-X154896117Y-75836511D01*
-X154705624Y-76029383D01*
-X154556419Y-76255712D01*
-X154454235Y-76506801D01*
-X154403000Y-76773000D01*
-X139852789Y-76773000D01*
-X139853824Y-76762500D01*
-X139853824Y-76187500D01*
-X139841982Y-76067261D01*
-X139806909Y-75951643D01*
-X139749955Y-75845089D01*
-X139673307Y-75751693D01*
-X139579911Y-75675045D01*
-X139490568Y-75627291D01*
-X139548905Y-75621545D01*
-X139619970Y-75599988D01*
-X139685463Y-75564981D01*
-X139742869Y-75517869D01*
-X139789981Y-75460463D01*
-X139824988Y-75394970D01*
-X139846545Y-75323905D01*
-X139853824Y-75250000D01*
-X139852000Y-74946250D01*
-X139757750Y-74852000D01*
-X139127000Y-74852000D01*
-X139127000Y-74872000D01*
-X138873000Y-74872000D01*
-X138873000Y-74852000D01*
-X138242250Y-74852000D01*
-X138148000Y-74946250D01*
-X138146176Y-75250000D01*
-X138153455Y-75323905D01*
-X138175012Y-75394970D01*
-X138210019Y-75460463D01*
-X138257131Y-75517869D01*
-X138314537Y-75564981D01*
-X138380030Y-75599988D01*
-X138451095Y-75621545D01*
-X138509432Y-75627291D01*
-X138420089Y-75675045D01*
-X138326693Y-75751693D01*
-X138250045Y-75845089D01*
-X138193091Y-75951643D01*
-X138186612Y-75973000D01*
-X136359643Y-75973000D01*
-X136335000Y-75970573D01*
-X136310357Y-75973000D01*
-X136310347Y-75973000D01*
-X136236591Y-75980264D01*
-X136141964Y-76008969D01*
-X136054755Y-76055583D01*
-X136054753Y-76055584D01*
-X136054754Y-76055584D01*
-X136002882Y-76098155D01*
-X135978316Y-76118316D01*
-X135962603Y-76137462D01*
-X135047465Y-77052601D01*
-X135028317Y-77068316D01*
-X135012604Y-77087462D01*
-X135012601Y-77087465D01*
-X134965584Y-77144755D01*
-X134918970Y-77231964D01*
-X134890265Y-77326591D01*
-X134887664Y-77353003D01*
-X134874537Y-77360019D01*
-X134817131Y-77407131D01*
-X134770019Y-77464537D01*
-X134750000Y-77501990D01*
-X134729981Y-77464537D01*
-X134682869Y-77407131D01*
-X134625463Y-77360019D01*
-X134559970Y-77325012D01*
-X134488905Y-77303455D01*
-X134415000Y-77296176D01*
-X133815000Y-77296176D01*
-X133741095Y-77303455D01*
-X133670030Y-77325012D01*
-X133604537Y-77360019D01*
-X133547131Y-77407131D01*
-X133500019Y-77464537D01*
-X133480000Y-77501990D01*
-X133459981Y-77464537D01*
-X133412869Y-77407131D01*
-X133355463Y-77360019D01*
-X133289970Y-77325012D01*
-X133218905Y-77303455D01*
-X133145000Y-77296176D01*
-X132545000Y-77296176D01*
-X132471095Y-77303455D01*
-X132400030Y-77325012D01*
-X132334537Y-77360019D01*
-X132277131Y-77407131D01*
-X132230019Y-77464537D01*
-X132195012Y-77530030D01*
-X132173455Y-77601095D01*
-X132166176Y-77675000D01*
-X132166176Y-77964490D01*
-X132101964Y-77983969D01*
-X132014755Y-78030583D01*
-X132014753Y-78030584D01*
-X132014754Y-78030584D01*
-X131958197Y-78077000D01*
-X131938316Y-78093316D01*
-X131922603Y-78112462D01*
-X130137066Y-79898000D01*
-X129349096Y-79898000D01*
-X129353824Y-79850000D01*
-X129352000Y-79471250D01*
-X129257750Y-79377000D01*
-X128727000Y-79377000D01*
-X128727000Y-79397000D01*
-X128473000Y-79397000D01*
-X128473000Y-79377000D01*
-X128453000Y-79377000D01*
-X128453000Y-79123000D01*
-X128473000Y-79123000D01*
-X128473000Y-79103000D01*
-X128727000Y-79103000D01*
-X128727000Y-79123000D01*
-X129257750Y-79123000D01*
-X129352000Y-79028750D01*
-X129353824Y-78650000D01*
-X129346545Y-78576095D01*
-X129324988Y-78505030D01*
-X129289981Y-78439537D01*
-X129242869Y-78382131D01*
-X129185463Y-78335019D01*
-X129119970Y-78300012D01*
-X129119930Y-78300000D01*
-X129119970Y-78299988D01*
-X129185463Y-78264981D01*
-X129242869Y-78217869D01*
-X129289981Y-78160463D01*
-X129324988Y-78094970D01*
-X129330439Y-78077000D01*
-X129676528Y-78077000D01*
-X129714154Y-78069516D01*
-X129752319Y-78065757D01*
-X129789013Y-78054626D01*
-X129826643Y-78047141D01*
-X129862092Y-78032457D01*
-X129898784Y-78021327D01*
-X129932598Y-78003253D01*
-X129968048Y-77988569D01*
-X129999955Y-77967250D01*
-X130033766Y-77949177D01*
-X130063402Y-77924856D01*
-X130095309Y-77903536D01*
-X130122442Y-77876403D01*
-X130152080Y-77852080D01*
-X130176403Y-77822442D01*
-X130203536Y-77795309D01*
-X130224856Y-77763402D01*
-X130249177Y-77733766D01*
-X130267250Y-77699955D01*
-X130288569Y-77668048D01*
-X130303253Y-77632598D01*
-X130321327Y-77598784D01*
-X130332457Y-77562092D01*
-X130347141Y-77526643D01*
-X130354626Y-77489013D01*
-X130365757Y-77452319D01*
-X130369516Y-77414154D01*
-X130377000Y-77376528D01*
-X130377000Y-77338166D01*
-X130380759Y-77300000D01*
-X130377000Y-77261834D01*
-X130377000Y-77223472D01*
-X130369516Y-77185846D01*
-X130365757Y-77147681D01*
-X130354626Y-77110987D01*
-X130347141Y-77073357D01*
-X130332457Y-77037908D01*
-X130321327Y-77001216D01*
-X130303253Y-76967402D01*
-X130288569Y-76931952D01*
-X130267250Y-76900045D01*
-X130249177Y-76866234D01*
-X130224856Y-76836598D01*
-X130203536Y-76804691D01*
-X130176403Y-76777558D01*
-X130152080Y-76747920D01*
-X130122442Y-76723597D01*
-X130095309Y-76696464D01*
-X130063402Y-76675144D01*
-X130033766Y-76650823D01*
-X129999955Y-76632750D01*
-X129968048Y-76611431D01*
-X129932598Y-76596747D01*
-X129898784Y-76578673D01*
-X129862092Y-76567543D01*
-X129826643Y-76552859D01*
-X129789013Y-76545374D01*
-X129752319Y-76534243D01*
-X129714154Y-76530484D01*
-X129676528Y-76523000D01*
-X129502010Y-76523000D01*
-X129502010Y-75321843D01*
-X129722430Y-75101423D01*
-X129752080Y-75077090D01*
-X129776413Y-75047440D01*
-X129846853Y-74977000D01*
-X130292133Y-74977000D01*
-X130310019Y-75010463D01*
-X130357131Y-75067869D01*
-X130414537Y-75114981D01*
-X130480030Y-75149988D01*
-X130551095Y-75171545D01*
-X130625000Y-75178824D01*
-X131375000Y-75178824D01*
-X131448905Y-75171545D01*
-X131519970Y-75149988D01*
-X131585463Y-75114981D01*
-X131642869Y-75067869D01*
-X131689981Y-75010463D01*
-X131724988Y-74944970D01*
-X131746545Y-74873905D01*
-X131751165Y-74827000D01*
-X132609206Y-74827000D01*
-X132640000Y-74830033D01*
-X132670794Y-74827000D01*
-X132762913Y-74817927D01*
-X132881103Y-74782075D01*
-X132990028Y-74723853D01*
-X133085501Y-74645501D01*
-X133105138Y-74621573D01*
-X133266573Y-74460138D01*
-X133290501Y-74440501D01*
-X133368853Y-74345028D01*
-X133427075Y-74236103D01*
-X133462927Y-74117913D01*
-X133472000Y-74025794D01*
-X133473548Y-74010082D01*
-X133480000Y-73998010D01*
-X133500019Y-74035463D01*
-X133547131Y-74092869D01*
-X133604537Y-74139981D01*
-X133670030Y-74174988D01*
-X133741095Y-74196545D01*
-X133815000Y-74203824D01*
-X134415000Y-74203824D01*
-X134488905Y-74196545D01*
-X134559970Y-74174988D01*
-X134625463Y-74139981D01*
-X134682869Y-74092869D01*
-X134729981Y-74035463D01*
-X134750000Y-73998010D01*
-X134770019Y-74035463D01*
-X134817131Y-74092869D01*
-X134874537Y-74139981D01*
-X134940030Y-74174988D01*
-X135011095Y-74196545D01*
-X135085000Y-74203824D01*
-X135685000Y-74203824D01*
-X135758905Y-74196545D01*
-X135829970Y-74174988D01*
-X135895463Y-74139981D01*
-X135952869Y-74092869D01*
-X135999981Y-74035463D01*
-X136020000Y-73998010D01*
-X136040019Y-74035463D01*
-X136087131Y-74092869D01*
-X136144537Y-74139981D01*
-X136210030Y-74174988D01*
-X136281095Y-74196545D01*
-X136355000Y-74203824D01*
-X136433750Y-74202000D01*
-X136528000Y-74107750D01*
-X136528000Y-73177000D01*
-X136782000Y-73177000D01*
-X136782000Y-74107750D01*
-X136876250Y-74202000D01*
-X136955000Y-74203824D01*
-X136993825Y-74200000D01*
-X138146176Y-74200000D01*
-X138148000Y-74503750D01*
-X138242250Y-74598000D01*
-X138873000Y-74598000D01*
-X138873000Y-73917250D01*
-X139127000Y-73917250D01*
-X139127000Y-74598000D01*
-X139757750Y-74598000D01*
-X139852000Y-74503750D01*
-X139853824Y-74200000D01*
-X139846545Y-74126095D01*
-X139824988Y-74055030D01*
-X139789981Y-73989537D01*
-X139742869Y-73932131D01*
-X139685463Y-73885019D01*
-X139619970Y-73850012D01*
-X139548905Y-73828455D01*
-X139475000Y-73821176D01*
-X139221250Y-73823000D01*
-X139127000Y-73917250D01*
-X138873000Y-73917250D01*
-X138778750Y-73823000D01*
-X138525000Y-73821176D01*
-X138451095Y-73828455D01*
-X138380030Y-73850012D01*
-X138314537Y-73885019D01*
-X138257131Y-73932131D01*
-X138210019Y-73989537D01*
-X138175012Y-74055030D01*
-X138153455Y-74126095D01*
-X138146176Y-74200000D01*
-X136993825Y-74200000D01*
-X137028905Y-74196545D01*
-X137099970Y-74174988D01*
-X137165463Y-74139981D01*
-X137222869Y-74092869D01*
-X137269981Y-74035463D01*
-X137304988Y-73969970D01*
-X137326545Y-73898905D01*
-X137333824Y-73825000D01*
-X137332000Y-73271250D01*
-X137237750Y-73177000D01*
-X136782000Y-73177000D01*
-X136528000Y-73177000D01*
-X136508000Y-73177000D01*
-X136508000Y-72923000D01*
-X136528000Y-72923000D01*
-X136528000Y-72903000D01*
-X136782000Y-72903000D01*
-X136782000Y-72923000D01*
-X137237750Y-72923000D01*
-X137332000Y-72828750D01*
-X137333824Y-72275000D01*
-X137326545Y-72201095D01*
-X137304988Y-72130030D01*
-X137269981Y-72064537D01*
-X137222869Y-72007131D01*
-X137165463Y-71960019D01*
-X137099970Y-71925012D01*
-X137028905Y-71903455D01*
-X136955000Y-71896176D01*
-X136876250Y-71898000D01*
-X136782002Y-71992248D01*
-X136782002Y-71898000D01*
-X136766341Y-71898000D01*
-X136816684Y-71856684D01*
-X136832401Y-71837533D01*
-X138551525Y-70118410D01*
-X140861195Y-70118410D01*
-X140941306Y-70285043D01*
-X141144213Y-70375111D01*
-X141360793Y-70423864D01*
-X141582723Y-70429428D01*
-X141801474Y-70391588D01*
-X142008640Y-70311798D01*
-X142058694Y-70285043D01*
-X142138805Y-70118410D01*
-X141500000Y-69479605D01*
-X140861195Y-70118410D01*
-X138551525Y-70118410D01*
-X138837543Y-69832393D01*
-X138856684Y-69816684D01*
-X138872392Y-69797544D01*
-X138872400Y-69797536D01*
-X138919417Y-69740246D01*
-X138964081Y-69656684D01*
-X138966031Y-69653036D01*
-X138977421Y-69615488D01*
-X138995309Y-69603536D01*
-X139103536Y-69495309D01*
-X139188569Y-69368048D01*
-X139247141Y-69226643D01*
-X139277000Y-69076528D01*
-X139277000Y-68923472D01*
-X139247141Y-68773357D01*
-X139188569Y-68631952D01*
-X139135147Y-68552000D01*
-X140647338Y-68552000D01*
-X140609865Y-68589473D01*
-G36*
-X112862015Y-75971950D02*
-G01*
-X112471250Y-75973000D01*
-X112377000Y-76067250D01*
-X112377000Y-77273000D01*
-X112397000Y-77273000D01*
-X112397000Y-77527000D01*
-X112377000Y-77527000D01*
-X112377000Y-78732750D01*
-X112471250Y-78827000D01*
-X113150000Y-78828824D01*
-X113223905Y-78821545D01*
-X113294970Y-78799988D01*
-X113360463Y-78764981D01*
-X113400000Y-78732534D01*
-X113439537Y-78764981D01*
-X113505030Y-78799988D01*
-X113576095Y-78821545D01*
-X113650000Y-78828824D01*
-X113948001Y-78828824D01*
-X113948000Y-79696176D01*
-X113850000Y-79696176D01*
-X113776095Y-79703455D01*
-X113705030Y-79725012D01*
-X113639537Y-79760019D01*
-X113582131Y-79807131D01*
-X113535019Y-79864537D01*
-X113500012Y-79930030D01*
-X113500000Y-79930070D01*
-X113499988Y-79930030D01*
-X113464981Y-79864537D01*
-X113417869Y-79807131D01*
-X113360463Y-79760019D01*
-X113294970Y-79725012D01*
-X113223905Y-79703455D01*
-X113150000Y-79696176D01*
-X112771250Y-79698000D01*
-X112677000Y-79792250D01*
-X112677000Y-80323000D01*
-X112697000Y-80323000D01*
-X112697000Y-80577000D01*
-X112677000Y-80577000D01*
-X112677000Y-80597000D01*
-X112423000Y-80597000D01*
-X112423000Y-80577000D01*
-X111667250Y-80577000D01*
-X111573000Y-80671250D01*
-X111571176Y-80825000D01*
-X111578455Y-80898905D01*
-X111600012Y-80969970D01*
-X111601632Y-80973000D01*
-X110613388Y-80973000D01*
-X110606909Y-80951643D01*
-X110549955Y-80845089D01*
-X110473307Y-80751693D01*
-X110379911Y-80675045D01*
-X110273357Y-80618091D01*
-X110213720Y-80600000D01*
-X110273357Y-80581909D01*
-X110379911Y-80524955D01*
-X110473307Y-80448307D01*
-X110549955Y-80354911D01*
-X110606909Y-80248357D01*
-X110641982Y-80132739D01*
-X110647668Y-80075000D01*
-X111571176Y-80075000D01*
-X111573000Y-80228750D01*
-X111667250Y-80323000D01*
-X112423000Y-80323000D01*
-X112423000Y-79792250D01*
-X112328750Y-79698000D01*
-X111950000Y-79696176D01*
-X111876095Y-79703455D01*
-X111805030Y-79725012D01*
-X111739537Y-79760019D01*
-X111682131Y-79807131D01*
-X111635019Y-79864537D01*
-X111600012Y-79930030D01*
-X111578455Y-80001095D01*
-X111571176Y-80075000D01*
-X110647668Y-80075000D01*
-X110653824Y-80012500D01*
-X110653824Y-79437500D01*
-X110641982Y-79317261D01*
-X110606909Y-79201643D01*
-X110549955Y-79095089D01*
-X110473307Y-79001693D01*
-X110379911Y-78925045D01*
-X110273357Y-78868091D01*
-X110157739Y-78833018D01*
-X110037500Y-78821176D01*
-X109562500Y-78821176D01*
-X109442261Y-78833018D01*
-X109326643Y-78868091D01*
-X109220089Y-78925045D01*
-X109126693Y-79001693D01*
-X109077009Y-79062233D01*
-X109077009Y-78450000D01*
-X110971176Y-78450000D01*
-X110978455Y-78523905D01*
-X111000012Y-78594970D01*
-X111035019Y-78660463D01*
-X111082131Y-78717869D01*
-X111139537Y-78764981D01*
-X111205030Y-78799988D01*
-X111276095Y-78821545D01*
-X111350000Y-78828824D01*
-X112028750Y-78827000D01*
-X112123000Y-78732750D01*
-X112123000Y-77527000D01*
-X111067250Y-77527000D01*
-X110973000Y-77621250D01*
-X110971176Y-78450000D01*
-X109077009Y-78450000D01*
-X109077009Y-78138172D01*
-X109080768Y-78100009D01*
-X109073824Y-78029505D01*
-X109068832Y-77978824D01*
-X109575000Y-77978824D01*
-X109648905Y-77971545D01*
-X109719970Y-77949988D01*
-X109785463Y-77914981D01*
-X109842869Y-77867869D01*
-X109889981Y-77810463D01*
-X109924988Y-77744970D01*
-X109946545Y-77673905D01*
-X109953824Y-77600000D01*
-X109953824Y-77499127D01*
-X109998409Y-77494736D01*
-X110093036Y-77466031D01*
-X110180245Y-77419417D01*
-X110256684Y-77356684D01*
-X110272402Y-77337533D01*
-X110971810Y-76638124D01*
-X110973000Y-77178750D01*
-X111067250Y-77273000D01*
-X112123000Y-77273000D01*
-X112123000Y-76067250D01*
-X112028750Y-75973000D01*
-X111637985Y-75971950D01*
-X111681111Y-75928824D01*
-X112818889Y-75928824D01*
-X112862015Y-75971950D01*
-X112862015Y-75971950D01*
-G37*
-X112862015Y-75971950D02*
-X112471250Y-75973000D01*
-X112377000Y-76067250D01*
-X112377000Y-77273000D01*
-X112397000Y-77273000D01*
-X112397000Y-77527000D01*
-X112377000Y-77527000D01*
-X112377000Y-78732750D01*
-X112471250Y-78827000D01*
-X113150000Y-78828824D01*
-X113223905Y-78821545D01*
-X113294970Y-78799988D01*
-X113360463Y-78764981D01*
-X113400000Y-78732534D01*
-X113439537Y-78764981D01*
-X113505030Y-78799988D01*
-X113576095Y-78821545D01*
-X113650000Y-78828824D01*
-X113948001Y-78828824D01*
-X113948000Y-79696176D01*
-X113850000Y-79696176D01*
-X113776095Y-79703455D01*
-X113705030Y-79725012D01*
-X113639537Y-79760019D01*
-X113582131Y-79807131D01*
-X113535019Y-79864537D01*
-X113500012Y-79930030D01*
-X113500000Y-79930070D01*
-X113499988Y-79930030D01*
-X113464981Y-79864537D01*
-X113417869Y-79807131D01*
-X113360463Y-79760019D01*
-X113294970Y-79725012D01*
-X113223905Y-79703455D01*
-X113150000Y-79696176D01*
-X112771250Y-79698000D01*
-X112677000Y-79792250D01*
-X112677000Y-80323000D01*
-X112697000Y-80323000D01*
-X112697000Y-80577000D01*
-X112677000Y-80577000D01*
-X112677000Y-80597000D01*
-X112423000Y-80597000D01*
-X112423000Y-80577000D01*
-X111667250Y-80577000D01*
-X111573000Y-80671250D01*
-X111571176Y-80825000D01*
-X111578455Y-80898905D01*
-X111600012Y-80969970D01*
-X111601632Y-80973000D01*
-X110613388Y-80973000D01*
-X110606909Y-80951643D01*
-X110549955Y-80845089D01*
-X110473307Y-80751693D01*
-X110379911Y-80675045D01*
-X110273357Y-80618091D01*
-X110213720Y-80600000D01*
-X110273357Y-80581909D01*
-X110379911Y-80524955D01*
-X110473307Y-80448307D01*
-X110549955Y-80354911D01*
-X110606909Y-80248357D01*
-X110641982Y-80132739D01*
-X110647668Y-80075000D01*
-X111571176Y-80075000D01*
-X111573000Y-80228750D01*
-X111667250Y-80323000D01*
-X112423000Y-80323000D01*
-X112423000Y-79792250D01*
-X112328750Y-79698000D01*
-X111950000Y-79696176D01*
-X111876095Y-79703455D01*
-X111805030Y-79725012D01*
-X111739537Y-79760019D01*
-X111682131Y-79807131D01*
-X111635019Y-79864537D01*
-X111600012Y-79930030D01*
-X111578455Y-80001095D01*
-X111571176Y-80075000D01*
-X110647668Y-80075000D01*
-X110653824Y-80012500D01*
-X110653824Y-79437500D01*
-X110641982Y-79317261D01*
-X110606909Y-79201643D01*
-X110549955Y-79095089D01*
-X110473307Y-79001693D01*
-X110379911Y-78925045D01*
-X110273357Y-78868091D01*
-X110157739Y-78833018D01*
-X110037500Y-78821176D01*
-X109562500Y-78821176D01*
-X109442261Y-78833018D01*
-X109326643Y-78868091D01*
-X109220089Y-78925045D01*
-X109126693Y-79001693D01*
-X109077009Y-79062233D01*
-X109077009Y-78450000D01*
-X110971176Y-78450000D01*
-X110978455Y-78523905D01*
-X111000012Y-78594970D01*
-X111035019Y-78660463D01*
-X111082131Y-78717869D01*
-X111139537Y-78764981D01*
-X111205030Y-78799988D01*
-X111276095Y-78821545D01*
-X111350000Y-78828824D01*
-X112028750Y-78827000D01*
-X112123000Y-78732750D01*
-X112123000Y-77527000D01*
-X111067250Y-77527000D01*
-X110973000Y-77621250D01*
-X110971176Y-78450000D01*
-X109077009Y-78450000D01*
-X109077009Y-78138172D01*
-X109080768Y-78100009D01*
-X109073824Y-78029505D01*
-X109068832Y-77978824D01*
-X109575000Y-77978824D01*
-X109648905Y-77971545D01*
-X109719970Y-77949988D01*
-X109785463Y-77914981D01*
-X109842869Y-77867869D01*
-X109889981Y-77810463D01*
-X109924988Y-77744970D01*
-X109946545Y-77673905D01*
-X109953824Y-77600000D01*
-X109953824Y-77499127D01*
-X109998409Y-77494736D01*
-X110093036Y-77466031D01*
-X110180245Y-77419417D01*
-X110256684Y-77356684D01*
-X110272402Y-77337533D01*
-X110971810Y-76638124D01*
-X110973000Y-77178750D01*
-X111067250Y-77273000D01*
-X112123000Y-77273000D01*
-X112123000Y-76067250D01*
-X112028750Y-75973000D01*
-X111637985Y-75971950D01*
-X111681111Y-75928824D01*
-X112818889Y-75928824D01*
-X112862015Y-75971950D01*
-G36*
-X111618091Y-67573357D02*
-G01*
-X111675045Y-67679911D01*
-X111751693Y-67773307D01*
-X111845089Y-67849955D01*
-X111848000Y-67851511D01*
-X111848001Y-68348489D01*
-X111845089Y-68350045D01*
-X111751693Y-68426693D01*
-X111675045Y-68520089D01*
-X111627291Y-68609432D01*
-X111621545Y-68551095D01*
-X111599988Y-68480030D01*
-X111564981Y-68414537D01*
-X111517869Y-68357131D01*
-X111460463Y-68310019D01*
-X111394970Y-68275012D01*
-X111323905Y-68253455D01*
-X111250000Y-68246176D01*
-X110946250Y-68248000D01*
-X110852000Y-68342250D01*
-X110852000Y-68973000D01*
-X110872000Y-68973000D01*
-X110872000Y-69227000D01*
-X110852000Y-69227000D01*
-X110852000Y-69857750D01*
-X110946250Y-69952000D01*
-X111250000Y-69953824D01*
-X111323905Y-69946545D01*
-X111394970Y-69924988D01*
-X111460463Y-69889981D01*
-X111517869Y-69842869D01*
-X111564981Y-69785463D01*
-X111599988Y-69719970D01*
-X111621545Y-69648905D01*
-X111627291Y-69590568D01*
-X111675045Y-69679911D01*
-X111751693Y-69773307D01*
-X111845089Y-69849955D01*
-X111848000Y-69851511D01*
-X111848001Y-70429392D01*
-X111780029Y-70450011D01*
-X111714536Y-70485018D01*
-X111657130Y-70532130D01*
-X111610018Y-70589536D01*
-X111575011Y-70655029D01*
-X111574999Y-70655069D01*
-X111574987Y-70655029D01*
-X111539980Y-70589536D01*
-X111492868Y-70532130D01*
-X111435462Y-70485018D01*
-X111369969Y-70450011D01*
-X111298904Y-70428454D01*
-X111224999Y-70421175D01*
-X110846249Y-70422999D01*
-X110751999Y-70517249D01*
-X110751999Y-71047999D01*
-X110771999Y-71047999D01*
-X110771999Y-71301999D01*
-X110751999Y-71301999D01*
-X110751999Y-71832749D01*
-X110846249Y-71926999D01*
-X111224999Y-71928823D01*
-X111298904Y-71921544D01*
-X111369969Y-71899987D01*
-X111435462Y-71864980D01*
-X111492868Y-71817868D01*
-X111539980Y-71760462D01*
-X111574987Y-71694969D01*
-X111574999Y-71694929D01*
-X111575011Y-71694969D01*
-X111610018Y-71760462D01*
-X111657130Y-71817868D01*
-X111714536Y-71864980D01*
-X111780029Y-71899987D01*
-X111851094Y-71921544D01*
-X111924999Y-71928823D01*
-X113124999Y-71928823D01*
-X113198904Y-71921544D01*
-X113269969Y-71899987D01*
-X113335462Y-71864980D01*
-X113392868Y-71817868D01*
-X113439980Y-71760462D01*
-X113474987Y-71694969D01*
-X113480438Y-71676999D01*
-X116477067Y-71676999D01*
-X119673060Y-74872993D01*
-X119671250Y-74873000D01*
-X119577000Y-74967250D01*
-X119577000Y-75377000D01*
-X119597000Y-75377000D01*
-X119597000Y-75521176D01*
-X118725000Y-75521176D01*
-X118651095Y-75528455D01*
-X118580030Y-75550012D01*
-X118537023Y-75573000D01*
-X118442250Y-75573000D01*
-X118392250Y-75623000D01*
-X115982935Y-75623000D01*
-X115922403Y-75562468D01*
-X115906685Y-75543316D01*
-X115830246Y-75480583D01*
-X115828669Y-75479740D01*
-X115828164Y-75250000D01*
-X118346176Y-75250000D01*
-X118348000Y-75282750D01*
-X118442250Y-75377000D01*
-X119323000Y-75377000D01*
-X119323000Y-74967250D01*
-X119228750Y-74873000D01*
-X118725000Y-74871176D01*
-X118651095Y-74878455D01*
-X118580030Y-74900012D01*
-X118514537Y-74935019D01*
-X118457131Y-74982131D01*
-X118410019Y-75039537D01*
-X118375012Y-75105030D01*
-X118353455Y-75176095D01*
-X118346176Y-75250000D01*
-X115828164Y-75250000D01*
-X115827000Y-74721250D01*
-X115732750Y-74627000D01*
-X114677000Y-74627000D01*
-X114677000Y-74647000D01*
-X114423000Y-74647000D01*
-X114423000Y-74627000D01*
-X114403000Y-74627000D01*
-X114403000Y-74373000D01*
-X114423000Y-74373000D01*
-X114423000Y-73167250D01*
-X114677000Y-73167250D01*
-X114677000Y-74373000D01*
-X115732750Y-74373000D01*
-X115827000Y-74278750D01*
-X115828824Y-73450000D01*
-X115821545Y-73376095D01*
-X115799988Y-73305030D01*
-X115764981Y-73239537D01*
-X115717869Y-73182131D01*
-X115660463Y-73135019D01*
-X115594970Y-73100012D01*
-X115523905Y-73078455D01*
-X115450000Y-73071176D01*
-X114771250Y-73073000D01*
-X114677000Y-73167250D01*
-X114423000Y-73167250D01*
-X114328750Y-73073000D01*
-X113650000Y-73071176D01*
-X113576095Y-73078455D01*
-X113505030Y-73100012D01*
-X113439537Y-73135019D01*
-X113400000Y-73167466D01*
-X113360463Y-73135019D01*
-X113294970Y-73100012D01*
-X113223905Y-73078455D01*
-X113150000Y-73071176D01*
-X111350000Y-73071176D01*
-X111276095Y-73078455D01*
-X111205030Y-73100012D01*
-X111139537Y-73135019D01*
-X111082131Y-73182131D01*
-X111035019Y-73239537D01*
-X111000012Y-73305030D01*
-X110978455Y-73376095D01*
-X110971176Y-73450000D01*
-X110971176Y-75218889D01*
-X109927327Y-76262739D01*
-X109924988Y-76255030D01*
-X109889981Y-76189537D01*
-X109842869Y-76132131D01*
-X109785463Y-76085019D01*
-X109719970Y-76050012D01*
-X109719930Y-76050000D01*
-X109719970Y-76049988D01*
-X109785463Y-76014981D01*
-X109842869Y-75967869D01*
-X109889981Y-75910463D01*
-X109924988Y-75844970D01*
-X109946545Y-75773905D01*
-X109953824Y-75700000D01*
-X109952000Y-75321250D01*
-X109857750Y-75227000D01*
-X109327000Y-75227000D01*
-X109327000Y-75247000D01*
-X109073000Y-75247000D01*
-X109073000Y-75227000D01*
-X108542250Y-75227000D01*
-X108448000Y-75321250D01*
-X108446176Y-75700000D01*
-X108453455Y-75773905D01*
-X108475012Y-75844970D01*
-X108510019Y-75910463D01*
-X108557131Y-75967869D01*
-X108614537Y-76014981D01*
-X108680030Y-76049988D01*
-X108680070Y-76050000D01*
-X108680030Y-76050012D01*
-X108614537Y-76085019D01*
-X108557131Y-76132131D01*
-X108510019Y-76189537D01*
-X108475012Y-76255030D01*
-X108453455Y-76326095D01*
-X108446176Y-76400000D01*
-X108446176Y-77147332D01*
-X106026414Y-74727570D01*
-X106002081Y-74697921D01*
-X105883767Y-74600824D01*
-X105748785Y-74528674D01*
-X105654261Y-74500000D01*
-X108446176Y-74500000D01*
-X108448000Y-74878750D01*
-X108542250Y-74973000D01*
-X109073000Y-74973000D01*
-X109073000Y-74217250D01*
-X109327000Y-74217250D01*
-X109327000Y-74973000D01*
-X109857750Y-74973000D01*
-X109952000Y-74878750D01*
-X109953824Y-74500000D01*
-X109946545Y-74426095D01*
-X109924988Y-74355030D01*
-X109889981Y-74289537D01*
-X109842869Y-74232131D01*
-X109785463Y-74185019D01*
-X109719970Y-74150012D01*
-X109648905Y-74128455D01*
-X109575000Y-74121176D01*
-X109421250Y-74123000D01*
-X109327000Y-74217250D01*
-X109073000Y-74217250D01*
-X108978750Y-74123000D01*
-X108825000Y-74121176D01*
-X108751095Y-74128455D01*
-X108680030Y-74150012D01*
-X108614537Y-74185019D01*
-X108557131Y-74232131D01*
-X108510019Y-74289537D01*
-X108475012Y-74355030D01*
-X108453455Y-74426095D01*
-X108446176Y-74500000D01*
-X105654261Y-74500000D01*
-X105602320Y-74484244D01*
-X105488167Y-74473001D01*
-X105488164Y-74473001D01*
-X105450001Y-74469242D01*
-X105411838Y-74473001D01*
-X104328824Y-74473001D01*
-X104328824Y-73400000D01*
-X106046176Y-73400000D01*
-X106053455Y-73473905D01*
-X106075012Y-73544970D01*
-X106110019Y-73610463D01*
-X106157131Y-73667869D01*
-X106214537Y-73714981D01*
-X106280030Y-73749988D01*
-X106351095Y-73771545D01*
-X106425000Y-73778824D01*
-X106678750Y-73777000D01*
-X106773000Y-73682750D01*
-X106773000Y-73002000D01*
-X107027000Y-73002000D01*
-X107027000Y-73682750D01*
-X107121250Y-73777000D01*
-X107375000Y-73778824D01*
-X107448905Y-73771545D01*
-X107519970Y-73749988D01*
-X107585463Y-73714981D01*
-X107642869Y-73667869D01*
-X107689981Y-73610463D01*
-X107724988Y-73544970D01*
-X107746545Y-73473905D01*
-X107753824Y-73400000D01*
-X107752000Y-73096250D01*
-X107657750Y-73002000D01*
-X107027000Y-73002000D01*
-X106773000Y-73002000D01*
-X106142250Y-73002000D01*
-X106048000Y-73096250D01*
-X106046176Y-73400000D01*
-X104328824Y-73400000D01*
-X104328824Y-71150000D01*
-X104321545Y-71076095D01*
-X104299988Y-71005030D01*
-X104285006Y-70977000D01*
-X105653157Y-70977000D01*
-X106046176Y-71370020D01*
-X106046176Y-71412500D01*
-X106058018Y-71532739D01*
-X106093091Y-71648357D01*
-X106150045Y-71754911D01*
-X106226693Y-71848307D01*
-X106320089Y-71924955D01*
-X106409432Y-71972709D01*
-X106351095Y-71978455D01*
-X106280030Y-72000012D01*
-X106214537Y-72035019D01*
-X106157131Y-72082131D01*
-X106110019Y-72139537D01*
-X106075012Y-72205030D01*
-X106053455Y-72276095D01*
-X106046176Y-72350000D01*
-X106048000Y-72653750D01*
-X106142250Y-72748000D01*
-X106773000Y-72748000D01*
-X106773000Y-72728000D01*
-X107027000Y-72728000D01*
-X107027000Y-72748000D01*
-X107657750Y-72748000D01*
-X107752000Y-72653750D01*
-X107753824Y-72350000D01*
-X107746545Y-72276095D01*
-X107724988Y-72205030D01*
-X107689981Y-72139537D01*
-X107642869Y-72082131D01*
-X107585463Y-72035019D01*
-X107519970Y-72000012D01*
-X107448905Y-71978455D01*
-X107390568Y-71972709D01*
-X107479911Y-71924955D01*
-X107573307Y-71848307D01*
-X107649955Y-71754911D01*
-X107706909Y-71648357D01*
-X107736746Y-71549999D01*
-X109646175Y-71549999D01*
-X109653454Y-71623904D01*
-X109675011Y-71694969D01*
-X109710018Y-71760462D01*
-X109757130Y-71817868D01*
-X109814536Y-71864980D01*
-X109880029Y-71899987D01*
-X109951094Y-71921544D01*
-X110024999Y-71928823D01*
-X110403749Y-71926999D01*
-X110497999Y-71832749D01*
-X110497999Y-71301999D01*
-X109742249Y-71301999D01*
-X109647999Y-71396249D01*
-X109646175Y-71549999D01*
-X107736746Y-71549999D01*
-X107741982Y-71532739D01*
-X107753824Y-71412500D01*
-X107753824Y-70837500D01*
-X107750131Y-70799999D01*
-X109646175Y-70799999D01*
-X109647999Y-70953749D01*
-X109742249Y-71047999D01*
-X110497999Y-71047999D01*
-X110497999Y-70517249D01*
-X110403749Y-70422999D01*
-X110024999Y-70421175D01*
-X109951094Y-70428454D01*
-X109880029Y-70450011D01*
-X109814536Y-70485018D01*
-X109757130Y-70532130D01*
-X109710018Y-70589536D01*
-X109675011Y-70655029D01*
-X109653454Y-70726094D01*
-X109646175Y-70799999D01*
-X107750131Y-70799999D01*
-X107741982Y-70717261D01*
-X107706909Y-70601643D01*
-X107649955Y-70495089D01*
-X107573307Y-70401693D01*
-X107479911Y-70325045D01*
-X107373357Y-70268091D01*
-X107257739Y-70233018D01*
-X107137500Y-70221176D01*
-X107095020Y-70221176D01*
-X106948843Y-70075000D01*
-X107070020Y-69953824D01*
-X107112500Y-69953824D01*
-X107232739Y-69941982D01*
-X107348357Y-69906909D01*
-X107454911Y-69849955D01*
-X107548307Y-69773307D01*
-X107624955Y-69679911D01*
-X107681909Y-69573357D01*
-X107700000Y-69513720D01*
-X107718091Y-69573357D01*
-X107775045Y-69679911D01*
-X107851693Y-69773307D01*
-X107945089Y-69849955D01*
-X108051643Y-69906909D01*
-X108167261Y-69941982D01*
-X108287500Y-69953824D01*
-X108862500Y-69953824D01*
-X108982739Y-69941982D01*
-X109098357Y-69906909D01*
-X109204911Y-69849955D01*
-X109298307Y-69773307D01*
-X109374955Y-69679911D01*
-X109431030Y-69575000D01*
-X109821176Y-69575000D01*
-X109828455Y-69648905D01*
-X109850012Y-69719970D01*
-X109885019Y-69785463D01*
-X109932131Y-69842869D01*
-X109989537Y-69889981D01*
-X110055030Y-69924988D01*
-X110126095Y-69946545D01*
-X110200000Y-69953824D01*
-X110503750Y-69952000D01*
-X110598000Y-69857750D01*
-X110598000Y-69227000D01*
-X109917250Y-69227000D01*
-X109823000Y-69321250D01*
-X109821176Y-69575000D01*
-X109431030Y-69575000D01*
-X109431909Y-69573357D01*
-X109466982Y-69457739D01*
-X109478824Y-69337500D01*
-X109478824Y-69232887D01*
-X109827981Y-68883731D01*
-X109917250Y-68973000D01*
-X110598000Y-68973000D01*
-X110598000Y-68342250D01*
-X110503750Y-68248000D01*
-X110463951Y-68247761D01*
-X110757888Y-67953824D01*
-X111012500Y-67953824D01*
-X111132739Y-67941982D01*
-X111248357Y-67906909D01*
-X111354911Y-67849955D01*
-X111448307Y-67773307D01*
-X111524955Y-67679911D01*
-X111581909Y-67573357D01*
-X111600000Y-67513720D01*
-X111618091Y-67573357D01*
-X111618091Y-67573357D01*
-G37*
-X111618091Y-67573357D02*
-X111675045Y-67679911D01*
-X111751693Y-67773307D01*
-X111845089Y-67849955D01*
-X111848000Y-67851511D01*
-X111848001Y-68348489D01*
-X111845089Y-68350045D01*
-X111751693Y-68426693D01*
-X111675045Y-68520089D01*
-X111627291Y-68609432D01*
-X111621545Y-68551095D01*
-X111599988Y-68480030D01*
-X111564981Y-68414537D01*
-X111517869Y-68357131D01*
-X111460463Y-68310019D01*
-X111394970Y-68275012D01*
-X111323905Y-68253455D01*
-X111250000Y-68246176D01*
-X110946250Y-68248000D01*
-X110852000Y-68342250D01*
-X110852000Y-68973000D01*
-X110872000Y-68973000D01*
-X110872000Y-69227000D01*
-X110852000Y-69227000D01*
-X110852000Y-69857750D01*
-X110946250Y-69952000D01*
-X111250000Y-69953824D01*
-X111323905Y-69946545D01*
-X111394970Y-69924988D01*
-X111460463Y-69889981D01*
-X111517869Y-69842869D01*
-X111564981Y-69785463D01*
-X111599988Y-69719970D01*
-X111621545Y-69648905D01*
-X111627291Y-69590568D01*
-X111675045Y-69679911D01*
-X111751693Y-69773307D01*
-X111845089Y-69849955D01*
-X111848000Y-69851511D01*
-X111848001Y-70429392D01*
-X111780029Y-70450011D01*
-X111714536Y-70485018D01*
-X111657130Y-70532130D01*
-X111610018Y-70589536D01*
-X111575011Y-70655029D01*
-X111574999Y-70655069D01*
-X111574987Y-70655029D01*
-X111539980Y-70589536D01*
-X111492868Y-70532130D01*
-X111435462Y-70485018D01*
-X111369969Y-70450011D01*
-X111298904Y-70428454D01*
-X111224999Y-70421175D01*
-X110846249Y-70422999D01*
-X110751999Y-70517249D01*
-X110751999Y-71047999D01*
-X110771999Y-71047999D01*
-X110771999Y-71301999D01*
-X110751999Y-71301999D01*
-X110751999Y-71832749D01*
-X110846249Y-71926999D01*
-X111224999Y-71928823D01*
-X111298904Y-71921544D01*
-X111369969Y-71899987D01*
-X111435462Y-71864980D01*
-X111492868Y-71817868D01*
-X111539980Y-71760462D01*
-X111574987Y-71694969D01*
-X111574999Y-71694929D01*
-X111575011Y-71694969D01*
-X111610018Y-71760462D01*
-X111657130Y-71817868D01*
-X111714536Y-71864980D01*
-X111780029Y-71899987D01*
-X111851094Y-71921544D01*
-X111924999Y-71928823D01*
-X113124999Y-71928823D01*
-X113198904Y-71921544D01*
-X113269969Y-71899987D01*
-X113335462Y-71864980D01*
-X113392868Y-71817868D01*
-X113439980Y-71760462D01*
-X113474987Y-71694969D01*
-X113480438Y-71676999D01*
-X116477067Y-71676999D01*
-X119673060Y-74872993D01*
-X119671250Y-74873000D01*
-X119577000Y-74967250D01*
-X119577000Y-75377000D01*
-X119597000Y-75377000D01*
-X119597000Y-75521176D01*
-X118725000Y-75521176D01*
-X118651095Y-75528455D01*
-X118580030Y-75550012D01*
-X118537023Y-75573000D01*
-X118442250Y-75573000D01*
-X118392250Y-75623000D01*
-X115982935Y-75623000D01*
-X115922403Y-75562468D01*
-X115906685Y-75543316D01*
-X115830246Y-75480583D01*
-X115828669Y-75479740D01*
-X115828164Y-75250000D01*
-X118346176Y-75250000D01*
-X118348000Y-75282750D01*
-X118442250Y-75377000D01*
-X119323000Y-75377000D01*
-X119323000Y-74967250D01*
-X119228750Y-74873000D01*
-X118725000Y-74871176D01*
-X118651095Y-74878455D01*
-X118580030Y-74900012D01*
-X118514537Y-74935019D01*
-X118457131Y-74982131D01*
-X118410019Y-75039537D01*
-X118375012Y-75105030D01*
-X118353455Y-75176095D01*
-X118346176Y-75250000D01*
-X115828164Y-75250000D01*
-X115827000Y-74721250D01*
-X115732750Y-74627000D01*
-X114677000Y-74627000D01*
-X114677000Y-74647000D01*
-X114423000Y-74647000D01*
-X114423000Y-74627000D01*
-X114403000Y-74627000D01*
-X114403000Y-74373000D01*
-X114423000Y-74373000D01*
-X114423000Y-73167250D01*
-X114677000Y-73167250D01*
-X114677000Y-74373000D01*
-X115732750Y-74373000D01*
-X115827000Y-74278750D01*
-X115828824Y-73450000D01*
-X115821545Y-73376095D01*
-X115799988Y-73305030D01*
-X115764981Y-73239537D01*
-X115717869Y-73182131D01*
-X115660463Y-73135019D01*
-X115594970Y-73100012D01*
-X115523905Y-73078455D01*
-X115450000Y-73071176D01*
-X114771250Y-73073000D01*
-X114677000Y-73167250D01*
-X114423000Y-73167250D01*
-X114328750Y-73073000D01*
-X113650000Y-73071176D01*
-X113576095Y-73078455D01*
-X113505030Y-73100012D01*
-X113439537Y-73135019D01*
-X113400000Y-73167466D01*
-X113360463Y-73135019D01*
-X113294970Y-73100012D01*
-X113223905Y-73078455D01*
-X113150000Y-73071176D01*
-X111350000Y-73071176D01*
-X111276095Y-73078455D01*
-X111205030Y-73100012D01*
-X111139537Y-73135019D01*
-X111082131Y-73182131D01*
-X111035019Y-73239537D01*
-X111000012Y-73305030D01*
-X110978455Y-73376095D01*
-X110971176Y-73450000D01*
-X110971176Y-75218889D01*
-X109927327Y-76262739D01*
-X109924988Y-76255030D01*
-X109889981Y-76189537D01*
-X109842869Y-76132131D01*
-X109785463Y-76085019D01*
-X109719970Y-76050012D01*
-X109719930Y-76050000D01*
-X109719970Y-76049988D01*
-X109785463Y-76014981D01*
-X109842869Y-75967869D01*
-X109889981Y-75910463D01*
-X109924988Y-75844970D01*
-X109946545Y-75773905D01*
-X109953824Y-75700000D01*
-X109952000Y-75321250D01*
-X109857750Y-75227000D01*
-X109327000Y-75227000D01*
-X109327000Y-75247000D01*
-X109073000Y-75247000D01*
-X109073000Y-75227000D01*
-X108542250Y-75227000D01*
-X108448000Y-75321250D01*
-X108446176Y-75700000D01*
-X108453455Y-75773905D01*
-X108475012Y-75844970D01*
-X108510019Y-75910463D01*
-X108557131Y-75967869D01*
-X108614537Y-76014981D01*
-X108680030Y-76049988D01*
-X108680070Y-76050000D01*
-X108680030Y-76050012D01*
-X108614537Y-76085019D01*
-X108557131Y-76132131D01*
-X108510019Y-76189537D01*
-X108475012Y-76255030D01*
-X108453455Y-76326095D01*
-X108446176Y-76400000D01*
-X108446176Y-77147332D01*
-X106026414Y-74727570D01*
-X106002081Y-74697921D01*
-X105883767Y-74600824D01*
-X105748785Y-74528674D01*
-X105654261Y-74500000D01*
-X108446176Y-74500000D01*
-X108448000Y-74878750D01*
-X108542250Y-74973000D01*
-X109073000Y-74973000D01*
-X109073000Y-74217250D01*
-X109327000Y-74217250D01*
-X109327000Y-74973000D01*
-X109857750Y-74973000D01*
-X109952000Y-74878750D01*
-X109953824Y-74500000D01*
-X109946545Y-74426095D01*
-X109924988Y-74355030D01*
-X109889981Y-74289537D01*
-X109842869Y-74232131D01*
-X109785463Y-74185019D01*
-X109719970Y-74150012D01*
-X109648905Y-74128455D01*
-X109575000Y-74121176D01*
-X109421250Y-74123000D01*
-X109327000Y-74217250D01*
-X109073000Y-74217250D01*
-X108978750Y-74123000D01*
-X108825000Y-74121176D01*
-X108751095Y-74128455D01*
-X108680030Y-74150012D01*
-X108614537Y-74185019D01*
-X108557131Y-74232131D01*
-X108510019Y-74289537D01*
-X108475012Y-74355030D01*
-X108453455Y-74426095D01*
-X108446176Y-74500000D01*
-X105654261Y-74500000D01*
-X105602320Y-74484244D01*
-X105488167Y-74473001D01*
-X105488164Y-74473001D01*
-X105450001Y-74469242D01*
-X105411838Y-74473001D01*
-X104328824Y-74473001D01*
-X104328824Y-73400000D01*
-X106046176Y-73400000D01*
-X106053455Y-73473905D01*
-X106075012Y-73544970D01*
-X106110019Y-73610463D01*
-X106157131Y-73667869D01*
-X106214537Y-73714981D01*
-X106280030Y-73749988D01*
-X106351095Y-73771545D01*
-X106425000Y-73778824D01*
-X106678750Y-73777000D01*
-X106773000Y-73682750D01*
-X106773000Y-73002000D01*
-X107027000Y-73002000D01*
-X107027000Y-73682750D01*
-X107121250Y-73777000D01*
-X107375000Y-73778824D01*
-X107448905Y-73771545D01*
-X107519970Y-73749988D01*
-X107585463Y-73714981D01*
-X107642869Y-73667869D01*
-X107689981Y-73610463D01*
-X107724988Y-73544970D01*
-X107746545Y-73473905D01*
-X107753824Y-73400000D01*
-X107752000Y-73096250D01*
-X107657750Y-73002000D01*
-X107027000Y-73002000D01*
-X106773000Y-73002000D01*
-X106142250Y-73002000D01*
-X106048000Y-73096250D01*
-X106046176Y-73400000D01*
-X104328824Y-73400000D01*
-X104328824Y-71150000D01*
-X104321545Y-71076095D01*
-X104299988Y-71005030D01*
-X104285006Y-70977000D01*
-X105653157Y-70977000D01*
-X106046176Y-71370020D01*
-X106046176Y-71412500D01*
-X106058018Y-71532739D01*
-X106093091Y-71648357D01*
-X106150045Y-71754911D01*
-X106226693Y-71848307D01*
-X106320089Y-71924955D01*
-X106409432Y-71972709D01*
-X106351095Y-71978455D01*
-X106280030Y-72000012D01*
-X106214537Y-72035019D01*
-X106157131Y-72082131D01*
-X106110019Y-72139537D01*
-X106075012Y-72205030D01*
-X106053455Y-72276095D01*
-X106046176Y-72350000D01*
-X106048000Y-72653750D01*
-X106142250Y-72748000D01*
-X106773000Y-72748000D01*
-X106773000Y-72728000D01*
-X107027000Y-72728000D01*
-X107027000Y-72748000D01*
-X107657750Y-72748000D01*
-X107752000Y-72653750D01*
-X107753824Y-72350000D01*
-X107746545Y-72276095D01*
-X107724988Y-72205030D01*
-X107689981Y-72139537D01*
-X107642869Y-72082131D01*
-X107585463Y-72035019D01*
-X107519970Y-72000012D01*
-X107448905Y-71978455D01*
-X107390568Y-71972709D01*
-X107479911Y-71924955D01*
-X107573307Y-71848307D01*
-X107649955Y-71754911D01*
-X107706909Y-71648357D01*
-X107736746Y-71549999D01*
-X109646175Y-71549999D01*
-X109653454Y-71623904D01*
-X109675011Y-71694969D01*
-X109710018Y-71760462D01*
-X109757130Y-71817868D01*
-X109814536Y-71864980D01*
-X109880029Y-71899987D01*
-X109951094Y-71921544D01*
-X110024999Y-71928823D01*
-X110403749Y-71926999D01*
-X110497999Y-71832749D01*
-X110497999Y-71301999D01*
-X109742249Y-71301999D01*
-X109647999Y-71396249D01*
-X109646175Y-71549999D01*
-X107736746Y-71549999D01*
-X107741982Y-71532739D01*
-X107753824Y-71412500D01*
-X107753824Y-70837500D01*
-X107750131Y-70799999D01*
-X109646175Y-70799999D01*
-X109647999Y-70953749D01*
-X109742249Y-71047999D01*
-X110497999Y-71047999D01*
-X110497999Y-70517249D01*
-X110403749Y-70422999D01*
-X110024999Y-70421175D01*
-X109951094Y-70428454D01*
-X109880029Y-70450011D01*
-X109814536Y-70485018D01*
-X109757130Y-70532130D01*
-X109710018Y-70589536D01*
-X109675011Y-70655029D01*
-X109653454Y-70726094D01*
-X109646175Y-70799999D01*
-X107750131Y-70799999D01*
-X107741982Y-70717261D01*
-X107706909Y-70601643D01*
-X107649955Y-70495089D01*
-X107573307Y-70401693D01*
-X107479911Y-70325045D01*
-X107373357Y-70268091D01*
-X107257739Y-70233018D01*
-X107137500Y-70221176D01*
-X107095020Y-70221176D01*
-X106948843Y-70075000D01*
-X107070020Y-69953824D01*
-X107112500Y-69953824D01*
-X107232739Y-69941982D01*
-X107348357Y-69906909D01*
-X107454911Y-69849955D01*
-X107548307Y-69773307D01*
-X107624955Y-69679911D01*
-X107681909Y-69573357D01*
-X107700000Y-69513720D01*
-X107718091Y-69573357D01*
-X107775045Y-69679911D01*
-X107851693Y-69773307D01*
-X107945089Y-69849955D01*
-X108051643Y-69906909D01*
-X108167261Y-69941982D01*
-X108287500Y-69953824D01*
-X108862500Y-69953824D01*
-X108982739Y-69941982D01*
-X109098357Y-69906909D01*
-X109204911Y-69849955D01*
-X109298307Y-69773307D01*
-X109374955Y-69679911D01*
-X109431030Y-69575000D01*
-X109821176Y-69575000D01*
-X109828455Y-69648905D01*
-X109850012Y-69719970D01*
-X109885019Y-69785463D01*
-X109932131Y-69842869D01*
-X109989537Y-69889981D01*
-X110055030Y-69924988D01*
-X110126095Y-69946545D01*
-X110200000Y-69953824D01*
-X110503750Y-69952000D01*
-X110598000Y-69857750D01*
-X110598000Y-69227000D01*
-X109917250Y-69227000D01*
-X109823000Y-69321250D01*
-X109821176Y-69575000D01*
-X109431030Y-69575000D01*
-X109431909Y-69573357D01*
-X109466982Y-69457739D01*
-X109478824Y-69337500D01*
-X109478824Y-69232887D01*
-X109827981Y-68883731D01*
-X109917250Y-68973000D01*
-X110598000Y-68973000D01*
-X110598000Y-68342250D01*
-X110503750Y-68248000D01*
-X110463951Y-68247761D01*
-X110757888Y-67953824D01*
-X111012500Y-67953824D01*
-X111132739Y-67941982D01*
-X111248357Y-67906909D01*
-X111354911Y-67849955D01*
-X111448307Y-67773307D01*
-X111524955Y-67679911D01*
-X111581909Y-67573357D01*
-X111600000Y-67513720D01*
-X111618091Y-67573357D01*
-G36*
-X98733421Y-149266579D02*
-G01*
-X98790550Y-149313464D01*
-X98855728Y-149348303D01*
-X98926451Y-149369756D01*
-X99000000Y-149377000D01*
-X105873000Y-149377000D01*
-X105873000Y-152500000D01*
-X105875440Y-152524776D01*
-X105882667Y-152548601D01*
-X105894403Y-152570557D01*
-X105910197Y-152589803D01*
-X107410197Y-154089803D01*
-X107429443Y-154105597D01*
-X107451399Y-154117333D01*
-X107475224Y-154124560D01*
-X107500000Y-154127000D01*
-X112500000Y-154127000D01*
-X112524776Y-154124560D01*
-X112548601Y-154117333D01*
-X112570557Y-154105597D01*
-X112589803Y-154089803D01*
-X114089803Y-152589803D01*
-X114105597Y-152570557D01*
-X114117333Y-152548601D01*
-X114124560Y-152524776D01*
-X114127000Y-152500000D01*
-X114127000Y-149377000D01*
-X128454687Y-149377000D01*
-X128401643Y-149393091D01*
-X128295089Y-149450045D01*
-X128201693Y-149526693D01*
-X128125045Y-149620089D01*
-X128083401Y-149698000D01*
-X127751640Y-149698000D01*
-X127726997Y-149695573D01*
-X127702354Y-149698000D01*
-X127702345Y-149698000D01*
-X127628589Y-149705264D01*
-X127533962Y-149733969D01*
-X127446753Y-149780583D01*
-X127370314Y-149843316D01*
-X127354601Y-149862462D01*
-X126462467Y-150754597D01*
-X126443316Y-150770314D01*
-X126380583Y-150846753D01*
-X126333969Y-150933963D01*
-X126305264Y-151028590D01*
-X126298000Y-151102346D01*
-X126298000Y-151102355D01*
-X126295573Y-151126998D01*
-X126298000Y-151151641D01*
-X126298000Y-151603155D01*
-X126196464Y-151704691D01*
-X126111431Y-151831952D01*
-X126052859Y-151973357D01*
-X126023000Y-152123472D01*
-X126023000Y-152276528D01*
-X126052859Y-152426643D01*
-X126111431Y-152568048D01*
-X126196464Y-152695309D01*
-X126304691Y-152803536D01*
-X126431952Y-152888569D01*
-X126573357Y-152947141D01*
-X126723472Y-152977000D01*
-X126876528Y-152977000D01*
-X127026643Y-152947141D01*
-X127168048Y-152888569D01*
-X127295309Y-152803536D01*
-X127403536Y-152695309D01*
-X127488569Y-152568048D01*
-X127547141Y-152426643D01*
-X127577000Y-152276528D01*
-X127577000Y-152177000D01*
-X127676528Y-152177000D01*
-X127826643Y-152147141D01*
-X127968048Y-152088569D01*
-X128021176Y-152053070D01*
-X128021176Y-152437500D01*
-X128033018Y-152557739D01*
-X128068091Y-152673357D01*
-X128125045Y-152779911D01*
-X128201693Y-152873307D01*
-X128295089Y-152949955D01*
-X128401643Y-153006909D01*
-X128517261Y-153041982D01*
-X128637500Y-153053824D01*
-X129212500Y-153053824D01*
-X129332739Y-153041982D01*
-X129448357Y-153006909D01*
-X129554911Y-152949955D01*
-X129648307Y-152873307D01*
-X129724955Y-152779911D01*
-X129781909Y-152673357D01*
-X129800000Y-152613720D01*
-X129818091Y-152673357D01*
-X129875045Y-152779911D01*
-X129951693Y-152873307D01*
-X130045089Y-152949955D01*
-X130151643Y-153006909D01*
-X130267261Y-153041982D01*
-X130387500Y-153053824D01*
-X130962500Y-153053824D01*
-X131082739Y-153041982D01*
-X131198357Y-153006909D01*
-X131304911Y-152949955D01*
-X131398307Y-152873307D01*
-X131474955Y-152779911D01*
-X131531909Y-152673357D01*
-X131566982Y-152557739D01*
-X131572472Y-152502000D01*
-X131841176Y-152502000D01*
-X131841176Y-153050000D01*
-X131848455Y-153123905D01*
-X131870012Y-153194970D01*
-X131905019Y-153260463D01*
-X131952131Y-153317869D01*
-X132009537Y-153364981D01*
-X132075030Y-153399988D01*
-X132146095Y-153421545D01*
-X132220000Y-153428824D01*
-X134720000Y-153428824D01*
-X134793905Y-153421545D01*
-X134864970Y-153399988D01*
-X134930463Y-153364981D01*
-X134987869Y-153317869D01*
-X135034981Y-153260463D01*
-X135050000Y-153232365D01*
-X135065019Y-153260463D01*
-X135112131Y-153317869D01*
-X135169537Y-153364981D01*
-X135235030Y-153399988D01*
-X135306095Y-153421545D01*
-X135380000Y-153428824D01*
-X135628000Y-153428824D01*
-X135628000Y-153605357D01*
-X135625573Y-153630000D01*
-X135628000Y-153654643D01*
-X135628000Y-153654652D01*
-X135635264Y-153728408D01*
-X135663969Y-153823035D01*
-X135710583Y-153910245D01*
-X135773316Y-153986684D01*
-X135792467Y-154002401D01*
-X136227603Y-154437538D01*
-X136243316Y-154456684D01*
-X136319755Y-154519417D01*
-X136406964Y-154566031D01*
-X136501591Y-154594736D01*
-X136575347Y-154602000D01*
-X136575356Y-154602000D01*
-X136599999Y-154604427D01*
-X136624642Y-154602000D01*
-X146275357Y-154602000D01*
-X146300000Y-154604427D01*
-X146324643Y-154602000D01*
-X146324653Y-154602000D01*
-X146398409Y-154594736D01*
-X146493036Y-154566031D01*
-X146580245Y-154519417D01*
-X146656684Y-154456684D01*
-X146672402Y-154437532D01*
-X147800191Y-153309743D01*
-X147819337Y-153294030D01*
-X147882070Y-153217591D01*
-X147928684Y-153130382D01*
-X147957389Y-153035755D01*
-X147964653Y-152961999D01*
-X147964653Y-152961990D01*
-X147967080Y-152937347D01*
-X147964653Y-152912704D01*
-X147964653Y-148959498D01*
-X148066189Y-148857962D01*
-X148151222Y-148730701D01*
-X148209794Y-148589296D01*
-X148239653Y-148439181D01*
-X148239653Y-148286125D01*
-X148209794Y-148136010D01*
-X148151222Y-147994605D01*
-X148066189Y-147867344D01*
-X147957962Y-147759117D01*
-X147833788Y-147676147D01*
-X148132935Y-147377000D01*
-X149043394Y-147377000D01*
-X148910197Y-147510197D01*
-X148894403Y-147529443D01*
-X148882667Y-147551399D01*
-X148875440Y-147575224D01*
-X148873000Y-147600000D01*
-X148873000Y-152600000D01*
-X148875440Y-152624776D01*
-X148882667Y-152648601D01*
-X148894403Y-152670557D01*
-X148910197Y-152689803D01*
-X150410197Y-154189803D01*
-X150429443Y-154205597D01*
-X150451399Y-154217333D01*
-X150475224Y-154224560D01*
-X150500000Y-154227000D01*
-X155500000Y-154227000D01*
-X155524776Y-154224560D01*
-X155548601Y-154217333D01*
-X155570557Y-154205597D01*
-X155589803Y-154189803D01*
-X157089803Y-152689803D01*
-X157105597Y-152670557D01*
-X157117333Y-152648601D01*
-X157124560Y-152624776D01*
-X157127000Y-152600000D01*
-X157127000Y-147600000D01*
-X157124560Y-147575224D01*
-X157117333Y-147551399D01*
-X157105597Y-147529443D01*
-X157089803Y-147510197D01*
-X155881606Y-146302000D01*
-X158715721Y-146302000D01*
-X158740364Y-146304427D01*
-X158765007Y-146302000D01*
-X158765017Y-146302000D01*
-X158838773Y-146294736D01*
-X158933400Y-146266031D01*
-X159020609Y-146219417D01*
-X159097048Y-146156684D01*
-X159112765Y-146137533D01*
-X159873000Y-145377299D01*
-X159873000Y-154623000D01*
-X80127000Y-154623000D01*
-X80127000Y-150837500D01*
-X80196176Y-150837500D01*
-X80196176Y-151412500D01*
-X80208018Y-151532739D01*
-X80243091Y-151648357D01*
-X80300045Y-151754911D01*
-X80376693Y-151848307D01*
-X80470089Y-151924955D01*
-X80576643Y-151981909D01*
-X80636280Y-152000000D01*
-X80576643Y-152018091D01*
-X80470089Y-152075045D01*
-X80376693Y-152151693D01*
-X80300045Y-152245089D01*
-X80243091Y-152351643D01*
-X80208018Y-152467261D01*
-X80196176Y-152587500D01*
-X80196176Y-153162500D01*
-X80208018Y-153282739D01*
-X80243091Y-153398357D01*
-X80300045Y-153504911D01*
-X80376693Y-153598307D01*
-X80470089Y-153674955D01*
-X80576643Y-153731909D01*
-X80692261Y-153766982D01*
-X80812500Y-153778824D01*
-X81250755Y-153778824D01*
-X81269756Y-153794418D01*
-X81356965Y-153841032D01*
-X81451592Y-153869737D01*
-X81525348Y-153877001D01*
-X81525358Y-153877001D01*
-X81550001Y-153879428D01*
-X81574644Y-153877001D01*
-X87315358Y-153877001D01*
-X87340001Y-153879428D01*
-X87364644Y-153877001D01*
-X87364654Y-153877001D01*
-X87438410Y-153869737D01*
-X87533037Y-153841032D01*
-X87620246Y-153794418D01*
-X87696685Y-153731685D01*
-X87712402Y-153712534D01*
-X88237538Y-153187399D01*
-X88256684Y-153171686D01*
-X88286575Y-153135265D01*
-X88319416Y-153095248D01*
-X88320176Y-153093827D01*
-X88366031Y-153008038D01*
-X88394736Y-152913411D01*
-X88395752Y-152903093D01*
-X88503536Y-152795309D01*
-X88588569Y-152668048D01*
-X88647141Y-152526643D01*
-X88677000Y-152376528D01*
-X88677000Y-152223472D01*
-X88647141Y-152073357D01*
-X88588569Y-151931952D01*
-X88503536Y-151804691D01*
-X88395309Y-151696464D01*
-X88268048Y-151611431D01*
-X88126643Y-151552859D01*
-X87976528Y-151523000D01*
-X87823472Y-151523000D01*
-X87673357Y-151552859D01*
-X87531952Y-151611431D01*
-X87458824Y-151660294D01*
-X87458824Y-150950000D01*
-X87451545Y-150876095D01*
-X87429988Y-150805030D01*
-X87394981Y-150739537D01*
-X87347869Y-150682131D01*
-X87290463Y-150635019D01*
-X87252372Y-150614659D01*
-X87490494Y-150614659D01*
-X87592030Y-150716195D01*
-X87719291Y-150801228D01*
-X87860696Y-150859800D01*
-X88010811Y-150889659D01*
-X88163867Y-150889659D01*
-X88313982Y-150859800D01*
-X88455387Y-150801228D01*
-X88582648Y-150716195D01*
-X88690875Y-150607968D01*
-X88775908Y-150480707D01*
-X88834480Y-150339302D01*
-X88864339Y-150189187D01*
-X88864339Y-150036131D01*
-X88834480Y-149886016D01*
-X88775908Y-149744611D01*
-X88690875Y-149617350D01*
-X88582648Y-149509123D01*
-X88455387Y-149424090D01*
-X88313982Y-149365518D01*
-X88163867Y-149335659D01*
-X88010811Y-149335659D01*
-X87860696Y-149365518D01*
-X87719291Y-149424090D01*
-X87592030Y-149509123D01*
-X87490494Y-149610659D01*
-X86832000Y-149610659D01*
-X86832000Y-148428824D01*
-X87080000Y-148428824D01*
-X87153905Y-148421545D01*
-X87224970Y-148399988D01*
-X87290463Y-148364981D01*
-X87347869Y-148317869D01*
-X87394981Y-148260463D01*
-X87429988Y-148194970D01*
-X87451545Y-148123905D01*
-X87458824Y-148050000D01*
-X87458824Y-145950000D01*
-X87451545Y-145876095D01*
-X87429988Y-145805030D01*
-X87394981Y-145739537D01*
-X87347869Y-145682131D01*
-X87290463Y-145635019D01*
-X87224970Y-145600012D01*
-X87153905Y-145578455D01*
-X87080000Y-145571176D01*
-X85580000Y-145571176D01*
-X85506095Y-145578455D01*
-X85435030Y-145600012D01*
-X85369537Y-145635019D01*
-X85312131Y-145682131D01*
-X85265019Y-145739537D01*
-X85250000Y-145767635D01*
-X85234981Y-145739537D01*
-X85187869Y-145682131D01*
-X85130463Y-145635019D01*
-X85064970Y-145600012D01*
-X84993905Y-145578455D01*
-X84920000Y-145571176D01*
-X82420000Y-145571176D01*
-X82346095Y-145578455D01*
-X82275030Y-145600012D01*
-X82209537Y-145635019D01*
-X82152131Y-145682131D01*
-X82105019Y-145739537D01*
-X82070012Y-145805030D01*
-X82048455Y-145876095D01*
-X82041176Y-145950000D01*
-X82041176Y-146356241D01*
-X81953824Y-146268889D01*
-X81953824Y-145837500D01*
-X81941982Y-145717261D01*
-X81906909Y-145601643D01*
-X81849955Y-145495089D01*
-X81773307Y-145401693D01*
-X81679911Y-145325045D01*
-X81573357Y-145268091D01*
-X81457739Y-145233018D01*
-X81337500Y-145221176D01*
-X80862500Y-145221176D01*
-X80742261Y-145233018D01*
-X80626643Y-145268091D01*
-X80520089Y-145325045D01*
-X80426693Y-145401693D01*
-X80350045Y-145495089D01*
-X80293091Y-145601643D01*
-X80258018Y-145717261D01*
-X80246176Y-145837500D01*
-X80246176Y-146412500D01*
-X80258018Y-146532739D01*
-X80293091Y-146648357D01*
-X80350045Y-146754911D01*
-X80426693Y-146848307D01*
-X80520089Y-146924955D01*
-X80626643Y-146981909D01*
-X80686280Y-147000000D01*
-X80626643Y-147018091D01*
-X80520089Y-147075045D01*
-X80426693Y-147151693D01*
-X80350045Y-147245089D01*
-X80293091Y-147351643D01*
-X80258018Y-147467261D01*
-X80246176Y-147587500D01*
-X80246176Y-148162500D01*
-X80258018Y-148282739D01*
-X80293091Y-148398357D01*
-X80350045Y-148504911D01*
-X80426693Y-148598307D01*
-X80520089Y-148674955D01*
-X80626643Y-148731909D01*
-X80742261Y-148766982D01*
-X80862500Y-148778824D01*
-X81293890Y-148778824D01*
-X81523000Y-149007935D01*
-X81523000Y-149151528D01*
-X81552859Y-149301643D01*
-X81611431Y-149443048D01*
-X81696464Y-149570309D01*
-X81804691Y-149678536D01*
-X81931952Y-149763569D01*
-X82073357Y-149822141D01*
-X82223472Y-149852000D01*
-X82376528Y-149852000D01*
-X82526643Y-149822141D01*
-X82668048Y-149763569D01*
-X82795309Y-149678536D01*
-X82903536Y-149570309D01*
-X82988569Y-149443048D01*
-X83047141Y-149301643D01*
-X83077000Y-149151528D01*
-X83077000Y-148998472D01*
-X83047141Y-148848357D01*
-X82988569Y-148706952D01*
-X82903536Y-148579691D01*
-X82795309Y-148471464D01*
-X82731494Y-148428824D01*
-X84920000Y-148428824D01*
-X84993905Y-148421545D01*
-X85064970Y-148399988D01*
-X85130463Y-148364981D01*
-X85187869Y-148317869D01*
-X85234981Y-148260463D01*
-X85250000Y-148232365D01*
-X85265019Y-148260463D01*
-X85312131Y-148317869D01*
-X85369537Y-148364981D01*
-X85435030Y-148399988D01*
-X85506095Y-148421545D01*
-X85580000Y-148428824D01*
-X85828000Y-148428824D01*
-X85828001Y-150088000D01*
-X85825572Y-150112659D01*
-X85828001Y-150137318D01*
-X85828001Y-150571176D01*
-X85580000Y-150571176D01*
-X85506095Y-150578455D01*
-X85435030Y-150600012D01*
-X85369537Y-150635019D01*
-X85312131Y-150682131D01*
-X85265019Y-150739537D01*
-X85250000Y-150767635D01*
-X85234981Y-150739537D01*
-X85187869Y-150682131D01*
-X85130463Y-150635019D01*
-X85064970Y-150600012D01*
-X84993905Y-150578455D01*
-X84920000Y-150571176D01*
-X82420000Y-150571176D01*
-X82346095Y-150578455D01*
-X82275030Y-150600012D01*
-X82232023Y-150623000D01*
-X81863388Y-150623000D01*
-X81856909Y-150601643D01*
-X81799955Y-150495089D01*
-X81723307Y-150401693D01*
-X81629911Y-150325045D01*
-X81523357Y-150268091D01*
-X81407739Y-150233018D01*
-X81287500Y-150221176D01*
-X80812500Y-150221176D01*
-X80692261Y-150233018D01*
-X80576643Y-150268091D01*
-X80470089Y-150325045D01*
-X80376693Y-150401693D01*
-X80300045Y-150495089D01*
-X80243091Y-150601643D01*
-X80208018Y-150717261D01*
-X80196176Y-150837500D01*
-X80127000Y-150837500D01*
-X80127000Y-145000000D01*
-X80124560Y-144975224D01*
-X80117333Y-144951399D01*
-X80105597Y-144929443D01*
-X80089803Y-144910197D01*
-X80070557Y-144894403D01*
-X80048601Y-144882667D01*
-X80024776Y-144875440D01*
-X80000000Y-144873000D01*
-X70377000Y-144873000D01*
-X70377000Y-143377000D01*
-X92843842Y-143377000D01*
-X98733421Y-149266579D01*
-X98733421Y-149266579D01*
-G37*
-X98733421Y-149266579D02*
-X98790550Y-149313464D01*
-X98855728Y-149348303D01*
-X98926451Y-149369756D01*
-X99000000Y-149377000D01*
-X105873000Y-149377000D01*
-X105873000Y-152500000D01*
-X105875440Y-152524776D01*
-X105882667Y-152548601D01*
-X105894403Y-152570557D01*
-X105910197Y-152589803D01*
-X107410197Y-154089803D01*
-X107429443Y-154105597D01*
-X107451399Y-154117333D01*
-X107475224Y-154124560D01*
-X107500000Y-154127000D01*
-X112500000Y-154127000D01*
-X112524776Y-154124560D01*
-X112548601Y-154117333D01*
-X112570557Y-154105597D01*
-X112589803Y-154089803D01*
-X114089803Y-152589803D01*
-X114105597Y-152570557D01*
-X114117333Y-152548601D01*
-X114124560Y-152524776D01*
-X114127000Y-152500000D01*
-X114127000Y-149377000D01*
-X128454687Y-149377000D01*
-X128401643Y-149393091D01*
-X128295089Y-149450045D01*
-X128201693Y-149526693D01*
-X128125045Y-149620089D01*
-X128083401Y-149698000D01*
-X127751640Y-149698000D01*
-X127726997Y-149695573D01*
-X127702354Y-149698000D01*
-X127702345Y-149698000D01*
-X127628589Y-149705264D01*
-X127533962Y-149733969D01*
-X127446753Y-149780583D01*
-X127370314Y-149843316D01*
-X127354601Y-149862462D01*
-X126462467Y-150754597D01*
-X126443316Y-150770314D01*
-X126380583Y-150846753D01*
-X126333969Y-150933963D01*
-X126305264Y-151028590D01*
-X126298000Y-151102346D01*
-X126298000Y-151102355D01*
-X126295573Y-151126998D01*
-X126298000Y-151151641D01*
-X126298000Y-151603155D01*
-X126196464Y-151704691D01*
-X126111431Y-151831952D01*
-X126052859Y-151973357D01*
-X126023000Y-152123472D01*
-X126023000Y-152276528D01*
-X126052859Y-152426643D01*
-X126111431Y-152568048D01*
-X126196464Y-152695309D01*
-X126304691Y-152803536D01*
-X126431952Y-152888569D01*
-X126573357Y-152947141D01*
-X126723472Y-152977000D01*
-X126876528Y-152977000D01*
-X127026643Y-152947141D01*
-X127168048Y-152888569D01*
-X127295309Y-152803536D01*
-X127403536Y-152695309D01*
-X127488569Y-152568048D01*
-X127547141Y-152426643D01*
-X127577000Y-152276528D01*
-X127577000Y-152177000D01*
-X127676528Y-152177000D01*
-X127826643Y-152147141D01*
-X127968048Y-152088569D01*
-X128021176Y-152053070D01*
-X128021176Y-152437500D01*
-X128033018Y-152557739D01*
-X128068091Y-152673357D01*
-X128125045Y-152779911D01*
-X128201693Y-152873307D01*
-X128295089Y-152949955D01*
-X128401643Y-153006909D01*
-X128517261Y-153041982D01*
-X128637500Y-153053824D01*
-X129212500Y-153053824D01*
-X129332739Y-153041982D01*
-X129448357Y-153006909D01*
-X129554911Y-152949955D01*
-X129648307Y-152873307D01*
-X129724955Y-152779911D01*
-X129781909Y-152673357D01*
-X129800000Y-152613720D01*
-X129818091Y-152673357D01*
-X129875045Y-152779911D01*
-X129951693Y-152873307D01*
-X130045089Y-152949955D01*
-X130151643Y-153006909D01*
-X130267261Y-153041982D01*
-X130387500Y-153053824D01*
-X130962500Y-153053824D01*
-X131082739Y-153041982D01*
-X131198357Y-153006909D01*
-X131304911Y-152949955D01*
-X131398307Y-152873307D01*
-X131474955Y-152779911D01*
-X131531909Y-152673357D01*
-X131566982Y-152557739D01*
-X131572472Y-152502000D01*
-X131841176Y-152502000D01*
-X131841176Y-153050000D01*
-X131848455Y-153123905D01*
-X131870012Y-153194970D01*
-X131905019Y-153260463D01*
-X131952131Y-153317869D01*
-X132009537Y-153364981D01*
-X132075030Y-153399988D01*
-X132146095Y-153421545D01*
-X132220000Y-153428824D01*
-X134720000Y-153428824D01*
-X134793905Y-153421545D01*
-X134864970Y-153399988D01*
-X134930463Y-153364981D01*
-X134987869Y-153317869D01*
-X135034981Y-153260463D01*
-X135050000Y-153232365D01*
-X135065019Y-153260463D01*
-X135112131Y-153317869D01*
-X135169537Y-153364981D01*
-X135235030Y-153399988D01*
-X135306095Y-153421545D01*
-X135380000Y-153428824D01*
-X135628000Y-153428824D01*
-X135628000Y-153605357D01*
-X135625573Y-153630000D01*
-X135628000Y-153654643D01*
-X135628000Y-153654652D01*
-X135635264Y-153728408D01*
-X135663969Y-153823035D01*
-X135710583Y-153910245D01*
-X135773316Y-153986684D01*
-X135792467Y-154002401D01*
-X136227603Y-154437538D01*
-X136243316Y-154456684D01*
-X136319755Y-154519417D01*
-X136406964Y-154566031D01*
-X136501591Y-154594736D01*
-X136575347Y-154602000D01*
-X136575356Y-154602000D01*
-X136599999Y-154604427D01*
-X136624642Y-154602000D01*
-X146275357Y-154602000D01*
-X146300000Y-154604427D01*
-X146324643Y-154602000D01*
-X146324653Y-154602000D01*
-X146398409Y-154594736D01*
-X146493036Y-154566031D01*
-X146580245Y-154519417D01*
-X146656684Y-154456684D01*
-X146672402Y-154437532D01*
-X147800191Y-153309743D01*
-X147819337Y-153294030D01*
-X147882070Y-153217591D01*
-X147928684Y-153130382D01*
-X147957389Y-153035755D01*
-X147964653Y-152961999D01*
-X147964653Y-152961990D01*
-X147967080Y-152937347D01*
-X147964653Y-152912704D01*
-X147964653Y-148959498D01*
-X148066189Y-148857962D01*
-X148151222Y-148730701D01*
-X148209794Y-148589296D01*
-X148239653Y-148439181D01*
-X148239653Y-148286125D01*
-X148209794Y-148136010D01*
-X148151222Y-147994605D01*
-X148066189Y-147867344D01*
-X147957962Y-147759117D01*
-X147833788Y-147676147D01*
-X148132935Y-147377000D01*
-X149043394Y-147377000D01*
-X148910197Y-147510197D01*
-X148894403Y-147529443D01*
-X148882667Y-147551399D01*
-X148875440Y-147575224D01*
-X148873000Y-147600000D01*
-X148873000Y-152600000D01*
-X148875440Y-152624776D01*
-X148882667Y-152648601D01*
-X148894403Y-152670557D01*
-X148910197Y-152689803D01*
-X150410197Y-154189803D01*
-X150429443Y-154205597D01*
-X150451399Y-154217333D01*
-X150475224Y-154224560D01*
-X150500000Y-154227000D01*
-X155500000Y-154227000D01*
-X155524776Y-154224560D01*
-X155548601Y-154217333D01*
-X155570557Y-154205597D01*
-X155589803Y-154189803D01*
-X157089803Y-152689803D01*
-X157105597Y-152670557D01*
-X157117333Y-152648601D01*
-X157124560Y-152624776D01*
-X157127000Y-152600000D01*
-X157127000Y-147600000D01*
-X157124560Y-147575224D01*
-X157117333Y-147551399D01*
-X157105597Y-147529443D01*
-X157089803Y-147510197D01*
-X155881606Y-146302000D01*
-X158715721Y-146302000D01*
-X158740364Y-146304427D01*
-X158765007Y-146302000D01*
-X158765017Y-146302000D01*
-X158838773Y-146294736D01*
-X158933400Y-146266031D01*
-X159020609Y-146219417D01*
-X159097048Y-146156684D01*
-X159112765Y-146137533D01*
-X159873000Y-145377299D01*
-X159873000Y-154623000D01*
-X80127000Y-154623000D01*
-X80127000Y-150837500D01*
-X80196176Y-150837500D01*
-X80196176Y-151412500D01*
-X80208018Y-151532739D01*
-X80243091Y-151648357D01*
-X80300045Y-151754911D01*
-X80376693Y-151848307D01*
-X80470089Y-151924955D01*
-X80576643Y-151981909D01*
-X80636280Y-152000000D01*
-X80576643Y-152018091D01*
-X80470089Y-152075045D01*
-X80376693Y-152151693D01*
-X80300045Y-152245089D01*
-X80243091Y-152351643D01*
-X80208018Y-152467261D01*
-X80196176Y-152587500D01*
-X80196176Y-153162500D01*
-X80208018Y-153282739D01*
-X80243091Y-153398357D01*
-X80300045Y-153504911D01*
-X80376693Y-153598307D01*
-X80470089Y-153674955D01*
-X80576643Y-153731909D01*
-X80692261Y-153766982D01*
-X80812500Y-153778824D01*
-X81250755Y-153778824D01*
-X81269756Y-153794418D01*
-X81356965Y-153841032D01*
-X81451592Y-153869737D01*
-X81525348Y-153877001D01*
-X81525358Y-153877001D01*
-X81550001Y-153879428D01*
-X81574644Y-153877001D01*
-X87315358Y-153877001D01*
-X87340001Y-153879428D01*
-X87364644Y-153877001D01*
-X87364654Y-153877001D01*
-X87438410Y-153869737D01*
-X87533037Y-153841032D01*
-X87620246Y-153794418D01*
-X87696685Y-153731685D01*
-X87712402Y-153712534D01*
-X88237538Y-153187399D01*
-X88256684Y-153171686D01*
-X88286575Y-153135265D01*
-X88319416Y-153095248D01*
-X88320176Y-153093827D01*
-X88366031Y-153008038D01*
-X88394736Y-152913411D01*
-X88395752Y-152903093D01*
-X88503536Y-152795309D01*
-X88588569Y-152668048D01*
-X88647141Y-152526643D01*
-X88677000Y-152376528D01*
-X88677000Y-152223472D01*
-X88647141Y-152073357D01*
-X88588569Y-151931952D01*
-X88503536Y-151804691D01*
-X88395309Y-151696464D01*
-X88268048Y-151611431D01*
-X88126643Y-151552859D01*
-X87976528Y-151523000D01*
-X87823472Y-151523000D01*
-X87673357Y-151552859D01*
-X87531952Y-151611431D01*
-X87458824Y-151660294D01*
-X87458824Y-150950000D01*
-X87451545Y-150876095D01*
-X87429988Y-150805030D01*
-X87394981Y-150739537D01*
-X87347869Y-150682131D01*
-X87290463Y-150635019D01*
-X87252372Y-150614659D01*
-X87490494Y-150614659D01*
-X87592030Y-150716195D01*
-X87719291Y-150801228D01*
-X87860696Y-150859800D01*
-X88010811Y-150889659D01*
-X88163867Y-150889659D01*
-X88313982Y-150859800D01*
-X88455387Y-150801228D01*
-X88582648Y-150716195D01*
-X88690875Y-150607968D01*
-X88775908Y-150480707D01*
-X88834480Y-150339302D01*
-X88864339Y-150189187D01*
-X88864339Y-150036131D01*
-X88834480Y-149886016D01*
-X88775908Y-149744611D01*
-X88690875Y-149617350D01*
-X88582648Y-149509123D01*
-X88455387Y-149424090D01*
-X88313982Y-149365518D01*
-X88163867Y-149335659D01*
-X88010811Y-149335659D01*
-X87860696Y-149365518D01*
-X87719291Y-149424090D01*
-X87592030Y-149509123D01*
-X87490494Y-149610659D01*
-X86832000Y-149610659D01*
-X86832000Y-148428824D01*
-X87080000Y-148428824D01*
-X87153905Y-148421545D01*
-X87224970Y-148399988D01*
-X87290463Y-148364981D01*
-X87347869Y-148317869D01*
-X87394981Y-148260463D01*
-X87429988Y-148194970D01*
-X87451545Y-148123905D01*
-X87458824Y-148050000D01*
-X87458824Y-145950000D01*
-X87451545Y-145876095D01*
-X87429988Y-145805030D01*
-X87394981Y-145739537D01*
-X87347869Y-145682131D01*
-X87290463Y-145635019D01*
-X87224970Y-145600012D01*
-X87153905Y-145578455D01*
-X87080000Y-145571176D01*
-X85580000Y-145571176D01*
-X85506095Y-145578455D01*
-X85435030Y-145600012D01*
-X85369537Y-145635019D01*
-X85312131Y-145682131D01*
-X85265019Y-145739537D01*
-X85250000Y-145767635D01*
-X85234981Y-145739537D01*
-X85187869Y-145682131D01*
-X85130463Y-145635019D01*
-X85064970Y-145600012D01*
-X84993905Y-145578455D01*
-X84920000Y-145571176D01*
-X82420000Y-145571176D01*
-X82346095Y-145578455D01*
-X82275030Y-145600012D01*
-X82209537Y-145635019D01*
-X82152131Y-145682131D01*
-X82105019Y-145739537D01*
-X82070012Y-145805030D01*
-X82048455Y-145876095D01*
-X82041176Y-145950000D01*
-X82041176Y-146356241D01*
-X81953824Y-146268889D01*
-X81953824Y-145837500D01*
-X81941982Y-145717261D01*
-X81906909Y-145601643D01*
-X81849955Y-145495089D01*
-X81773307Y-145401693D01*
-X81679911Y-145325045D01*
-X81573357Y-145268091D01*
-X81457739Y-145233018D01*
-X81337500Y-145221176D01*
-X80862500Y-145221176D01*
-X80742261Y-145233018D01*
-X80626643Y-145268091D01*
-X80520089Y-145325045D01*
-X80426693Y-145401693D01*
-X80350045Y-145495089D01*
-X80293091Y-145601643D01*
-X80258018Y-145717261D01*
-X80246176Y-145837500D01*
-X80246176Y-146412500D01*
-X80258018Y-146532739D01*
-X80293091Y-146648357D01*
-X80350045Y-146754911D01*
-X80426693Y-146848307D01*
-X80520089Y-146924955D01*
-X80626643Y-146981909D01*
-X80686280Y-147000000D01*
-X80626643Y-147018091D01*
-X80520089Y-147075045D01*
-X80426693Y-147151693D01*
-X80350045Y-147245089D01*
-X80293091Y-147351643D01*
-X80258018Y-147467261D01*
-X80246176Y-147587500D01*
-X80246176Y-148162500D01*
-X80258018Y-148282739D01*
-X80293091Y-148398357D01*
-X80350045Y-148504911D01*
-X80426693Y-148598307D01*
-X80520089Y-148674955D01*
-X80626643Y-148731909D01*
-X80742261Y-148766982D01*
-X80862500Y-148778824D01*
-X81293890Y-148778824D01*
-X81523000Y-149007935D01*
-X81523000Y-149151528D01*
-X81552859Y-149301643D01*
-X81611431Y-149443048D01*
-X81696464Y-149570309D01*
-X81804691Y-149678536D01*
-X81931952Y-149763569D01*
-X82073357Y-149822141D01*
-X82223472Y-149852000D01*
-X82376528Y-149852000D01*
-X82526643Y-149822141D01*
-X82668048Y-149763569D01*
-X82795309Y-149678536D01*
-X82903536Y-149570309D01*
-X82988569Y-149443048D01*
-X83047141Y-149301643D01*
-X83077000Y-149151528D01*
-X83077000Y-148998472D01*
-X83047141Y-148848357D01*
-X82988569Y-148706952D01*
-X82903536Y-148579691D01*
-X82795309Y-148471464D01*
-X82731494Y-148428824D01*
-X84920000Y-148428824D01*
-X84993905Y-148421545D01*
-X85064970Y-148399988D01*
-X85130463Y-148364981D01*
-X85187869Y-148317869D01*
-X85234981Y-148260463D01*
-X85250000Y-148232365D01*
-X85265019Y-148260463D01*
-X85312131Y-148317869D01*
-X85369537Y-148364981D01*
-X85435030Y-148399988D01*
-X85506095Y-148421545D01*
-X85580000Y-148428824D01*
-X85828000Y-148428824D01*
-X85828001Y-150088000D01*
-X85825572Y-150112659D01*
-X85828001Y-150137318D01*
-X85828001Y-150571176D01*
-X85580000Y-150571176D01*
-X85506095Y-150578455D01*
-X85435030Y-150600012D01*
-X85369537Y-150635019D01*
-X85312131Y-150682131D01*
-X85265019Y-150739537D01*
-X85250000Y-150767635D01*
-X85234981Y-150739537D01*
-X85187869Y-150682131D01*
-X85130463Y-150635019D01*
-X85064970Y-150600012D01*
-X84993905Y-150578455D01*
-X84920000Y-150571176D01*
-X82420000Y-150571176D01*
-X82346095Y-150578455D01*
-X82275030Y-150600012D01*
-X82232023Y-150623000D01*
-X81863388Y-150623000D01*
-X81856909Y-150601643D01*
-X81799955Y-150495089D01*
-X81723307Y-150401693D01*
-X81629911Y-150325045D01*
-X81523357Y-150268091D01*
-X81407739Y-150233018D01*
-X81287500Y-150221176D01*
-X80812500Y-150221176D01*
-X80692261Y-150233018D01*
-X80576643Y-150268091D01*
-X80470089Y-150325045D01*
-X80376693Y-150401693D01*
-X80300045Y-150495089D01*
-X80243091Y-150601643D01*
-X80208018Y-150717261D01*
-X80196176Y-150837500D01*
-X80127000Y-150837500D01*
-X80127000Y-145000000D01*
-X80124560Y-144975224D01*
-X80117333Y-144951399D01*
-X80105597Y-144929443D01*
-X80089803Y-144910197D01*
-X80070557Y-144894403D01*
-X80048601Y-144882667D01*
-X80024776Y-144875440D01*
-X80000000Y-144873000D01*
-X70377000Y-144873000D01*
-X70377000Y-143377000D01*
-X92843842Y-143377000D01*
-X98733421Y-149266579D01*
-G36*
-X144493332Y-148960323D02*
-G01*
-X144474180Y-148976041D01*
-X144411447Y-149052480D01*
-X144364833Y-149139690D01*
-X144336128Y-149234317D01*
-X144328864Y-149308073D01*
-X144328864Y-149308082D01*
-X144326437Y-149332725D01*
-X144328864Y-149357368D01*
-X144328865Y-150234019D01*
-X144227328Y-150335556D01*
-X144142295Y-150462817D01*
-X144083723Y-150604222D01*
-X144053864Y-150754337D01*
-X144053864Y-150907393D01*
-X144083723Y-151057508D01*
-X144142295Y-151198913D01*
-X144227328Y-151326174D01*
-X144335555Y-151434401D01*
-X144462816Y-151519434D01*
-X144604221Y-151578006D01*
-X144754336Y-151607865D01*
-X144907392Y-151607865D01*
-X145057507Y-151578006D01*
-X145198912Y-151519434D01*
-X145326173Y-151434401D01*
-X145434400Y-151326174D01*
-X145519433Y-151198913D01*
-X145578005Y-151057508D01*
-X145583211Y-151031334D01*
-X145592306Y-151053290D01*
-X145677339Y-151180551D01*
-X145785566Y-151288778D01*
-X145912827Y-151373811D01*
-X146054232Y-151432383D01*
-X146204347Y-151462242D01*
-X146357403Y-151462242D01*
-X146507518Y-151432383D01*
-X146648923Y-151373811D01*
-X146776184Y-151288778D01*
-X146884411Y-151180551D01*
-X146960654Y-151066446D01*
-X146960654Y-152729410D01*
-X146092065Y-153598000D01*
-X136807935Y-153598000D01*
-X136638758Y-153428824D01*
-X136880000Y-153428824D01*
-X136953905Y-153421545D01*
-X137024970Y-153399988D01*
-X137090463Y-153364981D01*
-X137147869Y-153317869D01*
-X137194981Y-153260463D01*
-X137229988Y-153194970D01*
-X137251545Y-153123905D01*
-X137258824Y-153050000D01*
-X137258824Y-150950000D01*
-X137251545Y-150876095D01*
-X137229988Y-150805030D01*
-X137194981Y-150739537D01*
-X137147869Y-150682131D01*
-X137090463Y-150635019D01*
-X137024970Y-150600012D01*
-X136953905Y-150578455D01*
-X136880000Y-150571176D01*
-X136632000Y-150571176D01*
-X136632000Y-148428824D01*
-X136880000Y-148428824D01*
-X136953905Y-148421545D01*
-X137024970Y-148399988D01*
-X137090463Y-148364981D01*
-X137147869Y-148317869D01*
-X137194981Y-148260463D01*
-X137229988Y-148194970D01*
-X137251545Y-148123905D01*
-X137258824Y-148050000D01*
-X137258824Y-147377000D01*
-X146076655Y-147377000D01*
-X144493332Y-148960323D01*
-X144493332Y-148960323D01*
-G37*
-X144493332Y-148960323D02*
-X144474180Y-148976041D01*
-X144411447Y-149052480D01*
-X144364833Y-149139690D01*
-X144336128Y-149234317D01*
-X144328864Y-149308073D01*
-X144328864Y-149308082D01*
-X144326437Y-149332725D01*
-X144328864Y-149357368D01*
-X144328865Y-150234019D01*
-X144227328Y-150335556D01*
-X144142295Y-150462817D01*
-X144083723Y-150604222D01*
-X144053864Y-150754337D01*
-X144053864Y-150907393D01*
-X144083723Y-151057508D01*
-X144142295Y-151198913D01*
-X144227328Y-151326174D01*
-X144335555Y-151434401D01*
-X144462816Y-151519434D01*
-X144604221Y-151578006D01*
-X144754336Y-151607865D01*
-X144907392Y-151607865D01*
-X145057507Y-151578006D01*
-X145198912Y-151519434D01*
-X145326173Y-151434401D01*
-X145434400Y-151326174D01*
-X145519433Y-151198913D01*
-X145578005Y-151057508D01*
-X145583211Y-151031334D01*
-X145592306Y-151053290D01*
-X145677339Y-151180551D01*
-X145785566Y-151288778D01*
-X145912827Y-151373811D01*
-X146054232Y-151432383D01*
-X146204347Y-151462242D01*
-X146357403Y-151462242D01*
-X146507518Y-151432383D01*
-X146648923Y-151373811D01*
-X146776184Y-151288778D01*
-X146884411Y-151180551D01*
-X146960654Y-151066446D01*
-X146960654Y-152729410D01*
-X146092065Y-153598000D01*
-X136807935Y-153598000D01*
-X136638758Y-153428824D01*
-X136880000Y-153428824D01*
-X136953905Y-153421545D01*
-X137024970Y-153399988D01*
-X137090463Y-153364981D01*
-X137147869Y-153317869D01*
-X137194981Y-153260463D01*
-X137229988Y-153194970D01*
-X137251545Y-153123905D01*
-X137258824Y-153050000D01*
-X137258824Y-150950000D01*
-X137251545Y-150876095D01*
-X137229988Y-150805030D01*
-X137194981Y-150739537D01*
-X137147869Y-150682131D01*
-X137090463Y-150635019D01*
-X137024970Y-150600012D01*
-X136953905Y-150578455D01*
-X136880000Y-150571176D01*
-X136632000Y-150571176D01*
-X136632000Y-148428824D01*
-X136880000Y-148428824D01*
-X136953905Y-148421545D01*
-X137024970Y-148399988D01*
-X137090463Y-148364981D01*
-X137147869Y-148317869D01*
-X137194981Y-148260463D01*
-X137229988Y-148194970D01*
-X137251545Y-148123905D01*
-X137258824Y-148050000D01*
-X137258824Y-147377000D01*
-X146076655Y-147377000D01*
-X144493332Y-148960323D01*
-G36*
-X135065019Y-148260463D02*
-G01*
-X135112131Y-148317869D01*
-X135169537Y-148364981D01*
-X135235030Y-148399988D01*
-X135306095Y-148421545D01*
-X135380000Y-148428824D01*
-X135628000Y-148428824D01*
-X135628001Y-150571176D01*
-X135380000Y-150571176D01*
-X135306095Y-150578455D01*
-X135235030Y-150600012D01*
-X135169537Y-150635019D01*
-X135112131Y-150682131D01*
-X135065019Y-150739537D01*
-X135050000Y-150767635D01*
-X135034981Y-150739537D01*
-X134987869Y-150682131D01*
-X134930463Y-150635019D01*
-X134864970Y-150600012D01*
-X134793905Y-150578455D01*
-X134720000Y-150571176D01*
-X132220000Y-150571176D01*
-X132146095Y-150578455D01*
-X132075030Y-150600012D01*
-X132009537Y-150635019D01*
-X131952131Y-150682131D01*
-X131905019Y-150739537D01*
-X131870012Y-150805030D01*
-X131848455Y-150876095D01*
-X131841176Y-150950000D01*
-X131841176Y-151498000D01*
-X131363344Y-151498000D01*
-X131304911Y-151450045D01*
-X131198357Y-151393091D01*
-X131082739Y-151358018D01*
-X130962500Y-151346176D01*
-X130387500Y-151346176D01*
-X130267261Y-151358018D01*
-X130151643Y-151393091D01*
-X130045089Y-151450045D01*
-X129951693Y-151526693D01*
-X129875045Y-151620089D01*
-X129818091Y-151726643D01*
-X129800000Y-151786280D01*
-X129781909Y-151726643D01*
-X129724955Y-151620089D01*
-X129648307Y-151526693D01*
-X129554911Y-151450045D01*
-X129448357Y-151393091D01*
-X129332739Y-151358018D01*
-X129212500Y-151346176D01*
-X128781111Y-151346176D01*
-X128497401Y-151062467D01*
-X128481684Y-151043316D01*
-X128458244Y-151024079D01*
-X128517261Y-151041982D01*
-X128637500Y-151053824D01*
-X129212500Y-151053824D01*
-X129332739Y-151041982D01*
-X129448357Y-151006909D01*
-X129554911Y-150949955D01*
-X129648307Y-150873307D01*
-X129724955Y-150779911D01*
-X129781909Y-150673357D01*
-X129800000Y-150613720D01*
-X129818091Y-150673357D01*
-X129875045Y-150779911D01*
-X129951693Y-150873307D01*
-X130045089Y-150949955D01*
-X130151643Y-151006909D01*
-X130267261Y-151041982D01*
-X130387500Y-151053824D01*
-X130962500Y-151053824D01*
-X131082739Y-151041982D01*
-X131198357Y-151006909D01*
-X131304911Y-150949955D01*
-X131398307Y-150873307D01*
-X131474955Y-150779911D01*
-X131531909Y-150673357D01*
-X131566982Y-150557739D01*
-X131578824Y-150437500D01*
-X131578824Y-150006110D01*
-X133156111Y-148428824D01*
-X134720000Y-148428824D01*
-X134793905Y-148421545D01*
-X134864970Y-148399988D01*
-X134930463Y-148364981D01*
-X134987869Y-148317869D01*
-X135034981Y-148260463D01*
-X135050000Y-148232365D01*
-X135065019Y-148260463D01*
-X135065019Y-148260463D01*
-G37*
-X135065019Y-148260463D02*
-X135112131Y-148317869D01*
-X135169537Y-148364981D01*
-X135235030Y-148399988D01*
-X135306095Y-148421545D01*
-X135380000Y-148428824D01*
-X135628000Y-148428824D01*
-X135628001Y-150571176D01*
-X135380000Y-150571176D01*
-X135306095Y-150578455D01*
-X135235030Y-150600012D01*
-X135169537Y-150635019D01*
-X135112131Y-150682131D01*
-X135065019Y-150739537D01*
-X135050000Y-150767635D01*
-X135034981Y-150739537D01*
-X134987869Y-150682131D01*
-X134930463Y-150635019D01*
-X134864970Y-150600012D01*
-X134793905Y-150578455D01*
-X134720000Y-150571176D01*
-X132220000Y-150571176D01*
-X132146095Y-150578455D01*
-X132075030Y-150600012D01*
-X132009537Y-150635019D01*
-X131952131Y-150682131D01*
-X131905019Y-150739537D01*
-X131870012Y-150805030D01*
-X131848455Y-150876095D01*
-X131841176Y-150950000D01*
-X131841176Y-151498000D01*
-X131363344Y-151498000D01*
-X131304911Y-151450045D01*
-X131198357Y-151393091D01*
-X131082739Y-151358018D01*
-X130962500Y-151346176D01*
-X130387500Y-151346176D01*
-X130267261Y-151358018D01*
-X130151643Y-151393091D01*
-X130045089Y-151450045D01*
-X129951693Y-151526693D01*
-X129875045Y-151620089D01*
-X129818091Y-151726643D01*
-X129800000Y-151786280D01*
-X129781909Y-151726643D01*
-X129724955Y-151620089D01*
-X129648307Y-151526693D01*
-X129554911Y-151450045D01*
-X129448357Y-151393091D01*
-X129332739Y-151358018D01*
-X129212500Y-151346176D01*
-X128781111Y-151346176D01*
-X128497401Y-151062467D01*
-X128481684Y-151043316D01*
-X128458244Y-151024079D01*
-X128517261Y-151041982D01*
-X128637500Y-151053824D01*
-X129212500Y-151053824D01*
-X129332739Y-151041982D01*
-X129448357Y-151006909D01*
-X129554911Y-150949955D01*
-X129648307Y-150873307D01*
-X129724955Y-150779911D01*
-X129781909Y-150673357D01*
-X129800000Y-150613720D01*
-X129818091Y-150673357D01*
-X129875045Y-150779911D01*
-X129951693Y-150873307D01*
-X130045089Y-150949955D01*
-X130151643Y-151006909D01*
-X130267261Y-151041982D01*
-X130387500Y-151053824D01*
-X130962500Y-151053824D01*
-X131082739Y-151041982D01*
-X131198357Y-151006909D01*
-X131304911Y-150949955D01*
-X131398307Y-150873307D01*
-X131474955Y-150779911D01*
-X131531909Y-150673357D01*
-X131566982Y-150557739D01*
-X131578824Y-150437500D01*
-X131578824Y-150006110D01*
-X133156111Y-148428824D01*
-X134720000Y-148428824D01*
-X134793905Y-148421545D01*
-X134864970Y-148399988D01*
-X134930463Y-148364981D01*
-X134987869Y-148317869D01*
-X135034981Y-148260463D01*
-X135050000Y-148232365D01*
-X135065019Y-148260463D01*
-G36*
-X157873000Y-65373000D02*
-G01*
-X152632078Y-65373000D01*
-X152658421Y-65355398D01*
-X152815398Y-65198421D01*
-X152938734Y-65013835D01*
-X153023690Y-64808734D01*
-X153067000Y-64591000D01*
-X153067000Y-64587122D01*
-X155672483Y-64587122D01*
-X155782881Y-64779330D01*
-X156028495Y-64894430D01*
-X156291845Y-64959400D01*
-X156562809Y-64971745D01*
-X156830975Y-64930991D01*
-X157086038Y-64838703D01*
-X157197119Y-64779330D01*
-X157307517Y-64587122D01*
-X156490000Y-63769605D01*
-X155672483Y-64587122D01*
-X153067000Y-64587122D01*
-X153067000Y-64369000D01*
-X153023690Y-64151266D01*
-X152938734Y-63946165D01*
-X152815398Y-63761579D01*
-X152716628Y-63662809D01*
-X155108255Y-63662809D01*
-X155149009Y-63930975D01*
-X155241297Y-64186038D01*
-X155300670Y-64297119D01*
-X155492878Y-64407517D01*
-X156310395Y-63590000D01*
-X156669605Y-63590000D01*
-X157487122Y-64407517D01*
-X157679330Y-64297119D01*
-X157794430Y-64051505D01*
-X157859400Y-63788155D01*
-X157871745Y-63517191D01*
-X157830991Y-63249025D01*
-X157738703Y-62993962D01*
-X157679330Y-62882881D01*
-X157487122Y-62772483D01*
-X156669605Y-63590000D01*
-X156310395Y-63590000D01*
-X155492878Y-62772483D01*
-X155300670Y-62882881D01*
-X155185570Y-63128495D01*
-X155120600Y-63391845D01*
-X155108255Y-63662809D01*
-X152716628Y-63662809D01*
-X152658421Y-63604602D01*
-X152473835Y-63481266D01*
-X152268734Y-63396310D01*
-X152051000Y-63353000D01*
-X151839111Y-63353000D01*
-X151918734Y-63233835D01*
-X152003690Y-63028734D01*
-X152047000Y-62811000D01*
-X152047000Y-62592878D01*
-X155672483Y-62592878D01*
-X156490000Y-63410395D01*
-X157307517Y-62592878D01*
-X157197119Y-62400670D01*
-X156951505Y-62285570D01*
-X156688155Y-62220600D01*
-X156417191Y-62208255D01*
-X156149025Y-62249009D01*
-X155893962Y-62341297D01*
-X155782881Y-62400670D01*
-X155672483Y-62592878D01*
-X152047000Y-62592878D01*
-X152047000Y-62589000D01*
-X152003690Y-62371266D01*
-X151918734Y-62166165D01*
-X151795398Y-61981579D01*
-X151638421Y-61824602D01*
-X151453835Y-61701266D01*
-X151248734Y-61616310D01*
-X151031000Y-61573000D01*
-X150809000Y-61573000D01*
-X150591266Y-61616310D01*
-X150386165Y-61701266D01*
-X150201579Y-61824602D01*
-X150044602Y-61981579D01*
-X149921266Y-62166165D01*
-X149900000Y-62217505D01*
-X149878734Y-62166165D01*
-X149755398Y-61981579D01*
-X149598421Y-61824602D01*
-X149413835Y-61701266D01*
-X149208734Y-61616310D01*
-X148991000Y-61573000D01*
-X148769000Y-61573000D01*
-X148551266Y-61616310D01*
-X148519431Y-61629497D01*
-X148052401Y-61162467D01*
-X148036684Y-61143316D01*
-X147960245Y-61080583D01*
-X147873036Y-61033969D01*
-X147778409Y-61005264D01*
-X147704653Y-60998000D01*
-X147704643Y-60998000D01*
-X147680000Y-60995573D01*
-X147655357Y-60998000D01*
-X143939640Y-60998000D01*
-X143914997Y-60995573D01*
-X143890354Y-60998000D01*
-X143890345Y-60998000D01*
-X143827265Y-61004213D01*
-X143935064Y-60743962D01*
-X144012000Y-60357180D01*
-X144012000Y-59962820D01*
-X152708000Y-59962820D01*
-X152708000Y-60357180D01*
-X152784936Y-60743962D01*
-X152935850Y-61108303D01*
-X153154945Y-61436201D01*
-X153433799Y-61715055D01*
-X153761697Y-61934150D01*
-X154126038Y-62085064D01*
-X154512820Y-62162000D01*
-X154907180Y-62162000D01*
-X155293962Y-62085064D01*
-X155658303Y-61934150D01*
-X155986201Y-61715055D01*
-X156265055Y-61436201D01*
-X156484150Y-61108303D01*
-X156635064Y-60743962D01*
-X156712000Y-60357180D01*
-X156712000Y-59962820D01*
-X156635064Y-59576038D01*
-X156484150Y-59211697D01*
-X156265055Y-58883799D01*
-X155986201Y-58604945D01*
-X155658303Y-58385850D01*
-X155293962Y-58234936D01*
-X154907180Y-58158000D01*
-X154512820Y-58158000D01*
-X154126038Y-58234936D01*
-X153761697Y-58385850D01*
-X153433799Y-58604945D01*
-X153154945Y-58883799D01*
-X152935850Y-59211697D01*
-X152784936Y-59576038D01*
-X152708000Y-59962820D01*
-X144012000Y-59962820D01*
-X143935064Y-59576038D01*
-X143784150Y-59211697D01*
-X143565055Y-58883799D01*
-X143286201Y-58604945D01*
-X142958303Y-58385850D01*
-X142593962Y-58234936D01*
-X142207180Y-58158000D01*
-X141812820Y-58158000D01*
-X141426038Y-58234936D01*
-X141061697Y-58385850D01*
-X140733799Y-58604945D01*
-X140454945Y-58883799D01*
-X140235850Y-59211697D01*
-X140084936Y-59576038D01*
-X140008000Y-59962820D01*
-X140008000Y-60357180D01*
-X140084936Y-60743962D01*
-X140235850Y-61108303D01*
-X140454945Y-61436201D01*
-X140733799Y-61715055D01*
-X141061697Y-61934150D01*
-X141426038Y-62085064D01*
-X141812820Y-62162000D01*
-X142207180Y-62162000D01*
-X142593962Y-62085064D01*
-X142638411Y-62066653D01*
-X142162463Y-62542601D01*
-X142143317Y-62558314D01*
-X142127604Y-62577460D01*
-X142127601Y-62577463D01*
-X142080584Y-62634753D01*
-X142033970Y-62721962D01*
-X142005265Y-62816589D01*
-X141995573Y-62914998D01*
-X141998001Y-62939651D01*
-X141998000Y-63792065D01*
-X141292066Y-64498000D01*
-X141277926Y-64498000D01*
-X141297767Y-64478159D01*
-X141227124Y-64407516D01*
-X141419330Y-64297119D01*
-X141534430Y-64051505D01*
-X141599400Y-63788155D01*
-X141611745Y-63517191D01*
-X141570991Y-63249025D01*
-X141478703Y-62993962D01*
-X141419330Y-62882881D01*
-X141227122Y-62772483D01*
-X140409605Y-63590000D01*
-X140423748Y-63604143D01*
-X140244143Y-63783748D01*
-X140230000Y-63769605D01*
-X140215858Y-63783748D01*
-X140036253Y-63604143D01*
-X140050395Y-63590000D01*
-X139232878Y-62772483D01*
-X139040670Y-62882881D01*
-X138925570Y-63128495D01*
-X138860600Y-63391845D01*
-X138848255Y-63662809D01*
-X138889009Y-63930975D01*
-X138981297Y-64186038D01*
-X139040670Y-64297119D01*
-X139232876Y-64407516D01*
-X139162233Y-64478159D01*
-X139182074Y-64498000D01*
-X137687926Y-64498000D01*
-X137707767Y-64478159D01*
-X137637124Y-64407516D01*
-X137829330Y-64297119D01*
-X137944430Y-64051505D01*
-X138009400Y-63788155D01*
-X138021745Y-63517191D01*
-X137980991Y-63249025D01*
-X137888703Y-62993962D01*
-X137829330Y-62882881D01*
-X137637122Y-62772483D01*
-X136819605Y-63590000D01*
-X136833748Y-63604143D01*
-X136654143Y-63783748D01*
-X136640000Y-63769605D01*
-X136625858Y-63783748D01*
-X136446253Y-63604143D01*
-X136460395Y-63590000D01*
-X135642878Y-62772483D01*
-X135450670Y-62882881D01*
-X135335570Y-63128495D01*
-X135270600Y-63391845D01*
-X135258255Y-63662809D01*
-X135299009Y-63930975D01*
-X135391297Y-64186038D01*
-X135408317Y-64217882D01*
-X133783313Y-62592878D01*
-X135822483Y-62592878D01*
-X136640000Y-63410395D01*
-X137457517Y-62592878D01*
-X139412483Y-62592878D01*
-X140230000Y-63410395D01*
-X141047517Y-62592878D01*
-X140937119Y-62400670D01*
-X140691505Y-62285570D01*
-X140428155Y-62220600D01*
-X140157191Y-62208255D01*
-X139889025Y-62249009D01*
-X139633962Y-62341297D01*
-X139522881Y-62400670D01*
-X139412483Y-62592878D01*
-X137457517Y-62592878D01*
-X137347119Y-62400670D01*
-X137101505Y-62285570D01*
-X136838155Y-62220600D01*
-X136567191Y-62208255D01*
-X136299025Y-62249009D01*
-X136043962Y-62341297D01*
-X135932881Y-62400670D01*
-X135822483Y-62592878D01*
-X133783313Y-62592878D01*
-X132352903Y-61162468D01*
-X132337185Y-61143316D01*
-X132260746Y-61080583D01*
-X132173537Y-61033969D01*
-X132078910Y-61005264D01*
-X132005154Y-60998000D01*
-X132005144Y-60998000D01*
-X131980501Y-60995573D01*
-X131955858Y-60998000D01*
-X130254642Y-60998000D01*
-X130229999Y-60995573D01*
-X130205356Y-60998000D01*
-X130205347Y-60998000D01*
-X130131591Y-61005264D01*
-X130036964Y-61033969D01*
-X129949755Y-61080583D01*
-X129873316Y-61143316D01*
-X129857603Y-61162462D01*
-X129390569Y-61629497D01*
-X129358734Y-61616310D01*
-X129141000Y-61573000D01*
-X128919000Y-61573000D01*
-X128701266Y-61616310D01*
-X128496165Y-61701266D01*
-X128311579Y-61824602D01*
-X128154602Y-61981579D01*
-X128031266Y-62166165D01*
-X128010968Y-62215169D01*
-X128001798Y-62191360D01*
-X127975043Y-62141306D01*
-X127808410Y-62061195D01*
-X127169605Y-62700000D01*
-X127183748Y-62714143D01*
-X127004143Y-62893748D01*
-X126990000Y-62879605D01*
-X126975858Y-62893748D01*
-X126796253Y-62714143D01*
-X126810395Y-62700000D01*
-X126171590Y-62061195D01*
-X126078824Y-62105793D01*
-X126078824Y-61950000D01*
-X126072087Y-61881590D01*
-X126351195Y-61881590D01*
-X126990000Y-62520395D01*
-X127628805Y-61881590D01*
-X127548694Y-61714957D01*
-X127345787Y-61624889D01*
-X127129207Y-61576136D01*
-X126907277Y-61570572D01*
-X126688526Y-61608412D01*
-X126481360Y-61688202D01*
-X126431306Y-61714957D01*
-X126351195Y-61881590D01*
-X126072087Y-61881590D01*
-X126071545Y-61876095D01*
-X126049988Y-61805030D01*
-X126014981Y-61739537D01*
-X125967869Y-61682131D01*
-X125910463Y-61635019D01*
-X125844970Y-61600012D01*
-X125773905Y-61578455D01*
-X125700000Y-61571176D01*
-X124200000Y-61571176D01*
-X124126095Y-61578455D01*
-X124055030Y-61600012D01*
-X123989537Y-61635019D01*
-X123932131Y-61682131D01*
-X123885019Y-61739537D01*
-X123850012Y-61805030D01*
-X123828455Y-61876095D01*
-X123821176Y-61950000D01*
-X123821176Y-63450000D01*
-X123828455Y-63523905D01*
-X123850012Y-63594970D01*
-X123885019Y-63660463D01*
-X123932131Y-63717869D01*
-X123989537Y-63764981D01*
-X124055030Y-63799988D01*
-X124126095Y-63821545D01*
-X124200000Y-63828824D01*
-X125049670Y-63828824D01*
-X124971266Y-63946165D01*
-X124886310Y-64151266D01*
-X124843000Y-64369000D01*
-X124843000Y-64591000D01*
-X124886310Y-64808734D01*
-X124971266Y-65013835D01*
-X125094602Y-65198421D01*
-X125251579Y-65355398D01*
-X125277922Y-65373000D01*
-X119127000Y-65373000D01*
-X119127000Y-64587122D01*
-X119562483Y-64587122D01*
-X119672881Y-64779330D01*
-X119918495Y-64894430D01*
-X120181845Y-64959400D01*
-X120452809Y-64971745D01*
-X120720975Y-64930991D01*
-X120976038Y-64838703D01*
-X121087119Y-64779330D01*
-X121197517Y-64587122D01*
-X120380000Y-63769605D01*
-X119562483Y-64587122D01*
-X119127000Y-64587122D01*
-X119127000Y-64174162D01*
-X119131297Y-64186038D01*
-X119190670Y-64297119D01*
-X119382878Y-64407517D01*
-X120200395Y-63590000D01*
-X120559605Y-63590000D01*
-X121377122Y-64407517D01*
-X121569330Y-64297119D01*
-X121684430Y-64051505D01*
-X121749400Y-63788155D01*
-X121761745Y-63517191D01*
-X121720991Y-63249025D01*
-X121628703Y-62993962D01*
-X121569330Y-62882881D01*
-X121377122Y-62772483D01*
-X120559605Y-63590000D01*
-X120200395Y-63590000D01*
-X119382878Y-62772483D01*
-X119190670Y-62882881D01*
-X119127000Y-63018748D01*
-X119127000Y-62592878D01*
-X119562483Y-62592878D01*
-X120380000Y-63410395D01*
-X121197517Y-62592878D01*
-X121087119Y-62400670D01*
-X120841505Y-62285570D01*
-X120578155Y-62220600D01*
-X120307191Y-62208255D01*
-X120039025Y-62249009D01*
-X119783962Y-62341297D01*
-X119672881Y-62400670D01*
-X119562483Y-62592878D01*
-X119127000Y-62592878D01*
-X119127000Y-59962820D01*
-X120158000Y-59962820D01*
-X120158000Y-60357180D01*
-X120234936Y-60743962D01*
-X120385850Y-61108303D01*
-X120604945Y-61436201D01*
-X120883799Y-61715055D01*
-X121211697Y-61934150D01*
-X121576038Y-62085064D01*
-X121962820Y-62162000D01*
-X122357180Y-62162000D01*
-X122743962Y-62085064D01*
-X123108303Y-61934150D01*
-X123436201Y-61715055D01*
-X123715055Y-61436201D01*
-X123934150Y-61108303D01*
-X124085064Y-60743962D01*
-X124162000Y-60357180D01*
-X124162000Y-59962820D01*
-X132858000Y-59962820D01*
-X132858000Y-60357180D01*
-X132934936Y-60743962D01*
-X133085850Y-61108303D01*
-X133304945Y-61436201D01*
-X133583799Y-61715055D01*
-X133911697Y-61934150D01*
-X134276038Y-62085064D01*
-X134662820Y-62162000D01*
-X135057180Y-62162000D01*
-X135443962Y-62085064D01*
-X135808303Y-61934150D01*
-X136136201Y-61715055D01*
-X136415055Y-61436201D01*
-X136634150Y-61108303D01*
-X136785064Y-60743962D01*
-X136862000Y-60357180D01*
-X136862000Y-59962820D01*
-X136785064Y-59576038D01*
-X136634150Y-59211697D01*
-X136415055Y-58883799D01*
-X136136201Y-58604945D01*
-X135808303Y-58385850D01*
-X135443962Y-58234936D01*
-X135057180Y-58158000D01*
-X134662820Y-58158000D01*
-X134276038Y-58234936D01*
-X133911697Y-58385850D01*
-X133583799Y-58604945D01*
-X133304945Y-58883799D01*
-X133085850Y-59211697D01*
-X132934936Y-59576038D01*
-X132858000Y-59962820D01*
-X124162000Y-59962820D01*
-X124085064Y-59576038D01*
-X123934150Y-59211697D01*
-X123715055Y-58883799D01*
-X123436201Y-58604945D01*
-X123108303Y-58385850D01*
-X122743962Y-58234936D01*
-X122357180Y-58158000D01*
-X121962820Y-58158000D01*
-X121576038Y-58234936D01*
-X121211697Y-58385850D01*
-X120883799Y-58604945D01*
-X120604945Y-58883799D01*
-X120385850Y-59211697D01*
-X120234936Y-59576038D01*
-X120158000Y-59962820D01*
-X119127000Y-59962820D01*
-X119127000Y-55377000D01*
-X157873000Y-55377000D01*
-X157873000Y-65373000D01*
-X157873000Y-65373000D01*
-G37*
-X157873000Y-65373000D02*
-X152632078Y-65373000D01*
-X152658421Y-65355398D01*
-X152815398Y-65198421D01*
-X152938734Y-65013835D01*
-X153023690Y-64808734D01*
-X153067000Y-64591000D01*
-X153067000Y-64587122D01*
-X155672483Y-64587122D01*
-X155782881Y-64779330D01*
-X156028495Y-64894430D01*
-X156291845Y-64959400D01*
-X156562809Y-64971745D01*
-X156830975Y-64930991D01*
-X157086038Y-64838703D01*
-X157197119Y-64779330D01*
-X157307517Y-64587122D01*
-X156490000Y-63769605D01*
-X155672483Y-64587122D01*
-X153067000Y-64587122D01*
-X153067000Y-64369000D01*
-X153023690Y-64151266D01*
-X152938734Y-63946165D01*
-X152815398Y-63761579D01*
-X152716628Y-63662809D01*
-X155108255Y-63662809D01*
-X155149009Y-63930975D01*
-X155241297Y-64186038D01*
-X155300670Y-64297119D01*
-X155492878Y-64407517D01*
-X156310395Y-63590000D01*
-X156669605Y-63590000D01*
-X157487122Y-64407517D01*
-X157679330Y-64297119D01*
-X157794430Y-64051505D01*
-X157859400Y-63788155D01*
-X157871745Y-63517191D01*
-X157830991Y-63249025D01*
-X157738703Y-62993962D01*
-X157679330Y-62882881D01*
-X157487122Y-62772483D01*
-X156669605Y-63590000D01*
-X156310395Y-63590000D01*
-X155492878Y-62772483D01*
-X155300670Y-62882881D01*
-X155185570Y-63128495D01*
-X155120600Y-63391845D01*
-X155108255Y-63662809D01*
-X152716628Y-63662809D01*
-X152658421Y-63604602D01*
-X152473835Y-63481266D01*
-X152268734Y-63396310D01*
-X152051000Y-63353000D01*
-X151839111Y-63353000D01*
-X151918734Y-63233835D01*
-X152003690Y-63028734D01*
-X152047000Y-62811000D01*
-X152047000Y-62592878D01*
-X155672483Y-62592878D01*
-X156490000Y-63410395D01*
-X157307517Y-62592878D01*
-X157197119Y-62400670D01*
-X156951505Y-62285570D01*
-X156688155Y-62220600D01*
-X156417191Y-62208255D01*
-X156149025Y-62249009D01*
-X155893962Y-62341297D01*
-X155782881Y-62400670D01*
-X155672483Y-62592878D01*
-X152047000Y-62592878D01*
-X152047000Y-62589000D01*
-X152003690Y-62371266D01*
-X151918734Y-62166165D01*
-X151795398Y-61981579D01*
-X151638421Y-61824602D01*
-X151453835Y-61701266D01*
-X151248734Y-61616310D01*
-X151031000Y-61573000D01*
-X150809000Y-61573000D01*
-X150591266Y-61616310D01*
-X150386165Y-61701266D01*
-X150201579Y-61824602D01*
-X150044602Y-61981579D01*
-X149921266Y-62166165D01*
-X149900000Y-62217505D01*
-X149878734Y-62166165D01*
-X149755398Y-61981579D01*
-X149598421Y-61824602D01*
-X149413835Y-61701266D01*
-X149208734Y-61616310D01*
-X148991000Y-61573000D01*
-X148769000Y-61573000D01*
-X148551266Y-61616310D01*
-X148519431Y-61629497D01*
-X148052401Y-61162467D01*
-X148036684Y-61143316D01*
-X147960245Y-61080583D01*
-X147873036Y-61033969D01*
-X147778409Y-61005264D01*
-X147704653Y-60998000D01*
-X147704643Y-60998000D01*
-X147680000Y-60995573D01*
-X147655357Y-60998000D01*
-X143939640Y-60998000D01*
-X143914997Y-60995573D01*
-X143890354Y-60998000D01*
-X143890345Y-60998000D01*
-X143827265Y-61004213D01*
-X143935064Y-60743962D01*
-X144012000Y-60357180D01*
-X144012000Y-59962820D01*
-X152708000Y-59962820D01*
-X152708000Y-60357180D01*
-X152784936Y-60743962D01*
-X152935850Y-61108303D01*
-X153154945Y-61436201D01*
-X153433799Y-61715055D01*
-X153761697Y-61934150D01*
-X154126038Y-62085064D01*
-X154512820Y-62162000D01*
-X154907180Y-62162000D01*
-X155293962Y-62085064D01*
-X155658303Y-61934150D01*
-X155986201Y-61715055D01*
-X156265055Y-61436201D01*
-X156484150Y-61108303D01*
-X156635064Y-60743962D01*
-X156712000Y-60357180D01*
-X156712000Y-59962820D01*
-X156635064Y-59576038D01*
-X156484150Y-59211697D01*
-X156265055Y-58883799D01*
-X155986201Y-58604945D01*
-X155658303Y-58385850D01*
-X155293962Y-58234936D01*
-X154907180Y-58158000D01*
-X154512820Y-58158000D01*
-X154126038Y-58234936D01*
-X153761697Y-58385850D01*
-X153433799Y-58604945D01*
-X153154945Y-58883799D01*
-X152935850Y-59211697D01*
-X152784936Y-59576038D01*
-X152708000Y-59962820D01*
-X144012000Y-59962820D01*
-X143935064Y-59576038D01*
-X143784150Y-59211697D01*
-X143565055Y-58883799D01*
-X143286201Y-58604945D01*
-X142958303Y-58385850D01*
-X142593962Y-58234936D01*
-X142207180Y-58158000D01*
-X141812820Y-58158000D01*
-X141426038Y-58234936D01*
-X141061697Y-58385850D01*
-X140733799Y-58604945D01*
-X140454945Y-58883799D01*
-X140235850Y-59211697D01*
-X140084936Y-59576038D01*
-X140008000Y-59962820D01*
-X140008000Y-60357180D01*
-X140084936Y-60743962D01*
-X140235850Y-61108303D01*
-X140454945Y-61436201D01*
-X140733799Y-61715055D01*
-X141061697Y-61934150D01*
-X141426038Y-62085064D01*
-X141812820Y-62162000D01*
-X142207180Y-62162000D01*
-X142593962Y-62085064D01*
-X142638411Y-62066653D01*
-X142162463Y-62542601D01*
-X142143317Y-62558314D01*
-X142127604Y-62577460D01*
-X142127601Y-62577463D01*
-X142080584Y-62634753D01*
-X142033970Y-62721962D01*
-X142005265Y-62816589D01*
-X141995573Y-62914998D01*
-X141998001Y-62939651D01*
-X141998000Y-63792065D01*
-X141292066Y-64498000D01*
-X141277926Y-64498000D01*
-X141297767Y-64478159D01*
-X141227124Y-64407516D01*
-X141419330Y-64297119D01*
-X141534430Y-64051505D01*
-X141599400Y-63788155D01*
-X141611745Y-63517191D01*
-X141570991Y-63249025D01*
-X141478703Y-62993962D01*
-X141419330Y-62882881D01*
-X141227122Y-62772483D01*
-X140409605Y-63590000D01*
-X140423748Y-63604143D01*
-X140244143Y-63783748D01*
-X140230000Y-63769605D01*
-X140215858Y-63783748D01*
-X140036253Y-63604143D01*
-X140050395Y-63590000D01*
-X139232878Y-62772483D01*
-X139040670Y-62882881D01*
-X138925570Y-63128495D01*
-X138860600Y-63391845D01*
-X138848255Y-63662809D01*
-X138889009Y-63930975D01*
-X138981297Y-64186038D01*
-X139040670Y-64297119D01*
-X139232876Y-64407516D01*
-X139162233Y-64478159D01*
-X139182074Y-64498000D01*
-X137687926Y-64498000D01*
-X137707767Y-64478159D01*
-X137637124Y-64407516D01*
-X137829330Y-64297119D01*
-X137944430Y-64051505D01*
-X138009400Y-63788155D01*
-X138021745Y-63517191D01*
-X137980991Y-63249025D01*
-X137888703Y-62993962D01*
-X137829330Y-62882881D01*
-X137637122Y-62772483D01*
-X136819605Y-63590000D01*
-X136833748Y-63604143D01*
-X136654143Y-63783748D01*
-X136640000Y-63769605D01*
-X136625858Y-63783748D01*
-X136446253Y-63604143D01*
-X136460395Y-63590000D01*
-X135642878Y-62772483D01*
-X135450670Y-62882881D01*
-X135335570Y-63128495D01*
-X135270600Y-63391845D01*
-X135258255Y-63662809D01*
-X135299009Y-63930975D01*
-X135391297Y-64186038D01*
-X135408317Y-64217882D01*
-X133783313Y-62592878D01*
-X135822483Y-62592878D01*
-X136640000Y-63410395D01*
-X137457517Y-62592878D01*
-X139412483Y-62592878D01*
-X140230000Y-63410395D01*
-X141047517Y-62592878D01*
-X140937119Y-62400670D01*
-X140691505Y-62285570D01*
-X140428155Y-62220600D01*
-X140157191Y-62208255D01*
-X139889025Y-62249009D01*
-X139633962Y-62341297D01*
-X139522881Y-62400670D01*
-X139412483Y-62592878D01*
-X137457517Y-62592878D01*
-X137347119Y-62400670D01*
-X137101505Y-62285570D01*
-X136838155Y-62220600D01*
-X136567191Y-62208255D01*
-X136299025Y-62249009D01*
-X136043962Y-62341297D01*
-X135932881Y-62400670D01*
-X135822483Y-62592878D01*
-X133783313Y-62592878D01*
-X132352903Y-61162468D01*
-X132337185Y-61143316D01*
-X132260746Y-61080583D01*
-X132173537Y-61033969D01*
-X132078910Y-61005264D01*
-X132005154Y-60998000D01*
-X132005144Y-60998000D01*
-X131980501Y-60995573D01*
-X131955858Y-60998000D01*
-X130254642Y-60998000D01*
-X130229999Y-60995573D01*
-X130205356Y-60998000D01*
-X130205347Y-60998000D01*
-X130131591Y-61005264D01*
-X130036964Y-61033969D01*
-X129949755Y-61080583D01*
-X129873316Y-61143316D01*
-X129857603Y-61162462D01*
-X129390569Y-61629497D01*
-X129358734Y-61616310D01*
-X129141000Y-61573000D01*
-X128919000Y-61573000D01*
-X128701266Y-61616310D01*
-X128496165Y-61701266D01*
-X128311579Y-61824602D01*
-X128154602Y-61981579D01*
-X128031266Y-62166165D01*
-X128010968Y-62215169D01*
-X128001798Y-62191360D01*
-X127975043Y-62141306D01*
-X127808410Y-62061195D01*
-X127169605Y-62700000D01*
-X127183748Y-62714143D01*
-X127004143Y-62893748D01*
-X126990000Y-62879605D01*
-X126975858Y-62893748D01*
-X126796253Y-62714143D01*
-X126810395Y-62700000D01*
-X126171590Y-62061195D01*
-X126078824Y-62105793D01*
-X126078824Y-61950000D01*
-X126072087Y-61881590D01*
-X126351195Y-61881590D01*
-X126990000Y-62520395D01*
-X127628805Y-61881590D01*
-X127548694Y-61714957D01*
-X127345787Y-61624889D01*
-X127129207Y-61576136D01*
-X126907277Y-61570572D01*
-X126688526Y-61608412D01*
-X126481360Y-61688202D01*
-X126431306Y-61714957D01*
-X126351195Y-61881590D01*
-X126072087Y-61881590D01*
-X126071545Y-61876095D01*
-X126049988Y-61805030D01*
-X126014981Y-61739537D01*
-X125967869Y-61682131D01*
-X125910463Y-61635019D01*
-X125844970Y-61600012D01*
-X125773905Y-61578455D01*
-X125700000Y-61571176D01*
-X124200000Y-61571176D01*
-X124126095Y-61578455D01*
-X124055030Y-61600012D01*
-X123989537Y-61635019D01*
-X123932131Y-61682131D01*
-X123885019Y-61739537D01*
-X123850012Y-61805030D01*
-X123828455Y-61876095D01*
-X123821176Y-61950000D01*
-X123821176Y-63450000D01*
-X123828455Y-63523905D01*
-X123850012Y-63594970D01*
-X123885019Y-63660463D01*
-X123932131Y-63717869D01*
-X123989537Y-63764981D01*
-X124055030Y-63799988D01*
-X124126095Y-63821545D01*
-X124200000Y-63828824D01*
-X125049670Y-63828824D01*
-X124971266Y-63946165D01*
-X124886310Y-64151266D01*
-X124843000Y-64369000D01*
-X124843000Y-64591000D01*
-X124886310Y-64808734D01*
-X124971266Y-65013835D01*
-X125094602Y-65198421D01*
-X125251579Y-65355398D01*
-X125277922Y-65373000D01*
-X119127000Y-65373000D01*
-X119127000Y-64587122D01*
-X119562483Y-64587122D01*
-X119672881Y-64779330D01*
-X119918495Y-64894430D01*
-X120181845Y-64959400D01*
-X120452809Y-64971745D01*
-X120720975Y-64930991D01*
-X120976038Y-64838703D01*
-X121087119Y-64779330D01*
-X121197517Y-64587122D01*
-X120380000Y-63769605D01*
-X119562483Y-64587122D01*
-X119127000Y-64587122D01*
-X119127000Y-64174162D01*
-X119131297Y-64186038D01*
-X119190670Y-64297119D01*
-X119382878Y-64407517D01*
-X120200395Y-63590000D01*
-X120559605Y-63590000D01*
-X121377122Y-64407517D01*
-X121569330Y-64297119D01*
-X121684430Y-64051505D01*
-X121749400Y-63788155D01*
-X121761745Y-63517191D01*
-X121720991Y-63249025D01*
-X121628703Y-62993962D01*
-X121569330Y-62882881D01*
-X121377122Y-62772483D01*
-X120559605Y-63590000D01*
-X120200395Y-63590000D01*
-X119382878Y-62772483D01*
-X119190670Y-62882881D01*
-X119127000Y-63018748D01*
-X119127000Y-62592878D01*
-X119562483Y-62592878D01*
-X120380000Y-63410395D01*
-X121197517Y-62592878D01*
-X121087119Y-62400670D01*
-X120841505Y-62285570D01*
-X120578155Y-62220600D01*
-X120307191Y-62208255D01*
-X120039025Y-62249009D01*
-X119783962Y-62341297D01*
-X119672881Y-62400670D01*
-X119562483Y-62592878D01*
-X119127000Y-62592878D01*
-X119127000Y-59962820D01*
-X120158000Y-59962820D01*
-X120158000Y-60357180D01*
-X120234936Y-60743962D01*
-X120385850Y-61108303D01*
-X120604945Y-61436201D01*
-X120883799Y-61715055D01*
-X121211697Y-61934150D01*
-X121576038Y-62085064D01*
-X121962820Y-62162000D01*
-X122357180Y-62162000D01*
-X122743962Y-62085064D01*
-X123108303Y-61934150D01*
-X123436201Y-61715055D01*
-X123715055Y-61436201D01*
-X123934150Y-61108303D01*
-X124085064Y-60743962D01*
-X124162000Y-60357180D01*
-X124162000Y-59962820D01*
-X132858000Y-59962820D01*
-X132858000Y-60357180D01*
-X132934936Y-60743962D01*
-X133085850Y-61108303D01*
-X133304945Y-61436201D01*
-X133583799Y-61715055D01*
-X133911697Y-61934150D01*
-X134276038Y-62085064D01*
-X134662820Y-62162000D01*
-X135057180Y-62162000D01*
-X135443962Y-62085064D01*
-X135808303Y-61934150D01*
-X136136201Y-61715055D01*
-X136415055Y-61436201D01*
-X136634150Y-61108303D01*
-X136785064Y-60743962D01*
-X136862000Y-60357180D01*
-X136862000Y-59962820D01*
-X136785064Y-59576038D01*
-X136634150Y-59211697D01*
-X136415055Y-58883799D01*
-X136136201Y-58604945D01*
-X135808303Y-58385850D01*
-X135443962Y-58234936D01*
-X135057180Y-58158000D01*
-X134662820Y-58158000D01*
-X134276038Y-58234936D01*
-X133911697Y-58385850D01*
-X133583799Y-58604945D01*
-X133304945Y-58883799D01*
-X133085850Y-59211697D01*
-X132934936Y-59576038D01*
-X132858000Y-59962820D01*
-X124162000Y-59962820D01*
-X124085064Y-59576038D01*
-X123934150Y-59211697D01*
-X123715055Y-58883799D01*
-X123436201Y-58604945D01*
-X123108303Y-58385850D01*
-X122743962Y-58234936D01*
-X122357180Y-58158000D01*
-X121962820Y-58158000D01*
-X121576038Y-58234936D01*
-X121211697Y-58385850D01*
-X120883799Y-58604945D01*
-X120604945Y-58883799D01*
-X120385850Y-59211697D01*
-X120234936Y-59576038D01*
-X120158000Y-59962820D01*
-X119127000Y-59962820D01*
-X119127000Y-55377000D01*
-X157873000Y-55377000D01*
-X157873000Y-65373000D01*
-G36*
-X150093748Y-64465858D02*
-G01*
-X150079605Y-64480000D01*
-X150718410Y-65118805D01*
-X150885043Y-65038694D01*
-X150919453Y-64961174D01*
-X150941266Y-65013835D01*
-X151064602Y-65198421D01*
-X151221579Y-65355398D01*
-X151247922Y-65373000D01*
-X150502945Y-65373000D01*
-X150538805Y-65298410D01*
-X149900000Y-64659605D01*
-X149261195Y-65298410D01*
-X149297055Y-65373000D01*
-X148552078Y-65373000D01*
-X148578421Y-65355398D01*
-X148735398Y-65198421D01*
-X148858734Y-65013835D01*
-X148879032Y-64964831D01*
-X148888202Y-64988640D01*
-X148914957Y-65038694D01*
-X149081590Y-65118805D01*
-X149720395Y-64480000D01*
-X149706253Y-64465858D01*
-X149885858Y-64286253D01*
-X149900000Y-64300395D01*
-X149914143Y-64286253D01*
-X150093748Y-64465858D01*
-X150093748Y-64465858D01*
-G37*
-X150093748Y-64465858D02*
-X150079605Y-64480000D01*
-X150718410Y-65118805D01*
-X150885043Y-65038694D01*
-X150919453Y-64961174D01*
-X150941266Y-65013835D01*
-X151064602Y-65198421D01*
-X151221579Y-65355398D01*
-X151247922Y-65373000D01*
-X150502945Y-65373000D01*
-X150538805Y-65298410D01*
-X149900000Y-64659605D01*
-X149261195Y-65298410D01*
-X149297055Y-65373000D01*
-X148552078Y-65373000D01*
-X148578421Y-65355398D01*
-X148735398Y-65198421D01*
-X148858734Y-65013835D01*
-X148879032Y-64964831D01*
-X148888202Y-64988640D01*
-X148914957Y-65038694D01*
-X149081590Y-65118805D01*
-X149720395Y-64480000D01*
-X149706253Y-64465858D01*
-X149885858Y-64286253D01*
-X149900000Y-64300395D01*
-X149914143Y-64286253D01*
-X150093748Y-64465858D01*
-G36*
-X130243748Y-64465858D02*
-G01*
-X130229605Y-64480000D01*
-X130868410Y-65118805D01*
-X131035043Y-65038694D01*
-X131069453Y-64961174D01*
-X131091266Y-65013835D01*
-X131214602Y-65198421D01*
-X131371579Y-65355398D01*
-X131397922Y-65373000D01*
-X130652945Y-65373000D01*
-X130688805Y-65298410D01*
-X130050000Y-64659605D01*
-X130035858Y-64673748D01*
-X129856253Y-64494143D01*
-X129870395Y-64480000D01*
-X129856253Y-64465858D01*
-X130035858Y-64286253D01*
-X130050000Y-64300395D01*
-X130064143Y-64286253D01*
-X130243748Y-64465858D01*
-X130243748Y-64465858D01*
-G37*
-X130243748Y-64465858D02*
-X130229605Y-64480000D01*
-X130868410Y-65118805D01*
-X131035043Y-65038694D01*
-X131069453Y-64961174D01*
-X131091266Y-65013835D01*
-X131214602Y-65198421D01*
-X131371579Y-65355398D01*
-X131397922Y-65373000D01*
-X130652945Y-65373000D01*
-X130688805Y-65298410D01*
-X130050000Y-64659605D01*
-X130035858Y-64673748D01*
-X129856253Y-64494143D01*
-X129870395Y-64480000D01*
-X129856253Y-64465858D01*
-X130035858Y-64286253D01*
-X130050000Y-64300395D01*
-X130064143Y-64286253D01*
-X130243748Y-64465858D01*
-G36*
-X147033748Y-62685858D02*
-G01*
-X147019605Y-62700000D01*
-X147033748Y-62714143D01*
-X146854143Y-62893748D01*
-X146840000Y-62879605D01*
-X146825858Y-62893748D01*
-X146646253Y-62714143D01*
-X146660395Y-62700000D01*
-X146646253Y-62685858D01*
-X146825858Y-62506253D01*
-X146840000Y-62520395D01*
-X146854143Y-62506253D01*
-X147033748Y-62685858D01*
-X147033748Y-62685858D01*
-G37*
-X147033748Y-62685858D02*
-X147019605Y-62700000D01*
-X147033748Y-62714143D01*
-X146854143Y-62893748D01*
-X146840000Y-62879605D01*
-X146825858Y-62893748D01*
-X146646253Y-62714143D01*
-X146660395Y-62700000D01*
-X146646253Y-62685858D01*
-X146825858Y-62506253D01*
-X146840000Y-62520395D01*
-X146854143Y-62506253D01*
-X147033748Y-62685858D01*
-M02*
+G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5)-3*
+G04 #@! TF.CreationDate,2020-05-02T20:20:03+02:00*
+G04 #@! TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,rev?*
+G04 #@! TF.SameCoordinates,Original*
+G04 #@! TF.FileFunction,Copper,L1,Top*
+G04 #@! TF.FilePolarity,Positive*
+%FSLAX46Y46*%
+G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
+G04 Created by KiCad (PCBNEW (5.1.5)-3) date 2020-05-02 20:20:03*
+%MOMM*%
+%LPD*%
+G04 APERTURE LIST*
+%ADD10C,0.100000*%
+%ADD11R,0.750000X1.200000*%
+%ADD12C,2.400000*%
+%ADD13R,2.400000X2.400000*%
+%ADD14C,2.600000*%
+%ADD15R,2.600000X2.600000*%
+%ADD16R,1.500000X2.000000*%
+%ADD17R,3.800000X2.000000*%
+%ADD18C,1.500000*%
+%ADD19R,2.200000X3.900000*%
+%ADD20R,1.800000X2.500000*%
+%ADD21R,2.500000X1.800000*%
+%ADD22C,1.600000*%
+%ADD23R,1.600000X1.600000*%
+%ADD24C,2.000000*%
+%ADD25R,2.000000X2.000000*%
+%ADD26R,1.060000X0.650000*%
+%ADD27R,0.600000X1.550000*%
+%ADD28O,2.000000X2.600000*%
+%ADD29R,2.000000X2.600000*%
+%ADD30R,0.300000X1.400000*%
+%ADD31R,1.450000X0.450000*%
+%ADD32R,3.750000X4.700000*%
+%ADD33R,2.950000X0.850000*%
+%ADD34R,3.000000X0.850000*%
+%ADD35R,1.200000X0.750000*%
+%ADD36R,2.500000X2.100000*%
+%ADD37R,1.500000X2.100000*%
+%ADD38R,1.800000X2.100000*%
+%ADD39C,8.000000*%
+%ADD40R,1.700000X1.700000*%
+%ADD41O,1.700000X1.700000*%
+%ADD42R,1.500000X1.500000*%
+%ADD43R,1.500000X0.600000*%
+%ADD44C,0.800000*%
+%ADD45C,0.250000*%
+%ADD46C,0.800000*%
+%ADD47C,0.500000*%
+%ADD48C,0.150000*%
+%ADD49C,1.200000*%
+%ADD50C,0.254000*%
+G04 APERTURE END LIST*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+D10*
+G36*
+X144085779Y-85176144D02*
+G01*
+X144108834Y-85179563D01*
+X144131443Y-85185227D01*
+X144153387Y-85193079D01*
+X144174457Y-85203044D01*
+X144194448Y-85215026D01*
+X144213168Y-85228910D01*
+X144230438Y-85244562D01*
+X144246090Y-85261832D01*
+X144259974Y-85280552D01*
+X144271956Y-85300543D01*
+X144281921Y-85321613D01*
+X144289773Y-85343557D01*
+X144295437Y-85366166D01*
+X144298856Y-85389221D01*
+X144300000Y-85412500D01*
+X144300000Y-85887500D01*
+X144298856Y-85910779D01*
+X144295437Y-85933834D01*
+X144289773Y-85956443D01*
+X144281921Y-85978387D01*
+X144271956Y-85999457D01*
+X144259974Y-86019448D01*
+X144246090Y-86038168D01*
+X144230438Y-86055438D01*
+X144213168Y-86071090D01*
+X144194448Y-86084974D01*
+X144174457Y-86096956D01*
+X144153387Y-86106921D01*
+X144131443Y-86114773D01*
+X144108834Y-86120437D01*
+X144085779Y-86123856D01*
+X144062500Y-86125000D01*
+X143487500Y-86125000D01*
+X143464221Y-86123856D01*
+X143441166Y-86120437D01*
+X143418557Y-86114773D01*
+X143396613Y-86106921D01*
+X143375543Y-86096956D01*
+X143355552Y-86084974D01*
+X143336832Y-86071090D01*
+X143319562Y-86055438D01*
+X143303910Y-86038168D01*
+X143290026Y-86019448D01*
+X143278044Y-85999457D01*
+X143268079Y-85978387D01*
+X143260227Y-85956443D01*
+X143254563Y-85933834D01*
+X143251144Y-85910779D01*
+X143250000Y-85887500D01*
+X143250000Y-85412500D01*
+X143251144Y-85389221D01*
+X143254563Y-85366166D01*
+X143260227Y-85343557D01*
+X143268079Y-85321613D01*
+X143278044Y-85300543D01*
+X143290026Y-85280552D01*
+X143303910Y-85261832D01*
+X143319562Y-85244562D01*
+X143336832Y-85228910D01*
+X143355552Y-85215026D01*
+X143375543Y-85203044D01*
+X143396613Y-85193079D01*
+X143418557Y-85185227D01*
+X143441166Y-85179563D01*
+X143464221Y-85176144D01*
+X143487500Y-85175000D01*
+X144062500Y-85175000D01*
+X144085779Y-85176144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X145835779Y-85176144D02*
+G01*
+X145858834Y-85179563D01*
+X145881443Y-85185227D01*
+X145903387Y-85193079D01*
+X145924457Y-85203044D01*
+X145944448Y-85215026D01*
+X145963168Y-85228910D01*
+X145980438Y-85244562D01*
+X145996090Y-85261832D01*
+X146009974Y-85280552D01*
+X146021956Y-85300543D01*
+X146031921Y-85321613D01*
+X146039773Y-85343557D01*
+X146045437Y-85366166D01*
+X146048856Y-85389221D01*
+X146050000Y-85412500D01*
+X146050000Y-85887500D01*
+X146048856Y-85910779D01*
+X146045437Y-85933834D01*
+X146039773Y-85956443D01*
+X146031921Y-85978387D01*
+X146021956Y-85999457D01*
+X146009974Y-86019448D01*
+X145996090Y-86038168D01*
+X145980438Y-86055438D01*
+X145963168Y-86071090D01*
+X145944448Y-86084974D01*
+X145924457Y-86096956D01*
+X145903387Y-86106921D01*
+X145881443Y-86114773D01*
+X145858834Y-86120437D01*
+X145835779Y-86123856D01*
+X145812500Y-86125000D01*
+X145237500Y-86125000D01*
+X145214221Y-86123856D01*
+X145191166Y-86120437D01*
+X145168557Y-86114773D01*
+X145146613Y-86106921D01*
+X145125543Y-86096956D01*
+X145105552Y-86084974D01*
+X145086832Y-86071090D01*
+X145069562Y-86055438D01*
+X145053910Y-86038168D01*
+X145040026Y-86019448D01*
+X145028044Y-85999457D01*
+X145018079Y-85978387D01*
+X145010227Y-85956443D01*
+X145004563Y-85933834D01*
+X145001144Y-85910779D01*
+X145000000Y-85887500D01*
+X145000000Y-85412500D01*
+X145001144Y-85389221D01*
+X145004563Y-85366166D01*
+X145010227Y-85343557D01*
+X145018079Y-85321613D01*
+X145028044Y-85300543D01*
+X145040026Y-85280552D01*
+X145053910Y-85261832D01*
+X145069562Y-85244562D01*
+X145086832Y-85228910D01*
+X145105552Y-85215026D01*
+X145125543Y-85203044D01*
+X145146613Y-85193079D01*
+X145168557Y-85185227D01*
+X145191166Y-85179563D01*
+X145214221Y-85176144D01*
+X145237500Y-85175000D01*
+X145812500Y-85175000D01*
+X145835779Y-85176144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X96064703Y-75295722D02*
+G01*
+X96079264Y-75297882D01*
+X96093543Y-75301459D01*
+X96107403Y-75306418D01*
+X96120710Y-75312712D01*
+X96133336Y-75320280D01*
+X96145159Y-75329048D01*
+X96156066Y-75338934D01*
+X96165952Y-75349841D01*
+X96174720Y-75361664D01*
+X96182288Y-75374290D01*
+X96188582Y-75387597D01*
+X96193541Y-75401457D01*
+X96197118Y-75415736D01*
+X96199278Y-75430297D01*
+X96200000Y-75445000D01*
+X96200000Y-75745000D01*
+X96199278Y-75759703D01*
+X96197118Y-75774264D01*
+X96193541Y-75788543D01*
+X96188582Y-75802403D01*
+X96182288Y-75815710D01*
+X96174720Y-75828336D01*
+X96165952Y-75840159D01*
+X96156066Y-75851066D01*
+X96145159Y-75860952D01*
+X96133336Y-75869720D01*
+X96120710Y-75877288D01*
+X96107403Y-75883582D01*
+X96093543Y-75888541D01*
+X96079264Y-75892118D01*
+X96064703Y-75894278D01*
+X96050000Y-75895000D01*
+X94400000Y-75895000D01*
+X94385297Y-75894278D01*
+X94370736Y-75892118D01*
+X94356457Y-75888541D01*
+X94342597Y-75883582D01*
+X94329290Y-75877288D01*
+X94316664Y-75869720D01*
+X94304841Y-75860952D01*
+X94293934Y-75851066D01*
+X94284048Y-75840159D01*
+X94275280Y-75828336D01*
+X94267712Y-75815710D01*
+X94261418Y-75802403D01*
+X94256459Y-75788543D01*
+X94252882Y-75774264D01*
+X94250722Y-75759703D01*
+X94250000Y-75745000D01*
+X94250000Y-75445000D01*
+X94250722Y-75430297D01*
+X94252882Y-75415736D01*
+X94256459Y-75401457D01*
+X94261418Y-75387597D01*
+X94267712Y-75374290D01*
+X94275280Y-75361664D01*
+X94284048Y-75349841D01*
+X94293934Y-75338934D01*
+X94304841Y-75329048D01*
+X94316664Y-75320280D01*
+X94329290Y-75312712D01*
+X94342597Y-75306418D01*
+X94356457Y-75301459D01*
+X94370736Y-75297882D01*
+X94385297Y-75295722D01*
+X94400000Y-75295000D01*
+X96050000Y-75295000D01*
+X96064703Y-75295722D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X96064703Y-76565722D02*
+G01*
+X96079264Y-76567882D01*
+X96093543Y-76571459D01*
+X96107403Y-76576418D01*
+X96120710Y-76582712D01*
+X96133336Y-76590280D01*
+X96145159Y-76599048D01*
+X96156066Y-76608934D01*
+X96165952Y-76619841D01*
+X96174720Y-76631664D01*
+X96182288Y-76644290D01*
+X96188582Y-76657597D01*
+X96193541Y-76671457D01*
+X96197118Y-76685736D01*
+X96199278Y-76700297D01*
+X96200000Y-76715000D01*
+X96200000Y-77015000D01*
+X96199278Y-77029703D01*
+X96197118Y-77044264D01*
+X96193541Y-77058543D01*
+X96188582Y-77072403D01*
+X96182288Y-77085710D01*
+X96174720Y-77098336D01*
+X96165952Y-77110159D01*
+X96156066Y-77121066D01*
+X96145159Y-77130952D01*
+X96133336Y-77139720D01*
+X96120710Y-77147288D01*
+X96107403Y-77153582D01*
+X96093543Y-77158541D01*
+X96079264Y-77162118D01*
+X96064703Y-77164278D01*
+X96050000Y-77165000D01*
+X94400000Y-77165000D01*
+X94385297Y-77164278D01*
+X94370736Y-77162118D01*
+X94356457Y-77158541D01*
+X94342597Y-77153582D01*
+X94329290Y-77147288D01*
+X94316664Y-77139720D01*
+X94304841Y-77130952D01*
+X94293934Y-77121066D01*
+X94284048Y-77110159D01*
+X94275280Y-77098336D01*
+X94267712Y-77085710D01*
+X94261418Y-77072403D01*
+X94256459Y-77058543D01*
+X94252882Y-77044264D01*
+X94250722Y-77029703D01*
+X94250000Y-77015000D01*
+X94250000Y-76715000D01*
+X94250722Y-76700297D01*
+X94252882Y-76685736D01*
+X94256459Y-76671457D01*
+X94261418Y-76657597D01*
+X94267712Y-76644290D01*
+X94275280Y-76631664D01*
+X94284048Y-76619841D01*
+X94293934Y-76608934D01*
+X94304841Y-76599048D01*
+X94316664Y-76590280D01*
+X94329290Y-76582712D01*
+X94342597Y-76576418D01*
+X94356457Y-76571459D01*
+X94370736Y-76567882D01*
+X94385297Y-76565722D01*
+X94400000Y-76565000D01*
+X96050000Y-76565000D01*
+X96064703Y-76565722D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X96064703Y-77835722D02*
+G01*
+X96079264Y-77837882D01*
+X96093543Y-77841459D01*
+X96107403Y-77846418D01*
+X96120710Y-77852712D01*
+X96133336Y-77860280D01*
+X96145159Y-77869048D01*
+X96156066Y-77878934D01*
+X96165952Y-77889841D01*
+X96174720Y-77901664D01*
+X96182288Y-77914290D01*
+X96188582Y-77927597D01*
+X96193541Y-77941457D01*
+X96197118Y-77955736D01*
+X96199278Y-77970297D01*
+X96200000Y-77985000D01*
+X96200000Y-78285000D01*
+X96199278Y-78299703D01*
+X96197118Y-78314264D01*
+X96193541Y-78328543D01*
+X96188582Y-78342403D01*
+X96182288Y-78355710D01*
+X96174720Y-78368336D01*
+X96165952Y-78380159D01*
+X96156066Y-78391066D01*
+X96145159Y-78400952D01*
+X96133336Y-78409720D01*
+X96120710Y-78417288D01*
+X96107403Y-78423582D01*
+X96093543Y-78428541D01*
+X96079264Y-78432118D01*
+X96064703Y-78434278D01*
+X96050000Y-78435000D01*
+X94400000Y-78435000D01*
+X94385297Y-78434278D01*
+X94370736Y-78432118D01*
+X94356457Y-78428541D01*
+X94342597Y-78423582D01*
+X94329290Y-78417288D01*
+X94316664Y-78409720D01*
+X94304841Y-78400952D01*
+X94293934Y-78391066D01*
+X94284048Y-78380159D01*
+X94275280Y-78368336D01*
+X94267712Y-78355710D01*
+X94261418Y-78342403D01*
+X94256459Y-78328543D01*
+X94252882Y-78314264D01*
+X94250722Y-78299703D01*
+X94250000Y-78285000D01*
+X94250000Y-77985000D01*
+X94250722Y-77970297D01*
+X94252882Y-77955736D01*
+X94256459Y-77941457D01*
+X94261418Y-77927597D01*
+X94267712Y-77914290D01*
+X94275280Y-77901664D01*
+X94284048Y-77889841D01*
+X94293934Y-77878934D01*
+X94304841Y-77869048D01*
+X94316664Y-77860280D01*
+X94329290Y-77852712D01*
+X94342597Y-77846418D01*
+X94356457Y-77841459D01*
+X94370736Y-77837882D01*
+X94385297Y-77835722D01*
+X94400000Y-77835000D01*
+X96050000Y-77835000D01*
+X96064703Y-77835722D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X96064703Y-79105722D02*
+G01*
+X96079264Y-79107882D01*
+X96093543Y-79111459D01*
+X96107403Y-79116418D01*
+X96120710Y-79122712D01*
+X96133336Y-79130280D01*
+X96145159Y-79139048D01*
+X96156066Y-79148934D01*
+X96165952Y-79159841D01*
+X96174720Y-79171664D01*
+X96182288Y-79184290D01*
+X96188582Y-79197597D01*
+X96193541Y-79211457D01*
+X96197118Y-79225736D01*
+X96199278Y-79240297D01*
+X96200000Y-79255000D01*
+X96200000Y-79555000D01*
+X96199278Y-79569703D01*
+X96197118Y-79584264D01*
+X96193541Y-79598543D01*
+X96188582Y-79612403D01*
+X96182288Y-79625710D01*
+X96174720Y-79638336D01*
+X96165952Y-79650159D01*
+X96156066Y-79661066D01*
+X96145159Y-79670952D01*
+X96133336Y-79679720D01*
+X96120710Y-79687288D01*
+X96107403Y-79693582D01*
+X96093543Y-79698541D01*
+X96079264Y-79702118D01*
+X96064703Y-79704278D01*
+X96050000Y-79705000D01*
+X94400000Y-79705000D01*
+X94385297Y-79704278D01*
+X94370736Y-79702118D01*
+X94356457Y-79698541D01*
+X94342597Y-79693582D01*
+X94329290Y-79687288D01*
+X94316664Y-79679720D01*
+X94304841Y-79670952D01*
+X94293934Y-79661066D01*
+X94284048Y-79650159D01*
+X94275280Y-79638336D01*
+X94267712Y-79625710D01*
+X94261418Y-79612403D01*
+X94256459Y-79598543D01*
+X94252882Y-79584264D01*
+X94250722Y-79569703D01*
+X94250000Y-79555000D01*
+X94250000Y-79255000D01*
+X94250722Y-79240297D01*
+X94252882Y-79225736D01*
+X94256459Y-79211457D01*
+X94261418Y-79197597D01*
+X94267712Y-79184290D01*
+X94275280Y-79171664D01*
+X94284048Y-79159841D01*
+X94293934Y-79148934D01*
+X94304841Y-79139048D01*
+X94316664Y-79130280D01*
+X94329290Y-79122712D01*
+X94342597Y-79116418D01*
+X94356457Y-79111459D01*
+X94370736Y-79107882D01*
+X94385297Y-79105722D01*
+X94400000Y-79105000D01*
+X96050000Y-79105000D01*
+X96064703Y-79105722D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X91114703Y-79105722D02*
+G01*
+X91129264Y-79107882D01*
+X91143543Y-79111459D01*
+X91157403Y-79116418D01*
+X91170710Y-79122712D01*
+X91183336Y-79130280D01*
+X91195159Y-79139048D01*
+X91206066Y-79148934D01*
+X91215952Y-79159841D01*
+X91224720Y-79171664D01*
+X91232288Y-79184290D01*
+X91238582Y-79197597D01*
+X91243541Y-79211457D01*
+X91247118Y-79225736D01*
+X91249278Y-79240297D01*
+X91250000Y-79255000D01*
+X91250000Y-79555000D01*
+X91249278Y-79569703D01*
+X91247118Y-79584264D01*
+X91243541Y-79598543D01*
+X91238582Y-79612403D01*
+X91232288Y-79625710D01*
+X91224720Y-79638336D01*
+X91215952Y-79650159D01*
+X91206066Y-79661066D01*
+X91195159Y-79670952D01*
+X91183336Y-79679720D01*
+X91170710Y-79687288D01*
+X91157403Y-79693582D01*
+X91143543Y-79698541D01*
+X91129264Y-79702118D01*
+X91114703Y-79704278D01*
+X91100000Y-79705000D01*
+X89450000Y-79705000D01*
+X89435297Y-79704278D01*
+X89420736Y-79702118D01*
+X89406457Y-79698541D01*
+X89392597Y-79693582D01*
+X89379290Y-79687288D01*
+X89366664Y-79679720D01*
+X89354841Y-79670952D01*
+X89343934Y-79661066D01*
+X89334048Y-79650159D01*
+X89325280Y-79638336D01*
+X89317712Y-79625710D01*
+X89311418Y-79612403D01*
+X89306459Y-79598543D01*
+X89302882Y-79584264D01*
+X89300722Y-79569703D01*
+X89300000Y-79555000D01*
+X89300000Y-79255000D01*
+X89300722Y-79240297D01*
+X89302882Y-79225736D01*
+X89306459Y-79211457D01*
+X89311418Y-79197597D01*
+X89317712Y-79184290D01*
+X89325280Y-79171664D01*
+X89334048Y-79159841D01*
+X89343934Y-79148934D01*
+X89354841Y-79139048D01*
+X89366664Y-79130280D01*
+X89379290Y-79122712D01*
+X89392597Y-79116418D01*
+X89406457Y-79111459D01*
+X89420736Y-79107882D01*
+X89435297Y-79105722D01*
+X89450000Y-79105000D01*
+X91100000Y-79105000D01*
+X91114703Y-79105722D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X91114703Y-77835722D02*
+G01*
+X91129264Y-77837882D01*
+X91143543Y-77841459D01*
+X91157403Y-77846418D01*
+X91170710Y-77852712D01*
+X91183336Y-77860280D01*
+X91195159Y-77869048D01*
+X91206066Y-77878934D01*
+X91215952Y-77889841D01*
+X91224720Y-77901664D01*
+X91232288Y-77914290D01*
+X91238582Y-77927597D01*
+X91243541Y-77941457D01*
+X91247118Y-77955736D01*
+X91249278Y-77970297D01*
+X91250000Y-77985000D01*
+X91250000Y-78285000D01*
+X91249278Y-78299703D01*
+X91247118Y-78314264D01*
+X91243541Y-78328543D01*
+X91238582Y-78342403D01*
+X91232288Y-78355710D01*
+X91224720Y-78368336D01*
+X91215952Y-78380159D01*
+X91206066Y-78391066D01*
+X91195159Y-78400952D01*
+X91183336Y-78409720D01*
+X91170710Y-78417288D01*
+X91157403Y-78423582D01*
+X91143543Y-78428541D01*
+X91129264Y-78432118D01*
+X91114703Y-78434278D01*
+X91100000Y-78435000D01*
+X89450000Y-78435000D01*
+X89435297Y-78434278D01*
+X89420736Y-78432118D01*
+X89406457Y-78428541D01*
+X89392597Y-78423582D01*
+X89379290Y-78417288D01*
+X89366664Y-78409720D01*
+X89354841Y-78400952D01*
+X89343934Y-78391066D01*
+X89334048Y-78380159D01*
+X89325280Y-78368336D01*
+X89317712Y-78355710D01*
+X89311418Y-78342403D01*
+X89306459Y-78328543D01*
+X89302882Y-78314264D01*
+X89300722Y-78299703D01*
+X89300000Y-78285000D01*
+X89300000Y-77985000D01*
+X89300722Y-77970297D01*
+X89302882Y-77955736D01*
+X89306459Y-77941457D01*
+X89311418Y-77927597D01*
+X89317712Y-77914290D01*
+X89325280Y-77901664D01*
+X89334048Y-77889841D01*
+X89343934Y-77878934D01*
+X89354841Y-77869048D01*
+X89366664Y-77860280D01*
+X89379290Y-77852712D01*
+X89392597Y-77846418D01*
+X89406457Y-77841459D01*
+X89420736Y-77837882D01*
+X89435297Y-77835722D01*
+X89450000Y-77835000D01*
+X91100000Y-77835000D01*
+X91114703Y-77835722D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X91114703Y-76565722D02*
+G01*
+X91129264Y-76567882D01*
+X91143543Y-76571459D01*
+X91157403Y-76576418D01*
+X91170710Y-76582712D01*
+X91183336Y-76590280D01*
+X91195159Y-76599048D01*
+X91206066Y-76608934D01*
+X91215952Y-76619841D01*
+X91224720Y-76631664D01*
+X91232288Y-76644290D01*
+X91238582Y-76657597D01*
+X91243541Y-76671457D01*
+X91247118Y-76685736D01*
+X91249278Y-76700297D01*
+X91250000Y-76715000D01*
+X91250000Y-77015000D01*
+X91249278Y-77029703D01*
+X91247118Y-77044264D01*
+X91243541Y-77058543D01*
+X91238582Y-77072403D01*
+X91232288Y-77085710D01*
+X91224720Y-77098336D01*
+X91215952Y-77110159D01*
+X91206066Y-77121066D01*
+X91195159Y-77130952D01*
+X91183336Y-77139720D01*
+X91170710Y-77147288D01*
+X91157403Y-77153582D01*
+X91143543Y-77158541D01*
+X91129264Y-77162118D01*
+X91114703Y-77164278D01*
+X91100000Y-77165000D01*
+X89450000Y-77165000D01*
+X89435297Y-77164278D01*
+X89420736Y-77162118D01*
+X89406457Y-77158541D01*
+X89392597Y-77153582D01*
+X89379290Y-77147288D01*
+X89366664Y-77139720D01*
+X89354841Y-77130952D01*
+X89343934Y-77121066D01*
+X89334048Y-77110159D01*
+X89325280Y-77098336D01*
+X89317712Y-77085710D01*
+X89311418Y-77072403D01*
+X89306459Y-77058543D01*
+X89302882Y-77044264D01*
+X89300722Y-77029703D01*
+X89300000Y-77015000D01*
+X89300000Y-76715000D01*
+X89300722Y-76700297D01*
+X89302882Y-76685736D01*
+X89306459Y-76671457D01*
+X89311418Y-76657597D01*
+X89317712Y-76644290D01*
+X89325280Y-76631664D01*
+X89334048Y-76619841D01*
+X89343934Y-76608934D01*
+X89354841Y-76599048D01*
+X89366664Y-76590280D01*
+X89379290Y-76582712D01*
+X89392597Y-76576418D01*
+X89406457Y-76571459D01*
+X89420736Y-76567882D01*
+X89435297Y-76565722D01*
+X89450000Y-76565000D01*
+X91100000Y-76565000D01*
+X91114703Y-76565722D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X91114703Y-75295722D02*
+G01*
+X91129264Y-75297882D01*
+X91143543Y-75301459D01*
+X91157403Y-75306418D01*
+X91170710Y-75312712D01*
+X91183336Y-75320280D01*
+X91195159Y-75329048D01*
+X91206066Y-75338934D01*
+X91215952Y-75349841D01*
+X91224720Y-75361664D01*
+X91232288Y-75374290D01*
+X91238582Y-75387597D01*
+X91243541Y-75401457D01*
+X91247118Y-75415736D01*
+X91249278Y-75430297D01*
+X91250000Y-75445000D01*
+X91250000Y-75745000D01*
+X91249278Y-75759703D01*
+X91247118Y-75774264D01*
+X91243541Y-75788543D01*
+X91238582Y-75802403D01*
+X91232288Y-75815710D01*
+X91224720Y-75828336D01*
+X91215952Y-75840159D01*
+X91206066Y-75851066D01*
+X91195159Y-75860952D01*
+X91183336Y-75869720D01*
+X91170710Y-75877288D01*
+X91157403Y-75883582D01*
+X91143543Y-75888541D01*
+X91129264Y-75892118D01*
+X91114703Y-75894278D01*
+X91100000Y-75895000D01*
+X89450000Y-75895000D01*
+X89435297Y-75894278D01*
+X89420736Y-75892118D01*
+X89406457Y-75888541D01*
+X89392597Y-75883582D01*
+X89379290Y-75877288D01*
+X89366664Y-75869720D01*
+X89354841Y-75860952D01*
+X89343934Y-75851066D01*
+X89334048Y-75840159D01*
+X89325280Y-75828336D01*
+X89317712Y-75815710D01*
+X89311418Y-75802403D01*
+X89306459Y-75788543D01*
+X89302882Y-75774264D01*
+X89300722Y-75759703D01*
+X89300000Y-75745000D01*
+X89300000Y-75445000D01*
+X89300722Y-75430297D01*
+X89302882Y-75415736D01*
+X89306459Y-75401457D01*
+X89311418Y-75387597D01*
+X89317712Y-75374290D01*
+X89325280Y-75361664D01*
+X89334048Y-75349841D01*
+X89343934Y-75338934D01*
+X89354841Y-75329048D01*
+X89366664Y-75320280D01*
+X89379290Y-75312712D01*
+X89392597Y-75306418D01*
+X89406457Y-75301459D01*
+X89420736Y-75297882D01*
+X89435297Y-75295722D01*
+X89450000Y-75295000D01*
+X91100000Y-75295000D01*
+X91114703Y-75295722D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X102999504Y-67276204D02*
+G01*
+X103023773Y-67279804D01*
+X103047571Y-67285765D01*
+X103070671Y-67294030D01*
+X103092849Y-67304520D01*
+X103113893Y-67317133D01*
+X103133598Y-67331747D01*
+X103151777Y-67348223D01*
+X103168253Y-67366402D01*
+X103182867Y-67386107D01*
+X103195480Y-67407151D01*
+X103205970Y-67429329D01*
+X103214235Y-67452429D01*
+X103220196Y-67476227D01*
+X103223796Y-67500496D01*
+X103225000Y-67525000D01*
+X103225000Y-68775000D01*
+X103223796Y-68799504D01*
+X103220196Y-68823773D01*
+X103214235Y-68847571D01*
+X103205970Y-68870671D01*
+X103195480Y-68892849D01*
+X103182867Y-68913893D01*
+X103168253Y-68933598D01*
+X103151777Y-68951777D01*
+X103133598Y-68968253D01*
+X103113893Y-68982867D01*
+X103092849Y-68995480D01*
+X103070671Y-69005970D01*
+X103047571Y-69014235D01*
+X103023773Y-69020196D01*
+X102999504Y-69023796D01*
+X102975000Y-69025000D01*
+X102050000Y-69025000D01*
+X102025496Y-69023796D01*
+X102001227Y-69020196D01*
+X101977429Y-69014235D01*
+X101954329Y-69005970D01*
+X101932151Y-68995480D01*
+X101911107Y-68982867D01*
+X101891402Y-68968253D01*
+X101873223Y-68951777D01*
+X101856747Y-68933598D01*
+X101842133Y-68913893D01*
+X101829520Y-68892849D01*
+X101819030Y-68870671D01*
+X101810765Y-68847571D01*
+X101804804Y-68823773D01*
+X101801204Y-68799504D01*
+X101800000Y-68775000D01*
+X101800000Y-67525000D01*
+X101801204Y-67500496D01*
+X101804804Y-67476227D01*
+X101810765Y-67452429D01*
+X101819030Y-67429329D01*
+X101829520Y-67407151D01*
+X101842133Y-67386107D01*
+X101856747Y-67366402D01*
+X101873223Y-67348223D01*
+X101891402Y-67331747D01*
+X101911107Y-67317133D01*
+X101932151Y-67304520D01*
+X101954329Y-67294030D01*
+X101977429Y-67285765D01*
+X102001227Y-67279804D01*
+X102025496Y-67276204D01*
+X102050000Y-67275000D01*
+X102975000Y-67275000D01*
+X102999504Y-67276204D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X105974504Y-67276204D02*
+G01*
+X105998773Y-67279804D01*
+X106022571Y-67285765D01*
+X106045671Y-67294030D01*
+X106067849Y-67304520D01*
+X106088893Y-67317133D01*
+X106108598Y-67331747D01*
+X106126777Y-67348223D01*
+X106143253Y-67366402D01*
+X106157867Y-67386107D01*
+X106170480Y-67407151D01*
+X106180970Y-67429329D01*
+X106189235Y-67452429D01*
+X106195196Y-67476227D01*
+X106198796Y-67500496D01*
+X106200000Y-67525000D01*
+X106200000Y-68775000D01*
+X106198796Y-68799504D01*
+X106195196Y-68823773D01*
+X106189235Y-68847571D01*
+X106180970Y-68870671D01*
+X106170480Y-68892849D01*
+X106157867Y-68913893D01*
+X106143253Y-68933598D01*
+X106126777Y-68951777D01*
+X106108598Y-68968253D01*
+X106088893Y-68982867D01*
+X106067849Y-68995480D01*
+X106045671Y-69005970D01*
+X106022571Y-69014235D01*
+X105998773Y-69020196D01*
+X105974504Y-69023796D01*
+X105950000Y-69025000D01*
+X105025000Y-69025000D01*
+X105000496Y-69023796D01*
+X104976227Y-69020196D01*
+X104952429Y-69014235D01*
+X104929329Y-69005970D01*
+X104907151Y-68995480D01*
+X104886107Y-68982867D01*
+X104866402Y-68968253D01*
+X104848223Y-68951777D01*
+X104831747Y-68933598D01*
+X104817133Y-68913893D01*
+X104804520Y-68892849D01*
+X104794030Y-68870671D01*
+X104785765Y-68847571D01*
+X104779804Y-68823773D01*
+X104776204Y-68799504D01*
+X104775000Y-68775000D01*
+X104775000Y-67525000D01*
+X104776204Y-67500496D01*
+X104779804Y-67476227D01*
+X104785765Y-67452429D01*
+X104794030Y-67429329D01*
+X104804520Y-67407151D01*
+X104817133Y-67386107D01*
+X104831747Y-67366402D01*
+X104848223Y-67348223D01*
+X104866402Y-67331747D01*
+X104886107Y-67317133D01*
+X104907151Y-67304520D01*
+X104929329Y-67294030D01*
+X104952429Y-67285765D01*
+X104976227Y-67279804D01*
+X105000496Y-67276204D01*
+X105025000Y-67275000D01*
+X105950000Y-67275000D01*
+X105974504Y-67276204D01*
+G37*
+G04 #@! TD.AperFunction*
+D11*
+X92000000Y-89550000D03*
+X92000000Y-91450000D03*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+D10*
+G36*
+X112210779Y-58951144D02*
+G01*
+X112233834Y-58954563D01*
+X112256443Y-58960227D01*
+X112278387Y-58968079D01*
+X112299457Y-58978044D01*
+X112319448Y-58990026D01*
+X112338168Y-59003910D01*
+X112355438Y-59019562D01*
+X112371090Y-59036832D01*
+X112384974Y-59055552D01*
+X112396956Y-59075543D01*
+X112406921Y-59096613D01*
+X112414773Y-59118557D01*
+X112420437Y-59141166D01*
+X112423856Y-59164221D01*
+X112425000Y-59187500D01*
+X112425000Y-59762500D01*
+X112423856Y-59785779D01*
+X112420437Y-59808834D01*
+X112414773Y-59831443D01*
+X112406921Y-59853387D01*
+X112396956Y-59874457D01*
+X112384974Y-59894448D01*
+X112371090Y-59913168D01*
+X112355438Y-59930438D01*
+X112338168Y-59946090D01*
+X112319448Y-59959974D01*
+X112299457Y-59971956D01*
+X112278387Y-59981921D01*
+X112256443Y-59989773D01*
+X112233834Y-59995437D01*
+X112210779Y-59998856D01*
+X112187500Y-60000000D01*
+X111712500Y-60000000D01*
+X111689221Y-59998856D01*
+X111666166Y-59995437D01*
+X111643557Y-59989773D01*
+X111621613Y-59981921D01*
+X111600543Y-59971956D01*
+X111580552Y-59959974D01*
+X111561832Y-59946090D01*
+X111544562Y-59930438D01*
+X111528910Y-59913168D01*
+X111515026Y-59894448D01*
+X111503044Y-59874457D01*
+X111493079Y-59853387D01*
+X111485227Y-59831443D01*
+X111479563Y-59808834D01*
+X111476144Y-59785779D01*
+X111475000Y-59762500D01*
+X111475000Y-59187500D01*
+X111476144Y-59164221D01*
+X111479563Y-59141166D01*
+X111485227Y-59118557D01*
+X111493079Y-59096613D01*
+X111503044Y-59075543D01*
+X111515026Y-59055552D01*
+X111528910Y-59036832D01*
+X111544562Y-59019562D01*
+X111561832Y-59003910D01*
+X111580552Y-58990026D01*
+X111600543Y-58978044D01*
+X111621613Y-58968079D01*
+X111643557Y-58960227D01*
+X111666166Y-58954563D01*
+X111689221Y-58951144D01*
+X111712500Y-58950000D01*
+X112187500Y-58950000D01*
+X112210779Y-58951144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X112210779Y-60701144D02*
+G01*
+X112233834Y-60704563D01*
+X112256443Y-60710227D01*
+X112278387Y-60718079D01*
+X112299457Y-60728044D01*
+X112319448Y-60740026D01*
+X112338168Y-60753910D01*
+X112355438Y-60769562D01*
+X112371090Y-60786832D01*
+X112384974Y-60805552D01*
+X112396956Y-60825543D01*
+X112406921Y-60846613D01*
+X112414773Y-60868557D01*
+X112420437Y-60891166D01*
+X112423856Y-60914221D01*
+X112425000Y-60937500D01*
+X112425000Y-61512500D01*
+X112423856Y-61535779D01*
+X112420437Y-61558834D01*
+X112414773Y-61581443D01*
+X112406921Y-61603387D01*
+X112396956Y-61624457D01*
+X112384974Y-61644448D01*
+X112371090Y-61663168D01*
+X112355438Y-61680438D01*
+X112338168Y-61696090D01*
+X112319448Y-61709974D01*
+X112299457Y-61721956D01*
+X112278387Y-61731921D01*
+X112256443Y-61739773D01*
+X112233834Y-61745437D01*
+X112210779Y-61748856D01*
+X112187500Y-61750000D01*
+X111712500Y-61750000D01*
+X111689221Y-61748856D01*
+X111666166Y-61745437D01*
+X111643557Y-61739773D01*
+X111621613Y-61731921D01*
+X111600543Y-61721956D01*
+X111580552Y-61709974D01*
+X111561832Y-61696090D01*
+X111544562Y-61680438D01*
+X111528910Y-61663168D01*
+X111515026Y-61644448D01*
+X111503044Y-61624457D01*
+X111493079Y-61603387D01*
+X111485227Y-61581443D01*
+X111479563Y-61558834D01*
+X111476144Y-61535779D01*
+X111475000Y-61512500D01*
+X111475000Y-60937500D01*
+X111476144Y-60914221D01*
+X111479563Y-60891166D01*
+X111485227Y-60868557D01*
+X111493079Y-60846613D01*
+X111503044Y-60825543D01*
+X111515026Y-60805552D01*
+X111528910Y-60786832D01*
+X111544562Y-60769562D01*
+X111561832Y-60753910D01*
+X111580552Y-60740026D01*
+X111600543Y-60728044D01*
+X111621613Y-60718079D01*
+X111643557Y-60710227D01*
+X111666166Y-60704563D01*
+X111689221Y-60701144D01*
+X111712500Y-60700000D01*
+X112187500Y-60700000D01*
+X112210779Y-60701144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X103435779Y-65426144D02*
+G01*
+X103458834Y-65429563D01*
+X103481443Y-65435227D01*
+X103503387Y-65443079D01*
+X103524457Y-65453044D01*
+X103544448Y-65465026D01*
+X103563168Y-65478910D01*
+X103580438Y-65494562D01*
+X103596090Y-65511832D01*
+X103609974Y-65530552D01*
+X103621956Y-65550543D01*
+X103631921Y-65571613D01*
+X103639773Y-65593557D01*
+X103645437Y-65616166D01*
+X103648856Y-65639221D01*
+X103650000Y-65662500D01*
+X103650000Y-66137500D01*
+X103648856Y-66160779D01*
+X103645437Y-66183834D01*
+X103639773Y-66206443D01*
+X103631921Y-66228387D01*
+X103621956Y-66249457D01*
+X103609974Y-66269448D01*
+X103596090Y-66288168D01*
+X103580438Y-66305438D01*
+X103563168Y-66321090D01*
+X103544448Y-66334974D01*
+X103524457Y-66346956D01*
+X103503387Y-66356921D01*
+X103481443Y-66364773D01*
+X103458834Y-66370437D01*
+X103435779Y-66373856D01*
+X103412500Y-66375000D01*
+X102837500Y-66375000D01*
+X102814221Y-66373856D01*
+X102791166Y-66370437D01*
+X102768557Y-66364773D01*
+X102746613Y-66356921D01*
+X102725543Y-66346956D01*
+X102705552Y-66334974D01*
+X102686832Y-66321090D01*
+X102669562Y-66305438D01*
+X102653910Y-66288168D01*
+X102640026Y-66269448D01*
+X102628044Y-66249457D01*
+X102618079Y-66228387D01*
+X102610227Y-66206443D01*
+X102604563Y-66183834D01*
+X102601144Y-66160779D01*
+X102600000Y-66137500D01*
+X102600000Y-65662500D01*
+X102601144Y-65639221D01*
+X102604563Y-65616166D01*
+X102610227Y-65593557D01*
+X102618079Y-65571613D01*
+X102628044Y-65550543D01*
+X102640026Y-65530552D01*
+X102653910Y-65511832D01*
+X102669562Y-65494562D01*
+X102686832Y-65478910D01*
+X102705552Y-65465026D01*
+X102725543Y-65453044D01*
+X102746613Y-65443079D01*
+X102768557Y-65435227D01*
+X102791166Y-65429563D01*
+X102814221Y-65426144D01*
+X102837500Y-65425000D01*
+X103412500Y-65425000D01*
+X103435779Y-65426144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X105185779Y-65426144D02*
+G01*
+X105208834Y-65429563D01*
+X105231443Y-65435227D01*
+X105253387Y-65443079D01*
+X105274457Y-65453044D01*
+X105294448Y-65465026D01*
+X105313168Y-65478910D01*
+X105330438Y-65494562D01*
+X105346090Y-65511832D01*
+X105359974Y-65530552D01*
+X105371956Y-65550543D01*
+X105381921Y-65571613D01*
+X105389773Y-65593557D01*
+X105395437Y-65616166D01*
+X105398856Y-65639221D01*
+X105400000Y-65662500D01*
+X105400000Y-66137500D01*
+X105398856Y-66160779D01*
+X105395437Y-66183834D01*
+X105389773Y-66206443D01*
+X105381921Y-66228387D01*
+X105371956Y-66249457D01*
+X105359974Y-66269448D01*
+X105346090Y-66288168D01*
+X105330438Y-66305438D01*
+X105313168Y-66321090D01*
+X105294448Y-66334974D01*
+X105274457Y-66346956D01*
+X105253387Y-66356921D01*
+X105231443Y-66364773D01*
+X105208834Y-66370437D01*
+X105185779Y-66373856D01*
+X105162500Y-66375000D01*
+X104587500Y-66375000D01*
+X104564221Y-66373856D01*
+X104541166Y-66370437D01*
+X104518557Y-66364773D01*
+X104496613Y-66356921D01*
+X104475543Y-66346956D01*
+X104455552Y-66334974D01*
+X104436832Y-66321090D01*
+X104419562Y-66305438D01*
+X104403910Y-66288168D01*
+X104390026Y-66269448D01*
+X104378044Y-66249457D01*
+X104368079Y-66228387D01*
+X104360227Y-66206443D01*
+X104354563Y-66183834D01*
+X104351144Y-66160779D01*
+X104350000Y-66137500D01*
+X104350000Y-65662500D01*
+X104351144Y-65639221D01*
+X104354563Y-65616166D01*
+X104360227Y-65593557D01*
+X104368079Y-65571613D01*
+X104378044Y-65550543D01*
+X104390026Y-65530552D01*
+X104403910Y-65511832D01*
+X104419562Y-65494562D01*
+X104436832Y-65478910D01*
+X104455552Y-65465026D01*
+X104475543Y-65453044D01*
+X104496613Y-65443079D01*
+X104518557Y-65435227D01*
+X104541166Y-65429563D01*
+X104564221Y-65426144D01*
+X104587500Y-65425000D01*
+X105162500Y-65425000D01*
+X105185779Y-65426144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X126685779Y-84526144D02*
+G01*
+X126708834Y-84529563D01*
+X126731443Y-84535227D01*
+X126753387Y-84543079D01*
+X126774457Y-84553044D01*
+X126794448Y-84565026D01*
+X126813168Y-84578910D01*
+X126830438Y-84594562D01*
+X126846090Y-84611832D01*
+X126859974Y-84630552D01*
+X126871956Y-84650543D01*
+X126881921Y-84671613D01*
+X126889773Y-84693557D01*
+X126895437Y-84716166D01*
+X126898856Y-84739221D01*
+X126900000Y-84762500D01*
+X126900000Y-85237500D01*
+X126898856Y-85260779D01*
+X126895437Y-85283834D01*
+X126889773Y-85306443D01*
+X126881921Y-85328387D01*
+X126871956Y-85349457D01*
+X126859974Y-85369448D01*
+X126846090Y-85388168D01*
+X126830438Y-85405438D01*
+X126813168Y-85421090D01*
+X126794448Y-85434974D01*
+X126774457Y-85446956D01*
+X126753387Y-85456921D01*
+X126731443Y-85464773D01*
+X126708834Y-85470437D01*
+X126685779Y-85473856D01*
+X126662500Y-85475000D01*
+X126087500Y-85475000D01*
+X126064221Y-85473856D01*
+X126041166Y-85470437D01*
+X126018557Y-85464773D01*
+X125996613Y-85456921D01*
+X125975543Y-85446956D01*
+X125955552Y-85434974D01*
+X125936832Y-85421090D01*
+X125919562Y-85405438D01*
+X125903910Y-85388168D01*
+X125890026Y-85369448D01*
+X125878044Y-85349457D01*
+X125868079Y-85328387D01*
+X125860227Y-85306443D01*
+X125854563Y-85283834D01*
+X125851144Y-85260779D01*
+X125850000Y-85237500D01*
+X125850000Y-84762500D01*
+X125851144Y-84739221D01*
+X125854563Y-84716166D01*
+X125860227Y-84693557D01*
+X125868079Y-84671613D01*
+X125878044Y-84650543D01*
+X125890026Y-84630552D01*
+X125903910Y-84611832D01*
+X125919562Y-84594562D01*
+X125936832Y-84578910D01*
+X125955552Y-84565026D01*
+X125975543Y-84553044D01*
+X125996613Y-84543079D01*
+X126018557Y-84535227D01*
+X126041166Y-84529563D01*
+X126064221Y-84526144D01*
+X126087500Y-84525000D01*
+X126662500Y-84525000D01*
+X126685779Y-84526144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X128435779Y-84526144D02*
+G01*
+X128458834Y-84529563D01*
+X128481443Y-84535227D01*
+X128503387Y-84543079D01*
+X128524457Y-84553044D01*
+X128544448Y-84565026D01*
+X128563168Y-84578910D01*
+X128580438Y-84594562D01*
+X128596090Y-84611832D01*
+X128609974Y-84630552D01*
+X128621956Y-84650543D01*
+X128631921Y-84671613D01*
+X128639773Y-84693557D01*
+X128645437Y-84716166D01*
+X128648856Y-84739221D01*
+X128650000Y-84762500D01*
+X128650000Y-85237500D01*
+X128648856Y-85260779D01*
+X128645437Y-85283834D01*
+X128639773Y-85306443D01*
+X128631921Y-85328387D01*
+X128621956Y-85349457D01*
+X128609974Y-85369448D01*
+X128596090Y-85388168D01*
+X128580438Y-85405438D01*
+X128563168Y-85421090D01*
+X128544448Y-85434974D01*
+X128524457Y-85446956D01*
+X128503387Y-85456921D01*
+X128481443Y-85464773D01*
+X128458834Y-85470437D01*
+X128435779Y-85473856D01*
+X128412500Y-85475000D01*
+X127837500Y-85475000D01*
+X127814221Y-85473856D01*
+X127791166Y-85470437D01*
+X127768557Y-85464773D01*
+X127746613Y-85456921D01*
+X127725543Y-85446956D01*
+X127705552Y-85434974D01*
+X127686832Y-85421090D01*
+X127669562Y-85405438D01*
+X127653910Y-85388168D01*
+X127640026Y-85369448D01*
+X127628044Y-85349457D01*
+X127618079Y-85328387D01*
+X127610227Y-85306443D01*
+X127604563Y-85283834D01*
+X127601144Y-85260779D01*
+X127600000Y-85237500D01*
+X127600000Y-84762500D01*
+X127601144Y-84739221D01*
+X127604563Y-84716166D01*
+X127610227Y-84693557D01*
+X127618079Y-84671613D01*
+X127628044Y-84650543D01*
+X127640026Y-84630552D01*
+X127653910Y-84611832D01*
+X127669562Y-84594562D01*
+X127686832Y-84578910D01*
+X127705552Y-84565026D01*
+X127725543Y-84553044D01*
+X127746613Y-84543079D01*
+X127768557Y-84535227D01*
+X127791166Y-84529563D01*
+X127814221Y-84526144D01*
+X127837500Y-84525000D01*
+X128412500Y-84525000D01*
+X128435779Y-84526144D01*
+G37*
+G04 #@! TD.AperFunction*
+D12*
+X79250000Y-77750000D03*
+D13*
+X79250000Y-72750000D03*
+D14*
+X75000000Y-105080000D03*
+X75000000Y-110080000D03*
+D15*
+X75000000Y-115080000D03*
+D14*
+X75000000Y-125080000D03*
+X75000000Y-130080000D03*
+D15*
+X75000000Y-135080000D03*
+D14*
+X165000000Y-134920000D03*
+X165000000Y-129920000D03*
+D15*
+X165000000Y-124920000D03*
+D14*
+X165000000Y-114840000D03*
+X165000000Y-109840000D03*
+D15*
+X165000000Y-104840000D03*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+D10*
+G36*
+X122349504Y-93776204D02*
+G01*
+X122373773Y-93779804D01*
+X122397571Y-93785765D01*
+X122420671Y-93794030D01*
+X122442849Y-93804520D01*
+X122463893Y-93817133D01*
+X122483598Y-93831747D01*
+X122501777Y-93848223D01*
+X122518253Y-93866402D01*
+X122532867Y-93886107D01*
+X122545480Y-93907151D01*
+X122555970Y-93929329D01*
+X122564235Y-93952429D01*
+X122570196Y-93976227D01*
+X122573796Y-94000496D01*
+X122575000Y-94025000D01*
+X122575000Y-94950000D01*
+X122573796Y-94974504D01*
+X122570196Y-94998773D01*
+X122564235Y-95022571D01*
+X122555970Y-95045671D01*
+X122545480Y-95067849D01*
+X122532867Y-95088893D01*
+X122518253Y-95108598D01*
+X122501777Y-95126777D01*
+X122483598Y-95143253D01*
+X122463893Y-95157867D01*
+X122442849Y-95170480D01*
+X122420671Y-95180970D01*
+X122397571Y-95189235D01*
+X122373773Y-95195196D01*
+X122349504Y-95198796D01*
+X122325000Y-95200000D01*
+X121075000Y-95200000D01*
+X121050496Y-95198796D01*
+X121026227Y-95195196D01*
+X121002429Y-95189235D01*
+X120979329Y-95180970D01*
+X120957151Y-95170480D01*
+X120936107Y-95157867D01*
+X120916402Y-95143253D01*
+X120898223Y-95126777D01*
+X120881747Y-95108598D01*
+X120867133Y-95088893D01*
+X120854520Y-95067849D01*
+X120844030Y-95045671D01*
+X120835765Y-95022571D01*
+X120829804Y-94998773D01*
+X120826204Y-94974504D01*
+X120825000Y-94950000D01*
+X120825000Y-94025000D01*
+X120826204Y-94000496D01*
+X120829804Y-93976227D01*
+X120835765Y-93952429D01*
+X120844030Y-93929329D01*
+X120854520Y-93907151D01*
+X120867133Y-93886107D01*
+X120881747Y-93866402D01*
+X120898223Y-93848223D01*
+X120916402Y-93831747D01*
+X120936107Y-93817133D01*
+X120957151Y-93804520D01*
+X120979329Y-93794030D01*
+X121002429Y-93785765D01*
+X121026227Y-93779804D01*
+X121050496Y-93776204D01*
+X121075000Y-93775000D01*
+X122325000Y-93775000D01*
+X122349504Y-93776204D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X122349504Y-90801204D02*
+G01*
+X122373773Y-90804804D01*
+X122397571Y-90810765D01*
+X122420671Y-90819030D01*
+X122442849Y-90829520D01*
+X122463893Y-90842133D01*
+X122483598Y-90856747D01*
+X122501777Y-90873223D01*
+X122518253Y-90891402D01*
+X122532867Y-90911107D01*
+X122545480Y-90932151D01*
+X122555970Y-90954329D01*
+X122564235Y-90977429D01*
+X122570196Y-91001227D01*
+X122573796Y-91025496D01*
+X122575000Y-91050000D01*
+X122575000Y-91975000D01*
+X122573796Y-91999504D01*
+X122570196Y-92023773D01*
+X122564235Y-92047571D01*
+X122555970Y-92070671D01*
+X122545480Y-92092849D01*
+X122532867Y-92113893D01*
+X122518253Y-92133598D01*
+X122501777Y-92151777D01*
+X122483598Y-92168253D01*
+X122463893Y-92182867D01*
+X122442849Y-92195480D01*
+X122420671Y-92205970D01*
+X122397571Y-92214235D01*
+X122373773Y-92220196D01*
+X122349504Y-92223796D01*
+X122325000Y-92225000D01*
+X121075000Y-92225000D01*
+X121050496Y-92223796D01*
+X121026227Y-92220196D01*
+X121002429Y-92214235D01*
+X120979329Y-92205970D01*
+X120957151Y-92195480D01*
+X120936107Y-92182867D01*
+X120916402Y-92168253D01*
+X120898223Y-92151777D01*
+X120881747Y-92133598D01*
+X120867133Y-92113893D01*
+X120854520Y-92092849D01*
+X120844030Y-92070671D01*
+X120835765Y-92047571D01*
+X120829804Y-92023773D01*
+X120826204Y-91999504D01*
+X120825000Y-91975000D01*
+X120825000Y-91050000D01*
+X120826204Y-91025496D01*
+X120829804Y-91001227D01*
+X120835765Y-90977429D01*
+X120844030Y-90954329D01*
+X120854520Y-90932151D01*
+X120867133Y-90911107D01*
+X120881747Y-90891402D01*
+X120898223Y-90873223D01*
+X120916402Y-90856747D01*
+X120936107Y-90842133D01*
+X120957151Y-90829520D01*
+X120979329Y-90819030D01*
+X121002429Y-90810765D01*
+X121026227Y-90804804D01*
+X121050496Y-90801204D01*
+X121075000Y-90800000D01*
+X122325000Y-90800000D01*
+X122349504Y-90801204D01*
+G37*
+G04 #@! TD.AperFunction*
+D16*
+X101700000Y-63300000D03*
+X106300000Y-63300000D03*
+X104000000Y-63300000D03*
+D17*
+X104000000Y-57000000D03*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+D10*
+G36*
+X141619703Y-91750722D02*
+G01*
+X141634264Y-91752882D01*
+X141648543Y-91756459D01*
+X141662403Y-91761418D01*
+X141675710Y-91767712D01*
+X141688336Y-91775280D01*
+X141700159Y-91784048D01*
+X141711066Y-91793934D01*
+X141720952Y-91804841D01*
+X141729720Y-91816664D01*
+X141737288Y-91829290D01*
+X141743582Y-91842597D01*
+X141748541Y-91856457D01*
+X141752118Y-91870736D01*
+X141754278Y-91885297D01*
+X141755000Y-91900000D01*
+X141755000Y-93550000D01*
+X141754278Y-93564703D01*
+X141752118Y-93579264D01*
+X141748541Y-93593543D01*
+X141743582Y-93607403D01*
+X141737288Y-93620710D01*
+X141729720Y-93633336D01*
+X141720952Y-93645159D01*
+X141711066Y-93656066D01*
+X141700159Y-93665952D01*
+X141688336Y-93674720D01*
+X141675710Y-93682288D01*
+X141662403Y-93688582D01*
+X141648543Y-93693541D01*
+X141634264Y-93697118D01*
+X141619703Y-93699278D01*
+X141605000Y-93700000D01*
+X141305000Y-93700000D01*
+X141290297Y-93699278D01*
+X141275736Y-93697118D01*
+X141261457Y-93693541D01*
+X141247597Y-93688582D01*
+X141234290Y-93682288D01*
+X141221664Y-93674720D01*
+X141209841Y-93665952D01*
+X141198934Y-93656066D01*
+X141189048Y-93645159D01*
+X141180280Y-93633336D01*
+X141172712Y-93620710D01*
+X141166418Y-93607403D01*
+X141161459Y-93593543D01*
+X141157882Y-93579264D01*
+X141155722Y-93564703D01*
+X141155000Y-93550000D01*
+X141155000Y-91900000D01*
+X141155722Y-91885297D01*
+X141157882Y-91870736D01*
+X141161459Y-91856457D01*
+X141166418Y-91842597D01*
+X141172712Y-91829290D01*
+X141180280Y-91816664D01*
+X141189048Y-91804841D01*
+X141198934Y-91793934D01*
+X141209841Y-91784048D01*
+X141221664Y-91775280D01*
+X141234290Y-91767712D01*
+X141247597Y-91761418D01*
+X141261457Y-91756459D01*
+X141275736Y-91752882D01*
+X141290297Y-91750722D01*
+X141305000Y-91750000D01*
+X141605000Y-91750000D01*
+X141619703Y-91750722D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X140349703Y-91750722D02*
+G01*
+X140364264Y-91752882D01*
+X140378543Y-91756459D01*
+X140392403Y-91761418D01*
+X140405710Y-91767712D01*
+X140418336Y-91775280D01*
+X140430159Y-91784048D01*
+X140441066Y-91793934D01*
+X140450952Y-91804841D01*
+X140459720Y-91816664D01*
+X140467288Y-91829290D01*
+X140473582Y-91842597D01*
+X140478541Y-91856457D01*
+X140482118Y-91870736D01*
+X140484278Y-91885297D01*
+X140485000Y-91900000D01*
+X140485000Y-93550000D01*
+X140484278Y-93564703D01*
+X140482118Y-93579264D01*
+X140478541Y-93593543D01*
+X140473582Y-93607403D01*
+X140467288Y-93620710D01*
+X140459720Y-93633336D01*
+X140450952Y-93645159D01*
+X140441066Y-93656066D01*
+X140430159Y-93665952D01*
+X140418336Y-93674720D01*
+X140405710Y-93682288D01*
+X140392403Y-93688582D01*
+X140378543Y-93693541D01*
+X140364264Y-93697118D01*
+X140349703Y-93699278D01*
+X140335000Y-93700000D01*
+X140035000Y-93700000D01*
+X140020297Y-93699278D01*
+X140005736Y-93697118D01*
+X139991457Y-93693541D01*
+X139977597Y-93688582D01*
+X139964290Y-93682288D01*
+X139951664Y-93674720D01*
+X139939841Y-93665952D01*
+X139928934Y-93656066D01*
+X139919048Y-93645159D01*
+X139910280Y-93633336D01*
+X139902712Y-93620710D01*
+X139896418Y-93607403D01*
+X139891459Y-93593543D01*
+X139887882Y-93579264D01*
+X139885722Y-93564703D01*
+X139885000Y-93550000D01*
+X139885000Y-91900000D01*
+X139885722Y-91885297D01*
+X139887882Y-91870736D01*
+X139891459Y-91856457D01*
+X139896418Y-91842597D01*
+X139902712Y-91829290D01*
+X139910280Y-91816664D01*
+X139919048Y-91804841D01*
+X139928934Y-91793934D01*
+X139939841Y-91784048D01*
+X139951664Y-91775280D01*
+X139964290Y-91767712D01*
+X139977597Y-91761418D01*
+X139991457Y-91756459D01*
+X140005736Y-91752882D01*
+X140020297Y-91750722D01*
+X140035000Y-91750000D01*
+X140335000Y-91750000D01*
+X140349703Y-91750722D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X139079703Y-91750722D02*
+G01*
+X139094264Y-91752882D01*
+X139108543Y-91756459D01*
+X139122403Y-91761418D01*
+X139135710Y-91767712D01*
+X139148336Y-91775280D01*
+X139160159Y-91784048D01*
+X139171066Y-91793934D01*
+X139180952Y-91804841D01*
+X139189720Y-91816664D01*
+X139197288Y-91829290D01*
+X139203582Y-91842597D01*
+X139208541Y-91856457D01*
+X139212118Y-91870736D01*
+X139214278Y-91885297D01*
+X139215000Y-91900000D01*
+X139215000Y-93550000D01*
+X139214278Y-93564703D01*
+X139212118Y-93579264D01*
+X139208541Y-93593543D01*
+X139203582Y-93607403D01*
+X139197288Y-93620710D01*
+X139189720Y-93633336D01*
+X139180952Y-93645159D01*
+X139171066Y-93656066D01*
+X139160159Y-93665952D01*
+X139148336Y-93674720D01*
+X139135710Y-93682288D01*
+X139122403Y-93688582D01*
+X139108543Y-93693541D01*
+X139094264Y-93697118D01*
+X139079703Y-93699278D01*
+X139065000Y-93700000D01*
+X138765000Y-93700000D01*
+X138750297Y-93699278D01*
+X138735736Y-93697118D01*
+X138721457Y-93693541D01*
+X138707597Y-93688582D01*
+X138694290Y-93682288D01*
+X138681664Y-93674720D01*
+X138669841Y-93665952D01*
+X138658934Y-93656066D01*
+X138649048Y-93645159D01*
+X138640280Y-93633336D01*
+X138632712Y-93620710D01*
+X138626418Y-93607403D01*
+X138621459Y-93593543D01*
+X138617882Y-93579264D01*
+X138615722Y-93564703D01*
+X138615000Y-93550000D01*
+X138615000Y-91900000D01*
+X138615722Y-91885297D01*
+X138617882Y-91870736D01*
+X138621459Y-91856457D01*
+X138626418Y-91842597D01*
+X138632712Y-91829290D01*
+X138640280Y-91816664D01*
+X138649048Y-91804841D01*
+X138658934Y-91793934D01*
+X138669841Y-91784048D01*
+X138681664Y-91775280D01*
+X138694290Y-91767712D01*
+X138707597Y-91761418D01*
+X138721457Y-91756459D01*
+X138735736Y-91752882D01*
+X138750297Y-91750722D01*
+X138765000Y-91750000D01*
+X139065000Y-91750000D01*
+X139079703Y-91750722D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X137809703Y-91750722D02*
+G01*
+X137824264Y-91752882D01*
+X137838543Y-91756459D01*
+X137852403Y-91761418D01*
+X137865710Y-91767712D01*
+X137878336Y-91775280D01*
+X137890159Y-91784048D01*
+X137901066Y-91793934D01*
+X137910952Y-91804841D01*
+X137919720Y-91816664D01*
+X137927288Y-91829290D01*
+X137933582Y-91842597D01*
+X137938541Y-91856457D01*
+X137942118Y-91870736D01*
+X137944278Y-91885297D01*
+X137945000Y-91900000D01*
+X137945000Y-93550000D01*
+X137944278Y-93564703D01*
+X137942118Y-93579264D01*
+X137938541Y-93593543D01*
+X137933582Y-93607403D01*
+X137927288Y-93620710D01*
+X137919720Y-93633336D01*
+X137910952Y-93645159D01*
+X137901066Y-93656066D01*
+X137890159Y-93665952D01*
+X137878336Y-93674720D01*
+X137865710Y-93682288D01*
+X137852403Y-93688582D01*
+X137838543Y-93693541D01*
+X137824264Y-93697118D01*
+X137809703Y-93699278D01*
+X137795000Y-93700000D01*
+X137495000Y-93700000D01*
+X137480297Y-93699278D01*
+X137465736Y-93697118D01*
+X137451457Y-93693541D01*
+X137437597Y-93688582D01*
+X137424290Y-93682288D01*
+X137411664Y-93674720D01*
+X137399841Y-93665952D01*
+X137388934Y-93656066D01*
+X137379048Y-93645159D01*
+X137370280Y-93633336D01*
+X137362712Y-93620710D01*
+X137356418Y-93607403D01*
+X137351459Y-93593543D01*
+X137347882Y-93579264D01*
+X137345722Y-93564703D01*
+X137345000Y-93550000D01*
+X137345000Y-91900000D01*
+X137345722Y-91885297D01*
+X137347882Y-91870736D01*
+X137351459Y-91856457D01*
+X137356418Y-91842597D01*
+X137362712Y-91829290D01*
+X137370280Y-91816664D01*
+X137379048Y-91804841D01*
+X137388934Y-91793934D01*
+X137399841Y-91784048D01*
+X137411664Y-91775280D01*
+X137424290Y-91767712D01*
+X137437597Y-91761418D01*
+X137451457Y-91756459D01*
+X137465736Y-91752882D01*
+X137480297Y-91750722D01*
+X137495000Y-91750000D01*
+X137795000Y-91750000D01*
+X137809703Y-91750722D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X137809703Y-86800722D02*
+G01*
+X137824264Y-86802882D01*
+X137838543Y-86806459D01*
+X137852403Y-86811418D01*
+X137865710Y-86817712D01*
+X137878336Y-86825280D01*
+X137890159Y-86834048D01*
+X137901066Y-86843934D01*
+X137910952Y-86854841D01*
+X137919720Y-86866664D01*
+X137927288Y-86879290D01*
+X137933582Y-86892597D01*
+X137938541Y-86906457D01*
+X137942118Y-86920736D01*
+X137944278Y-86935297D01*
+X137945000Y-86950000D01*
+X137945000Y-88600000D01*
+X137944278Y-88614703D01*
+X137942118Y-88629264D01*
+X137938541Y-88643543D01*
+X137933582Y-88657403D01*
+X137927288Y-88670710D01*
+X137919720Y-88683336D01*
+X137910952Y-88695159D01*
+X137901066Y-88706066D01*
+X137890159Y-88715952D01*
+X137878336Y-88724720D01*
+X137865710Y-88732288D01*
+X137852403Y-88738582D01*
+X137838543Y-88743541D01*
+X137824264Y-88747118D01*
+X137809703Y-88749278D01*
+X137795000Y-88750000D01*
+X137495000Y-88750000D01*
+X137480297Y-88749278D01*
+X137465736Y-88747118D01*
+X137451457Y-88743541D01*
+X137437597Y-88738582D01*
+X137424290Y-88732288D01*
+X137411664Y-88724720D01*
+X137399841Y-88715952D01*
+X137388934Y-88706066D01*
+X137379048Y-88695159D01*
+X137370280Y-88683336D01*
+X137362712Y-88670710D01*
+X137356418Y-88657403D01*
+X137351459Y-88643543D01*
+X137347882Y-88629264D01*
+X137345722Y-88614703D01*
+X137345000Y-88600000D01*
+X137345000Y-86950000D01*
+X137345722Y-86935297D01*
+X137347882Y-86920736D01*
+X137351459Y-86906457D01*
+X137356418Y-86892597D01*
+X137362712Y-86879290D01*
+X137370280Y-86866664D01*
+X137379048Y-86854841D01*
+X137388934Y-86843934D01*
+X137399841Y-86834048D01*
+X137411664Y-86825280D01*
+X137424290Y-86817712D01*
+X137437597Y-86811418D01*
+X137451457Y-86806459D01*
+X137465736Y-86802882D01*
+X137480297Y-86800722D01*
+X137495000Y-86800000D01*
+X137795000Y-86800000D01*
+X137809703Y-86800722D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X139079703Y-86800722D02*
+G01*
+X139094264Y-86802882D01*
+X139108543Y-86806459D01*
+X139122403Y-86811418D01*
+X139135710Y-86817712D01*
+X139148336Y-86825280D01*
+X139160159Y-86834048D01*
+X139171066Y-86843934D01*
+X139180952Y-86854841D01*
+X139189720Y-86866664D01*
+X139197288Y-86879290D01*
+X139203582Y-86892597D01*
+X139208541Y-86906457D01*
+X139212118Y-86920736D01*
+X139214278Y-86935297D01*
+X139215000Y-86950000D01*
+X139215000Y-88600000D01*
+X139214278Y-88614703D01*
+X139212118Y-88629264D01*
+X139208541Y-88643543D01*
+X139203582Y-88657403D01*
+X139197288Y-88670710D01*
+X139189720Y-88683336D01*
+X139180952Y-88695159D01*
+X139171066Y-88706066D01*
+X139160159Y-88715952D01*
+X139148336Y-88724720D01*
+X139135710Y-88732288D01*
+X139122403Y-88738582D01*
+X139108543Y-88743541D01*
+X139094264Y-88747118D01*
+X139079703Y-88749278D01*
+X139065000Y-88750000D01*
+X138765000Y-88750000D01*
+X138750297Y-88749278D01*
+X138735736Y-88747118D01*
+X138721457Y-88743541D01*
+X138707597Y-88738582D01*
+X138694290Y-88732288D01*
+X138681664Y-88724720D01*
+X138669841Y-88715952D01*
+X138658934Y-88706066D01*
+X138649048Y-88695159D01*
+X138640280Y-88683336D01*
+X138632712Y-88670710D01*
+X138626418Y-88657403D01*
+X138621459Y-88643543D01*
+X138617882Y-88629264D01*
+X138615722Y-88614703D01*
+X138615000Y-88600000D01*
+X138615000Y-86950000D01*
+X138615722Y-86935297D01*
+X138617882Y-86920736D01*
+X138621459Y-86906457D01*
+X138626418Y-86892597D01*
+X138632712Y-86879290D01*
+X138640280Y-86866664D01*
+X138649048Y-86854841D01*
+X138658934Y-86843934D01*
+X138669841Y-86834048D01*
+X138681664Y-86825280D01*
+X138694290Y-86817712D01*
+X138707597Y-86811418D01*
+X138721457Y-86806459D01*
+X138735736Y-86802882D01*
+X138750297Y-86800722D01*
+X138765000Y-86800000D01*
+X139065000Y-86800000D01*
+X139079703Y-86800722D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X140349703Y-86800722D02*
+G01*
+X140364264Y-86802882D01*
+X140378543Y-86806459D01*
+X140392403Y-86811418D01*
+X140405710Y-86817712D01*
+X140418336Y-86825280D01*
+X140430159Y-86834048D01*
+X140441066Y-86843934D01*
+X140450952Y-86854841D01*
+X140459720Y-86866664D01*
+X140467288Y-86879290D01*
+X140473582Y-86892597D01*
+X140478541Y-86906457D01*
+X140482118Y-86920736D01*
+X140484278Y-86935297D01*
+X140485000Y-86950000D01*
+X140485000Y-88600000D01*
+X140484278Y-88614703D01*
+X140482118Y-88629264D01*
+X140478541Y-88643543D01*
+X140473582Y-88657403D01*
+X140467288Y-88670710D01*
+X140459720Y-88683336D01*
+X140450952Y-88695159D01*
+X140441066Y-88706066D01*
+X140430159Y-88715952D01*
+X140418336Y-88724720D01*
+X140405710Y-88732288D01*
+X140392403Y-88738582D01*
+X140378543Y-88743541D01*
+X140364264Y-88747118D01*
+X140349703Y-88749278D01*
+X140335000Y-88750000D01*
+X140035000Y-88750000D01*
+X140020297Y-88749278D01*
+X140005736Y-88747118D01*
+X139991457Y-88743541D01*
+X139977597Y-88738582D01*
+X139964290Y-88732288D01*
+X139951664Y-88724720D01*
+X139939841Y-88715952D01*
+X139928934Y-88706066D01*
+X139919048Y-88695159D01*
+X139910280Y-88683336D01*
+X139902712Y-88670710D01*
+X139896418Y-88657403D01*
+X139891459Y-88643543D01*
+X139887882Y-88629264D01*
+X139885722Y-88614703D01*
+X139885000Y-88600000D01*
+X139885000Y-86950000D01*
+X139885722Y-86935297D01*
+X139887882Y-86920736D01*
+X139891459Y-86906457D01*
+X139896418Y-86892597D01*
+X139902712Y-86879290D01*
+X139910280Y-86866664D01*
+X139919048Y-86854841D01*
+X139928934Y-86843934D01*
+X139939841Y-86834048D01*
+X139951664Y-86825280D01*
+X139964290Y-86817712D01*
+X139977597Y-86811418D01*
+X139991457Y-86806459D01*
+X140005736Y-86802882D01*
+X140020297Y-86800722D01*
+X140035000Y-86800000D01*
+X140335000Y-86800000D01*
+X140349703Y-86800722D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X141619703Y-86800722D02*
+G01*
+X141634264Y-86802882D01*
+X141648543Y-86806459D01*
+X141662403Y-86811418D01*
+X141675710Y-86817712D01*
+X141688336Y-86825280D01*
+X141700159Y-86834048D01*
+X141711066Y-86843934D01*
+X141720952Y-86854841D01*
+X141729720Y-86866664D01*
+X141737288Y-86879290D01*
+X141743582Y-86892597D01*
+X141748541Y-86906457D01*
+X141752118Y-86920736D01*
+X141754278Y-86935297D01*
+X141755000Y-86950000D01*
+X141755000Y-88600000D01*
+X141754278Y-88614703D01*
+X141752118Y-88629264D01*
+X141748541Y-88643543D01*
+X141743582Y-88657403D01*
+X141737288Y-88670710D01*
+X141729720Y-88683336D01*
+X141720952Y-88695159D01*
+X141711066Y-88706066D01*
+X141700159Y-88715952D01*
+X141688336Y-88724720D01*
+X141675710Y-88732288D01*
+X141662403Y-88738582D01*
+X141648543Y-88743541D01*
+X141634264Y-88747118D01*
+X141619703Y-88749278D01*
+X141605000Y-88750000D01*
+X141305000Y-88750000D01*
+X141290297Y-88749278D01*
+X141275736Y-88747118D01*
+X141261457Y-88743541D01*
+X141247597Y-88738582D01*
+X141234290Y-88732288D01*
+X141221664Y-88724720D01*
+X141209841Y-88715952D01*
+X141198934Y-88706066D01*
+X141189048Y-88695159D01*
+X141180280Y-88683336D01*
+X141172712Y-88670710D01*
+X141166418Y-88657403D01*
+X141161459Y-88643543D01*
+X141157882Y-88629264D01*
+X141155722Y-88614703D01*
+X141155000Y-88600000D01*
+X141155000Y-86950000D01*
+X141155722Y-86935297D01*
+X141157882Y-86920736D01*
+X141161459Y-86906457D01*
+X141166418Y-86892597D01*
+X141172712Y-86879290D01*
+X141180280Y-86866664D01*
+X141189048Y-86854841D01*
+X141198934Y-86843934D01*
+X141209841Y-86834048D01*
+X141221664Y-86825280D01*
+X141234290Y-86817712D01*
+X141247597Y-86811418D01*
+X141261457Y-86806459D01*
+X141275736Y-86802882D01*
+X141290297Y-86800722D01*
+X141305000Y-86800000D01*
+X141605000Y-86800000D01*
+X141619703Y-86800722D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X128169703Y-91750722D02*
+G01*
+X128184264Y-91752882D01*
+X128198543Y-91756459D01*
+X128212403Y-91761418D01*
+X128225710Y-91767712D01*
+X128238336Y-91775280D01*
+X128250159Y-91784048D01*
+X128261066Y-91793934D01*
+X128270952Y-91804841D01*
+X128279720Y-91816664D01*
+X128287288Y-91829290D01*
+X128293582Y-91842597D01*
+X128298541Y-91856457D01*
+X128302118Y-91870736D01*
+X128304278Y-91885297D01*
+X128305000Y-91900000D01*
+X128305000Y-93550000D01*
+X128304278Y-93564703D01*
+X128302118Y-93579264D01*
+X128298541Y-93593543D01*
+X128293582Y-93607403D01*
+X128287288Y-93620710D01*
+X128279720Y-93633336D01*
+X128270952Y-93645159D01*
+X128261066Y-93656066D01*
+X128250159Y-93665952D01*
+X128238336Y-93674720D01*
+X128225710Y-93682288D01*
+X128212403Y-93688582D01*
+X128198543Y-93693541D01*
+X128184264Y-93697118D01*
+X128169703Y-93699278D01*
+X128155000Y-93700000D01*
+X127855000Y-93700000D01*
+X127840297Y-93699278D01*
+X127825736Y-93697118D01*
+X127811457Y-93693541D01*
+X127797597Y-93688582D01*
+X127784290Y-93682288D01*
+X127771664Y-93674720D01*
+X127759841Y-93665952D01*
+X127748934Y-93656066D01*
+X127739048Y-93645159D01*
+X127730280Y-93633336D01*
+X127722712Y-93620710D01*
+X127716418Y-93607403D01*
+X127711459Y-93593543D01*
+X127707882Y-93579264D01*
+X127705722Y-93564703D01*
+X127705000Y-93550000D01*
+X127705000Y-91900000D01*
+X127705722Y-91885297D01*
+X127707882Y-91870736D01*
+X127711459Y-91856457D01*
+X127716418Y-91842597D01*
+X127722712Y-91829290D01*
+X127730280Y-91816664D01*
+X127739048Y-91804841D01*
+X127748934Y-91793934D01*
+X127759841Y-91784048D01*
+X127771664Y-91775280D01*
+X127784290Y-91767712D01*
+X127797597Y-91761418D01*
+X127811457Y-91756459D01*
+X127825736Y-91752882D01*
+X127840297Y-91750722D01*
+X127855000Y-91750000D01*
+X128155000Y-91750000D01*
+X128169703Y-91750722D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X126899703Y-91750722D02*
+G01*
+X126914264Y-91752882D01*
+X126928543Y-91756459D01*
+X126942403Y-91761418D01*
+X126955710Y-91767712D01*
+X126968336Y-91775280D01*
+X126980159Y-91784048D01*
+X126991066Y-91793934D01*
+X127000952Y-91804841D01*
+X127009720Y-91816664D01*
+X127017288Y-91829290D01*
+X127023582Y-91842597D01*
+X127028541Y-91856457D01*
+X127032118Y-91870736D01*
+X127034278Y-91885297D01*
+X127035000Y-91900000D01*
+X127035000Y-93550000D01*
+X127034278Y-93564703D01*
+X127032118Y-93579264D01*
+X127028541Y-93593543D01*
+X127023582Y-93607403D01*
+X127017288Y-93620710D01*
+X127009720Y-93633336D01*
+X127000952Y-93645159D01*
+X126991066Y-93656066D01*
+X126980159Y-93665952D01*
+X126968336Y-93674720D01*
+X126955710Y-93682288D01*
+X126942403Y-93688582D01*
+X126928543Y-93693541D01*
+X126914264Y-93697118D01*
+X126899703Y-93699278D01*
+X126885000Y-93700000D01*
+X126585000Y-93700000D01*
+X126570297Y-93699278D01*
+X126555736Y-93697118D01*
+X126541457Y-93693541D01*
+X126527597Y-93688582D01*
+X126514290Y-93682288D01*
+X126501664Y-93674720D01*
+X126489841Y-93665952D01*
+X126478934Y-93656066D01*
+X126469048Y-93645159D01*
+X126460280Y-93633336D01*
+X126452712Y-93620710D01*
+X126446418Y-93607403D01*
+X126441459Y-93593543D01*
+X126437882Y-93579264D01*
+X126435722Y-93564703D01*
+X126435000Y-93550000D01*
+X126435000Y-91900000D01*
+X126435722Y-91885297D01*
+X126437882Y-91870736D01*
+X126441459Y-91856457D01*
+X126446418Y-91842597D01*
+X126452712Y-91829290D01*
+X126460280Y-91816664D01*
+X126469048Y-91804841D01*
+X126478934Y-91793934D01*
+X126489841Y-91784048D01*
+X126501664Y-91775280D01*
+X126514290Y-91767712D01*
+X126527597Y-91761418D01*
+X126541457Y-91756459D01*
+X126555736Y-91752882D01*
+X126570297Y-91750722D01*
+X126585000Y-91750000D01*
+X126885000Y-91750000D01*
+X126899703Y-91750722D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X125629703Y-91750722D02*
+G01*
+X125644264Y-91752882D01*
+X125658543Y-91756459D01*
+X125672403Y-91761418D01*
+X125685710Y-91767712D01*
+X125698336Y-91775280D01*
+X125710159Y-91784048D01*
+X125721066Y-91793934D01*
+X125730952Y-91804841D01*
+X125739720Y-91816664D01*
+X125747288Y-91829290D01*
+X125753582Y-91842597D01*
+X125758541Y-91856457D01*
+X125762118Y-91870736D01*
+X125764278Y-91885297D01*
+X125765000Y-91900000D01*
+X125765000Y-93550000D01*
+X125764278Y-93564703D01*
+X125762118Y-93579264D01*
+X125758541Y-93593543D01*
+X125753582Y-93607403D01*
+X125747288Y-93620710D01*
+X125739720Y-93633336D01*
+X125730952Y-93645159D01*
+X125721066Y-93656066D01*
+X125710159Y-93665952D01*
+X125698336Y-93674720D01*
+X125685710Y-93682288D01*
+X125672403Y-93688582D01*
+X125658543Y-93693541D01*
+X125644264Y-93697118D01*
+X125629703Y-93699278D01*
+X125615000Y-93700000D01*
+X125315000Y-93700000D01*
+X125300297Y-93699278D01*
+X125285736Y-93697118D01*
+X125271457Y-93693541D01*
+X125257597Y-93688582D01*
+X125244290Y-93682288D01*
+X125231664Y-93674720D01*
+X125219841Y-93665952D01*
+X125208934Y-93656066D01*
+X125199048Y-93645159D01*
+X125190280Y-93633336D01*
+X125182712Y-93620710D01*
+X125176418Y-93607403D01*
+X125171459Y-93593543D01*
+X125167882Y-93579264D01*
+X125165722Y-93564703D01*
+X125165000Y-93550000D01*
+X125165000Y-91900000D01*
+X125165722Y-91885297D01*
+X125167882Y-91870736D01*
+X125171459Y-91856457D01*
+X125176418Y-91842597D01*
+X125182712Y-91829290D01*
+X125190280Y-91816664D01*
+X125199048Y-91804841D01*
+X125208934Y-91793934D01*
+X125219841Y-91784048D01*
+X125231664Y-91775280D01*
+X125244290Y-91767712D01*
+X125257597Y-91761418D01*
+X125271457Y-91756459D01*
+X125285736Y-91752882D01*
+X125300297Y-91750722D01*
+X125315000Y-91750000D01*
+X125615000Y-91750000D01*
+X125629703Y-91750722D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X124359703Y-91750722D02*
+G01*
+X124374264Y-91752882D01*
+X124388543Y-91756459D01*
+X124402403Y-91761418D01*
+X124415710Y-91767712D01*
+X124428336Y-91775280D01*
+X124440159Y-91784048D01*
+X124451066Y-91793934D01*
+X124460952Y-91804841D01*
+X124469720Y-91816664D01*
+X124477288Y-91829290D01*
+X124483582Y-91842597D01*
+X124488541Y-91856457D01*
+X124492118Y-91870736D01*
+X124494278Y-91885297D01*
+X124495000Y-91900000D01*
+X124495000Y-93550000D01*
+X124494278Y-93564703D01*
+X124492118Y-93579264D01*
+X124488541Y-93593543D01*
+X124483582Y-93607403D01*
+X124477288Y-93620710D01*
+X124469720Y-93633336D01*
+X124460952Y-93645159D01*
+X124451066Y-93656066D01*
+X124440159Y-93665952D01*
+X124428336Y-93674720D01*
+X124415710Y-93682288D01*
+X124402403Y-93688582D01*
+X124388543Y-93693541D01*
+X124374264Y-93697118D01*
+X124359703Y-93699278D01*
+X124345000Y-93700000D01*
+X124045000Y-93700000D01*
+X124030297Y-93699278D01*
+X124015736Y-93697118D01*
+X124001457Y-93693541D01*
+X123987597Y-93688582D01*
+X123974290Y-93682288D01*
+X123961664Y-93674720D01*
+X123949841Y-93665952D01*
+X123938934Y-93656066D01*
+X123929048Y-93645159D01*
+X123920280Y-93633336D01*
+X123912712Y-93620710D01*
+X123906418Y-93607403D01*
+X123901459Y-93593543D01*
+X123897882Y-93579264D01*
+X123895722Y-93564703D01*
+X123895000Y-93550000D01*
+X123895000Y-91900000D01*
+X123895722Y-91885297D01*
+X123897882Y-91870736D01*
+X123901459Y-91856457D01*
+X123906418Y-91842597D01*
+X123912712Y-91829290D01*
+X123920280Y-91816664D01*
+X123929048Y-91804841D01*
+X123938934Y-91793934D01*
+X123949841Y-91784048D01*
+X123961664Y-91775280D01*
+X123974290Y-91767712D01*
+X123987597Y-91761418D01*
+X124001457Y-91756459D01*
+X124015736Y-91752882D01*
+X124030297Y-91750722D01*
+X124045000Y-91750000D01*
+X124345000Y-91750000D01*
+X124359703Y-91750722D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X124359703Y-86800722D02*
+G01*
+X124374264Y-86802882D01*
+X124388543Y-86806459D01*
+X124402403Y-86811418D01*
+X124415710Y-86817712D01*
+X124428336Y-86825280D01*
+X124440159Y-86834048D01*
+X124451066Y-86843934D01*
+X124460952Y-86854841D01*
+X124469720Y-86866664D01*
+X124477288Y-86879290D01*
+X124483582Y-86892597D01*
+X124488541Y-86906457D01*
+X124492118Y-86920736D01*
+X124494278Y-86935297D01*
+X124495000Y-86950000D01*
+X124495000Y-88600000D01*
+X124494278Y-88614703D01*
+X124492118Y-88629264D01*
+X124488541Y-88643543D01*
+X124483582Y-88657403D01*
+X124477288Y-88670710D01*
+X124469720Y-88683336D01*
+X124460952Y-88695159D01*
+X124451066Y-88706066D01*
+X124440159Y-88715952D01*
+X124428336Y-88724720D01*
+X124415710Y-88732288D01*
+X124402403Y-88738582D01*
+X124388543Y-88743541D01*
+X124374264Y-88747118D01*
+X124359703Y-88749278D01*
+X124345000Y-88750000D01*
+X124045000Y-88750000D01*
+X124030297Y-88749278D01*
+X124015736Y-88747118D01*
+X124001457Y-88743541D01*
+X123987597Y-88738582D01*
+X123974290Y-88732288D01*
+X123961664Y-88724720D01*
+X123949841Y-88715952D01*
+X123938934Y-88706066D01*
+X123929048Y-88695159D01*
+X123920280Y-88683336D01*
+X123912712Y-88670710D01*
+X123906418Y-88657403D01*
+X123901459Y-88643543D01*
+X123897882Y-88629264D01*
+X123895722Y-88614703D01*
+X123895000Y-88600000D01*
+X123895000Y-86950000D01*
+X123895722Y-86935297D01*
+X123897882Y-86920736D01*
+X123901459Y-86906457D01*
+X123906418Y-86892597D01*
+X123912712Y-86879290D01*
+X123920280Y-86866664D01*
+X123929048Y-86854841D01*
+X123938934Y-86843934D01*
+X123949841Y-86834048D01*
+X123961664Y-86825280D01*
+X123974290Y-86817712D01*
+X123987597Y-86811418D01*
+X124001457Y-86806459D01*
+X124015736Y-86802882D01*
+X124030297Y-86800722D01*
+X124045000Y-86800000D01*
+X124345000Y-86800000D01*
+X124359703Y-86800722D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X125629703Y-86800722D02*
+G01*
+X125644264Y-86802882D01*
+X125658543Y-86806459D01*
+X125672403Y-86811418D01*
+X125685710Y-86817712D01*
+X125698336Y-86825280D01*
+X125710159Y-86834048D01*
+X125721066Y-86843934D01*
+X125730952Y-86854841D01*
+X125739720Y-86866664D01*
+X125747288Y-86879290D01*
+X125753582Y-86892597D01*
+X125758541Y-86906457D01*
+X125762118Y-86920736D01*
+X125764278Y-86935297D01*
+X125765000Y-86950000D01*
+X125765000Y-88600000D01*
+X125764278Y-88614703D01*
+X125762118Y-88629264D01*
+X125758541Y-88643543D01*
+X125753582Y-88657403D01*
+X125747288Y-88670710D01*
+X125739720Y-88683336D01*
+X125730952Y-88695159D01*
+X125721066Y-88706066D01*
+X125710159Y-88715952D01*
+X125698336Y-88724720D01*
+X125685710Y-88732288D01*
+X125672403Y-88738582D01*
+X125658543Y-88743541D01*
+X125644264Y-88747118D01*
+X125629703Y-88749278D01*
+X125615000Y-88750000D01*
+X125315000Y-88750000D01*
+X125300297Y-88749278D01*
+X125285736Y-88747118D01*
+X125271457Y-88743541D01*
+X125257597Y-88738582D01*
+X125244290Y-88732288D01*
+X125231664Y-88724720D01*
+X125219841Y-88715952D01*
+X125208934Y-88706066D01*
+X125199048Y-88695159D01*
+X125190280Y-88683336D01*
+X125182712Y-88670710D01*
+X125176418Y-88657403D01*
+X125171459Y-88643543D01*
+X125167882Y-88629264D01*
+X125165722Y-88614703D01*
+X125165000Y-88600000D01*
+X125165000Y-86950000D01*
+X125165722Y-86935297D01*
+X125167882Y-86920736D01*
+X125171459Y-86906457D01*
+X125176418Y-86892597D01*
+X125182712Y-86879290D01*
+X125190280Y-86866664D01*
+X125199048Y-86854841D01*
+X125208934Y-86843934D01*
+X125219841Y-86834048D01*
+X125231664Y-86825280D01*
+X125244290Y-86817712D01*
+X125257597Y-86811418D01*
+X125271457Y-86806459D01*
+X125285736Y-86802882D01*
+X125300297Y-86800722D01*
+X125315000Y-86800000D01*
+X125615000Y-86800000D01*
+X125629703Y-86800722D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X126899703Y-86800722D02*
+G01*
+X126914264Y-86802882D01*
+X126928543Y-86806459D01*
+X126942403Y-86811418D01*
+X126955710Y-86817712D01*
+X126968336Y-86825280D01*
+X126980159Y-86834048D01*
+X126991066Y-86843934D01*
+X127000952Y-86854841D01*
+X127009720Y-86866664D01*
+X127017288Y-86879290D01*
+X127023582Y-86892597D01*
+X127028541Y-86906457D01*
+X127032118Y-86920736D01*
+X127034278Y-86935297D01*
+X127035000Y-86950000D01*
+X127035000Y-88600000D01*
+X127034278Y-88614703D01*
+X127032118Y-88629264D01*
+X127028541Y-88643543D01*
+X127023582Y-88657403D01*
+X127017288Y-88670710D01*
+X127009720Y-88683336D01*
+X127000952Y-88695159D01*
+X126991066Y-88706066D01*
+X126980159Y-88715952D01*
+X126968336Y-88724720D01*
+X126955710Y-88732288D01*
+X126942403Y-88738582D01*
+X126928543Y-88743541D01*
+X126914264Y-88747118D01*
+X126899703Y-88749278D01*
+X126885000Y-88750000D01*
+X126585000Y-88750000D01*
+X126570297Y-88749278D01*
+X126555736Y-88747118D01*
+X126541457Y-88743541D01*
+X126527597Y-88738582D01*
+X126514290Y-88732288D01*
+X126501664Y-88724720D01*
+X126489841Y-88715952D01*
+X126478934Y-88706066D01*
+X126469048Y-88695159D01*
+X126460280Y-88683336D01*
+X126452712Y-88670710D01*
+X126446418Y-88657403D01*
+X126441459Y-88643543D01*
+X126437882Y-88629264D01*
+X126435722Y-88614703D01*
+X126435000Y-88600000D01*
+X126435000Y-86950000D01*
+X126435722Y-86935297D01*
+X126437882Y-86920736D01*
+X126441459Y-86906457D01*
+X126446418Y-86892597D01*
+X126452712Y-86879290D01*
+X126460280Y-86866664D01*
+X126469048Y-86854841D01*
+X126478934Y-86843934D01*
+X126489841Y-86834048D01*
+X126501664Y-86825280D01*
+X126514290Y-86817712D01*
+X126527597Y-86811418D01*
+X126541457Y-86806459D01*
+X126555736Y-86802882D01*
+X126570297Y-86800722D01*
+X126585000Y-86800000D01*
+X126885000Y-86800000D01*
+X126899703Y-86800722D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X128169703Y-86800722D02*
+G01*
+X128184264Y-86802882D01*
+X128198543Y-86806459D01*
+X128212403Y-86811418D01*
+X128225710Y-86817712D01*
+X128238336Y-86825280D01*
+X128250159Y-86834048D01*
+X128261066Y-86843934D01*
+X128270952Y-86854841D01*
+X128279720Y-86866664D01*
+X128287288Y-86879290D01*
+X128293582Y-86892597D01*
+X128298541Y-86906457D01*
+X128302118Y-86920736D01*
+X128304278Y-86935297D01*
+X128305000Y-86950000D01*
+X128305000Y-88600000D01*
+X128304278Y-88614703D01*
+X128302118Y-88629264D01*
+X128298541Y-88643543D01*
+X128293582Y-88657403D01*
+X128287288Y-88670710D01*
+X128279720Y-88683336D01*
+X128270952Y-88695159D01*
+X128261066Y-88706066D01*
+X128250159Y-88715952D01*
+X128238336Y-88724720D01*
+X128225710Y-88732288D01*
+X128212403Y-88738582D01*
+X128198543Y-88743541D01*
+X128184264Y-88747118D01*
+X128169703Y-88749278D01*
+X128155000Y-88750000D01*
+X127855000Y-88750000D01*
+X127840297Y-88749278D01*
+X127825736Y-88747118D01*
+X127811457Y-88743541D01*
+X127797597Y-88738582D01*
+X127784290Y-88732288D01*
+X127771664Y-88724720D01*
+X127759841Y-88715952D01*
+X127748934Y-88706066D01*
+X127739048Y-88695159D01*
+X127730280Y-88683336D01*
+X127722712Y-88670710D01*
+X127716418Y-88657403D01*
+X127711459Y-88643543D01*
+X127707882Y-88629264D01*
+X127705722Y-88614703D01*
+X127705000Y-88600000D01*
+X127705000Y-86950000D01*
+X127705722Y-86935297D01*
+X127707882Y-86920736D01*
+X127711459Y-86906457D01*
+X127716418Y-86892597D01*
+X127722712Y-86879290D01*
+X127730280Y-86866664D01*
+X127739048Y-86854841D01*
+X127748934Y-86843934D01*
+X127759841Y-86834048D01*
+X127771664Y-86825280D01*
+X127784290Y-86817712D01*
+X127797597Y-86811418D01*
+X127811457Y-86806459D01*
+X127825736Y-86802882D01*
+X127840297Y-86800722D01*
+X127855000Y-86800000D01*
+X128155000Y-86800000D01*
+X128169703Y-86800722D01*
+G37*
+G04 #@! TD.AperFunction*
+D18*
+X103200000Y-72250000D03*
+X117950000Y-88050000D03*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+D10*
+G36*
+X121010779Y-86401144D02*
+G01*
+X121033834Y-86404563D01*
+X121056443Y-86410227D01*
+X121078387Y-86418079D01*
+X121099457Y-86428044D01*
+X121119448Y-86440026D01*
+X121138168Y-86453910D01*
+X121155438Y-86469562D01*
+X121171090Y-86486832D01*
+X121184974Y-86505552D01*
+X121196956Y-86525543D01*
+X121206921Y-86546613D01*
+X121214773Y-86568557D01*
+X121220437Y-86591166D01*
+X121223856Y-86614221D01*
+X121225000Y-86637500D01*
+X121225000Y-87212500D01*
+X121223856Y-87235779D01*
+X121220437Y-87258834D01*
+X121214773Y-87281443D01*
+X121206921Y-87303387D01*
+X121196956Y-87324457D01*
+X121184974Y-87344448D01*
+X121171090Y-87363168D01*
+X121155438Y-87380438D01*
+X121138168Y-87396090D01*
+X121119448Y-87409974D01*
+X121099457Y-87421956D01*
+X121078387Y-87431921D01*
+X121056443Y-87439773D01*
+X121033834Y-87445437D01*
+X121010779Y-87448856D01*
+X120987500Y-87450000D01*
+X120512500Y-87450000D01*
+X120489221Y-87448856D01*
+X120466166Y-87445437D01*
+X120443557Y-87439773D01*
+X120421613Y-87431921D01*
+X120400543Y-87421956D01*
+X120380552Y-87409974D01*
+X120361832Y-87396090D01*
+X120344562Y-87380438D01*
+X120328910Y-87363168D01*
+X120315026Y-87344448D01*
+X120303044Y-87324457D01*
+X120293079Y-87303387D01*
+X120285227Y-87281443D01*
+X120279563Y-87258834D01*
+X120276144Y-87235779D01*
+X120275000Y-87212500D01*
+X120275000Y-86637500D01*
+X120276144Y-86614221D01*
+X120279563Y-86591166D01*
+X120285227Y-86568557D01*
+X120293079Y-86546613D01*
+X120303044Y-86525543D01*
+X120315026Y-86505552D01*
+X120328910Y-86486832D01*
+X120344562Y-86469562D01*
+X120361832Y-86453910D01*
+X120380552Y-86440026D01*
+X120400543Y-86428044D01*
+X120421613Y-86418079D01*
+X120443557Y-86410227D01*
+X120466166Y-86404563D01*
+X120489221Y-86401144D01*
+X120512500Y-86400000D01*
+X120987500Y-86400000D01*
+X121010779Y-86401144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X121010779Y-84651144D02*
+G01*
+X121033834Y-84654563D01*
+X121056443Y-84660227D01*
+X121078387Y-84668079D01*
+X121099457Y-84678044D01*
+X121119448Y-84690026D01*
+X121138168Y-84703910D01*
+X121155438Y-84719562D01*
+X121171090Y-84736832D01*
+X121184974Y-84755552D01*
+X121196956Y-84775543D01*
+X121206921Y-84796613D01*
+X121214773Y-84818557D01*
+X121220437Y-84841166D01*
+X121223856Y-84864221D01*
+X121225000Y-84887500D01*
+X121225000Y-85462500D01*
+X121223856Y-85485779D01*
+X121220437Y-85508834D01*
+X121214773Y-85531443D01*
+X121206921Y-85553387D01*
+X121196956Y-85574457D01*
+X121184974Y-85594448D01*
+X121171090Y-85613168D01*
+X121155438Y-85630438D01*
+X121138168Y-85646090D01*
+X121119448Y-85659974D01*
+X121099457Y-85671956D01*
+X121078387Y-85681921D01*
+X121056443Y-85689773D01*
+X121033834Y-85695437D01*
+X121010779Y-85698856D01*
+X120987500Y-85700000D01*
+X120512500Y-85700000D01*
+X120489221Y-85698856D01*
+X120466166Y-85695437D01*
+X120443557Y-85689773D01*
+X120421613Y-85681921D01*
+X120400543Y-85671956D01*
+X120380552Y-85659974D01*
+X120361832Y-85646090D01*
+X120344562Y-85630438D01*
+X120328910Y-85613168D01*
+X120315026Y-85594448D01*
+X120303044Y-85574457D01*
+X120293079Y-85553387D01*
+X120285227Y-85531443D01*
+X120279563Y-85508834D01*
+X120276144Y-85485779D01*
+X120275000Y-85462500D01*
+X120275000Y-84887500D01*
+X120276144Y-84864221D01*
+X120279563Y-84841166D01*
+X120285227Y-84818557D01*
+X120293079Y-84796613D01*
+X120303044Y-84775543D01*
+X120315026Y-84755552D01*
+X120328910Y-84736832D01*
+X120344562Y-84719562D01*
+X120361832Y-84703910D01*
+X120380552Y-84690026D01*
+X120400543Y-84678044D01*
+X120421613Y-84668079D01*
+X120443557Y-84660227D01*
+X120466166Y-84654563D01*
+X120489221Y-84651144D01*
+X120512500Y-84650000D01*
+X120987500Y-84650000D01*
+X121010779Y-84651144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X100010779Y-80851144D02*
+G01*
+X100033834Y-80854563D01*
+X100056443Y-80860227D01*
+X100078387Y-80868079D01*
+X100099457Y-80878044D01*
+X100119448Y-80890026D01*
+X100138168Y-80903910D01*
+X100155438Y-80919562D01*
+X100171090Y-80936832D01*
+X100184974Y-80955552D01*
+X100196956Y-80975543D01*
+X100206921Y-80996613D01*
+X100214773Y-81018557D01*
+X100220437Y-81041166D01*
+X100223856Y-81064221D01*
+X100225000Y-81087500D01*
+X100225000Y-81662500D01*
+X100223856Y-81685779D01*
+X100220437Y-81708834D01*
+X100214773Y-81731443D01*
+X100206921Y-81753387D01*
+X100196956Y-81774457D01*
+X100184974Y-81794448D01*
+X100171090Y-81813168D01*
+X100155438Y-81830438D01*
+X100138168Y-81846090D01*
+X100119448Y-81859974D01*
+X100099457Y-81871956D01*
+X100078387Y-81881921D01*
+X100056443Y-81889773D01*
+X100033834Y-81895437D01*
+X100010779Y-81898856D01*
+X99987500Y-81900000D01*
+X99512500Y-81900000D01*
+X99489221Y-81898856D01*
+X99466166Y-81895437D01*
+X99443557Y-81889773D01*
+X99421613Y-81881921D01*
+X99400543Y-81871956D01*
+X99380552Y-81859974D01*
+X99361832Y-81846090D01*
+X99344562Y-81830438D01*
+X99328910Y-81813168D01*
+X99315026Y-81794448D01*
+X99303044Y-81774457D01*
+X99293079Y-81753387D01*
+X99285227Y-81731443D01*
+X99279563Y-81708834D01*
+X99276144Y-81685779D01*
+X99275000Y-81662500D01*
+X99275000Y-81087500D01*
+X99276144Y-81064221D01*
+X99279563Y-81041166D01*
+X99285227Y-81018557D01*
+X99293079Y-80996613D01*
+X99303044Y-80975543D01*
+X99315026Y-80955552D01*
+X99328910Y-80936832D01*
+X99344562Y-80919562D01*
+X99361832Y-80903910D01*
+X99380552Y-80890026D01*
+X99400543Y-80878044D01*
+X99421613Y-80868079D01*
+X99443557Y-80860227D01*
+X99466166Y-80854563D01*
+X99489221Y-80851144D01*
+X99512500Y-80850000D01*
+X99987500Y-80850000D01*
+X100010779Y-80851144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X100010779Y-79101144D02*
+G01*
+X100033834Y-79104563D01*
+X100056443Y-79110227D01*
+X100078387Y-79118079D01*
+X100099457Y-79128044D01*
+X100119448Y-79140026D01*
+X100138168Y-79153910D01*
+X100155438Y-79169562D01*
+X100171090Y-79186832D01*
+X100184974Y-79205552D01*
+X100196956Y-79225543D01*
+X100206921Y-79246613D01*
+X100214773Y-79268557D01*
+X100220437Y-79291166D01*
+X100223856Y-79314221D01*
+X100225000Y-79337500D01*
+X100225000Y-79912500D01*
+X100223856Y-79935779D01*
+X100220437Y-79958834D01*
+X100214773Y-79981443D01*
+X100206921Y-80003387D01*
+X100196956Y-80024457D01*
+X100184974Y-80044448D01*
+X100171090Y-80063168D01*
+X100155438Y-80080438D01*
+X100138168Y-80096090D01*
+X100119448Y-80109974D01*
+X100099457Y-80121956D01*
+X100078387Y-80131921D01*
+X100056443Y-80139773D01*
+X100033834Y-80145437D01*
+X100010779Y-80148856D01*
+X99987500Y-80150000D01*
+X99512500Y-80150000D01*
+X99489221Y-80148856D01*
+X99466166Y-80145437D01*
+X99443557Y-80139773D01*
+X99421613Y-80131921D01*
+X99400543Y-80121956D01*
+X99380552Y-80109974D01*
+X99361832Y-80096090D01*
+X99344562Y-80080438D01*
+X99328910Y-80063168D01*
+X99315026Y-80044448D01*
+X99303044Y-80024457D01*
+X99293079Y-80003387D01*
+X99285227Y-79981443D01*
+X99279563Y-79958834D01*
+X99276144Y-79935779D01*
+X99275000Y-79912500D01*
+X99275000Y-79337500D01*
+X99276144Y-79314221D01*
+X99279563Y-79291166D01*
+X99285227Y-79268557D01*
+X99293079Y-79246613D01*
+X99303044Y-79225543D01*
+X99315026Y-79205552D01*
+X99328910Y-79186832D01*
+X99344562Y-79169562D01*
+X99361832Y-79153910D01*
+X99380552Y-79140026D01*
+X99400543Y-79128044D01*
+X99421613Y-79118079D01*
+X99443557Y-79110227D01*
+X99466166Y-79104563D01*
+X99489221Y-79101144D01*
+X99512500Y-79100000D01*
+X99987500Y-79100000D01*
+X100010779Y-79101144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X102010779Y-78101144D02*
+G01*
+X102033834Y-78104563D01*
+X102056443Y-78110227D01*
+X102078387Y-78118079D01*
+X102099457Y-78128044D01*
+X102119448Y-78140026D01*
+X102138168Y-78153910D01*
+X102155438Y-78169562D01*
+X102171090Y-78186832D01*
+X102184974Y-78205552D01*
+X102196956Y-78225543D01*
+X102206921Y-78246613D01*
+X102214773Y-78268557D01*
+X102220437Y-78291166D01*
+X102223856Y-78314221D01*
+X102225000Y-78337500D01*
+X102225000Y-78912500D01*
+X102223856Y-78935779D01*
+X102220437Y-78958834D01*
+X102214773Y-78981443D01*
+X102206921Y-79003387D01*
+X102196956Y-79024457D01*
+X102184974Y-79044448D01*
+X102171090Y-79063168D01*
+X102155438Y-79080438D01*
+X102138168Y-79096090D01*
+X102119448Y-79109974D01*
+X102099457Y-79121956D01*
+X102078387Y-79131921D01*
+X102056443Y-79139773D01*
+X102033834Y-79145437D01*
+X102010779Y-79148856D01*
+X101987500Y-79150000D01*
+X101512500Y-79150000D01*
+X101489221Y-79148856D01*
+X101466166Y-79145437D01*
+X101443557Y-79139773D01*
+X101421613Y-79131921D01*
+X101400543Y-79121956D01*
+X101380552Y-79109974D01*
+X101361832Y-79096090D01*
+X101344562Y-79080438D01*
+X101328910Y-79063168D01*
+X101315026Y-79044448D01*
+X101303044Y-79024457D01*
+X101293079Y-79003387D01*
+X101285227Y-78981443D01*
+X101279563Y-78958834D01*
+X101276144Y-78935779D01*
+X101275000Y-78912500D01*
+X101275000Y-78337500D01*
+X101276144Y-78314221D01*
+X101279563Y-78291166D01*
+X101285227Y-78268557D01*
+X101293079Y-78246613D01*
+X101303044Y-78225543D01*
+X101315026Y-78205552D01*
+X101328910Y-78186832D01*
+X101344562Y-78169562D01*
+X101361832Y-78153910D01*
+X101380552Y-78140026D01*
+X101400543Y-78128044D01*
+X101421613Y-78118079D01*
+X101443557Y-78110227D01*
+X101466166Y-78104563D01*
+X101489221Y-78101144D01*
+X101512500Y-78100000D01*
+X101987500Y-78100000D01*
+X102010779Y-78101144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X102010779Y-76351144D02*
+G01*
+X102033834Y-76354563D01*
+X102056443Y-76360227D01*
+X102078387Y-76368079D01*
+X102099457Y-76378044D01*
+X102119448Y-76390026D01*
+X102138168Y-76403910D01*
+X102155438Y-76419562D01*
+X102171090Y-76436832D01*
+X102184974Y-76455552D01*
+X102196956Y-76475543D01*
+X102206921Y-76496613D01*
+X102214773Y-76518557D01*
+X102220437Y-76541166D01*
+X102223856Y-76564221D01*
+X102225000Y-76587500D01*
+X102225000Y-77162500D01*
+X102223856Y-77185779D01*
+X102220437Y-77208834D01*
+X102214773Y-77231443D01*
+X102206921Y-77253387D01*
+X102196956Y-77274457D01*
+X102184974Y-77294448D01*
+X102171090Y-77313168D01*
+X102155438Y-77330438D01*
+X102138168Y-77346090D01*
+X102119448Y-77359974D01*
+X102099457Y-77371956D01*
+X102078387Y-77381921D01*
+X102056443Y-77389773D01*
+X102033834Y-77395437D01*
+X102010779Y-77398856D01*
+X101987500Y-77400000D01*
+X101512500Y-77400000D01*
+X101489221Y-77398856D01*
+X101466166Y-77395437D01*
+X101443557Y-77389773D01*
+X101421613Y-77381921D01*
+X101400543Y-77371956D01*
+X101380552Y-77359974D01*
+X101361832Y-77346090D01*
+X101344562Y-77330438D01*
+X101328910Y-77313168D01*
+X101315026Y-77294448D01*
+X101303044Y-77274457D01*
+X101293079Y-77253387D01*
+X101285227Y-77231443D01*
+X101279563Y-77208834D01*
+X101276144Y-77185779D01*
+X101275000Y-77162500D01*
+X101275000Y-76587500D01*
+X101276144Y-76564221D01*
+X101279563Y-76541166D01*
+X101285227Y-76518557D01*
+X101293079Y-76496613D01*
+X101303044Y-76475543D01*
+X101315026Y-76455552D01*
+X101328910Y-76436832D01*
+X101344562Y-76419562D01*
+X101361832Y-76403910D01*
+X101380552Y-76390026D01*
+X101400543Y-76378044D01*
+X101421613Y-76368079D01*
+X101443557Y-76360227D01*
+X101466166Y-76354563D01*
+X101489221Y-76351144D01*
+X101512500Y-76350000D01*
+X101987500Y-76350000D01*
+X102010779Y-76351144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X97685779Y-68026144D02*
+G01*
+X97708834Y-68029563D01*
+X97731443Y-68035227D01*
+X97753387Y-68043079D01*
+X97774457Y-68053044D01*
+X97794448Y-68065026D01*
+X97813168Y-68078910D01*
+X97830438Y-68094562D01*
+X97846090Y-68111832D01*
+X97859974Y-68130552D01*
+X97871956Y-68150543D01*
+X97881921Y-68171613D01*
+X97889773Y-68193557D01*
+X97895437Y-68216166D01*
+X97898856Y-68239221D01*
+X97900000Y-68262500D01*
+X97900000Y-68737500D01*
+X97898856Y-68760779D01*
+X97895437Y-68783834D01*
+X97889773Y-68806443D01*
+X97881921Y-68828387D01*
+X97871956Y-68849457D01*
+X97859974Y-68869448D01*
+X97846090Y-68888168D01*
+X97830438Y-68905438D01*
+X97813168Y-68921090D01*
+X97794448Y-68934974D01*
+X97774457Y-68946956D01*
+X97753387Y-68956921D01*
+X97731443Y-68964773D01*
+X97708834Y-68970437D01*
+X97685779Y-68973856D01*
+X97662500Y-68975000D01*
+X97087500Y-68975000D01*
+X97064221Y-68973856D01*
+X97041166Y-68970437D01*
+X97018557Y-68964773D01*
+X96996613Y-68956921D01*
+X96975543Y-68946956D01*
+X96955552Y-68934974D01*
+X96936832Y-68921090D01*
+X96919562Y-68905438D01*
+X96903910Y-68888168D01*
+X96890026Y-68869448D01*
+X96878044Y-68849457D01*
+X96868079Y-68828387D01*
+X96860227Y-68806443D01*
+X96854563Y-68783834D01*
+X96851144Y-68760779D01*
+X96850000Y-68737500D01*
+X96850000Y-68262500D01*
+X96851144Y-68239221D01*
+X96854563Y-68216166D01*
+X96860227Y-68193557D01*
+X96868079Y-68171613D01*
+X96878044Y-68150543D01*
+X96890026Y-68130552D01*
+X96903910Y-68111832D01*
+X96919562Y-68094562D01*
+X96936832Y-68078910D01*
+X96955552Y-68065026D01*
+X96975543Y-68053044D01*
+X96996613Y-68043079D01*
+X97018557Y-68035227D01*
+X97041166Y-68029563D01*
+X97064221Y-68026144D01*
+X97087500Y-68025000D01*
+X97662500Y-68025000D01*
+X97685779Y-68026144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X99435779Y-68026144D02*
+G01*
+X99458834Y-68029563D01*
+X99481443Y-68035227D01*
+X99503387Y-68043079D01*
+X99524457Y-68053044D01*
+X99544448Y-68065026D01*
+X99563168Y-68078910D01*
+X99580438Y-68094562D01*
+X99596090Y-68111832D01*
+X99609974Y-68130552D01*
+X99621956Y-68150543D01*
+X99631921Y-68171613D01*
+X99639773Y-68193557D01*
+X99645437Y-68216166D01*
+X99648856Y-68239221D01*
+X99650000Y-68262500D01*
+X99650000Y-68737500D01*
+X99648856Y-68760779D01*
+X99645437Y-68783834D01*
+X99639773Y-68806443D01*
+X99631921Y-68828387D01*
+X99621956Y-68849457D01*
+X99609974Y-68869448D01*
+X99596090Y-68888168D01*
+X99580438Y-68905438D01*
+X99563168Y-68921090D01*
+X99544448Y-68934974D01*
+X99524457Y-68946956D01*
+X99503387Y-68956921D01*
+X99481443Y-68964773D01*
+X99458834Y-68970437D01*
+X99435779Y-68973856D01*
+X99412500Y-68975000D01*
+X98837500Y-68975000D01*
+X98814221Y-68973856D01*
+X98791166Y-68970437D01*
+X98768557Y-68964773D01*
+X98746613Y-68956921D01*
+X98725543Y-68946956D01*
+X98705552Y-68934974D01*
+X98686832Y-68921090D01*
+X98669562Y-68905438D01*
+X98653910Y-68888168D01*
+X98640026Y-68869448D01*
+X98628044Y-68849457D01*
+X98618079Y-68828387D01*
+X98610227Y-68806443D01*
+X98604563Y-68783834D01*
+X98601144Y-68760779D01*
+X98600000Y-68737500D01*
+X98600000Y-68262500D01*
+X98601144Y-68239221D01*
+X98604563Y-68216166D01*
+X98610227Y-68193557D01*
+X98618079Y-68171613D01*
+X98628044Y-68150543D01*
+X98640026Y-68130552D01*
+X98653910Y-68111832D01*
+X98669562Y-68094562D01*
+X98686832Y-68078910D01*
+X98705552Y-68065026D01*
+X98725543Y-68053044D01*
+X98746613Y-68043079D01*
+X98768557Y-68035227D01*
+X98791166Y-68029563D01*
+X98814221Y-68026144D01*
+X98837500Y-68025000D01*
+X99412500Y-68025000D01*
+X99435779Y-68026144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X98185779Y-77276144D02*
+G01*
+X98208834Y-77279563D01*
+X98231443Y-77285227D01*
+X98253387Y-77293079D01*
+X98274457Y-77303044D01*
+X98294448Y-77315026D01*
+X98313168Y-77328910D01*
+X98330438Y-77344562D01*
+X98346090Y-77361832D01*
+X98359974Y-77380552D01*
+X98371956Y-77400543D01*
+X98381921Y-77421613D01*
+X98389773Y-77443557D01*
+X98395437Y-77466166D01*
+X98398856Y-77489221D01*
+X98400000Y-77512500D01*
+X98400000Y-77987500D01*
+X98398856Y-78010779D01*
+X98395437Y-78033834D01*
+X98389773Y-78056443D01*
+X98381921Y-78078387D01*
+X98371956Y-78099457D01*
+X98359974Y-78119448D01*
+X98346090Y-78138168D01*
+X98330438Y-78155438D01*
+X98313168Y-78171090D01*
+X98294448Y-78184974D01*
+X98274457Y-78196956D01*
+X98253387Y-78206921D01*
+X98231443Y-78214773D01*
+X98208834Y-78220437D01*
+X98185779Y-78223856D01*
+X98162500Y-78225000D01*
+X97587500Y-78225000D01*
+X97564221Y-78223856D01*
+X97541166Y-78220437D01*
+X97518557Y-78214773D01*
+X97496613Y-78206921D01*
+X97475543Y-78196956D01*
+X97455552Y-78184974D01*
+X97436832Y-78171090D01*
+X97419562Y-78155438D01*
+X97403910Y-78138168D01*
+X97390026Y-78119448D01*
+X97378044Y-78099457D01*
+X97368079Y-78078387D01*
+X97360227Y-78056443D01*
+X97354563Y-78033834D01*
+X97351144Y-78010779D01*
+X97350000Y-77987500D01*
+X97350000Y-77512500D01*
+X97351144Y-77489221D01*
+X97354563Y-77466166D01*
+X97360227Y-77443557D01*
+X97368079Y-77421613D01*
+X97378044Y-77400543D01*
+X97390026Y-77380552D01*
+X97403910Y-77361832D01*
+X97419562Y-77344562D01*
+X97436832Y-77328910D01*
+X97455552Y-77315026D01*
+X97475543Y-77303044D01*
+X97496613Y-77293079D01*
+X97518557Y-77285227D01*
+X97541166Y-77279563D01*
+X97564221Y-77276144D01*
+X97587500Y-77275000D01*
+X98162500Y-77275000D01*
+X98185779Y-77276144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X99935779Y-77276144D02*
+G01*
+X99958834Y-77279563D01*
+X99981443Y-77285227D01*
+X100003387Y-77293079D01*
+X100024457Y-77303044D01*
+X100044448Y-77315026D01*
+X100063168Y-77328910D01*
+X100080438Y-77344562D01*
+X100096090Y-77361832D01*
+X100109974Y-77380552D01*
+X100121956Y-77400543D01*
+X100131921Y-77421613D01*
+X100139773Y-77443557D01*
+X100145437Y-77466166D01*
+X100148856Y-77489221D01*
+X100150000Y-77512500D01*
+X100150000Y-77987500D01*
+X100148856Y-78010779D01*
+X100145437Y-78033834D01*
+X100139773Y-78056443D01*
+X100131921Y-78078387D01*
+X100121956Y-78099457D01*
+X100109974Y-78119448D01*
+X100096090Y-78138168D01*
+X100080438Y-78155438D01*
+X100063168Y-78171090D01*
+X100044448Y-78184974D01*
+X100024457Y-78196956D01*
+X100003387Y-78206921D01*
+X99981443Y-78214773D01*
+X99958834Y-78220437D01*
+X99935779Y-78223856D01*
+X99912500Y-78225000D01*
+X99337500Y-78225000D01*
+X99314221Y-78223856D01*
+X99291166Y-78220437D01*
+X99268557Y-78214773D01*
+X99246613Y-78206921D01*
+X99225543Y-78196956D01*
+X99205552Y-78184974D01*
+X99186832Y-78171090D01*
+X99169562Y-78155438D01*
+X99153910Y-78138168D01*
+X99140026Y-78119448D01*
+X99128044Y-78099457D01*
+X99118079Y-78078387D01*
+X99110227Y-78056443D01*
+X99104563Y-78033834D01*
+X99101144Y-78010779D01*
+X99100000Y-77987500D01*
+X99100000Y-77512500D01*
+X99101144Y-77489221D01*
+X99104563Y-77466166D01*
+X99110227Y-77443557D01*
+X99118079Y-77421613D01*
+X99128044Y-77400543D01*
+X99140026Y-77380552D01*
+X99153910Y-77361832D01*
+X99169562Y-77344562D01*
+X99186832Y-77328910D01*
+X99205552Y-77315026D01*
+X99225543Y-77303044D01*
+X99246613Y-77293079D01*
+X99268557Y-77285227D01*
+X99291166Y-77279563D01*
+X99314221Y-77276144D01*
+X99337500Y-77275000D01*
+X99912500Y-77275000D01*
+X99935779Y-77276144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X130485779Y-85126144D02*
+G01*
+X130508834Y-85129563D01*
+X130531443Y-85135227D01*
+X130553387Y-85143079D01*
+X130574457Y-85153044D01*
+X130594448Y-85165026D01*
+X130613168Y-85178910D01*
+X130630438Y-85194562D01*
+X130646090Y-85211832D01*
+X130659974Y-85230552D01*
+X130671956Y-85250543D01*
+X130681921Y-85271613D01*
+X130689773Y-85293557D01*
+X130695437Y-85316166D01*
+X130698856Y-85339221D01*
+X130700000Y-85362500D01*
+X130700000Y-85837500D01*
+X130698856Y-85860779D01*
+X130695437Y-85883834D01*
+X130689773Y-85906443D01*
+X130681921Y-85928387D01*
+X130671956Y-85949457D01*
+X130659974Y-85969448D01*
+X130646090Y-85988168D01*
+X130630438Y-86005438D01*
+X130613168Y-86021090D01*
+X130594448Y-86034974D01*
+X130574457Y-86046956D01*
+X130553387Y-86056921D01*
+X130531443Y-86064773D01*
+X130508834Y-86070437D01*
+X130485779Y-86073856D01*
+X130462500Y-86075000D01*
+X129887500Y-86075000D01*
+X129864221Y-86073856D01*
+X129841166Y-86070437D01*
+X129818557Y-86064773D01*
+X129796613Y-86056921D01*
+X129775543Y-86046956D01*
+X129755552Y-86034974D01*
+X129736832Y-86021090D01*
+X129719562Y-86005438D01*
+X129703910Y-85988168D01*
+X129690026Y-85969448D01*
+X129678044Y-85949457D01*
+X129668079Y-85928387D01*
+X129660227Y-85906443D01*
+X129654563Y-85883834D01*
+X129651144Y-85860779D01*
+X129650000Y-85837500D01*
+X129650000Y-85362500D01*
+X129651144Y-85339221D01*
+X129654563Y-85316166D01*
+X129660227Y-85293557D01*
+X129668079Y-85271613D01*
+X129678044Y-85250543D01*
+X129690026Y-85230552D01*
+X129703910Y-85211832D01*
+X129719562Y-85194562D01*
+X129736832Y-85178910D01*
+X129755552Y-85165026D01*
+X129775543Y-85153044D01*
+X129796613Y-85143079D01*
+X129818557Y-85135227D01*
+X129841166Y-85129563D01*
+X129864221Y-85126144D01*
+X129887500Y-85125000D01*
+X130462500Y-85125000D01*
+X130485779Y-85126144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X132235779Y-85126144D02*
+G01*
+X132258834Y-85129563D01*
+X132281443Y-85135227D01*
+X132303387Y-85143079D01*
+X132324457Y-85153044D01*
+X132344448Y-85165026D01*
+X132363168Y-85178910D01*
+X132380438Y-85194562D01*
+X132396090Y-85211832D01*
+X132409974Y-85230552D01*
+X132421956Y-85250543D01*
+X132431921Y-85271613D01*
+X132439773Y-85293557D01*
+X132445437Y-85316166D01*
+X132448856Y-85339221D01*
+X132450000Y-85362500D01*
+X132450000Y-85837500D01*
+X132448856Y-85860779D01*
+X132445437Y-85883834D01*
+X132439773Y-85906443D01*
+X132431921Y-85928387D01*
+X132421956Y-85949457D01*
+X132409974Y-85969448D01*
+X132396090Y-85988168D01*
+X132380438Y-86005438D01*
+X132363168Y-86021090D01*
+X132344448Y-86034974D01*
+X132324457Y-86046956D01*
+X132303387Y-86056921D01*
+X132281443Y-86064773D01*
+X132258834Y-86070437D01*
+X132235779Y-86073856D01*
+X132212500Y-86075000D01*
+X131637500Y-86075000D01*
+X131614221Y-86073856D01*
+X131591166Y-86070437D01*
+X131568557Y-86064773D01*
+X131546613Y-86056921D01*
+X131525543Y-86046956D01*
+X131505552Y-86034974D01*
+X131486832Y-86021090D01*
+X131469562Y-86005438D01*
+X131453910Y-85988168D01*
+X131440026Y-85969448D01*
+X131428044Y-85949457D01*
+X131418079Y-85928387D01*
+X131410227Y-85906443D01*
+X131404563Y-85883834D01*
+X131401144Y-85860779D01*
+X131400000Y-85837500D01*
+X131400000Y-85362500D01*
+X131401144Y-85339221D01*
+X131404563Y-85316166D01*
+X131410227Y-85293557D01*
+X131418079Y-85271613D01*
+X131428044Y-85250543D01*
+X131440026Y-85230552D01*
+X131453910Y-85211832D01*
+X131469562Y-85194562D01*
+X131486832Y-85178910D01*
+X131505552Y-85165026D01*
+X131525543Y-85153044D01*
+X131546613Y-85143079D01*
+X131568557Y-85135227D01*
+X131591166Y-85129563D01*
+X131614221Y-85126144D01*
+X131637500Y-85125000D01*
+X132212500Y-85125000D01*
+X132235779Y-85126144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X86099504Y-84026204D02*
+G01*
+X86123773Y-84029804D01*
+X86147571Y-84035765D01*
+X86170671Y-84044030D01*
+X86192849Y-84054520D01*
+X86213893Y-84067133D01*
+X86233598Y-84081747D01*
+X86251777Y-84098223D01*
+X86268253Y-84116402D01*
+X86282867Y-84136107D01*
+X86295480Y-84157151D01*
+X86305970Y-84179329D01*
+X86314235Y-84202429D01*
+X86320196Y-84226227D01*
+X86323796Y-84250496D01*
+X86325000Y-84275000D01*
+X86325000Y-85025000D01*
+X86323796Y-85049504D01*
+X86320196Y-85073773D01*
+X86314235Y-85097571D01*
+X86305970Y-85120671D01*
+X86295480Y-85142849D01*
+X86282867Y-85163893D01*
+X86268253Y-85183598D01*
+X86251777Y-85201777D01*
+X86233598Y-85218253D01*
+X86213893Y-85232867D01*
+X86192849Y-85245480D01*
+X86170671Y-85255970D01*
+X86147571Y-85264235D01*
+X86123773Y-85270196D01*
+X86099504Y-85273796D01*
+X86075000Y-85275000D01*
+X83925000Y-85275000D01*
+X83900496Y-85273796D01*
+X83876227Y-85270196D01*
+X83852429Y-85264235D01*
+X83829329Y-85255970D01*
+X83807151Y-85245480D01*
+X83786107Y-85232867D01*
+X83766402Y-85218253D01*
+X83748223Y-85201777D01*
+X83731747Y-85183598D01*
+X83717133Y-85163893D01*
+X83704520Y-85142849D01*
+X83694030Y-85120671D01*
+X83685765Y-85097571D01*
+X83679804Y-85073773D01*
+X83676204Y-85049504D01*
+X83675000Y-85025000D01*
+X83675000Y-84275000D01*
+X83676204Y-84250496D01*
+X83679804Y-84226227D01*
+X83685765Y-84202429D01*
+X83694030Y-84179329D01*
+X83704520Y-84157151D01*
+X83717133Y-84136107D01*
+X83731747Y-84116402D01*
+X83748223Y-84098223D01*
+X83766402Y-84081747D01*
+X83786107Y-84067133D01*
+X83807151Y-84054520D01*
+X83829329Y-84044030D01*
+X83852429Y-84035765D01*
+X83876227Y-84029804D01*
+X83900496Y-84026204D01*
+X83925000Y-84025000D01*
+X86075000Y-84025000D01*
+X86099504Y-84026204D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X86099504Y-81226204D02*
+G01*
+X86123773Y-81229804D01*
+X86147571Y-81235765D01*
+X86170671Y-81244030D01*
+X86192849Y-81254520D01*
+X86213893Y-81267133D01*
+X86233598Y-81281747D01*
+X86251777Y-81298223D01*
+X86268253Y-81316402D01*
+X86282867Y-81336107D01*
+X86295480Y-81357151D01*
+X86305970Y-81379329D01*
+X86314235Y-81402429D01*
+X86320196Y-81426227D01*
+X86323796Y-81450496D01*
+X86325000Y-81475000D01*
+X86325000Y-82225000D01*
+X86323796Y-82249504D01*
+X86320196Y-82273773D01*
+X86314235Y-82297571D01*
+X86305970Y-82320671D01*
+X86295480Y-82342849D01*
+X86282867Y-82363893D01*
+X86268253Y-82383598D01*
+X86251777Y-82401777D01*
+X86233598Y-82418253D01*
+X86213893Y-82432867D01*
+X86192849Y-82445480D01*
+X86170671Y-82455970D01*
+X86147571Y-82464235D01*
+X86123773Y-82470196D01*
+X86099504Y-82473796D01*
+X86075000Y-82475000D01*
+X83925000Y-82475000D01*
+X83900496Y-82473796D01*
+X83876227Y-82470196D01*
+X83852429Y-82464235D01*
+X83829329Y-82455970D01*
+X83807151Y-82445480D01*
+X83786107Y-82432867D01*
+X83766402Y-82418253D01*
+X83748223Y-82401777D01*
+X83731747Y-82383598D01*
+X83717133Y-82363893D01*
+X83704520Y-82342849D01*
+X83694030Y-82320671D01*
+X83685765Y-82297571D01*
+X83679804Y-82273773D01*
+X83676204Y-82249504D01*
+X83675000Y-82225000D01*
+X83675000Y-81475000D01*
+X83676204Y-81450496D01*
+X83679804Y-81426227D01*
+X83685765Y-81402429D01*
+X83694030Y-81379329D01*
+X83704520Y-81357151D01*
+X83717133Y-81336107D01*
+X83731747Y-81316402D01*
+X83748223Y-81298223D01*
+X83766402Y-81281747D01*
+X83786107Y-81267133D01*
+X83807151Y-81254520D01*
+X83829329Y-81244030D01*
+X83852429Y-81235765D01*
+X83876227Y-81229804D01*
+X83900496Y-81226204D01*
+X83925000Y-81225000D01*
+X86075000Y-81225000D01*
+X86099504Y-81226204D01*
+G37*
+G04 #@! TD.AperFunction*
+D19*
+X96250000Y-72250000D03*
+X100050000Y-72250000D03*
+D20*
+X144650000Y-88300000D03*
+X144650000Y-92300000D03*
+D21*
+X88000000Y-72500000D03*
+X92000000Y-72500000D03*
+D20*
+X131100000Y-88300000D03*
+X131100000Y-92300000D03*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+D10*
+G36*
+X110049504Y-58201204D02*
+G01*
+X110073773Y-58204804D01*
+X110097571Y-58210765D01*
+X110120671Y-58219030D01*
+X110142849Y-58229520D01*
+X110163893Y-58242133D01*
+X110183598Y-58256747D01*
+X110201777Y-58273223D01*
+X110218253Y-58291402D01*
+X110232867Y-58311107D01*
+X110245480Y-58332151D01*
+X110255970Y-58354329D01*
+X110264235Y-58377429D01*
+X110270196Y-58401227D01*
+X110273796Y-58425496D01*
+X110275000Y-58450000D01*
+X110275000Y-59375000D01*
+X110273796Y-59399504D01*
+X110270196Y-59423773D01*
+X110264235Y-59447571D01*
+X110255970Y-59470671D01*
+X110245480Y-59492849D01*
+X110232867Y-59513893D01*
+X110218253Y-59533598D01*
+X110201777Y-59551777D01*
+X110183598Y-59568253D01*
+X110163893Y-59582867D01*
+X110142849Y-59595480D01*
+X110120671Y-59605970D01*
+X110097571Y-59614235D01*
+X110073773Y-59620196D01*
+X110049504Y-59623796D01*
+X110025000Y-59625000D01*
+X108775000Y-59625000D01*
+X108750496Y-59623796D01*
+X108726227Y-59620196D01*
+X108702429Y-59614235D01*
+X108679329Y-59605970D01*
+X108657151Y-59595480D01*
+X108636107Y-59582867D01*
+X108616402Y-59568253D01*
+X108598223Y-59551777D01*
+X108581747Y-59533598D01*
+X108567133Y-59513893D01*
+X108554520Y-59492849D01*
+X108544030Y-59470671D01*
+X108535765Y-59447571D01*
+X108529804Y-59423773D01*
+X108526204Y-59399504D01*
+X108525000Y-59375000D01*
+X108525000Y-58450000D01*
+X108526204Y-58425496D01*
+X108529804Y-58401227D01*
+X108535765Y-58377429D01*
+X108544030Y-58354329D01*
+X108554520Y-58332151D01*
+X108567133Y-58311107D01*
+X108581747Y-58291402D01*
+X108598223Y-58273223D01*
+X108616402Y-58256747D01*
+X108636107Y-58242133D01*
+X108657151Y-58229520D01*
+X108679329Y-58219030D01*
+X108702429Y-58210765D01*
+X108726227Y-58204804D01*
+X108750496Y-58201204D01*
+X108775000Y-58200000D01*
+X110025000Y-58200000D01*
+X110049504Y-58201204D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X110049504Y-61176204D02*
+G01*
+X110073773Y-61179804D01*
+X110097571Y-61185765D01*
+X110120671Y-61194030D01*
+X110142849Y-61204520D01*
+X110163893Y-61217133D01*
+X110183598Y-61231747D01*
+X110201777Y-61248223D01*
+X110218253Y-61266402D01*
+X110232867Y-61286107D01*
+X110245480Y-61307151D01*
+X110255970Y-61329329D01*
+X110264235Y-61352429D01*
+X110270196Y-61376227D01*
+X110273796Y-61400496D01*
+X110275000Y-61425000D01*
+X110275000Y-62350000D01*
+X110273796Y-62374504D01*
+X110270196Y-62398773D01*
+X110264235Y-62422571D01*
+X110255970Y-62445671D01*
+X110245480Y-62467849D01*
+X110232867Y-62488893D01*
+X110218253Y-62508598D01*
+X110201777Y-62526777D01*
+X110183598Y-62543253D01*
+X110163893Y-62557867D01*
+X110142849Y-62570480D01*
+X110120671Y-62580970D01*
+X110097571Y-62589235D01*
+X110073773Y-62595196D01*
+X110049504Y-62598796D01*
+X110025000Y-62600000D01*
+X108775000Y-62600000D01*
+X108750496Y-62598796D01*
+X108726227Y-62595196D01*
+X108702429Y-62589235D01*
+X108679329Y-62580970D01*
+X108657151Y-62570480D01*
+X108636107Y-62557867D01*
+X108616402Y-62543253D01*
+X108598223Y-62526777D01*
+X108581747Y-62508598D01*
+X108567133Y-62488893D01*
+X108554520Y-62467849D01*
+X108544030Y-62445671D01*
+X108535765Y-62422571D01*
+X108529804Y-62398773D01*
+X108526204Y-62374504D01*
+X108525000Y-62350000D01*
+X108525000Y-61425000D01*
+X108526204Y-61400496D01*
+X108529804Y-61376227D01*
+X108535765Y-61352429D01*
+X108544030Y-61329329D01*
+X108554520Y-61307151D01*
+X108567133Y-61286107D01*
+X108581747Y-61266402D01*
+X108598223Y-61248223D01*
+X108616402Y-61231747D01*
+X108636107Y-61217133D01*
+X108657151Y-61204520D01*
+X108679329Y-61194030D01*
+X108702429Y-61185765D01*
+X108726227Y-61179804D01*
+X108750496Y-61176204D01*
+X108775000Y-61175000D01*
+X110025000Y-61175000D01*
+X110049504Y-61176204D01*
+G37*
+G04 #@! TD.AperFunction*
+D22*
+X109400000Y-68200000D03*
+D23*
+X109400000Y-66200000D03*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+D10*
+G36*
+X135549504Y-93788704D02*
+G01*
+X135573773Y-93792304D01*
+X135597571Y-93798265D01*
+X135620671Y-93806530D01*
+X135642849Y-93817020D01*
+X135663893Y-93829633D01*
+X135683598Y-93844247D01*
+X135701777Y-93860723D01*
+X135718253Y-93878902D01*
+X135732867Y-93898607D01*
+X135745480Y-93919651D01*
+X135755970Y-93941829D01*
+X135764235Y-93964929D01*
+X135770196Y-93988727D01*
+X135773796Y-94012996D01*
+X135775000Y-94037500D01*
+X135775000Y-94962500D01*
+X135773796Y-94987004D01*
+X135770196Y-95011273D01*
+X135764235Y-95035071D01*
+X135755970Y-95058171D01*
+X135745480Y-95080349D01*
+X135732867Y-95101393D01*
+X135718253Y-95121098D01*
+X135701777Y-95139277D01*
+X135683598Y-95155753D01*
+X135663893Y-95170367D01*
+X135642849Y-95182980D01*
+X135620671Y-95193470D01*
+X135597571Y-95201735D01*
+X135573773Y-95207696D01*
+X135549504Y-95211296D01*
+X135525000Y-95212500D01*
+X134275000Y-95212500D01*
+X134250496Y-95211296D01*
+X134226227Y-95207696D01*
+X134202429Y-95201735D01*
+X134179329Y-95193470D01*
+X134157151Y-95182980D01*
+X134136107Y-95170367D01*
+X134116402Y-95155753D01*
+X134098223Y-95139277D01*
+X134081747Y-95121098D01*
+X134067133Y-95101393D01*
+X134054520Y-95080349D01*
+X134044030Y-95058171D01*
+X134035765Y-95035071D01*
+X134029804Y-95011273D01*
+X134026204Y-94987004D01*
+X134025000Y-94962500D01*
+X134025000Y-94037500D01*
+X134026204Y-94012996D01*
+X134029804Y-93988727D01*
+X134035765Y-93964929D01*
+X134044030Y-93941829D01*
+X134054520Y-93919651D01*
+X134067133Y-93898607D01*
+X134081747Y-93878902D01*
+X134098223Y-93860723D01*
+X134116402Y-93844247D01*
+X134136107Y-93829633D01*
+X134157151Y-93817020D01*
+X134179329Y-93806530D01*
+X134202429Y-93798265D01*
+X134226227Y-93792304D01*
+X134250496Y-93788704D01*
+X134275000Y-93787500D01*
+X135525000Y-93787500D01*
+X135549504Y-93788704D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X135549504Y-90813704D02*
+G01*
+X135573773Y-90817304D01*
+X135597571Y-90823265D01*
+X135620671Y-90831530D01*
+X135642849Y-90842020D01*
+X135663893Y-90854633D01*
+X135683598Y-90869247D01*
+X135701777Y-90885723D01*
+X135718253Y-90903902D01*
+X135732867Y-90923607D01*
+X135745480Y-90944651D01*
+X135755970Y-90966829D01*
+X135764235Y-90989929D01*
+X135770196Y-91013727D01*
+X135773796Y-91037996D01*
+X135775000Y-91062500D01*
+X135775000Y-91987500D01*
+X135773796Y-92012004D01*
+X135770196Y-92036273D01*
+X135764235Y-92060071D01*
+X135755970Y-92083171D01*
+X135745480Y-92105349D01*
+X135732867Y-92126393D01*
+X135718253Y-92146098D01*
+X135701777Y-92164277D01*
+X135683598Y-92180753D01*
+X135663893Y-92195367D01*
+X135642849Y-92207980D01*
+X135620671Y-92218470D01*
+X135597571Y-92226735D01*
+X135573773Y-92232696D01*
+X135549504Y-92236296D01*
+X135525000Y-92237500D01*
+X134275000Y-92237500D01*
+X134250496Y-92236296D01*
+X134226227Y-92232696D01*
+X134202429Y-92226735D01*
+X134179329Y-92218470D01*
+X134157151Y-92207980D01*
+X134136107Y-92195367D01*
+X134116402Y-92180753D01*
+X134098223Y-92164277D01*
+X134081747Y-92146098D01*
+X134067133Y-92126393D01*
+X134054520Y-92105349D01*
+X134044030Y-92083171D01*
+X134035765Y-92060071D01*
+X134029804Y-92036273D01*
+X134026204Y-92012004D01*
+X134025000Y-91987500D01*
+X134025000Y-91062500D01*
+X134026204Y-91037996D01*
+X134029804Y-91013727D01*
+X134035765Y-90989929D01*
+X134044030Y-90966829D01*
+X134054520Y-90944651D01*
+X134067133Y-90923607D01*
+X134081747Y-90903902D01*
+X134098223Y-90885723D01*
+X134116402Y-90869247D01*
+X134136107Y-90854633D01*
+X134157151Y-90842020D01*
+X134179329Y-90831530D01*
+X134202429Y-90823265D01*
+X134226227Y-90817304D01*
+X134250496Y-90813704D01*
+X134275000Y-90812500D01*
+X135525000Y-90812500D01*
+X135549504Y-90813704D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X98010779Y-80851144D02*
+G01*
+X98033834Y-80854563D01*
+X98056443Y-80860227D01*
+X98078387Y-80868079D01*
+X98099457Y-80878044D01*
+X98119448Y-80890026D01*
+X98138168Y-80903910D01*
+X98155438Y-80919562D01*
+X98171090Y-80936832D01*
+X98184974Y-80955552D01*
+X98196956Y-80975543D01*
+X98206921Y-80996613D01*
+X98214773Y-81018557D01*
+X98220437Y-81041166D01*
+X98223856Y-81064221D01*
+X98225000Y-81087500D01*
+X98225000Y-81662500D01*
+X98223856Y-81685779D01*
+X98220437Y-81708834D01*
+X98214773Y-81731443D01*
+X98206921Y-81753387D01*
+X98196956Y-81774457D01*
+X98184974Y-81794448D01*
+X98171090Y-81813168D01*
+X98155438Y-81830438D01*
+X98138168Y-81846090D01*
+X98119448Y-81859974D01*
+X98099457Y-81871956D01*
+X98078387Y-81881921D01*
+X98056443Y-81889773D01*
+X98033834Y-81895437D01*
+X98010779Y-81898856D01*
+X97987500Y-81900000D01*
+X97512500Y-81900000D01*
+X97489221Y-81898856D01*
+X97466166Y-81895437D01*
+X97443557Y-81889773D01*
+X97421613Y-81881921D01*
+X97400543Y-81871956D01*
+X97380552Y-81859974D01*
+X97361832Y-81846090D01*
+X97344562Y-81830438D01*
+X97328910Y-81813168D01*
+X97315026Y-81794448D01*
+X97303044Y-81774457D01*
+X97293079Y-81753387D01*
+X97285227Y-81731443D01*
+X97279563Y-81708834D01*
+X97276144Y-81685779D01*
+X97275000Y-81662500D01*
+X97275000Y-81087500D01*
+X97276144Y-81064221D01*
+X97279563Y-81041166D01*
+X97285227Y-81018557D01*
+X97293079Y-80996613D01*
+X97303044Y-80975543D01*
+X97315026Y-80955552D01*
+X97328910Y-80936832D01*
+X97344562Y-80919562D01*
+X97361832Y-80903910D01*
+X97380552Y-80890026D01*
+X97400543Y-80878044D01*
+X97421613Y-80868079D01*
+X97443557Y-80860227D01*
+X97466166Y-80854563D01*
+X97489221Y-80851144D01*
+X97512500Y-80850000D01*
+X97987500Y-80850000D01*
+X98010779Y-80851144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X98010779Y-79101144D02*
+G01*
+X98033834Y-79104563D01*
+X98056443Y-79110227D01*
+X98078387Y-79118079D01*
+X98099457Y-79128044D01*
+X98119448Y-79140026D01*
+X98138168Y-79153910D01*
+X98155438Y-79169562D01*
+X98171090Y-79186832D01*
+X98184974Y-79205552D01*
+X98196956Y-79225543D01*
+X98206921Y-79246613D01*
+X98214773Y-79268557D01*
+X98220437Y-79291166D01*
+X98223856Y-79314221D01*
+X98225000Y-79337500D01*
+X98225000Y-79912500D01*
+X98223856Y-79935779D01*
+X98220437Y-79958834D01*
+X98214773Y-79981443D01*
+X98206921Y-80003387D01*
+X98196956Y-80024457D01*
+X98184974Y-80044448D01*
+X98171090Y-80063168D01*
+X98155438Y-80080438D01*
+X98138168Y-80096090D01*
+X98119448Y-80109974D01*
+X98099457Y-80121956D01*
+X98078387Y-80131921D01*
+X98056443Y-80139773D01*
+X98033834Y-80145437D01*
+X98010779Y-80148856D01*
+X97987500Y-80150000D01*
+X97512500Y-80150000D01*
+X97489221Y-80148856D01*
+X97466166Y-80145437D01*
+X97443557Y-80139773D01*
+X97421613Y-80131921D01*
+X97400543Y-80121956D01*
+X97380552Y-80109974D01*
+X97361832Y-80096090D01*
+X97344562Y-80080438D01*
+X97328910Y-80063168D01*
+X97315026Y-80044448D01*
+X97303044Y-80024457D01*
+X97293079Y-80003387D01*
+X97285227Y-79981443D01*
+X97279563Y-79958834D01*
+X97276144Y-79935779D01*
+X97275000Y-79912500D01*
+X97275000Y-79337500D01*
+X97276144Y-79314221D01*
+X97279563Y-79291166D01*
+X97285227Y-79268557D01*
+X97293079Y-79246613D01*
+X97303044Y-79225543D01*
+X97315026Y-79205552D01*
+X97328910Y-79186832D01*
+X97344562Y-79169562D01*
+X97361832Y-79153910D01*
+X97380552Y-79140026D01*
+X97400543Y-79128044D01*
+X97421613Y-79118079D01*
+X97443557Y-79110227D01*
+X97466166Y-79104563D01*
+X97489221Y-79101144D01*
+X97512500Y-79100000D01*
+X97987500Y-79100000D01*
+X98010779Y-79101144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X98185779Y-75526144D02*
+G01*
+X98208834Y-75529563D01*
+X98231443Y-75535227D01*
+X98253387Y-75543079D01*
+X98274457Y-75553044D01*
+X98294448Y-75565026D01*
+X98313168Y-75578910D01*
+X98330438Y-75594562D01*
+X98346090Y-75611832D01*
+X98359974Y-75630552D01*
+X98371956Y-75650543D01*
+X98381921Y-75671613D01*
+X98389773Y-75693557D01*
+X98395437Y-75716166D01*
+X98398856Y-75739221D01*
+X98400000Y-75762500D01*
+X98400000Y-76237500D01*
+X98398856Y-76260779D01*
+X98395437Y-76283834D01*
+X98389773Y-76306443D01*
+X98381921Y-76328387D01*
+X98371956Y-76349457D01*
+X98359974Y-76369448D01*
+X98346090Y-76388168D01*
+X98330438Y-76405438D01*
+X98313168Y-76421090D01*
+X98294448Y-76434974D01*
+X98274457Y-76446956D01*
+X98253387Y-76456921D01*
+X98231443Y-76464773D01*
+X98208834Y-76470437D01*
+X98185779Y-76473856D01*
+X98162500Y-76475000D01*
+X97587500Y-76475000D01*
+X97564221Y-76473856D01*
+X97541166Y-76470437D01*
+X97518557Y-76464773D01*
+X97496613Y-76456921D01*
+X97475543Y-76446956D01*
+X97455552Y-76434974D01*
+X97436832Y-76421090D01*
+X97419562Y-76405438D01*
+X97403910Y-76388168D01*
+X97390026Y-76369448D01*
+X97378044Y-76349457D01*
+X97368079Y-76328387D01*
+X97360227Y-76306443D01*
+X97354563Y-76283834D01*
+X97351144Y-76260779D01*
+X97350000Y-76237500D01*
+X97350000Y-75762500D01*
+X97351144Y-75739221D01*
+X97354563Y-75716166D01*
+X97360227Y-75693557D01*
+X97368079Y-75671613D01*
+X97378044Y-75650543D01*
+X97390026Y-75630552D01*
+X97403910Y-75611832D01*
+X97419562Y-75594562D01*
+X97436832Y-75578910D01*
+X97455552Y-75565026D01*
+X97475543Y-75553044D01*
+X97496613Y-75543079D01*
+X97518557Y-75535227D01*
+X97541166Y-75529563D01*
+X97564221Y-75526144D01*
+X97587500Y-75525000D01*
+X98162500Y-75525000D01*
+X98185779Y-75526144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X99935779Y-75526144D02*
+G01*
+X99958834Y-75529563D01*
+X99981443Y-75535227D01*
+X100003387Y-75543079D01*
+X100024457Y-75553044D01*
+X100044448Y-75565026D01*
+X100063168Y-75578910D01*
+X100080438Y-75594562D01*
+X100096090Y-75611832D01*
+X100109974Y-75630552D01*
+X100121956Y-75650543D01*
+X100131921Y-75671613D01*
+X100139773Y-75693557D01*
+X100145437Y-75716166D01*
+X100148856Y-75739221D01*
+X100150000Y-75762500D01*
+X100150000Y-76237500D01*
+X100148856Y-76260779D01*
+X100145437Y-76283834D01*
+X100139773Y-76306443D01*
+X100131921Y-76328387D01*
+X100121956Y-76349457D01*
+X100109974Y-76369448D01*
+X100096090Y-76388168D01*
+X100080438Y-76405438D01*
+X100063168Y-76421090D01*
+X100044448Y-76434974D01*
+X100024457Y-76446956D01*
+X100003387Y-76456921D01*
+X99981443Y-76464773D01*
+X99958834Y-76470437D01*
+X99935779Y-76473856D01*
+X99912500Y-76475000D01*
+X99337500Y-76475000D01*
+X99314221Y-76473856D01*
+X99291166Y-76470437D01*
+X99268557Y-76464773D01*
+X99246613Y-76456921D01*
+X99225543Y-76446956D01*
+X99205552Y-76434974D01*
+X99186832Y-76421090D01*
+X99169562Y-76405438D01*
+X99153910Y-76388168D01*
+X99140026Y-76369448D01*
+X99128044Y-76349457D01*
+X99118079Y-76328387D01*
+X99110227Y-76306443D01*
+X99104563Y-76283834D01*
+X99101144Y-76260779D01*
+X99100000Y-76237500D01*
+X99100000Y-75762500D01*
+X99101144Y-75739221D01*
+X99104563Y-75716166D01*
+X99110227Y-75693557D01*
+X99118079Y-75671613D01*
+X99128044Y-75650543D01*
+X99140026Y-75630552D01*
+X99153910Y-75611832D01*
+X99169562Y-75594562D01*
+X99186832Y-75578910D01*
+X99205552Y-75565026D01*
+X99225543Y-75553044D01*
+X99246613Y-75543079D01*
+X99268557Y-75535227D01*
+X99291166Y-75529563D01*
+X99314221Y-75526144D01*
+X99337500Y-75525000D01*
+X99912500Y-75525000D01*
+X99935779Y-75526144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X89835779Y-81226144D02*
+G01*
+X89858834Y-81229563D01*
+X89881443Y-81235227D01*
+X89903387Y-81243079D01*
+X89924457Y-81253044D01*
+X89944448Y-81265026D01*
+X89963168Y-81278910D01*
+X89980438Y-81294562D01*
+X89996090Y-81311832D01*
+X90009974Y-81330552D01*
+X90021956Y-81350543D01*
+X90031921Y-81371613D01*
+X90039773Y-81393557D01*
+X90045437Y-81416166D01*
+X90048856Y-81439221D01*
+X90050000Y-81462500D01*
+X90050000Y-81937500D01*
+X90048856Y-81960779D01*
+X90045437Y-81983834D01*
+X90039773Y-82006443D01*
+X90031921Y-82028387D01*
+X90021956Y-82049457D01*
+X90009974Y-82069448D01*
+X89996090Y-82088168D01*
+X89980438Y-82105438D01*
+X89963168Y-82121090D01*
+X89944448Y-82134974D01*
+X89924457Y-82146956D01*
+X89903387Y-82156921D01*
+X89881443Y-82164773D01*
+X89858834Y-82170437D01*
+X89835779Y-82173856D01*
+X89812500Y-82175000D01*
+X89237500Y-82175000D01*
+X89214221Y-82173856D01*
+X89191166Y-82170437D01*
+X89168557Y-82164773D01*
+X89146613Y-82156921D01*
+X89125543Y-82146956D01*
+X89105552Y-82134974D01*
+X89086832Y-82121090D01*
+X89069562Y-82105438D01*
+X89053910Y-82088168D01*
+X89040026Y-82069448D01*
+X89028044Y-82049457D01*
+X89018079Y-82028387D01*
+X89010227Y-82006443D01*
+X89004563Y-81983834D01*
+X89001144Y-81960779D01*
+X89000000Y-81937500D01*
+X89000000Y-81462500D01*
+X89001144Y-81439221D01*
+X89004563Y-81416166D01*
+X89010227Y-81393557D01*
+X89018079Y-81371613D01*
+X89028044Y-81350543D01*
+X89040026Y-81330552D01*
+X89053910Y-81311832D01*
+X89069562Y-81294562D01*
+X89086832Y-81278910D01*
+X89105552Y-81265026D01*
+X89125543Y-81253044D01*
+X89146613Y-81243079D01*
+X89168557Y-81235227D01*
+X89191166Y-81229563D01*
+X89214221Y-81226144D01*
+X89237500Y-81225000D01*
+X89812500Y-81225000D01*
+X89835779Y-81226144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X88085779Y-81226144D02*
+G01*
+X88108834Y-81229563D01*
+X88131443Y-81235227D01*
+X88153387Y-81243079D01*
+X88174457Y-81253044D01*
+X88194448Y-81265026D01*
+X88213168Y-81278910D01*
+X88230438Y-81294562D01*
+X88246090Y-81311832D01*
+X88259974Y-81330552D01*
+X88271956Y-81350543D01*
+X88281921Y-81371613D01*
+X88289773Y-81393557D01*
+X88295437Y-81416166D01*
+X88298856Y-81439221D01*
+X88300000Y-81462500D01*
+X88300000Y-81937500D01*
+X88298856Y-81960779D01*
+X88295437Y-81983834D01*
+X88289773Y-82006443D01*
+X88281921Y-82028387D01*
+X88271956Y-82049457D01*
+X88259974Y-82069448D01*
+X88246090Y-82088168D01*
+X88230438Y-82105438D01*
+X88213168Y-82121090D01*
+X88194448Y-82134974D01*
+X88174457Y-82146956D01*
+X88153387Y-82156921D01*
+X88131443Y-82164773D01*
+X88108834Y-82170437D01*
+X88085779Y-82173856D01*
+X88062500Y-82175000D01*
+X87487500Y-82175000D01*
+X87464221Y-82173856D01*
+X87441166Y-82170437D01*
+X87418557Y-82164773D01*
+X87396613Y-82156921D01*
+X87375543Y-82146956D01*
+X87355552Y-82134974D01*
+X87336832Y-82121090D01*
+X87319562Y-82105438D01*
+X87303910Y-82088168D01*
+X87290026Y-82069448D01*
+X87278044Y-82049457D01*
+X87268079Y-82028387D01*
+X87260227Y-82006443D01*
+X87254563Y-81983834D01*
+X87251144Y-81960779D01*
+X87250000Y-81937500D01*
+X87250000Y-81462500D01*
+X87251144Y-81439221D01*
+X87254563Y-81416166D01*
+X87260227Y-81393557D01*
+X87268079Y-81371613D01*
+X87278044Y-81350543D01*
+X87290026Y-81330552D01*
+X87303910Y-81311832D01*
+X87319562Y-81294562D01*
+X87336832Y-81278910D01*
+X87355552Y-81265026D01*
+X87375543Y-81253044D01*
+X87396613Y-81243079D01*
+X87418557Y-81235227D01*
+X87441166Y-81229563D01*
+X87464221Y-81226144D01*
+X87487500Y-81225000D01*
+X88062500Y-81225000D01*
+X88085779Y-81226144D01*
+G37*
+G04 #@! TD.AperFunction*
+D24*
+X75900000Y-87950000D03*
+D25*
+X80900000Y-87950000D03*
+D18*
+X156600000Y-84600000D03*
+X153750000Y-89000000D03*
+X154700000Y-86550000D03*
+X112700000Y-87050000D03*
+X110200000Y-88700000D03*
+X108200000Y-87700000D03*
+X98750000Y-63450000D03*
+X134350000Y-85400000D03*
+X98800000Y-60300000D03*
+X85400000Y-88400000D03*
+X85400000Y-91450000D03*
+D11*
+X99700000Y-88250000D03*
+X99700000Y-86350000D03*
+D26*
+X103600000Y-90050000D03*
+X103600000Y-91950000D03*
+X101400000Y-91950000D03*
+X101400000Y-91000000D03*
+X101400000Y-90050000D03*
+D11*
+X138550000Y-76900000D03*
+X138550000Y-75000000D03*
+X131000000Y-72300000D03*
+X131000000Y-74200000D03*
+D27*
+X132845000Y-73050000D03*
+X134115000Y-73050000D03*
+X135385000Y-73050000D03*
+X136655000Y-73050000D03*
+X136655000Y-78450000D03*
+X135385000Y-78450000D03*
+X134115000Y-78450000D03*
+X132845000Y-78450000D03*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+D10*
+G36*
+X159510779Y-63851144D02*
+G01*
+X159533834Y-63854563D01*
+X159556443Y-63860227D01*
+X159578387Y-63868079D01*
+X159599457Y-63878044D01*
+X159619448Y-63890026D01*
+X159638168Y-63903910D01*
+X159655438Y-63919562D01*
+X159671090Y-63936832D01*
+X159684974Y-63955552D01*
+X159696956Y-63975543D01*
+X159706921Y-63996613D01*
+X159714773Y-64018557D01*
+X159720437Y-64041166D01*
+X159723856Y-64064221D01*
+X159725000Y-64087500D01*
+X159725000Y-64662500D01*
+X159723856Y-64685779D01*
+X159720437Y-64708834D01*
+X159714773Y-64731443D01*
+X159706921Y-64753387D01*
+X159696956Y-64774457D01*
+X159684974Y-64794448D01*
+X159671090Y-64813168D01*
+X159655438Y-64830438D01*
+X159638168Y-64846090D01*
+X159619448Y-64859974D01*
+X159599457Y-64871956D01*
+X159578387Y-64881921D01*
+X159556443Y-64889773D01*
+X159533834Y-64895437D01*
+X159510779Y-64898856D01*
+X159487500Y-64900000D01*
+X159012500Y-64900000D01*
+X158989221Y-64898856D01*
+X158966166Y-64895437D01*
+X158943557Y-64889773D01*
+X158921613Y-64881921D01*
+X158900543Y-64871956D01*
+X158880552Y-64859974D01*
+X158861832Y-64846090D01*
+X158844562Y-64830438D01*
+X158828910Y-64813168D01*
+X158815026Y-64794448D01*
+X158803044Y-64774457D01*
+X158793079Y-64753387D01*
+X158785227Y-64731443D01*
+X158779563Y-64708834D01*
+X158776144Y-64685779D01*
+X158775000Y-64662500D01*
+X158775000Y-64087500D01*
+X158776144Y-64064221D01*
+X158779563Y-64041166D01*
+X158785227Y-64018557D01*
+X158793079Y-63996613D01*
+X158803044Y-63975543D01*
+X158815026Y-63955552D01*
+X158828910Y-63936832D01*
+X158844562Y-63919562D01*
+X158861832Y-63903910D01*
+X158880552Y-63890026D01*
+X158900543Y-63878044D01*
+X158921613Y-63868079D01*
+X158943557Y-63860227D01*
+X158966166Y-63854563D01*
+X158989221Y-63851144D01*
+X159012500Y-63850000D01*
+X159487500Y-63850000D01*
+X159510779Y-63851144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X159510779Y-65601144D02*
+G01*
+X159533834Y-65604563D01*
+X159556443Y-65610227D01*
+X159578387Y-65618079D01*
+X159599457Y-65628044D01*
+X159619448Y-65640026D01*
+X159638168Y-65653910D01*
+X159655438Y-65669562D01*
+X159671090Y-65686832D01*
+X159684974Y-65705552D01*
+X159696956Y-65725543D01*
+X159706921Y-65746613D01*
+X159714773Y-65768557D01*
+X159720437Y-65791166D01*
+X159723856Y-65814221D01*
+X159725000Y-65837500D01*
+X159725000Y-66412500D01*
+X159723856Y-66435779D01*
+X159720437Y-66458834D01*
+X159714773Y-66481443D01*
+X159706921Y-66503387D01*
+X159696956Y-66524457D01*
+X159684974Y-66544448D01*
+X159671090Y-66563168D01*
+X159655438Y-66580438D01*
+X159638168Y-66596090D01*
+X159619448Y-66609974D01*
+X159599457Y-66621956D01*
+X159578387Y-66631921D01*
+X159556443Y-66639773D01*
+X159533834Y-66645437D01*
+X159510779Y-66648856D01*
+X159487500Y-66650000D01*
+X159012500Y-66650000D01*
+X158989221Y-66648856D01*
+X158966166Y-66645437D01*
+X158943557Y-66639773D01*
+X158921613Y-66631921D01*
+X158900543Y-66621956D01*
+X158880552Y-66609974D01*
+X158861832Y-66596090D01*
+X158844562Y-66580438D01*
+X158828910Y-66563168D01*
+X158815026Y-66544448D01*
+X158803044Y-66524457D01*
+X158793079Y-66503387D01*
+X158785227Y-66481443D01*
+X158779563Y-66458834D01*
+X158776144Y-66435779D01*
+X158775000Y-66412500D01*
+X158775000Y-65837500D01*
+X158776144Y-65814221D01*
+X158779563Y-65791166D01*
+X158785227Y-65768557D01*
+X158793079Y-65746613D01*
+X158803044Y-65725543D01*
+X158815026Y-65705552D01*
+X158828910Y-65686832D01*
+X158844562Y-65669562D01*
+X158861832Y-65653910D01*
+X158880552Y-65640026D01*
+X158900543Y-65628044D01*
+X158921613Y-65618079D01*
+X158943557Y-65610227D01*
+X158966166Y-65604563D01*
+X158989221Y-65601144D01*
+X159012500Y-65600000D01*
+X159487500Y-65600000D01*
+X159510779Y-65601144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X99760779Y-91351144D02*
+G01*
+X99783834Y-91354563D01*
+X99806443Y-91360227D01*
+X99828387Y-91368079D01*
+X99849457Y-91378044D01*
+X99869448Y-91390026D01*
+X99888168Y-91403910D01*
+X99905438Y-91419562D01*
+X99921090Y-91436832D01*
+X99934974Y-91455552D01*
+X99946956Y-91475543D01*
+X99956921Y-91496613D01*
+X99964773Y-91518557D01*
+X99970437Y-91541166D01*
+X99973856Y-91564221D01*
+X99975000Y-91587500D01*
+X99975000Y-92162500D01*
+X99973856Y-92185779D01*
+X99970437Y-92208834D01*
+X99964773Y-92231443D01*
+X99956921Y-92253387D01*
+X99946956Y-92274457D01*
+X99934974Y-92294448D01*
+X99921090Y-92313168D01*
+X99905438Y-92330438D01*
+X99888168Y-92346090D01*
+X99869448Y-92359974D01*
+X99849457Y-92371956D01*
+X99828387Y-92381921D01*
+X99806443Y-92389773D01*
+X99783834Y-92395437D01*
+X99760779Y-92398856D01*
+X99737500Y-92400000D01*
+X99262500Y-92400000D01*
+X99239221Y-92398856D01*
+X99216166Y-92395437D01*
+X99193557Y-92389773D01*
+X99171613Y-92381921D01*
+X99150543Y-92371956D01*
+X99130552Y-92359974D01*
+X99111832Y-92346090D01*
+X99094562Y-92330438D01*
+X99078910Y-92313168D01*
+X99065026Y-92294448D01*
+X99053044Y-92274457D01*
+X99043079Y-92253387D01*
+X99035227Y-92231443D01*
+X99029563Y-92208834D01*
+X99026144Y-92185779D01*
+X99025000Y-92162500D01*
+X99025000Y-91587500D01*
+X99026144Y-91564221D01*
+X99029563Y-91541166D01*
+X99035227Y-91518557D01*
+X99043079Y-91496613D01*
+X99053044Y-91475543D01*
+X99065026Y-91455552D01*
+X99078910Y-91436832D01*
+X99094562Y-91419562D01*
+X99111832Y-91403910D01*
+X99130552Y-91390026D01*
+X99150543Y-91378044D01*
+X99171613Y-91368079D01*
+X99193557Y-91360227D01*
+X99216166Y-91354563D01*
+X99239221Y-91351144D01*
+X99262500Y-91350000D01*
+X99737500Y-91350000D01*
+X99760779Y-91351144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X99760779Y-89601144D02*
+G01*
+X99783834Y-89604563D01*
+X99806443Y-89610227D01*
+X99828387Y-89618079D01*
+X99849457Y-89628044D01*
+X99869448Y-89640026D01*
+X99888168Y-89653910D01*
+X99905438Y-89669562D01*
+X99921090Y-89686832D01*
+X99934974Y-89705552D01*
+X99946956Y-89725543D01*
+X99956921Y-89746613D01*
+X99964773Y-89768557D01*
+X99970437Y-89791166D01*
+X99973856Y-89814221D01*
+X99975000Y-89837500D01*
+X99975000Y-90412500D01*
+X99973856Y-90435779D01*
+X99970437Y-90458834D01*
+X99964773Y-90481443D01*
+X99956921Y-90503387D01*
+X99946956Y-90524457D01*
+X99934974Y-90544448D01*
+X99921090Y-90563168D01*
+X99905438Y-90580438D01*
+X99888168Y-90596090D01*
+X99869448Y-90609974D01*
+X99849457Y-90621956D01*
+X99828387Y-90631921D01*
+X99806443Y-90639773D01*
+X99783834Y-90645437D01*
+X99760779Y-90648856D01*
+X99737500Y-90650000D01*
+X99262500Y-90650000D01*
+X99239221Y-90648856D01*
+X99216166Y-90645437D01*
+X99193557Y-90639773D01*
+X99171613Y-90631921D01*
+X99150543Y-90621956D01*
+X99130552Y-90609974D01*
+X99111832Y-90596090D01*
+X99094562Y-90580438D01*
+X99078910Y-90563168D01*
+X99065026Y-90544448D01*
+X99053044Y-90524457D01*
+X99043079Y-90503387D01*
+X99035227Y-90481443D01*
+X99029563Y-90458834D01*
+X99026144Y-90435779D01*
+X99025000Y-90412500D01*
+X99025000Y-89837500D01*
+X99026144Y-89814221D01*
+X99029563Y-89791166D01*
+X99035227Y-89768557D01*
+X99043079Y-89746613D01*
+X99053044Y-89725543D01*
+X99065026Y-89705552D01*
+X99078910Y-89686832D01*
+X99094562Y-89669562D01*
+X99111832Y-89653910D01*
+X99130552Y-89640026D01*
+X99150543Y-89628044D01*
+X99171613Y-89618079D01*
+X99193557Y-89610227D01*
+X99216166Y-89604563D01*
+X99239221Y-89601144D01*
+X99262500Y-89600000D01*
+X99737500Y-89600000D01*
+X99760779Y-89601144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X107385779Y-91476144D02*
+G01*
+X107408834Y-91479563D01*
+X107431443Y-91485227D01*
+X107453387Y-91493079D01*
+X107474457Y-91503044D01*
+X107494448Y-91515026D01*
+X107513168Y-91528910D01*
+X107530438Y-91544562D01*
+X107546090Y-91561832D01*
+X107559974Y-91580552D01*
+X107571956Y-91600543D01*
+X107581921Y-91621613D01*
+X107589773Y-91643557D01*
+X107595437Y-91666166D01*
+X107598856Y-91689221D01*
+X107600000Y-91712500D01*
+X107600000Y-92187500D01*
+X107598856Y-92210779D01*
+X107595437Y-92233834D01*
+X107589773Y-92256443D01*
+X107581921Y-92278387D01*
+X107571956Y-92299457D01*
+X107559974Y-92319448D01*
+X107546090Y-92338168D01*
+X107530438Y-92355438D01*
+X107513168Y-92371090D01*
+X107494448Y-92384974D01*
+X107474457Y-92396956D01*
+X107453387Y-92406921D01*
+X107431443Y-92414773D01*
+X107408834Y-92420437D01*
+X107385779Y-92423856D01*
+X107362500Y-92425000D01*
+X106787500Y-92425000D01*
+X106764221Y-92423856D01*
+X106741166Y-92420437D01*
+X106718557Y-92414773D01*
+X106696613Y-92406921D01*
+X106675543Y-92396956D01*
+X106655552Y-92384974D01*
+X106636832Y-92371090D01*
+X106619562Y-92355438D01*
+X106603910Y-92338168D01*
+X106590026Y-92319448D01*
+X106578044Y-92299457D01*
+X106568079Y-92278387D01*
+X106560227Y-92256443D01*
+X106554563Y-92233834D01*
+X106551144Y-92210779D01*
+X106550000Y-92187500D01*
+X106550000Y-91712500D01*
+X106551144Y-91689221D01*
+X106554563Y-91666166D01*
+X106560227Y-91643557D01*
+X106568079Y-91621613D01*
+X106578044Y-91600543D01*
+X106590026Y-91580552D01*
+X106603910Y-91561832D01*
+X106619562Y-91544562D01*
+X106636832Y-91528910D01*
+X106655552Y-91515026D01*
+X106675543Y-91503044D01*
+X106696613Y-91493079D01*
+X106718557Y-91485227D01*
+X106741166Y-91479563D01*
+X106764221Y-91476144D01*
+X106787500Y-91475000D01*
+X107362500Y-91475000D01*
+X107385779Y-91476144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X105635779Y-91476144D02*
+G01*
+X105658834Y-91479563D01*
+X105681443Y-91485227D01*
+X105703387Y-91493079D01*
+X105724457Y-91503044D01*
+X105744448Y-91515026D01*
+X105763168Y-91528910D01*
+X105780438Y-91544562D01*
+X105796090Y-91561832D01*
+X105809974Y-91580552D01*
+X105821956Y-91600543D01*
+X105831921Y-91621613D01*
+X105839773Y-91643557D01*
+X105845437Y-91666166D01*
+X105848856Y-91689221D01*
+X105850000Y-91712500D01*
+X105850000Y-92187500D01*
+X105848856Y-92210779D01*
+X105845437Y-92233834D01*
+X105839773Y-92256443D01*
+X105831921Y-92278387D01*
+X105821956Y-92299457D01*
+X105809974Y-92319448D01*
+X105796090Y-92338168D01*
+X105780438Y-92355438D01*
+X105763168Y-92371090D01*
+X105744448Y-92384974D01*
+X105724457Y-92396956D01*
+X105703387Y-92406921D01*
+X105681443Y-92414773D01*
+X105658834Y-92420437D01*
+X105635779Y-92423856D01*
+X105612500Y-92425000D01*
+X105037500Y-92425000D01*
+X105014221Y-92423856D01*
+X104991166Y-92420437D01*
+X104968557Y-92414773D01*
+X104946613Y-92406921D01*
+X104925543Y-92396956D01*
+X104905552Y-92384974D01*
+X104886832Y-92371090D01*
+X104869562Y-92355438D01*
+X104853910Y-92338168D01*
+X104840026Y-92319448D01*
+X104828044Y-92299457D01*
+X104818079Y-92278387D01*
+X104810227Y-92256443D01*
+X104804563Y-92233834D01*
+X104801144Y-92210779D01*
+X104800000Y-92187500D01*
+X104800000Y-91712500D01*
+X104801144Y-91689221D01*
+X104804563Y-91666166D01*
+X104810227Y-91643557D01*
+X104818079Y-91621613D01*
+X104828044Y-91600543D01*
+X104840026Y-91580552D01*
+X104853910Y-91561832D01*
+X104869562Y-91544562D01*
+X104886832Y-91528910D01*
+X104905552Y-91515026D01*
+X104925543Y-91503044D01*
+X104946613Y-91493079D01*
+X104968557Y-91485227D01*
+X104991166Y-91479563D01*
+X105014221Y-91476144D01*
+X105037500Y-91475000D01*
+X105612500Y-91475000D01*
+X105635779Y-91476144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X105085779Y-87826144D02*
+G01*
+X105108834Y-87829563D01*
+X105131443Y-87835227D01*
+X105153387Y-87843079D01*
+X105174457Y-87853044D01*
+X105194448Y-87865026D01*
+X105213168Y-87878910D01*
+X105230438Y-87894562D01*
+X105246090Y-87911832D01*
+X105259974Y-87930552D01*
+X105271956Y-87950543D01*
+X105281921Y-87971613D01*
+X105289773Y-87993557D01*
+X105295437Y-88016166D01*
+X105298856Y-88039221D01*
+X105300000Y-88062500D01*
+X105300000Y-88537500D01*
+X105298856Y-88560779D01*
+X105295437Y-88583834D01*
+X105289773Y-88606443D01*
+X105281921Y-88628387D01*
+X105271956Y-88649457D01*
+X105259974Y-88669448D01*
+X105246090Y-88688168D01*
+X105230438Y-88705438D01*
+X105213168Y-88721090D01*
+X105194448Y-88734974D01*
+X105174457Y-88746956D01*
+X105153387Y-88756921D01*
+X105131443Y-88764773D01*
+X105108834Y-88770437D01*
+X105085779Y-88773856D01*
+X105062500Y-88775000D01*
+X104487500Y-88775000D01*
+X104464221Y-88773856D01*
+X104441166Y-88770437D01*
+X104418557Y-88764773D01*
+X104396613Y-88756921D01*
+X104375543Y-88746956D01*
+X104355552Y-88734974D01*
+X104336832Y-88721090D01*
+X104319562Y-88705438D01*
+X104303910Y-88688168D01*
+X104290026Y-88669448D01*
+X104278044Y-88649457D01*
+X104268079Y-88628387D01*
+X104260227Y-88606443D01*
+X104254563Y-88583834D01*
+X104251144Y-88560779D01*
+X104250000Y-88537500D01*
+X104250000Y-88062500D01*
+X104251144Y-88039221D01*
+X104254563Y-88016166D01*
+X104260227Y-87993557D01*
+X104268079Y-87971613D01*
+X104278044Y-87950543D01*
+X104290026Y-87930552D01*
+X104303910Y-87911832D01*
+X104319562Y-87894562D01*
+X104336832Y-87878910D01*
+X104355552Y-87865026D01*
+X104375543Y-87853044D01*
+X104396613Y-87843079D01*
+X104418557Y-87835227D01*
+X104441166Y-87829563D01*
+X104464221Y-87826144D01*
+X104487500Y-87825000D01*
+X105062500Y-87825000D01*
+X105085779Y-87826144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X103335779Y-87826144D02*
+G01*
+X103358834Y-87829563D01*
+X103381443Y-87835227D01*
+X103403387Y-87843079D01*
+X103424457Y-87853044D01*
+X103444448Y-87865026D01*
+X103463168Y-87878910D01*
+X103480438Y-87894562D01*
+X103496090Y-87911832D01*
+X103509974Y-87930552D01*
+X103521956Y-87950543D01*
+X103531921Y-87971613D01*
+X103539773Y-87993557D01*
+X103545437Y-88016166D01*
+X103548856Y-88039221D01*
+X103550000Y-88062500D01*
+X103550000Y-88537500D01*
+X103548856Y-88560779D01*
+X103545437Y-88583834D01*
+X103539773Y-88606443D01*
+X103531921Y-88628387D01*
+X103521956Y-88649457D01*
+X103509974Y-88669448D01*
+X103496090Y-88688168D01*
+X103480438Y-88705438D01*
+X103463168Y-88721090D01*
+X103444448Y-88734974D01*
+X103424457Y-88746956D01*
+X103403387Y-88756921D01*
+X103381443Y-88764773D01*
+X103358834Y-88770437D01*
+X103335779Y-88773856D01*
+X103312500Y-88775000D01*
+X102737500Y-88775000D01*
+X102714221Y-88773856D01*
+X102691166Y-88770437D01*
+X102668557Y-88764773D01*
+X102646613Y-88756921D01*
+X102625543Y-88746956D01*
+X102605552Y-88734974D01*
+X102586832Y-88721090D01*
+X102569562Y-88705438D01*
+X102553910Y-88688168D01*
+X102540026Y-88669448D01*
+X102528044Y-88649457D01*
+X102518079Y-88628387D01*
+X102510227Y-88606443D01*
+X102504563Y-88583834D01*
+X102501144Y-88560779D01*
+X102500000Y-88537500D01*
+X102500000Y-88062500D01*
+X102501144Y-88039221D01*
+X102504563Y-88016166D01*
+X102510227Y-87993557D01*
+X102518079Y-87971613D01*
+X102528044Y-87950543D01*
+X102540026Y-87930552D01*
+X102553910Y-87911832D01*
+X102569562Y-87894562D01*
+X102586832Y-87878910D01*
+X102605552Y-87865026D01*
+X102625543Y-87853044D01*
+X102646613Y-87843079D01*
+X102668557Y-87835227D01*
+X102691166Y-87829563D01*
+X102714221Y-87826144D01*
+X102737500Y-87825000D01*
+X103312500Y-87825000D01*
+X103335779Y-87826144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X101560779Y-85901144D02*
+G01*
+X101583834Y-85904563D01*
+X101606443Y-85910227D01*
+X101628387Y-85918079D01*
+X101649457Y-85928044D01*
+X101669448Y-85940026D01*
+X101688168Y-85953910D01*
+X101705438Y-85969562D01*
+X101721090Y-85986832D01*
+X101734974Y-86005552D01*
+X101746956Y-86025543D01*
+X101756921Y-86046613D01*
+X101764773Y-86068557D01*
+X101770437Y-86091166D01*
+X101773856Y-86114221D01*
+X101775000Y-86137500D01*
+X101775000Y-86712500D01*
+X101773856Y-86735779D01*
+X101770437Y-86758834D01*
+X101764773Y-86781443D01*
+X101756921Y-86803387D01*
+X101746956Y-86824457D01*
+X101734974Y-86844448D01*
+X101721090Y-86863168D01*
+X101705438Y-86880438D01*
+X101688168Y-86896090D01*
+X101669448Y-86909974D01*
+X101649457Y-86921956D01*
+X101628387Y-86931921D01*
+X101606443Y-86939773D01*
+X101583834Y-86945437D01*
+X101560779Y-86948856D01*
+X101537500Y-86950000D01*
+X101062500Y-86950000D01*
+X101039221Y-86948856D01*
+X101016166Y-86945437D01*
+X100993557Y-86939773D01*
+X100971613Y-86931921D01*
+X100950543Y-86921956D01*
+X100930552Y-86909974D01*
+X100911832Y-86896090D01*
+X100894562Y-86880438D01*
+X100878910Y-86863168D01*
+X100865026Y-86844448D01*
+X100853044Y-86824457D01*
+X100843079Y-86803387D01*
+X100835227Y-86781443D01*
+X100829563Y-86758834D01*
+X100826144Y-86735779D01*
+X100825000Y-86712500D01*
+X100825000Y-86137500D01*
+X100826144Y-86114221D01*
+X100829563Y-86091166D01*
+X100835227Y-86068557D01*
+X100843079Y-86046613D01*
+X100853044Y-86025543D01*
+X100865026Y-86005552D01*
+X100878910Y-85986832D01*
+X100894562Y-85969562D01*
+X100911832Y-85953910D01*
+X100930552Y-85940026D01*
+X100950543Y-85928044D01*
+X100971613Y-85918079D01*
+X100993557Y-85910227D01*
+X101016166Y-85904563D01*
+X101039221Y-85901144D01*
+X101062500Y-85900000D01*
+X101537500Y-85900000D01*
+X101560779Y-85901144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X101560779Y-87651144D02*
+G01*
+X101583834Y-87654563D01*
+X101606443Y-87660227D01*
+X101628387Y-87668079D01*
+X101649457Y-87678044D01*
+X101669448Y-87690026D01*
+X101688168Y-87703910D01*
+X101705438Y-87719562D01*
+X101721090Y-87736832D01*
+X101734974Y-87755552D01*
+X101746956Y-87775543D01*
+X101756921Y-87796613D01*
+X101764773Y-87818557D01*
+X101770437Y-87841166D01*
+X101773856Y-87864221D01*
+X101775000Y-87887500D01*
+X101775000Y-88462500D01*
+X101773856Y-88485779D01*
+X101770437Y-88508834D01*
+X101764773Y-88531443D01*
+X101756921Y-88553387D01*
+X101746956Y-88574457D01*
+X101734974Y-88594448D01*
+X101721090Y-88613168D01*
+X101705438Y-88630438D01*
+X101688168Y-88646090D01*
+X101669448Y-88659974D01*
+X101649457Y-88671956D01*
+X101628387Y-88681921D01*
+X101606443Y-88689773D01*
+X101583834Y-88695437D01*
+X101560779Y-88698856D01*
+X101537500Y-88700000D01*
+X101062500Y-88700000D01*
+X101039221Y-88698856D01*
+X101016166Y-88695437D01*
+X100993557Y-88689773D01*
+X100971613Y-88681921D01*
+X100950543Y-88671956D01*
+X100930552Y-88659974D01*
+X100911832Y-88646090D01*
+X100894562Y-88630438D01*
+X100878910Y-88613168D01*
+X100865026Y-88594448D01*
+X100853044Y-88574457D01*
+X100843079Y-88553387D01*
+X100835227Y-88531443D01*
+X100829563Y-88508834D01*
+X100826144Y-88485779D01*
+X100825000Y-88462500D01*
+X100825000Y-87887500D01*
+X100826144Y-87864221D01*
+X100829563Y-87841166D01*
+X100835227Y-87818557D01*
+X100843079Y-87796613D01*
+X100853044Y-87775543D01*
+X100865026Y-87755552D01*
+X100878910Y-87736832D01*
+X100894562Y-87719562D01*
+X100911832Y-87703910D01*
+X100930552Y-87690026D01*
+X100950543Y-87678044D01*
+X100971613Y-87668079D01*
+X100993557Y-87660227D01*
+X101016166Y-87654563D01*
+X101039221Y-87651144D01*
+X101062500Y-87650000D01*
+X101537500Y-87650000D01*
+X101560779Y-87651144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X122710779Y-86426144D02*
+G01*
+X122733834Y-86429563D01*
+X122756443Y-86435227D01*
+X122778387Y-86443079D01*
+X122799457Y-86453044D01*
+X122819448Y-86465026D01*
+X122838168Y-86478910D01*
+X122855438Y-86494562D01*
+X122871090Y-86511832D01*
+X122884974Y-86530552D01*
+X122896956Y-86550543D01*
+X122906921Y-86571613D01*
+X122914773Y-86593557D01*
+X122920437Y-86616166D01*
+X122923856Y-86639221D01*
+X122925000Y-86662500D01*
+X122925000Y-87237500D01*
+X122923856Y-87260779D01*
+X122920437Y-87283834D01*
+X122914773Y-87306443D01*
+X122906921Y-87328387D01*
+X122896956Y-87349457D01*
+X122884974Y-87369448D01*
+X122871090Y-87388168D01*
+X122855438Y-87405438D01*
+X122838168Y-87421090D01*
+X122819448Y-87434974D01*
+X122799457Y-87446956D01*
+X122778387Y-87456921D01*
+X122756443Y-87464773D01*
+X122733834Y-87470437D01*
+X122710779Y-87473856D01*
+X122687500Y-87475000D01*
+X122212500Y-87475000D01*
+X122189221Y-87473856D01*
+X122166166Y-87470437D01*
+X122143557Y-87464773D01*
+X122121613Y-87456921D01*
+X122100543Y-87446956D01*
+X122080552Y-87434974D01*
+X122061832Y-87421090D01*
+X122044562Y-87405438D01*
+X122028910Y-87388168D01*
+X122015026Y-87369448D01*
+X122003044Y-87349457D01*
+X121993079Y-87328387D01*
+X121985227Y-87306443D01*
+X121979563Y-87283834D01*
+X121976144Y-87260779D01*
+X121975000Y-87237500D01*
+X121975000Y-86662500D01*
+X121976144Y-86639221D01*
+X121979563Y-86616166D01*
+X121985227Y-86593557D01*
+X121993079Y-86571613D01*
+X122003044Y-86550543D01*
+X122015026Y-86530552D01*
+X122028910Y-86511832D01*
+X122044562Y-86494562D01*
+X122061832Y-86478910D01*
+X122080552Y-86465026D01*
+X122100543Y-86453044D01*
+X122121613Y-86443079D01*
+X122143557Y-86435227D01*
+X122166166Y-86429563D01*
+X122189221Y-86426144D01*
+X122212500Y-86425000D01*
+X122687500Y-86425000D01*
+X122710779Y-86426144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X122710779Y-84676144D02*
+G01*
+X122733834Y-84679563D01*
+X122756443Y-84685227D01*
+X122778387Y-84693079D01*
+X122799457Y-84703044D01*
+X122819448Y-84715026D01*
+X122838168Y-84728910D01*
+X122855438Y-84744562D01*
+X122871090Y-84761832D01*
+X122884974Y-84780552D01*
+X122896956Y-84800543D01*
+X122906921Y-84821613D01*
+X122914773Y-84843557D01*
+X122920437Y-84866166D01*
+X122923856Y-84889221D01*
+X122925000Y-84912500D01*
+X122925000Y-85487500D01*
+X122923856Y-85510779D01*
+X122920437Y-85533834D01*
+X122914773Y-85556443D01*
+X122906921Y-85578387D01*
+X122896956Y-85599457D01*
+X122884974Y-85619448D01*
+X122871090Y-85638168D01*
+X122855438Y-85655438D01*
+X122838168Y-85671090D01*
+X122819448Y-85684974D01*
+X122799457Y-85696956D01*
+X122778387Y-85706921D01*
+X122756443Y-85714773D01*
+X122733834Y-85720437D01*
+X122710779Y-85723856D01*
+X122687500Y-85725000D01*
+X122212500Y-85725000D01*
+X122189221Y-85723856D01*
+X122166166Y-85720437D01*
+X122143557Y-85714773D01*
+X122121613Y-85706921D01*
+X122100543Y-85696956D01*
+X122080552Y-85684974D01*
+X122061832Y-85671090D01*
+X122044562Y-85655438D01*
+X122028910Y-85638168D01*
+X122015026Y-85619448D01*
+X122003044Y-85599457D01*
+X121993079Y-85578387D01*
+X121985227Y-85556443D01*
+X121979563Y-85533834D01*
+X121976144Y-85510779D01*
+X121975000Y-85487500D01*
+X121975000Y-84912500D01*
+X121976144Y-84889221D01*
+X121979563Y-84866166D01*
+X121985227Y-84843557D01*
+X121993079Y-84821613D01*
+X122003044Y-84800543D01*
+X122015026Y-84780552D01*
+X122028910Y-84761832D01*
+X122044562Y-84744562D01*
+X122061832Y-84728910D01*
+X122080552Y-84715026D01*
+X122100543Y-84703044D01*
+X122121613Y-84693079D01*
+X122143557Y-84685227D01*
+X122166166Y-84679563D01*
+X122189221Y-84676144D01*
+X122212500Y-84675000D01*
+X122687500Y-84675000D01*
+X122710779Y-84676144D01*
+G37*
+G04 #@! TD.AperFunction*
+D11*
+X122200000Y-119050000D03*
+X122200000Y-120950000D03*
+D28*
+X155780000Y-77200000D03*
+X158320000Y-77200000D03*
+X160860000Y-77200000D03*
+D29*
+X163400000Y-77200000D03*
+D30*
+X96000000Y-87300000D03*
+X95500000Y-87300000D03*
+X95000000Y-87300000D03*
+X94500000Y-87300000D03*
+X94000000Y-87300000D03*
+X94000000Y-91700000D03*
+X94500000Y-91700000D03*
+X95000000Y-91700000D03*
+X95500000Y-91700000D03*
+X96000000Y-91700000D03*
+D31*
+X119450000Y-75475000D03*
+X119450000Y-76125000D03*
+X119450000Y-76775000D03*
+X119450000Y-77425000D03*
+X119450000Y-78075000D03*
+X119450000Y-78725000D03*
+X119450000Y-79375000D03*
+X119450000Y-80025000D03*
+X119450000Y-80675000D03*
+X119450000Y-81325000D03*
+X125350000Y-81325000D03*
+X125350000Y-80675000D03*
+X125350000Y-80025000D03*
+X125350000Y-79375000D03*
+X125350000Y-78725000D03*
+X125350000Y-78075000D03*
+X125350000Y-77425000D03*
+X125350000Y-76775000D03*
+X125350000Y-76125000D03*
+X125350000Y-75475000D03*
+D32*
+X129450000Y-100000000D03*
+D33*
+X133500000Y-101905000D03*
+X133500000Y-100635000D03*
+X133500000Y-99365000D03*
+X133500000Y-98095000D03*
+D34*
+X126525000Y-98095000D03*
+X126525000Y-99365000D03*
+X126525000Y-100635000D03*
+X126525000Y-101905000D03*
+D32*
+X129450000Y-140000000D03*
+D33*
+X133500000Y-141905000D03*
+X133500000Y-140635000D03*
+X133500000Y-139365000D03*
+X133500000Y-138095000D03*
+D34*
+X126525000Y-138095000D03*
+X126525000Y-139365000D03*
+X126525000Y-140635000D03*
+X126525000Y-141905000D03*
+D32*
+X130550000Y-113333300D03*
+D33*
+X126500000Y-111428300D03*
+X126500000Y-112698300D03*
+X126500000Y-113968300D03*
+X126500000Y-115238300D03*
+D34*
+X133475000Y-115238300D03*
+X133475000Y-113968300D03*
+X133475000Y-112698300D03*
+X133475000Y-111428300D03*
+D32*
+X130550000Y-126666700D03*
+D33*
+X126500000Y-124761700D03*
+X126500000Y-126031700D03*
+X126500000Y-127301700D03*
+X126500000Y-128571700D03*
+D34*
+X133475000Y-128571700D03*
+X133475000Y-127301700D03*
+X133475000Y-126031700D03*
+X133475000Y-124761700D03*
+D11*
+X128600000Y-77350000D03*
+X128600000Y-79250000D03*
+X156000000Y-90850000D03*
+X156000000Y-92750000D03*
+D35*
+X114450000Y-80450000D03*
+X112550000Y-80450000D03*
+X108850000Y-91500000D03*
+X110750000Y-91500000D03*
+D11*
+X117000000Y-75450000D03*
+X117000000Y-73550000D03*
+D35*
+X140500000Y-84850000D03*
+X138600000Y-84850000D03*
+D36*
+X133470000Y-152000000D03*
+D37*
+X136130000Y-152000000D03*
+X86330000Y-152000000D03*
+D36*
+X83670000Y-152000000D03*
+D37*
+X86330000Y-147000000D03*
+D36*
+X83670000Y-147000000D03*
+X133470000Y-147000000D03*
+D37*
+X136130000Y-147000000D03*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+D10*
+G36*
+X116235779Y-92676144D02*
+G01*
+X116258834Y-92679563D01*
+X116281443Y-92685227D01*
+X116303387Y-92693079D01*
+X116324457Y-92703044D01*
+X116344448Y-92715026D01*
+X116363168Y-92728910D01*
+X116380438Y-92744562D01*
+X116396090Y-92761832D01*
+X116409974Y-92780552D01*
+X116421956Y-92800543D01*
+X116431921Y-92821613D01*
+X116439773Y-92843557D01*
+X116445437Y-92866166D01*
+X116448856Y-92889221D01*
+X116450000Y-92912500D01*
+X116450000Y-93387500D01*
+X116448856Y-93410779D01*
+X116445437Y-93433834D01*
+X116439773Y-93456443D01*
+X116431921Y-93478387D01*
+X116421956Y-93499457D01*
+X116409974Y-93519448D01*
+X116396090Y-93538168D01*
+X116380438Y-93555438D01*
+X116363168Y-93571090D01*
+X116344448Y-93584974D01*
+X116324457Y-93596956D01*
+X116303387Y-93606921D01*
+X116281443Y-93614773D01*
+X116258834Y-93620437D01*
+X116235779Y-93623856D01*
+X116212500Y-93625000D01*
+X115637500Y-93625000D01*
+X115614221Y-93623856D01*
+X115591166Y-93620437D01*
+X115568557Y-93614773D01*
+X115546613Y-93606921D01*
+X115525543Y-93596956D01*
+X115505552Y-93584974D01*
+X115486832Y-93571090D01*
+X115469562Y-93555438D01*
+X115453910Y-93538168D01*
+X115440026Y-93519448D01*
+X115428044Y-93499457D01*
+X115418079Y-93478387D01*
+X115410227Y-93456443D01*
+X115404563Y-93433834D01*
+X115401144Y-93410779D01*
+X115400000Y-93387500D01*
+X115400000Y-92912500D01*
+X115401144Y-92889221D01*
+X115404563Y-92866166D01*
+X115410227Y-92843557D01*
+X115418079Y-92821613D01*
+X115428044Y-92800543D01*
+X115440026Y-92780552D01*
+X115453910Y-92761832D01*
+X115469562Y-92744562D01*
+X115486832Y-92728910D01*
+X115505552Y-92715026D01*
+X115525543Y-92703044D01*
+X115546613Y-92693079D01*
+X115568557Y-92685227D01*
+X115591166Y-92679563D01*
+X115614221Y-92676144D01*
+X115637500Y-92675000D01*
+X116212500Y-92675000D01*
+X116235779Y-92676144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X117985779Y-92676144D02*
+G01*
+X118008834Y-92679563D01*
+X118031443Y-92685227D01*
+X118053387Y-92693079D01*
+X118074457Y-92703044D01*
+X118094448Y-92715026D01*
+X118113168Y-92728910D01*
+X118130438Y-92744562D01*
+X118146090Y-92761832D01*
+X118159974Y-92780552D01*
+X118171956Y-92800543D01*
+X118181921Y-92821613D01*
+X118189773Y-92843557D01*
+X118195437Y-92866166D01*
+X118198856Y-92889221D01*
+X118200000Y-92912500D01*
+X118200000Y-93387500D01*
+X118198856Y-93410779D01*
+X118195437Y-93433834D01*
+X118189773Y-93456443D01*
+X118181921Y-93478387D01*
+X118171956Y-93499457D01*
+X118159974Y-93519448D01*
+X118146090Y-93538168D01*
+X118130438Y-93555438D01*
+X118113168Y-93571090D01*
+X118094448Y-93584974D01*
+X118074457Y-93596956D01*
+X118053387Y-93606921D01*
+X118031443Y-93614773D01*
+X118008834Y-93620437D01*
+X117985779Y-93623856D01*
+X117962500Y-93625000D01*
+X117387500Y-93625000D01*
+X117364221Y-93623856D01*
+X117341166Y-93620437D01*
+X117318557Y-93614773D01*
+X117296613Y-93606921D01*
+X117275543Y-93596956D01*
+X117255552Y-93584974D01*
+X117236832Y-93571090D01*
+X117219562Y-93555438D01*
+X117203910Y-93538168D01*
+X117190026Y-93519448D01*
+X117178044Y-93499457D01*
+X117168079Y-93478387D01*
+X117160227Y-93456443D01*
+X117154563Y-93433834D01*
+X117151144Y-93410779D01*
+X117150000Y-93387500D01*
+X117150000Y-92912500D01*
+X117151144Y-92889221D01*
+X117154563Y-92866166D01*
+X117160227Y-92843557D01*
+X117168079Y-92821613D01*
+X117178044Y-92800543D01*
+X117190026Y-92780552D01*
+X117203910Y-92761832D01*
+X117219562Y-92744562D01*
+X117236832Y-92728910D01*
+X117255552Y-92715026D01*
+X117275543Y-92703044D01*
+X117296613Y-92693079D01*
+X117318557Y-92685227D01*
+X117341166Y-92679563D01*
+X117364221Y-92676144D01*
+X117387500Y-92675000D01*
+X117962500Y-92675000D01*
+X117985779Y-92676144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X115335779Y-91026144D02*
+G01*
+X115358834Y-91029563D01*
+X115381443Y-91035227D01*
+X115403387Y-91043079D01*
+X115424457Y-91053044D01*
+X115444448Y-91065026D01*
+X115463168Y-91078910D01*
+X115480438Y-91094562D01*
+X115496090Y-91111832D01*
+X115509974Y-91130552D01*
+X115521956Y-91150543D01*
+X115531921Y-91171613D01*
+X115539773Y-91193557D01*
+X115545437Y-91216166D01*
+X115548856Y-91239221D01*
+X115550000Y-91262500D01*
+X115550000Y-91737500D01*
+X115548856Y-91760779D01*
+X115545437Y-91783834D01*
+X115539773Y-91806443D01*
+X115531921Y-91828387D01*
+X115521956Y-91849457D01*
+X115509974Y-91869448D01*
+X115496090Y-91888168D01*
+X115480438Y-91905438D01*
+X115463168Y-91921090D01*
+X115444448Y-91934974D01*
+X115424457Y-91946956D01*
+X115403387Y-91956921D01*
+X115381443Y-91964773D01*
+X115358834Y-91970437D01*
+X115335779Y-91973856D01*
+X115312500Y-91975000D01*
+X114737500Y-91975000D01*
+X114714221Y-91973856D01*
+X114691166Y-91970437D01*
+X114668557Y-91964773D01*
+X114646613Y-91956921D01*
+X114625543Y-91946956D01*
+X114605552Y-91934974D01*
+X114586832Y-91921090D01*
+X114569562Y-91905438D01*
+X114553910Y-91888168D01*
+X114540026Y-91869448D01*
+X114528044Y-91849457D01*
+X114518079Y-91828387D01*
+X114510227Y-91806443D01*
+X114504563Y-91783834D01*
+X114501144Y-91760779D01*
+X114500000Y-91737500D01*
+X114500000Y-91262500D01*
+X114501144Y-91239221D01*
+X114504563Y-91216166D01*
+X114510227Y-91193557D01*
+X114518079Y-91171613D01*
+X114528044Y-91150543D01*
+X114540026Y-91130552D01*
+X114553910Y-91111832D01*
+X114569562Y-91094562D01*
+X114586832Y-91078910D01*
+X114605552Y-91065026D01*
+X114625543Y-91053044D01*
+X114646613Y-91043079D01*
+X114668557Y-91035227D01*
+X114691166Y-91029563D01*
+X114714221Y-91026144D01*
+X114737500Y-91025000D01*
+X115312500Y-91025000D01*
+X115335779Y-91026144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X113585779Y-91026144D02*
+G01*
+X113608834Y-91029563D01*
+X113631443Y-91035227D01*
+X113653387Y-91043079D01*
+X113674457Y-91053044D01*
+X113694448Y-91065026D01*
+X113713168Y-91078910D01*
+X113730438Y-91094562D01*
+X113746090Y-91111832D01*
+X113759974Y-91130552D01*
+X113771956Y-91150543D01*
+X113781921Y-91171613D01*
+X113789773Y-91193557D01*
+X113795437Y-91216166D01*
+X113798856Y-91239221D01*
+X113800000Y-91262500D01*
+X113800000Y-91737500D01*
+X113798856Y-91760779D01*
+X113795437Y-91783834D01*
+X113789773Y-91806443D01*
+X113781921Y-91828387D01*
+X113771956Y-91849457D01*
+X113759974Y-91869448D01*
+X113746090Y-91888168D01*
+X113730438Y-91905438D01*
+X113713168Y-91921090D01*
+X113694448Y-91934974D01*
+X113674457Y-91946956D01*
+X113653387Y-91956921D01*
+X113631443Y-91964773D01*
+X113608834Y-91970437D01*
+X113585779Y-91973856D01*
+X113562500Y-91975000D01*
+X112987500Y-91975000D01*
+X112964221Y-91973856D01*
+X112941166Y-91970437D01*
+X112918557Y-91964773D01*
+X112896613Y-91956921D01*
+X112875543Y-91946956D01*
+X112855552Y-91934974D01*
+X112836832Y-91921090D01*
+X112819562Y-91905438D01*
+X112803910Y-91888168D01*
+X112790026Y-91869448D01*
+X112778044Y-91849457D01*
+X112768079Y-91828387D01*
+X112760227Y-91806443D01*
+X112754563Y-91783834D01*
+X112751144Y-91760779D01*
+X112750000Y-91737500D01*
+X112750000Y-91262500D01*
+X112751144Y-91239221D01*
+X112754563Y-91216166D01*
+X112760227Y-91193557D01*
+X112768079Y-91171613D01*
+X112778044Y-91150543D01*
+X112790026Y-91130552D01*
+X112803910Y-91111832D01*
+X112819562Y-91094562D01*
+X112836832Y-91078910D01*
+X112855552Y-91065026D01*
+X112875543Y-91053044D01*
+X112896613Y-91043079D01*
+X112918557Y-91035227D01*
+X112941166Y-91029563D01*
+X112964221Y-91026144D01*
+X112987500Y-91025000D01*
+X113562500Y-91025000D01*
+X113585779Y-91026144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X112935779Y-82026144D02*
+G01*
+X112958834Y-82029563D01*
+X112981443Y-82035227D01*
+X113003387Y-82043079D01*
+X113024457Y-82053044D01*
+X113044448Y-82065026D01*
+X113063168Y-82078910D01*
+X113080438Y-82094562D01*
+X113096090Y-82111832D01*
+X113109974Y-82130552D01*
+X113121956Y-82150543D01*
+X113131921Y-82171613D01*
+X113139773Y-82193557D01*
+X113145437Y-82216166D01*
+X113148856Y-82239221D01*
+X113150000Y-82262500D01*
+X113150000Y-82737500D01*
+X113148856Y-82760779D01*
+X113145437Y-82783834D01*
+X113139773Y-82806443D01*
+X113131921Y-82828387D01*
+X113121956Y-82849457D01*
+X113109974Y-82869448D01*
+X113096090Y-82888168D01*
+X113080438Y-82905438D01*
+X113063168Y-82921090D01*
+X113044448Y-82934974D01*
+X113024457Y-82946956D01*
+X113003387Y-82956921D01*
+X112981443Y-82964773D01*
+X112958834Y-82970437D01*
+X112935779Y-82973856D01*
+X112912500Y-82975000D01*
+X112337500Y-82975000D01*
+X112314221Y-82973856D01*
+X112291166Y-82970437D01*
+X112268557Y-82964773D01*
+X112246613Y-82956921D01*
+X112225543Y-82946956D01*
+X112205552Y-82934974D01*
+X112186832Y-82921090D01*
+X112169562Y-82905438D01*
+X112153910Y-82888168D01*
+X112140026Y-82869448D01*
+X112128044Y-82849457D01*
+X112118079Y-82828387D01*
+X112110227Y-82806443D01*
+X112104563Y-82783834D01*
+X112101144Y-82760779D01*
+X112100000Y-82737500D01*
+X112100000Y-82262500D01*
+X112101144Y-82239221D01*
+X112104563Y-82216166D01*
+X112110227Y-82193557D01*
+X112118079Y-82171613D01*
+X112128044Y-82150543D01*
+X112140026Y-82130552D01*
+X112153910Y-82111832D01*
+X112169562Y-82094562D01*
+X112186832Y-82078910D01*
+X112205552Y-82065026D01*
+X112225543Y-82053044D01*
+X112246613Y-82043079D01*
+X112268557Y-82035227D01*
+X112291166Y-82029563D01*
+X112314221Y-82026144D01*
+X112337500Y-82025000D01*
+X112912500Y-82025000D01*
+X112935779Y-82026144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X114685779Y-82026144D02*
+G01*
+X114708834Y-82029563D01*
+X114731443Y-82035227D01*
+X114753387Y-82043079D01*
+X114774457Y-82053044D01*
+X114794448Y-82065026D01*
+X114813168Y-82078910D01*
+X114830438Y-82094562D01*
+X114846090Y-82111832D01*
+X114859974Y-82130552D01*
+X114871956Y-82150543D01*
+X114881921Y-82171613D01*
+X114889773Y-82193557D01*
+X114895437Y-82216166D01*
+X114898856Y-82239221D01*
+X114900000Y-82262500D01*
+X114900000Y-82737500D01*
+X114898856Y-82760779D01*
+X114895437Y-82783834D01*
+X114889773Y-82806443D01*
+X114881921Y-82828387D01*
+X114871956Y-82849457D01*
+X114859974Y-82869448D01*
+X114846090Y-82888168D01*
+X114830438Y-82905438D01*
+X114813168Y-82921090D01*
+X114794448Y-82934974D01*
+X114774457Y-82946956D01*
+X114753387Y-82956921D01*
+X114731443Y-82964773D01*
+X114708834Y-82970437D01*
+X114685779Y-82973856D01*
+X114662500Y-82975000D01*
+X114087500Y-82975000D01*
+X114064221Y-82973856D01*
+X114041166Y-82970437D01*
+X114018557Y-82964773D01*
+X113996613Y-82956921D01*
+X113975543Y-82946956D01*
+X113955552Y-82934974D01*
+X113936832Y-82921090D01*
+X113919562Y-82905438D01*
+X113903910Y-82888168D01*
+X113890026Y-82869448D01*
+X113878044Y-82849457D01*
+X113868079Y-82828387D01*
+X113860227Y-82806443D01*
+X113854563Y-82783834D01*
+X113851144Y-82760779D01*
+X113850000Y-82737500D01*
+X113850000Y-82262500D01*
+X113851144Y-82239221D01*
+X113854563Y-82216166D01*
+X113860227Y-82193557D01*
+X113868079Y-82171613D01*
+X113878044Y-82150543D01*
+X113890026Y-82130552D01*
+X113903910Y-82111832D01*
+X113919562Y-82094562D01*
+X113936832Y-82078910D01*
+X113955552Y-82065026D01*
+X113975543Y-82053044D01*
+X113996613Y-82043079D01*
+X114018557Y-82035227D01*
+X114041166Y-82029563D01*
+X114064221Y-82026144D01*
+X114087500Y-82025000D01*
+X114662500Y-82025000D01*
+X114685779Y-82026144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X129235779Y-149726144D02*
+G01*
+X129258834Y-149729563D01*
+X129281443Y-149735227D01*
+X129303387Y-149743079D01*
+X129324457Y-149753044D01*
+X129344448Y-149765026D01*
+X129363168Y-149778910D01*
+X129380438Y-149794562D01*
+X129396090Y-149811832D01*
+X129409974Y-149830552D01*
+X129421956Y-149850543D01*
+X129431921Y-149871613D01*
+X129439773Y-149893557D01*
+X129445437Y-149916166D01*
+X129448856Y-149939221D01*
+X129450000Y-149962500D01*
+X129450000Y-150437500D01*
+X129448856Y-150460779D01*
+X129445437Y-150483834D01*
+X129439773Y-150506443D01*
+X129431921Y-150528387D01*
+X129421956Y-150549457D01*
+X129409974Y-150569448D01*
+X129396090Y-150588168D01*
+X129380438Y-150605438D01*
+X129363168Y-150621090D01*
+X129344448Y-150634974D01*
+X129324457Y-150646956D01*
+X129303387Y-150656921D01*
+X129281443Y-150664773D01*
+X129258834Y-150670437D01*
+X129235779Y-150673856D01*
+X129212500Y-150675000D01*
+X128637500Y-150675000D01*
+X128614221Y-150673856D01*
+X128591166Y-150670437D01*
+X128568557Y-150664773D01*
+X128546613Y-150656921D01*
+X128525543Y-150646956D01*
+X128505552Y-150634974D01*
+X128486832Y-150621090D01*
+X128469562Y-150605438D01*
+X128453910Y-150588168D01*
+X128440026Y-150569448D01*
+X128428044Y-150549457D01*
+X128418079Y-150528387D01*
+X128410227Y-150506443D01*
+X128404563Y-150483834D01*
+X128401144Y-150460779D01*
+X128400000Y-150437500D01*
+X128400000Y-149962500D01*
+X128401144Y-149939221D01*
+X128404563Y-149916166D01*
+X128410227Y-149893557D01*
+X128418079Y-149871613D01*
+X128428044Y-149850543D01*
+X128440026Y-149830552D01*
+X128453910Y-149811832D01*
+X128469562Y-149794562D01*
+X128486832Y-149778910D01*
+X128505552Y-149765026D01*
+X128525543Y-149753044D01*
+X128546613Y-149743079D01*
+X128568557Y-149735227D01*
+X128591166Y-149729563D01*
+X128614221Y-149726144D01*
+X128637500Y-149725000D01*
+X129212500Y-149725000D01*
+X129235779Y-149726144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X130985779Y-149726144D02*
+G01*
+X131008834Y-149729563D01*
+X131031443Y-149735227D01*
+X131053387Y-149743079D01*
+X131074457Y-149753044D01*
+X131094448Y-149765026D01*
+X131113168Y-149778910D01*
+X131130438Y-149794562D01*
+X131146090Y-149811832D01*
+X131159974Y-149830552D01*
+X131171956Y-149850543D01*
+X131181921Y-149871613D01*
+X131189773Y-149893557D01*
+X131195437Y-149916166D01*
+X131198856Y-149939221D01*
+X131200000Y-149962500D01*
+X131200000Y-150437500D01*
+X131198856Y-150460779D01*
+X131195437Y-150483834D01*
+X131189773Y-150506443D01*
+X131181921Y-150528387D01*
+X131171956Y-150549457D01*
+X131159974Y-150569448D01*
+X131146090Y-150588168D01*
+X131130438Y-150605438D01*
+X131113168Y-150621090D01*
+X131094448Y-150634974D01*
+X131074457Y-150646956D01*
+X131053387Y-150656921D01*
+X131031443Y-150664773D01*
+X131008834Y-150670437D01*
+X130985779Y-150673856D01*
+X130962500Y-150675000D01*
+X130387500Y-150675000D01*
+X130364221Y-150673856D01*
+X130341166Y-150670437D01*
+X130318557Y-150664773D01*
+X130296613Y-150656921D01*
+X130275543Y-150646956D01*
+X130255552Y-150634974D01*
+X130236832Y-150621090D01*
+X130219562Y-150605438D01*
+X130203910Y-150588168D01*
+X130190026Y-150569448D01*
+X130178044Y-150549457D01*
+X130168079Y-150528387D01*
+X130160227Y-150506443D01*
+X130154563Y-150483834D01*
+X130151144Y-150460779D01*
+X130150000Y-150437500D01*
+X130150000Y-149962500D01*
+X130151144Y-149939221D01*
+X130154563Y-149916166D01*
+X130160227Y-149893557D01*
+X130168079Y-149871613D01*
+X130178044Y-149850543D01*
+X130190026Y-149830552D01*
+X130203910Y-149811832D01*
+X130219562Y-149794562D01*
+X130236832Y-149778910D01*
+X130255552Y-149765026D01*
+X130275543Y-149753044D01*
+X130296613Y-149743079D01*
+X130318557Y-149735227D01*
+X130341166Y-149729563D01*
+X130364221Y-149726144D01*
+X130387500Y-149725000D01*
+X130962500Y-149725000D01*
+X130985779Y-149726144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X81310779Y-150601144D02*
+G01*
+X81333834Y-150604563D01*
+X81356443Y-150610227D01*
+X81378387Y-150618079D01*
+X81399457Y-150628044D01*
+X81419448Y-150640026D01*
+X81438168Y-150653910D01*
+X81455438Y-150669562D01*
+X81471090Y-150686832D01*
+X81484974Y-150705552D01*
+X81496956Y-150725543D01*
+X81506921Y-150746613D01*
+X81514773Y-150768557D01*
+X81520437Y-150791166D01*
+X81523856Y-150814221D01*
+X81525000Y-150837500D01*
+X81525000Y-151412500D01*
+X81523856Y-151435779D01*
+X81520437Y-151458834D01*
+X81514773Y-151481443D01*
+X81506921Y-151503387D01*
+X81496956Y-151524457D01*
+X81484974Y-151544448D01*
+X81471090Y-151563168D01*
+X81455438Y-151580438D01*
+X81438168Y-151596090D01*
+X81419448Y-151609974D01*
+X81399457Y-151621956D01*
+X81378387Y-151631921D01*
+X81356443Y-151639773D01*
+X81333834Y-151645437D01*
+X81310779Y-151648856D01*
+X81287500Y-151650000D01*
+X80812500Y-151650000D01*
+X80789221Y-151648856D01*
+X80766166Y-151645437D01*
+X80743557Y-151639773D01*
+X80721613Y-151631921D01*
+X80700543Y-151621956D01*
+X80680552Y-151609974D01*
+X80661832Y-151596090D01*
+X80644562Y-151580438D01*
+X80628910Y-151563168D01*
+X80615026Y-151544448D01*
+X80603044Y-151524457D01*
+X80593079Y-151503387D01*
+X80585227Y-151481443D01*
+X80579563Y-151458834D01*
+X80576144Y-151435779D01*
+X80575000Y-151412500D01*
+X80575000Y-150837500D01*
+X80576144Y-150814221D01*
+X80579563Y-150791166D01*
+X80585227Y-150768557D01*
+X80593079Y-150746613D01*
+X80603044Y-150725543D01*
+X80615026Y-150705552D01*
+X80628910Y-150686832D01*
+X80644562Y-150669562D01*
+X80661832Y-150653910D01*
+X80680552Y-150640026D01*
+X80700543Y-150628044D01*
+X80721613Y-150618079D01*
+X80743557Y-150610227D01*
+X80766166Y-150604563D01*
+X80789221Y-150601144D01*
+X80812500Y-150600000D01*
+X81287500Y-150600000D01*
+X81310779Y-150601144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X81310779Y-152351144D02*
+G01*
+X81333834Y-152354563D01*
+X81356443Y-152360227D01*
+X81378387Y-152368079D01*
+X81399457Y-152378044D01*
+X81419448Y-152390026D01*
+X81438168Y-152403910D01*
+X81455438Y-152419562D01*
+X81471090Y-152436832D01*
+X81484974Y-152455552D01*
+X81496956Y-152475543D01*
+X81506921Y-152496613D01*
+X81514773Y-152518557D01*
+X81520437Y-152541166D01*
+X81523856Y-152564221D01*
+X81525000Y-152587500D01*
+X81525000Y-153162500D01*
+X81523856Y-153185779D01*
+X81520437Y-153208834D01*
+X81514773Y-153231443D01*
+X81506921Y-153253387D01*
+X81496956Y-153274457D01*
+X81484974Y-153294448D01*
+X81471090Y-153313168D01*
+X81455438Y-153330438D01*
+X81438168Y-153346090D01*
+X81419448Y-153359974D01*
+X81399457Y-153371956D01*
+X81378387Y-153381921D01*
+X81356443Y-153389773D01*
+X81333834Y-153395437D01*
+X81310779Y-153398856D01*
+X81287500Y-153400000D01*
+X80812500Y-153400000D01*
+X80789221Y-153398856D01*
+X80766166Y-153395437D01*
+X80743557Y-153389773D01*
+X80721613Y-153381921D01*
+X80700543Y-153371956D01*
+X80680552Y-153359974D01*
+X80661832Y-153346090D01*
+X80644562Y-153330438D01*
+X80628910Y-153313168D01*
+X80615026Y-153294448D01*
+X80603044Y-153274457D01*
+X80593079Y-153253387D01*
+X80585227Y-153231443D01*
+X80579563Y-153208834D01*
+X80576144Y-153185779D01*
+X80575000Y-153162500D01*
+X80575000Y-152587500D01*
+X80576144Y-152564221D01*
+X80579563Y-152541166D01*
+X80585227Y-152518557D01*
+X80593079Y-152496613D01*
+X80603044Y-152475543D01*
+X80615026Y-152455552D01*
+X80628910Y-152436832D01*
+X80644562Y-152419562D01*
+X80661832Y-152403910D01*
+X80680552Y-152390026D01*
+X80700543Y-152378044D01*
+X80721613Y-152368079D01*
+X80743557Y-152360227D01*
+X80766166Y-152354563D01*
+X80789221Y-152351144D01*
+X80812500Y-152350000D01*
+X81287500Y-152350000D01*
+X81310779Y-152351144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X81360779Y-145601144D02*
+G01*
+X81383834Y-145604563D01*
+X81406443Y-145610227D01*
+X81428387Y-145618079D01*
+X81449457Y-145628044D01*
+X81469448Y-145640026D01*
+X81488168Y-145653910D01*
+X81505438Y-145669562D01*
+X81521090Y-145686832D01*
+X81534974Y-145705552D01*
+X81546956Y-145725543D01*
+X81556921Y-145746613D01*
+X81564773Y-145768557D01*
+X81570437Y-145791166D01*
+X81573856Y-145814221D01*
+X81575000Y-145837500D01*
+X81575000Y-146412500D01*
+X81573856Y-146435779D01*
+X81570437Y-146458834D01*
+X81564773Y-146481443D01*
+X81556921Y-146503387D01*
+X81546956Y-146524457D01*
+X81534974Y-146544448D01*
+X81521090Y-146563168D01*
+X81505438Y-146580438D01*
+X81488168Y-146596090D01*
+X81469448Y-146609974D01*
+X81449457Y-146621956D01*
+X81428387Y-146631921D01*
+X81406443Y-146639773D01*
+X81383834Y-146645437D01*
+X81360779Y-146648856D01*
+X81337500Y-146650000D01*
+X80862500Y-146650000D01*
+X80839221Y-146648856D01*
+X80816166Y-146645437D01*
+X80793557Y-146639773D01*
+X80771613Y-146631921D01*
+X80750543Y-146621956D01*
+X80730552Y-146609974D01*
+X80711832Y-146596090D01*
+X80694562Y-146580438D01*
+X80678910Y-146563168D01*
+X80665026Y-146544448D01*
+X80653044Y-146524457D01*
+X80643079Y-146503387D01*
+X80635227Y-146481443D01*
+X80629563Y-146458834D01*
+X80626144Y-146435779D01*
+X80625000Y-146412500D01*
+X80625000Y-145837500D01*
+X80626144Y-145814221D01*
+X80629563Y-145791166D01*
+X80635227Y-145768557D01*
+X80643079Y-145746613D01*
+X80653044Y-145725543D01*
+X80665026Y-145705552D01*
+X80678910Y-145686832D01*
+X80694562Y-145669562D01*
+X80711832Y-145653910D01*
+X80730552Y-145640026D01*
+X80750543Y-145628044D01*
+X80771613Y-145618079D01*
+X80793557Y-145610227D01*
+X80816166Y-145604563D01*
+X80839221Y-145601144D01*
+X80862500Y-145600000D01*
+X81337500Y-145600000D01*
+X81360779Y-145601144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X81360779Y-147351144D02*
+G01*
+X81383834Y-147354563D01*
+X81406443Y-147360227D01*
+X81428387Y-147368079D01*
+X81449457Y-147378044D01*
+X81469448Y-147390026D01*
+X81488168Y-147403910D01*
+X81505438Y-147419562D01*
+X81521090Y-147436832D01*
+X81534974Y-147455552D01*
+X81546956Y-147475543D01*
+X81556921Y-147496613D01*
+X81564773Y-147518557D01*
+X81570437Y-147541166D01*
+X81573856Y-147564221D01*
+X81575000Y-147587500D01*
+X81575000Y-148162500D01*
+X81573856Y-148185779D01*
+X81570437Y-148208834D01*
+X81564773Y-148231443D01*
+X81556921Y-148253387D01*
+X81546956Y-148274457D01*
+X81534974Y-148294448D01*
+X81521090Y-148313168D01*
+X81505438Y-148330438D01*
+X81488168Y-148346090D01*
+X81469448Y-148359974D01*
+X81449457Y-148371956D01*
+X81428387Y-148381921D01*
+X81406443Y-148389773D01*
+X81383834Y-148395437D01*
+X81360779Y-148398856D01*
+X81337500Y-148400000D01*
+X80862500Y-148400000D01*
+X80839221Y-148398856D01*
+X80816166Y-148395437D01*
+X80793557Y-148389773D01*
+X80771613Y-148381921D01*
+X80750543Y-148371956D01*
+X80730552Y-148359974D01*
+X80711832Y-148346090D01*
+X80694562Y-148330438D01*
+X80678910Y-148313168D01*
+X80665026Y-148294448D01*
+X80653044Y-148274457D01*
+X80643079Y-148253387D01*
+X80635227Y-148231443D01*
+X80629563Y-148208834D01*
+X80626144Y-148185779D01*
+X80625000Y-148162500D01*
+X80625000Y-147587500D01*
+X80626144Y-147564221D01*
+X80629563Y-147541166D01*
+X80635227Y-147518557D01*
+X80643079Y-147496613D01*
+X80653044Y-147475543D01*
+X80665026Y-147455552D01*
+X80678910Y-147436832D01*
+X80694562Y-147419562D01*
+X80711832Y-147403910D01*
+X80730552Y-147390026D01*
+X80750543Y-147378044D01*
+X80771613Y-147368079D01*
+X80793557Y-147360227D01*
+X80816166Y-147354563D01*
+X80839221Y-147351144D01*
+X80862500Y-147350000D01*
+X81337500Y-147350000D01*
+X81360779Y-147351144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X130985779Y-151726144D02*
+G01*
+X131008834Y-151729563D01*
+X131031443Y-151735227D01*
+X131053387Y-151743079D01*
+X131074457Y-151753044D01*
+X131094448Y-151765026D01*
+X131113168Y-151778910D01*
+X131130438Y-151794562D01*
+X131146090Y-151811832D01*
+X131159974Y-151830552D01*
+X131171956Y-151850543D01*
+X131181921Y-151871613D01*
+X131189773Y-151893557D01*
+X131195437Y-151916166D01*
+X131198856Y-151939221D01*
+X131200000Y-151962500D01*
+X131200000Y-152437500D01*
+X131198856Y-152460779D01*
+X131195437Y-152483834D01*
+X131189773Y-152506443D01*
+X131181921Y-152528387D01*
+X131171956Y-152549457D01*
+X131159974Y-152569448D01*
+X131146090Y-152588168D01*
+X131130438Y-152605438D01*
+X131113168Y-152621090D01*
+X131094448Y-152634974D01*
+X131074457Y-152646956D01*
+X131053387Y-152656921D01*
+X131031443Y-152664773D01*
+X131008834Y-152670437D01*
+X130985779Y-152673856D01*
+X130962500Y-152675000D01*
+X130387500Y-152675000D01*
+X130364221Y-152673856D01*
+X130341166Y-152670437D01*
+X130318557Y-152664773D01*
+X130296613Y-152656921D01*
+X130275543Y-152646956D01*
+X130255552Y-152634974D01*
+X130236832Y-152621090D01*
+X130219562Y-152605438D01*
+X130203910Y-152588168D01*
+X130190026Y-152569448D01*
+X130178044Y-152549457D01*
+X130168079Y-152528387D01*
+X130160227Y-152506443D01*
+X130154563Y-152483834D01*
+X130151144Y-152460779D01*
+X130150000Y-152437500D01*
+X130150000Y-151962500D01*
+X130151144Y-151939221D01*
+X130154563Y-151916166D01*
+X130160227Y-151893557D01*
+X130168079Y-151871613D01*
+X130178044Y-151850543D01*
+X130190026Y-151830552D01*
+X130203910Y-151811832D01*
+X130219562Y-151794562D01*
+X130236832Y-151778910D01*
+X130255552Y-151765026D01*
+X130275543Y-151753044D01*
+X130296613Y-151743079D01*
+X130318557Y-151735227D01*
+X130341166Y-151729563D01*
+X130364221Y-151726144D01*
+X130387500Y-151725000D01*
+X130962500Y-151725000D01*
+X130985779Y-151726144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X129235779Y-151726144D02*
+G01*
+X129258834Y-151729563D01*
+X129281443Y-151735227D01*
+X129303387Y-151743079D01*
+X129324457Y-151753044D01*
+X129344448Y-151765026D01*
+X129363168Y-151778910D01*
+X129380438Y-151794562D01*
+X129396090Y-151811832D01*
+X129409974Y-151830552D01*
+X129421956Y-151850543D01*
+X129431921Y-151871613D01*
+X129439773Y-151893557D01*
+X129445437Y-151916166D01*
+X129448856Y-151939221D01*
+X129450000Y-151962500D01*
+X129450000Y-152437500D01*
+X129448856Y-152460779D01*
+X129445437Y-152483834D01*
+X129439773Y-152506443D01*
+X129431921Y-152528387D01*
+X129421956Y-152549457D01*
+X129409974Y-152569448D01*
+X129396090Y-152588168D01*
+X129380438Y-152605438D01*
+X129363168Y-152621090D01*
+X129344448Y-152634974D01*
+X129324457Y-152646956D01*
+X129303387Y-152656921D01*
+X129281443Y-152664773D01*
+X129258834Y-152670437D01*
+X129235779Y-152673856D01*
+X129212500Y-152675000D01*
+X128637500Y-152675000D01*
+X128614221Y-152673856D01*
+X128591166Y-152670437D01*
+X128568557Y-152664773D01*
+X128546613Y-152656921D01*
+X128525543Y-152646956D01*
+X128505552Y-152634974D01*
+X128486832Y-152621090D01*
+X128469562Y-152605438D01*
+X128453910Y-152588168D01*
+X128440026Y-152569448D01*
+X128428044Y-152549457D01*
+X128418079Y-152528387D01*
+X128410227Y-152506443D01*
+X128404563Y-152483834D01*
+X128401144Y-152460779D01*
+X128400000Y-152437500D01*
+X128400000Y-151962500D01*
+X128401144Y-151939221D01*
+X128404563Y-151916166D01*
+X128410227Y-151893557D01*
+X128418079Y-151871613D01*
+X128428044Y-151850543D01*
+X128440026Y-151830552D01*
+X128453910Y-151811832D01*
+X128469562Y-151794562D01*
+X128486832Y-151778910D01*
+X128505552Y-151765026D01*
+X128525543Y-151753044D01*
+X128546613Y-151743079D01*
+X128568557Y-151735227D01*
+X128591166Y-151729563D01*
+X128614221Y-151726144D01*
+X128637500Y-151725000D01*
+X129212500Y-151725000D01*
+X129235779Y-151726144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X136085779Y-79726144D02*
+G01*
+X136108834Y-79729563D01*
+X136131443Y-79735227D01*
+X136153387Y-79743079D01*
+X136174457Y-79753044D01*
+X136194448Y-79765026D01*
+X136213168Y-79778910D01*
+X136230438Y-79794562D01*
+X136246090Y-79811832D01*
+X136259974Y-79830552D01*
+X136271956Y-79850543D01*
+X136281921Y-79871613D01*
+X136289773Y-79893557D01*
+X136295437Y-79916166D01*
+X136298856Y-79939221D01*
+X136300000Y-79962500D01*
+X136300000Y-80437500D01*
+X136298856Y-80460779D01*
+X136295437Y-80483834D01*
+X136289773Y-80506443D01*
+X136281921Y-80528387D01*
+X136271956Y-80549457D01*
+X136259974Y-80569448D01*
+X136246090Y-80588168D01*
+X136230438Y-80605438D01*
+X136213168Y-80621090D01*
+X136194448Y-80634974D01*
+X136174457Y-80646956D01*
+X136153387Y-80656921D01*
+X136131443Y-80664773D01*
+X136108834Y-80670437D01*
+X136085779Y-80673856D01*
+X136062500Y-80675000D01*
+X135487500Y-80675000D01*
+X135464221Y-80673856D01*
+X135441166Y-80670437D01*
+X135418557Y-80664773D01*
+X135396613Y-80656921D01*
+X135375543Y-80646956D01*
+X135355552Y-80634974D01*
+X135336832Y-80621090D01*
+X135319562Y-80605438D01*
+X135303910Y-80588168D01*
+X135290026Y-80569448D01*
+X135278044Y-80549457D01*
+X135268079Y-80528387D01*
+X135260227Y-80506443D01*
+X135254563Y-80483834D01*
+X135251144Y-80460779D01*
+X135250000Y-80437500D01*
+X135250000Y-79962500D01*
+X135251144Y-79939221D01*
+X135254563Y-79916166D01*
+X135260227Y-79893557D01*
+X135268079Y-79871613D01*
+X135278044Y-79850543D01*
+X135290026Y-79830552D01*
+X135303910Y-79811832D01*
+X135319562Y-79794562D01*
+X135336832Y-79778910D01*
+X135355552Y-79765026D01*
+X135375543Y-79753044D01*
+X135396613Y-79743079D01*
+X135418557Y-79735227D01*
+X135441166Y-79729563D01*
+X135464221Y-79726144D01*
+X135487500Y-79725000D01*
+X136062500Y-79725000D01*
+X136085779Y-79726144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X137835779Y-79726144D02*
+G01*
+X137858834Y-79729563D01*
+X137881443Y-79735227D01*
+X137903387Y-79743079D01*
+X137924457Y-79753044D01*
+X137944448Y-79765026D01*
+X137963168Y-79778910D01*
+X137980438Y-79794562D01*
+X137996090Y-79811832D01*
+X138009974Y-79830552D01*
+X138021956Y-79850543D01*
+X138031921Y-79871613D01*
+X138039773Y-79893557D01*
+X138045437Y-79916166D01*
+X138048856Y-79939221D01*
+X138050000Y-79962500D01*
+X138050000Y-80437500D01*
+X138048856Y-80460779D01*
+X138045437Y-80483834D01*
+X138039773Y-80506443D01*
+X138031921Y-80528387D01*
+X138021956Y-80549457D01*
+X138009974Y-80569448D01*
+X137996090Y-80588168D01*
+X137980438Y-80605438D01*
+X137963168Y-80621090D01*
+X137944448Y-80634974D01*
+X137924457Y-80646956D01*
+X137903387Y-80656921D01*
+X137881443Y-80664773D01*
+X137858834Y-80670437D01*
+X137835779Y-80673856D01*
+X137812500Y-80675000D01*
+X137237500Y-80675000D01*
+X137214221Y-80673856D01*
+X137191166Y-80670437D01*
+X137168557Y-80664773D01*
+X137146613Y-80656921D01*
+X137125543Y-80646956D01*
+X137105552Y-80634974D01*
+X137086832Y-80621090D01*
+X137069562Y-80605438D01*
+X137053910Y-80588168D01*
+X137040026Y-80569448D01*
+X137028044Y-80549457D01*
+X137018079Y-80528387D01*
+X137010227Y-80506443D01*
+X137004563Y-80483834D01*
+X137001144Y-80460779D01*
+X137000000Y-80437500D01*
+X137000000Y-79962500D01*
+X137001144Y-79939221D01*
+X137004563Y-79916166D01*
+X137010227Y-79893557D01*
+X137018079Y-79871613D01*
+X137028044Y-79850543D01*
+X137040026Y-79830552D01*
+X137053910Y-79811832D01*
+X137069562Y-79794562D01*
+X137086832Y-79778910D01*
+X137105552Y-79765026D01*
+X137125543Y-79753044D01*
+X137146613Y-79743079D01*
+X137168557Y-79735227D01*
+X137191166Y-79729563D01*
+X137214221Y-79726144D01*
+X137237500Y-79725000D01*
+X137812500Y-79725000D01*
+X137835779Y-79726144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X126560779Y-71301144D02*
+G01*
+X126583834Y-71304563D01*
+X126606443Y-71310227D01*
+X126628387Y-71318079D01*
+X126649457Y-71328044D01*
+X126669448Y-71340026D01*
+X126688168Y-71353910D01*
+X126705438Y-71369562D01*
+X126721090Y-71386832D01*
+X126734974Y-71405552D01*
+X126746956Y-71425543D01*
+X126756921Y-71446613D01*
+X126764773Y-71468557D01*
+X126770437Y-71491166D01*
+X126773856Y-71514221D01*
+X126775000Y-71537500D01*
+X126775000Y-72112500D01*
+X126773856Y-72135779D01*
+X126770437Y-72158834D01*
+X126764773Y-72181443D01*
+X126756921Y-72203387D01*
+X126746956Y-72224457D01*
+X126734974Y-72244448D01*
+X126721090Y-72263168D01*
+X126705438Y-72280438D01*
+X126688168Y-72296090D01*
+X126669448Y-72309974D01*
+X126649457Y-72321956D01*
+X126628387Y-72331921D01*
+X126606443Y-72339773D01*
+X126583834Y-72345437D01*
+X126560779Y-72348856D01*
+X126537500Y-72350000D01*
+X126062500Y-72350000D01*
+X126039221Y-72348856D01*
+X126016166Y-72345437D01*
+X125993557Y-72339773D01*
+X125971613Y-72331921D01*
+X125950543Y-72321956D01*
+X125930552Y-72309974D01*
+X125911832Y-72296090D01*
+X125894562Y-72280438D01*
+X125878910Y-72263168D01*
+X125865026Y-72244448D01*
+X125853044Y-72224457D01*
+X125843079Y-72203387D01*
+X125835227Y-72181443D01*
+X125829563Y-72158834D01*
+X125826144Y-72135779D01*
+X125825000Y-72112500D01*
+X125825000Y-71537500D01*
+X125826144Y-71514221D01*
+X125829563Y-71491166D01*
+X125835227Y-71468557D01*
+X125843079Y-71446613D01*
+X125853044Y-71425543D01*
+X125865026Y-71405552D01*
+X125878910Y-71386832D01*
+X125894562Y-71369562D01*
+X125911832Y-71353910D01*
+X125930552Y-71340026D01*
+X125950543Y-71328044D01*
+X125971613Y-71318079D01*
+X125993557Y-71310227D01*
+X126016166Y-71304563D01*
+X126039221Y-71301144D01*
+X126062500Y-71300000D01*
+X126537500Y-71300000D01*
+X126560779Y-71301144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X126560779Y-73051144D02*
+G01*
+X126583834Y-73054563D01*
+X126606443Y-73060227D01*
+X126628387Y-73068079D01*
+X126649457Y-73078044D01*
+X126669448Y-73090026D01*
+X126688168Y-73103910D01*
+X126705438Y-73119562D01*
+X126721090Y-73136832D01*
+X126734974Y-73155552D01*
+X126746956Y-73175543D01*
+X126756921Y-73196613D01*
+X126764773Y-73218557D01*
+X126770437Y-73241166D01*
+X126773856Y-73264221D01*
+X126775000Y-73287500D01*
+X126775000Y-73862500D01*
+X126773856Y-73885779D01*
+X126770437Y-73908834D01*
+X126764773Y-73931443D01*
+X126756921Y-73953387D01*
+X126746956Y-73974457D01*
+X126734974Y-73994448D01*
+X126721090Y-74013168D01*
+X126705438Y-74030438D01*
+X126688168Y-74046090D01*
+X126669448Y-74059974D01*
+X126649457Y-74071956D01*
+X126628387Y-74081921D01*
+X126606443Y-74089773D01*
+X126583834Y-74095437D01*
+X126560779Y-74098856D01*
+X126537500Y-74100000D01*
+X126062500Y-74100000D01*
+X126039221Y-74098856D01*
+X126016166Y-74095437D01*
+X125993557Y-74089773D01*
+X125971613Y-74081921D01*
+X125950543Y-74071956D01*
+X125930552Y-74059974D01*
+X125911832Y-74046090D01*
+X125894562Y-74030438D01*
+X125878910Y-74013168D01*
+X125865026Y-73994448D01*
+X125853044Y-73974457D01*
+X125843079Y-73953387D01*
+X125835227Y-73931443D01*
+X125829563Y-73908834D01*
+X125826144Y-73885779D01*
+X125825000Y-73862500D01*
+X125825000Y-73287500D01*
+X125826144Y-73264221D01*
+X125829563Y-73241166D01*
+X125835227Y-73218557D01*
+X125843079Y-73196613D01*
+X125853044Y-73175543D01*
+X125865026Y-73155552D01*
+X125878910Y-73136832D01*
+X125894562Y-73119562D01*
+X125911832Y-73103910D01*
+X125930552Y-73090026D01*
+X125950543Y-73078044D01*
+X125971613Y-73068079D01*
+X125993557Y-73060227D01*
+X126016166Y-73054563D01*
+X126039221Y-73051144D01*
+X126062500Y-73050000D01*
+X126537500Y-73050000D01*
+X126560779Y-73051144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X128260779Y-73051144D02*
+G01*
+X128283834Y-73054563D01*
+X128306443Y-73060227D01*
+X128328387Y-73068079D01*
+X128349457Y-73078044D01*
+X128369448Y-73090026D01*
+X128388168Y-73103910D01*
+X128405438Y-73119562D01*
+X128421090Y-73136832D01*
+X128434974Y-73155552D01*
+X128446956Y-73175543D01*
+X128456921Y-73196613D01*
+X128464773Y-73218557D01*
+X128470437Y-73241166D01*
+X128473856Y-73264221D01*
+X128475000Y-73287500D01*
+X128475000Y-73862500D01*
+X128473856Y-73885779D01*
+X128470437Y-73908834D01*
+X128464773Y-73931443D01*
+X128456921Y-73953387D01*
+X128446956Y-73974457D01*
+X128434974Y-73994448D01*
+X128421090Y-74013168D01*
+X128405438Y-74030438D01*
+X128388168Y-74046090D01*
+X128369448Y-74059974D01*
+X128349457Y-74071956D01*
+X128328387Y-74081921D01*
+X128306443Y-74089773D01*
+X128283834Y-74095437D01*
+X128260779Y-74098856D01*
+X128237500Y-74100000D01*
+X127762500Y-74100000D01*
+X127739221Y-74098856D01*
+X127716166Y-74095437D01*
+X127693557Y-74089773D01*
+X127671613Y-74081921D01*
+X127650543Y-74071956D01*
+X127630552Y-74059974D01*
+X127611832Y-74046090D01*
+X127594562Y-74030438D01*
+X127578910Y-74013168D01*
+X127565026Y-73994448D01*
+X127553044Y-73974457D01*
+X127543079Y-73953387D01*
+X127535227Y-73931443D01*
+X127529563Y-73908834D01*
+X127526144Y-73885779D01*
+X127525000Y-73862500D01*
+X127525000Y-73287500D01*
+X127526144Y-73264221D01*
+X127529563Y-73241166D01*
+X127535227Y-73218557D01*
+X127543079Y-73196613D01*
+X127553044Y-73175543D01*
+X127565026Y-73155552D01*
+X127578910Y-73136832D01*
+X127594562Y-73119562D01*
+X127611832Y-73103910D01*
+X127630552Y-73090026D01*
+X127650543Y-73078044D01*
+X127671613Y-73068079D01*
+X127693557Y-73060227D01*
+X127716166Y-73054563D01*
+X127739221Y-73051144D01*
+X127762500Y-73050000D01*
+X128237500Y-73050000D01*
+X128260779Y-73051144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X128260779Y-71301144D02*
+G01*
+X128283834Y-71304563D01*
+X128306443Y-71310227D01*
+X128328387Y-71318079D01*
+X128349457Y-71328044D01*
+X128369448Y-71340026D01*
+X128388168Y-71353910D01*
+X128405438Y-71369562D01*
+X128421090Y-71386832D01*
+X128434974Y-71405552D01*
+X128446956Y-71425543D01*
+X128456921Y-71446613D01*
+X128464773Y-71468557D01*
+X128470437Y-71491166D01*
+X128473856Y-71514221D01*
+X128475000Y-71537500D01*
+X128475000Y-72112500D01*
+X128473856Y-72135779D01*
+X128470437Y-72158834D01*
+X128464773Y-72181443D01*
+X128456921Y-72203387D01*
+X128446956Y-72224457D01*
+X128434974Y-72244448D01*
+X128421090Y-72263168D01*
+X128405438Y-72280438D01*
+X128388168Y-72296090D01*
+X128369448Y-72309974D01*
+X128349457Y-72321956D01*
+X128328387Y-72331921D01*
+X128306443Y-72339773D01*
+X128283834Y-72345437D01*
+X128260779Y-72348856D01*
+X128237500Y-72350000D01*
+X127762500Y-72350000D01*
+X127739221Y-72348856D01*
+X127716166Y-72345437D01*
+X127693557Y-72339773D01*
+X127671613Y-72331921D01*
+X127650543Y-72321956D01*
+X127630552Y-72309974D01*
+X127611832Y-72296090D01*
+X127594562Y-72280438D01*
+X127578910Y-72263168D01*
+X127565026Y-72244448D01*
+X127553044Y-72224457D01*
+X127543079Y-72203387D01*
+X127535227Y-72181443D01*
+X127529563Y-72158834D01*
+X127526144Y-72135779D01*
+X127525000Y-72112500D01*
+X127525000Y-71537500D01*
+X127526144Y-71514221D01*
+X127529563Y-71491166D01*
+X127535227Y-71468557D01*
+X127543079Y-71446613D01*
+X127553044Y-71425543D01*
+X127565026Y-71405552D01*
+X127578910Y-71386832D01*
+X127594562Y-71369562D01*
+X127611832Y-71353910D01*
+X127630552Y-71340026D01*
+X127650543Y-71328044D01*
+X127671613Y-71318079D01*
+X127693557Y-71310227D01*
+X127716166Y-71304563D01*
+X127739221Y-71301144D01*
+X127762500Y-71300000D01*
+X128237500Y-71300000D01*
+X128260779Y-71301144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X93049505Y-119326204D02*
+G01*
+X93073773Y-119329804D01*
+X93097572Y-119335765D01*
+X93120671Y-119344030D01*
+X93142850Y-119354520D01*
+X93163893Y-119367132D01*
+X93183599Y-119381747D01*
+X93201777Y-119398223D01*
+X93218253Y-119416401D01*
+X93232868Y-119436107D01*
+X93245480Y-119457150D01*
+X93255970Y-119479329D01*
+X93264235Y-119502428D01*
+X93270196Y-119526227D01*
+X93273796Y-119550495D01*
+X93275000Y-119574999D01*
+X93275000Y-122425001D01*
+X93273796Y-122449505D01*
+X93270196Y-122473773D01*
+X93264235Y-122497572D01*
+X93255970Y-122520671D01*
+X93245480Y-122542850D01*
+X93232868Y-122563893D01*
+X93218253Y-122583599D01*
+X93201777Y-122601777D01*
+X93183599Y-122618253D01*
+X93163893Y-122632868D01*
+X93142850Y-122645480D01*
+X93120671Y-122655970D01*
+X93097572Y-122664235D01*
+X93073773Y-122670196D01*
+X93049505Y-122673796D01*
+X93025001Y-122675000D01*
+X91999999Y-122675000D01*
+X91975495Y-122673796D01*
+X91951227Y-122670196D01*
+X91927428Y-122664235D01*
+X91904329Y-122655970D01*
+X91882150Y-122645480D01*
+X91861107Y-122632868D01*
+X91841401Y-122618253D01*
+X91823223Y-122601777D01*
+X91806747Y-122583599D01*
+X91792132Y-122563893D01*
+X91779520Y-122542850D01*
+X91769030Y-122520671D01*
+X91760765Y-122497572D01*
+X91754804Y-122473773D01*
+X91751204Y-122449505D01*
+X91750000Y-122425001D01*
+X91750000Y-119574999D01*
+X91751204Y-119550495D01*
+X91754804Y-119526227D01*
+X91760765Y-119502428D01*
+X91769030Y-119479329D01*
+X91779520Y-119457150D01*
+X91792132Y-119436107D01*
+X91806747Y-119416401D01*
+X91823223Y-119398223D01*
+X91841401Y-119381747D01*
+X91861107Y-119367132D01*
+X91882150Y-119354520D01*
+X91904329Y-119344030D01*
+X91927428Y-119335765D01*
+X91951227Y-119329804D01*
+X91975495Y-119326204D01*
+X91999999Y-119325000D01*
+X93025001Y-119325000D01*
+X93049505Y-119326204D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X99024505Y-119326204D02*
+G01*
+X99048773Y-119329804D01*
+X99072572Y-119335765D01*
+X99095671Y-119344030D01*
+X99117850Y-119354520D01*
+X99138893Y-119367132D01*
+X99158599Y-119381747D01*
+X99176777Y-119398223D01*
+X99193253Y-119416401D01*
+X99207868Y-119436107D01*
+X99220480Y-119457150D01*
+X99230970Y-119479329D01*
+X99239235Y-119502428D01*
+X99245196Y-119526227D01*
+X99248796Y-119550495D01*
+X99250000Y-119574999D01*
+X99250000Y-122425001D01*
+X99248796Y-122449505D01*
+X99245196Y-122473773D01*
+X99239235Y-122497572D01*
+X99230970Y-122520671D01*
+X99220480Y-122542850D01*
+X99207868Y-122563893D01*
+X99193253Y-122583599D01*
+X99176777Y-122601777D01*
+X99158599Y-122618253D01*
+X99138893Y-122632868D01*
+X99117850Y-122645480D01*
+X99095671Y-122655970D01*
+X99072572Y-122664235D01*
+X99048773Y-122670196D01*
+X99024505Y-122673796D01*
+X99000001Y-122675000D01*
+X97974999Y-122675000D01*
+X97950495Y-122673796D01*
+X97926227Y-122670196D01*
+X97902428Y-122664235D01*
+X97879329Y-122655970D01*
+X97857150Y-122645480D01*
+X97836107Y-122632868D01*
+X97816401Y-122618253D01*
+X97798223Y-122601777D01*
+X97781747Y-122583599D01*
+X97767132Y-122563893D01*
+X97754520Y-122542850D01*
+X97744030Y-122520671D01*
+X97735765Y-122497572D01*
+X97729804Y-122473773D01*
+X97726204Y-122449505D01*
+X97725000Y-122425001D01*
+X97725000Y-119574999D01*
+X97726204Y-119550495D01*
+X97729804Y-119526227D01*
+X97735765Y-119502428D01*
+X97744030Y-119479329D01*
+X97754520Y-119457150D01*
+X97767132Y-119436107D01*
+X97781747Y-119416401D01*
+X97798223Y-119398223D01*
+X97816401Y-119381747D01*
+X97836107Y-119367132D01*
+X97857150Y-119354520D01*
+X97879329Y-119344030D01*
+X97902428Y-119335765D01*
+X97926227Y-119329804D01*
+X97950495Y-119326204D01*
+X97974999Y-119325000D01*
+X99000001Y-119325000D01*
+X99024505Y-119326204D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X99024505Y-96326204D02*
+G01*
+X99048773Y-96329804D01*
+X99072572Y-96335765D01*
+X99095671Y-96344030D01*
+X99117850Y-96354520D01*
+X99138893Y-96367132D01*
+X99158599Y-96381747D01*
+X99176777Y-96398223D01*
+X99193253Y-96416401D01*
+X99207868Y-96436107D01*
+X99220480Y-96457150D01*
+X99230970Y-96479329D01*
+X99239235Y-96502428D01*
+X99245196Y-96526227D01*
+X99248796Y-96550495D01*
+X99250000Y-96574999D01*
+X99250000Y-99425001D01*
+X99248796Y-99449505D01*
+X99245196Y-99473773D01*
+X99239235Y-99497572D01*
+X99230970Y-99520671D01*
+X99220480Y-99542850D01*
+X99207868Y-99563893D01*
+X99193253Y-99583599D01*
+X99176777Y-99601777D01*
+X99158599Y-99618253D01*
+X99138893Y-99632868D01*
+X99117850Y-99645480D01*
+X99095671Y-99655970D01*
+X99072572Y-99664235D01*
+X99048773Y-99670196D01*
+X99024505Y-99673796D01*
+X99000001Y-99675000D01*
+X97974999Y-99675000D01*
+X97950495Y-99673796D01*
+X97926227Y-99670196D01*
+X97902428Y-99664235D01*
+X97879329Y-99655970D01*
+X97857150Y-99645480D01*
+X97836107Y-99632868D01*
+X97816401Y-99618253D01*
+X97798223Y-99601777D01*
+X97781747Y-99583599D01*
+X97767132Y-99563893D01*
+X97754520Y-99542850D01*
+X97744030Y-99520671D01*
+X97735765Y-99497572D01*
+X97729804Y-99473773D01*
+X97726204Y-99449505D01*
+X97725000Y-99425001D01*
+X97725000Y-96574999D01*
+X97726204Y-96550495D01*
+X97729804Y-96526227D01*
+X97735765Y-96502428D01*
+X97744030Y-96479329D01*
+X97754520Y-96457150D01*
+X97767132Y-96436107D01*
+X97781747Y-96416401D01*
+X97798223Y-96398223D01*
+X97816401Y-96381747D01*
+X97836107Y-96367132D01*
+X97857150Y-96354520D01*
+X97879329Y-96344030D01*
+X97902428Y-96335765D01*
+X97926227Y-96329804D01*
+X97950495Y-96326204D01*
+X97974999Y-96325000D01*
+X99000001Y-96325000D01*
+X99024505Y-96326204D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X93049505Y-96326204D02*
+G01*
+X93073773Y-96329804D01*
+X93097572Y-96335765D01*
+X93120671Y-96344030D01*
+X93142850Y-96354520D01*
+X93163893Y-96367132D01*
+X93183599Y-96381747D01*
+X93201777Y-96398223D01*
+X93218253Y-96416401D01*
+X93232868Y-96436107D01*
+X93245480Y-96457150D01*
+X93255970Y-96479329D01*
+X93264235Y-96502428D01*
+X93270196Y-96526227D01*
+X93273796Y-96550495D01*
+X93275000Y-96574999D01*
+X93275000Y-99425001D01*
+X93273796Y-99449505D01*
+X93270196Y-99473773D01*
+X93264235Y-99497572D01*
+X93255970Y-99520671D01*
+X93245480Y-99542850D01*
+X93232868Y-99563893D01*
+X93218253Y-99583599D01*
+X93201777Y-99601777D01*
+X93183599Y-99618253D01*
+X93163893Y-99632868D01*
+X93142850Y-99645480D01*
+X93120671Y-99655970D01*
+X93097572Y-99664235D01*
+X93073773Y-99670196D01*
+X93049505Y-99673796D01*
+X93025001Y-99675000D01*
+X91999999Y-99675000D01*
+X91975495Y-99673796D01*
+X91951227Y-99670196D01*
+X91927428Y-99664235D01*
+X91904329Y-99655970D01*
+X91882150Y-99645480D01*
+X91861107Y-99632868D01*
+X91841401Y-99618253D01*
+X91823223Y-99601777D01*
+X91806747Y-99583599D01*
+X91792132Y-99563893D01*
+X91779520Y-99542850D01*
+X91769030Y-99520671D01*
+X91760765Y-99497572D01*
+X91754804Y-99473773D01*
+X91751204Y-99449505D01*
+X91750000Y-99425001D01*
+X91750000Y-96574999D01*
+X91751204Y-96550495D01*
+X91754804Y-96526227D01*
+X91760765Y-96502428D01*
+X91769030Y-96479329D01*
+X91779520Y-96457150D01*
+X91792132Y-96436107D01*
+X91806747Y-96416401D01*
+X91823223Y-96398223D01*
+X91841401Y-96381747D01*
+X91861107Y-96367132D01*
+X91882150Y-96354520D01*
+X91904329Y-96344030D01*
+X91927428Y-96335765D01*
+X91951227Y-96329804D01*
+X91975495Y-96326204D01*
+X91999999Y-96325000D01*
+X93025001Y-96325000D01*
+X93049505Y-96326204D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X99024505Y-107826204D02*
+G01*
+X99048773Y-107829804D01*
+X99072572Y-107835765D01*
+X99095671Y-107844030D01*
+X99117850Y-107854520D01*
+X99138893Y-107867132D01*
+X99158599Y-107881747D01*
+X99176777Y-107898223D01*
+X99193253Y-107916401D01*
+X99207868Y-107936107D01*
+X99220480Y-107957150D01*
+X99230970Y-107979329D01*
+X99239235Y-108002428D01*
+X99245196Y-108026227D01*
+X99248796Y-108050495D01*
+X99250000Y-108074999D01*
+X99250000Y-110925001D01*
+X99248796Y-110949505D01*
+X99245196Y-110973773D01*
+X99239235Y-110997572D01*
+X99230970Y-111020671D01*
+X99220480Y-111042850D01*
+X99207868Y-111063893D01*
+X99193253Y-111083599D01*
+X99176777Y-111101777D01*
+X99158599Y-111118253D01*
+X99138893Y-111132868D01*
+X99117850Y-111145480D01*
+X99095671Y-111155970D01*
+X99072572Y-111164235D01*
+X99048773Y-111170196D01*
+X99024505Y-111173796D01*
+X99000001Y-111175000D01*
+X97974999Y-111175000D01*
+X97950495Y-111173796D01*
+X97926227Y-111170196D01*
+X97902428Y-111164235D01*
+X97879329Y-111155970D01*
+X97857150Y-111145480D01*
+X97836107Y-111132868D01*
+X97816401Y-111118253D01*
+X97798223Y-111101777D01*
+X97781747Y-111083599D01*
+X97767132Y-111063893D01*
+X97754520Y-111042850D01*
+X97744030Y-111020671D01*
+X97735765Y-110997572D01*
+X97729804Y-110973773D01*
+X97726204Y-110949505D01*
+X97725000Y-110925001D01*
+X97725000Y-108074999D01*
+X97726204Y-108050495D01*
+X97729804Y-108026227D01*
+X97735765Y-108002428D01*
+X97744030Y-107979329D01*
+X97754520Y-107957150D01*
+X97767132Y-107936107D01*
+X97781747Y-107916401D01*
+X97798223Y-107898223D01*
+X97816401Y-107881747D01*
+X97836107Y-107867132D01*
+X97857150Y-107854520D01*
+X97879329Y-107844030D01*
+X97902428Y-107835765D01*
+X97926227Y-107829804D01*
+X97950495Y-107826204D01*
+X97974999Y-107825000D01*
+X99000001Y-107825000D01*
+X99024505Y-107826204D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X93049505Y-107826204D02*
+G01*
+X93073773Y-107829804D01*
+X93097572Y-107835765D01*
+X93120671Y-107844030D01*
+X93142850Y-107854520D01*
+X93163893Y-107867132D01*
+X93183599Y-107881747D01*
+X93201777Y-107898223D01*
+X93218253Y-107916401D01*
+X93232868Y-107936107D01*
+X93245480Y-107957150D01*
+X93255970Y-107979329D01*
+X93264235Y-108002428D01*
+X93270196Y-108026227D01*
+X93273796Y-108050495D01*
+X93275000Y-108074999D01*
+X93275000Y-110925001D01*
+X93273796Y-110949505D01*
+X93270196Y-110973773D01*
+X93264235Y-110997572D01*
+X93255970Y-111020671D01*
+X93245480Y-111042850D01*
+X93232868Y-111063893D01*
+X93218253Y-111083599D01*
+X93201777Y-111101777D01*
+X93183599Y-111118253D01*
+X93163893Y-111132868D01*
+X93142850Y-111145480D01*
+X93120671Y-111155970D01*
+X93097572Y-111164235D01*
+X93073773Y-111170196D01*
+X93049505Y-111173796D01*
+X93025001Y-111175000D01*
+X91999999Y-111175000D01*
+X91975495Y-111173796D01*
+X91951227Y-111170196D01*
+X91927428Y-111164235D01*
+X91904329Y-111155970D01*
+X91882150Y-111145480D01*
+X91861107Y-111132868D01*
+X91841401Y-111118253D01*
+X91823223Y-111101777D01*
+X91806747Y-111083599D01*
+X91792132Y-111063893D01*
+X91779520Y-111042850D01*
+X91769030Y-111020671D01*
+X91760765Y-110997572D01*
+X91754804Y-110973773D01*
+X91751204Y-110949505D01*
+X91750000Y-110925001D01*
+X91750000Y-108074999D01*
+X91751204Y-108050495D01*
+X91754804Y-108026227D01*
+X91760765Y-108002428D01*
+X91769030Y-107979329D01*
+X91779520Y-107957150D01*
+X91792132Y-107936107D01*
+X91806747Y-107916401D01*
+X91823223Y-107898223D01*
+X91841401Y-107881747D01*
+X91861107Y-107867132D01*
+X91882150Y-107854520D01*
+X91904329Y-107844030D01*
+X91927428Y-107835765D01*
+X91951227Y-107829804D01*
+X91975495Y-107826204D01*
+X91999999Y-107825000D01*
+X93025001Y-107825000D01*
+X93049505Y-107826204D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X93049505Y-101826204D02*
+G01*
+X93073773Y-101829804D01*
+X93097572Y-101835765D01*
+X93120671Y-101844030D01*
+X93142850Y-101854520D01*
+X93163893Y-101867132D01*
+X93183599Y-101881747D01*
+X93201777Y-101898223D01*
+X93218253Y-101916401D01*
+X93232868Y-101936107D01*
+X93245480Y-101957150D01*
+X93255970Y-101979329D01*
+X93264235Y-102002428D01*
+X93270196Y-102026227D01*
+X93273796Y-102050495D01*
+X93275000Y-102074999D01*
+X93275000Y-104925001D01*
+X93273796Y-104949505D01*
+X93270196Y-104973773D01*
+X93264235Y-104997572D01*
+X93255970Y-105020671D01*
+X93245480Y-105042850D01*
+X93232868Y-105063893D01*
+X93218253Y-105083599D01*
+X93201777Y-105101777D01*
+X93183599Y-105118253D01*
+X93163893Y-105132868D01*
+X93142850Y-105145480D01*
+X93120671Y-105155970D01*
+X93097572Y-105164235D01*
+X93073773Y-105170196D01*
+X93049505Y-105173796D01*
+X93025001Y-105175000D01*
+X91999999Y-105175000D01*
+X91975495Y-105173796D01*
+X91951227Y-105170196D01*
+X91927428Y-105164235D01*
+X91904329Y-105155970D01*
+X91882150Y-105145480D01*
+X91861107Y-105132868D01*
+X91841401Y-105118253D01*
+X91823223Y-105101777D01*
+X91806747Y-105083599D01*
+X91792132Y-105063893D01*
+X91779520Y-105042850D01*
+X91769030Y-105020671D01*
+X91760765Y-104997572D01*
+X91754804Y-104973773D01*
+X91751204Y-104949505D01*
+X91750000Y-104925001D01*
+X91750000Y-102074999D01*
+X91751204Y-102050495D01*
+X91754804Y-102026227D01*
+X91760765Y-102002428D01*
+X91769030Y-101979329D01*
+X91779520Y-101957150D01*
+X91792132Y-101936107D01*
+X91806747Y-101916401D01*
+X91823223Y-101898223D01*
+X91841401Y-101881747D01*
+X91861107Y-101867132D01*
+X91882150Y-101854520D01*
+X91904329Y-101844030D01*
+X91927428Y-101835765D01*
+X91951227Y-101829804D01*
+X91975495Y-101826204D01*
+X91999999Y-101825000D01*
+X93025001Y-101825000D01*
+X93049505Y-101826204D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X99024505Y-101826204D02*
+G01*
+X99048773Y-101829804D01*
+X99072572Y-101835765D01*
+X99095671Y-101844030D01*
+X99117850Y-101854520D01*
+X99138893Y-101867132D01*
+X99158599Y-101881747D01*
+X99176777Y-101898223D01*
+X99193253Y-101916401D01*
+X99207868Y-101936107D01*
+X99220480Y-101957150D01*
+X99230970Y-101979329D01*
+X99239235Y-102002428D01*
+X99245196Y-102026227D01*
+X99248796Y-102050495D01*
+X99250000Y-102074999D01*
+X99250000Y-104925001D01*
+X99248796Y-104949505D01*
+X99245196Y-104973773D01*
+X99239235Y-104997572D01*
+X99230970Y-105020671D01*
+X99220480Y-105042850D01*
+X99207868Y-105063893D01*
+X99193253Y-105083599D01*
+X99176777Y-105101777D01*
+X99158599Y-105118253D01*
+X99138893Y-105132868D01*
+X99117850Y-105145480D01*
+X99095671Y-105155970D01*
+X99072572Y-105164235D01*
+X99048773Y-105170196D01*
+X99024505Y-105173796D01*
+X99000001Y-105175000D01*
+X97974999Y-105175000D01*
+X97950495Y-105173796D01*
+X97926227Y-105170196D01*
+X97902428Y-105164235D01*
+X97879329Y-105155970D01*
+X97857150Y-105145480D01*
+X97836107Y-105132868D01*
+X97816401Y-105118253D01*
+X97798223Y-105101777D01*
+X97781747Y-105083599D01*
+X97767132Y-105063893D01*
+X97754520Y-105042850D01*
+X97744030Y-105020671D01*
+X97735765Y-104997572D01*
+X97729804Y-104973773D01*
+X97726204Y-104949505D01*
+X97725000Y-104925001D01*
+X97725000Y-102074999D01*
+X97726204Y-102050495D01*
+X97729804Y-102026227D01*
+X97735765Y-102002428D01*
+X97744030Y-101979329D01*
+X97754520Y-101957150D01*
+X97767132Y-101936107D01*
+X97781747Y-101916401D01*
+X97798223Y-101898223D01*
+X97816401Y-101881747D01*
+X97836107Y-101867132D01*
+X97857150Y-101854520D01*
+X97879329Y-101844030D01*
+X97902428Y-101835765D01*
+X97926227Y-101829804D01*
+X97950495Y-101826204D01*
+X97974999Y-101825000D01*
+X99000001Y-101825000D01*
+X99024505Y-101826204D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X99024505Y-113826204D02*
+G01*
+X99048773Y-113829804D01*
+X99072572Y-113835765D01*
+X99095671Y-113844030D01*
+X99117850Y-113854520D01*
+X99138893Y-113867132D01*
+X99158599Y-113881747D01*
+X99176777Y-113898223D01*
+X99193253Y-113916401D01*
+X99207868Y-113936107D01*
+X99220480Y-113957150D01*
+X99230970Y-113979329D01*
+X99239235Y-114002428D01*
+X99245196Y-114026227D01*
+X99248796Y-114050495D01*
+X99250000Y-114074999D01*
+X99250000Y-116925001D01*
+X99248796Y-116949505D01*
+X99245196Y-116973773D01*
+X99239235Y-116997572D01*
+X99230970Y-117020671D01*
+X99220480Y-117042850D01*
+X99207868Y-117063893D01*
+X99193253Y-117083599D01*
+X99176777Y-117101777D01*
+X99158599Y-117118253D01*
+X99138893Y-117132868D01*
+X99117850Y-117145480D01*
+X99095671Y-117155970D01*
+X99072572Y-117164235D01*
+X99048773Y-117170196D01*
+X99024505Y-117173796D01*
+X99000001Y-117175000D01*
+X97974999Y-117175000D01*
+X97950495Y-117173796D01*
+X97926227Y-117170196D01*
+X97902428Y-117164235D01*
+X97879329Y-117155970D01*
+X97857150Y-117145480D01*
+X97836107Y-117132868D01*
+X97816401Y-117118253D01*
+X97798223Y-117101777D01*
+X97781747Y-117083599D01*
+X97767132Y-117063893D01*
+X97754520Y-117042850D01*
+X97744030Y-117020671D01*
+X97735765Y-116997572D01*
+X97729804Y-116973773D01*
+X97726204Y-116949505D01*
+X97725000Y-116925001D01*
+X97725000Y-114074999D01*
+X97726204Y-114050495D01*
+X97729804Y-114026227D01*
+X97735765Y-114002428D01*
+X97744030Y-113979329D01*
+X97754520Y-113957150D01*
+X97767132Y-113936107D01*
+X97781747Y-113916401D01*
+X97798223Y-113898223D01*
+X97816401Y-113881747D01*
+X97836107Y-113867132D01*
+X97857150Y-113854520D01*
+X97879329Y-113844030D01*
+X97902428Y-113835765D01*
+X97926227Y-113829804D01*
+X97950495Y-113826204D01*
+X97974999Y-113825000D01*
+X99000001Y-113825000D01*
+X99024505Y-113826204D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X93049505Y-113826204D02*
+G01*
+X93073773Y-113829804D01*
+X93097572Y-113835765D01*
+X93120671Y-113844030D01*
+X93142850Y-113854520D01*
+X93163893Y-113867132D01*
+X93183599Y-113881747D01*
+X93201777Y-113898223D01*
+X93218253Y-113916401D01*
+X93232868Y-113936107D01*
+X93245480Y-113957150D01*
+X93255970Y-113979329D01*
+X93264235Y-114002428D01*
+X93270196Y-114026227D01*
+X93273796Y-114050495D01*
+X93275000Y-114074999D01*
+X93275000Y-116925001D01*
+X93273796Y-116949505D01*
+X93270196Y-116973773D01*
+X93264235Y-116997572D01*
+X93255970Y-117020671D01*
+X93245480Y-117042850D01*
+X93232868Y-117063893D01*
+X93218253Y-117083599D01*
+X93201777Y-117101777D01*
+X93183599Y-117118253D01*
+X93163893Y-117132868D01*
+X93142850Y-117145480D01*
+X93120671Y-117155970D01*
+X93097572Y-117164235D01*
+X93073773Y-117170196D01*
+X93049505Y-117173796D01*
+X93025001Y-117175000D01*
+X91999999Y-117175000D01*
+X91975495Y-117173796D01*
+X91951227Y-117170196D01*
+X91927428Y-117164235D01*
+X91904329Y-117155970D01*
+X91882150Y-117145480D01*
+X91861107Y-117132868D01*
+X91841401Y-117118253D01*
+X91823223Y-117101777D01*
+X91806747Y-117083599D01*
+X91792132Y-117063893D01*
+X91779520Y-117042850D01*
+X91769030Y-117020671D01*
+X91760765Y-116997572D01*
+X91754804Y-116973773D01*
+X91751204Y-116949505D01*
+X91750000Y-116925001D01*
+X91750000Y-114074999D01*
+X91751204Y-114050495D01*
+X91754804Y-114026227D01*
+X91760765Y-114002428D01*
+X91769030Y-113979329D01*
+X91779520Y-113957150D01*
+X91792132Y-113936107D01*
+X91806747Y-113916401D01*
+X91823223Y-113898223D01*
+X91841401Y-113881747D01*
+X91861107Y-113867132D01*
+X91882150Y-113854520D01*
+X91904329Y-113844030D01*
+X91927428Y-113835765D01*
+X91951227Y-113829804D01*
+X91975495Y-113826204D01*
+X91999999Y-113825000D01*
+X93025001Y-113825000D01*
+X93049505Y-113826204D01*
+G37*
+G04 #@! TD.AperFunction*
+D38*
+X112250000Y-74500000D03*
+X112250000Y-77400000D03*
+X114550000Y-77400000D03*
+X114550000Y-74500000D03*
+D39*
+X75000000Y-60000000D03*
+X165000000Y-60000000D03*
+X75000000Y-150000000D03*
+X165000000Y-150000000D03*
+D40*
+X116550000Y-66590000D03*
+D41*
+X116550000Y-64050000D03*
+X116550000Y-61510000D03*
+X116550000Y-58970000D03*
+D29*
+X87000000Y-61750000D03*
+D28*
+X89540000Y-61750000D03*
+D31*
+X128800000Y-120974950D03*
+X128800000Y-120324950D03*
+X128800000Y-119674950D03*
+X128800000Y-119024950D03*
+X124400000Y-119024950D03*
+X124400000Y-119674950D03*
+X124400000Y-120324950D03*
+X124400000Y-120974950D03*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+D10*
+G36*
+X165935779Y-68676144D02*
+G01*
+X165958834Y-68679563D01*
+X165981443Y-68685227D01*
+X166003387Y-68693079D01*
+X166024457Y-68703044D01*
+X166044448Y-68715026D01*
+X166063168Y-68728910D01*
+X166080438Y-68744562D01*
+X166096090Y-68761832D01*
+X166109974Y-68780552D01*
+X166121956Y-68800543D01*
+X166131921Y-68821613D01*
+X166139773Y-68843557D01*
+X166145437Y-68866166D01*
+X166148856Y-68889221D01*
+X166150000Y-68912500D01*
+X166150000Y-69387500D01*
+X166148856Y-69410779D01*
+X166145437Y-69433834D01*
+X166139773Y-69456443D01*
+X166131921Y-69478387D01*
+X166121956Y-69499457D01*
+X166109974Y-69519448D01*
+X166096090Y-69538168D01*
+X166080438Y-69555438D01*
+X166063168Y-69571090D01*
+X166044448Y-69584974D01*
+X166024457Y-69596956D01*
+X166003387Y-69606921D01*
+X165981443Y-69614773D01*
+X165958834Y-69620437D01*
+X165935779Y-69623856D01*
+X165912500Y-69625000D01*
+X165337500Y-69625000D01*
+X165314221Y-69623856D01*
+X165291166Y-69620437D01*
+X165268557Y-69614773D01*
+X165246613Y-69606921D01*
+X165225543Y-69596956D01*
+X165205552Y-69584974D01*
+X165186832Y-69571090D01*
+X165169562Y-69555438D01*
+X165153910Y-69538168D01*
+X165140026Y-69519448D01*
+X165128044Y-69499457D01*
+X165118079Y-69478387D01*
+X165110227Y-69456443D01*
+X165104563Y-69433834D01*
+X165101144Y-69410779D01*
+X165100000Y-69387500D01*
+X165100000Y-68912500D01*
+X165101144Y-68889221D01*
+X165104563Y-68866166D01*
+X165110227Y-68843557D01*
+X165118079Y-68821613D01*
+X165128044Y-68800543D01*
+X165140026Y-68780552D01*
+X165153910Y-68761832D01*
+X165169562Y-68744562D01*
+X165186832Y-68728910D01*
+X165205552Y-68715026D01*
+X165225543Y-68703044D01*
+X165246613Y-68693079D01*
+X165268557Y-68685227D01*
+X165291166Y-68679563D01*
+X165314221Y-68676144D01*
+X165337500Y-68675000D01*
+X165912500Y-68675000D01*
+X165935779Y-68676144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X167685779Y-68676144D02*
+G01*
+X167708834Y-68679563D01*
+X167731443Y-68685227D01*
+X167753387Y-68693079D01*
+X167774457Y-68703044D01*
+X167794448Y-68715026D01*
+X167813168Y-68728910D01*
+X167830438Y-68744562D01*
+X167846090Y-68761832D01*
+X167859974Y-68780552D01*
+X167871956Y-68800543D01*
+X167881921Y-68821613D01*
+X167889773Y-68843557D01*
+X167895437Y-68866166D01*
+X167898856Y-68889221D01*
+X167900000Y-68912500D01*
+X167900000Y-69387500D01*
+X167898856Y-69410779D01*
+X167895437Y-69433834D01*
+X167889773Y-69456443D01*
+X167881921Y-69478387D01*
+X167871956Y-69499457D01*
+X167859974Y-69519448D01*
+X167846090Y-69538168D01*
+X167830438Y-69555438D01*
+X167813168Y-69571090D01*
+X167794448Y-69584974D01*
+X167774457Y-69596956D01*
+X167753387Y-69606921D01*
+X167731443Y-69614773D01*
+X167708834Y-69620437D01*
+X167685779Y-69623856D01*
+X167662500Y-69625000D01*
+X167087500Y-69625000D01*
+X167064221Y-69623856D01*
+X167041166Y-69620437D01*
+X167018557Y-69614773D01*
+X166996613Y-69606921D01*
+X166975543Y-69596956D01*
+X166955552Y-69584974D01*
+X166936832Y-69571090D01*
+X166919562Y-69555438D01*
+X166903910Y-69538168D01*
+X166890026Y-69519448D01*
+X166878044Y-69499457D01*
+X166868079Y-69478387D01*
+X166860227Y-69456443D01*
+X166854563Y-69433834D01*
+X166851144Y-69410779D01*
+X166850000Y-69387500D01*
+X166850000Y-68912500D01*
+X166851144Y-68889221D01*
+X166854563Y-68866166D01*
+X166860227Y-68843557D01*
+X166868079Y-68821613D01*
+X166878044Y-68800543D01*
+X166890026Y-68780552D01*
+X166903910Y-68761832D01*
+X166919562Y-68744562D01*
+X166936832Y-68728910D01*
+X166955552Y-68715026D01*
+X166975543Y-68703044D01*
+X166996613Y-68693079D01*
+X167018557Y-68685227D01*
+X167041166Y-68679563D01*
+X167064221Y-68676144D01*
+X167087500Y-68675000D01*
+X167662500Y-68675000D01*
+X167685779Y-68676144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X167685779Y-67176144D02*
+G01*
+X167708834Y-67179563D01*
+X167731443Y-67185227D01*
+X167753387Y-67193079D01*
+X167774457Y-67203044D01*
+X167794448Y-67215026D01*
+X167813168Y-67228910D01*
+X167830438Y-67244562D01*
+X167846090Y-67261832D01*
+X167859974Y-67280552D01*
+X167871956Y-67300543D01*
+X167881921Y-67321613D01*
+X167889773Y-67343557D01*
+X167895437Y-67366166D01*
+X167898856Y-67389221D01*
+X167900000Y-67412500D01*
+X167900000Y-67887500D01*
+X167898856Y-67910779D01*
+X167895437Y-67933834D01*
+X167889773Y-67956443D01*
+X167881921Y-67978387D01*
+X167871956Y-67999457D01*
+X167859974Y-68019448D01*
+X167846090Y-68038168D01*
+X167830438Y-68055438D01*
+X167813168Y-68071090D01*
+X167794448Y-68084974D01*
+X167774457Y-68096956D01*
+X167753387Y-68106921D01*
+X167731443Y-68114773D01*
+X167708834Y-68120437D01*
+X167685779Y-68123856D01*
+X167662500Y-68125000D01*
+X167087500Y-68125000D01*
+X167064221Y-68123856D01*
+X167041166Y-68120437D01*
+X167018557Y-68114773D01*
+X166996613Y-68106921D01*
+X166975543Y-68096956D01*
+X166955552Y-68084974D01*
+X166936832Y-68071090D01*
+X166919562Y-68055438D01*
+X166903910Y-68038168D01*
+X166890026Y-68019448D01*
+X166878044Y-67999457D01*
+X166868079Y-67978387D01*
+X166860227Y-67956443D01*
+X166854563Y-67933834D01*
+X166851144Y-67910779D01*
+X166850000Y-67887500D01*
+X166850000Y-67412500D01*
+X166851144Y-67389221D01*
+X166854563Y-67366166D01*
+X166860227Y-67343557D01*
+X166868079Y-67321613D01*
+X166878044Y-67300543D01*
+X166890026Y-67280552D01*
+X166903910Y-67261832D01*
+X166919562Y-67244562D01*
+X166936832Y-67228910D01*
+X166955552Y-67215026D01*
+X166975543Y-67203044D01*
+X166996613Y-67193079D01*
+X167018557Y-67185227D01*
+X167041166Y-67179563D01*
+X167064221Y-67176144D01*
+X167087500Y-67175000D01*
+X167662500Y-67175000D01*
+X167685779Y-67176144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X165935779Y-67176144D02*
+G01*
+X165958834Y-67179563D01*
+X165981443Y-67185227D01*
+X166003387Y-67193079D01*
+X166024457Y-67203044D01*
+X166044448Y-67215026D01*
+X166063168Y-67228910D01*
+X166080438Y-67244562D01*
+X166096090Y-67261832D01*
+X166109974Y-67280552D01*
+X166121956Y-67300543D01*
+X166131921Y-67321613D01*
+X166139773Y-67343557D01*
+X166145437Y-67366166D01*
+X166148856Y-67389221D01*
+X166150000Y-67412500D01*
+X166150000Y-67887500D01*
+X166148856Y-67910779D01*
+X166145437Y-67933834D01*
+X166139773Y-67956443D01*
+X166131921Y-67978387D01*
+X166121956Y-67999457D01*
+X166109974Y-68019448D01*
+X166096090Y-68038168D01*
+X166080438Y-68055438D01*
+X166063168Y-68071090D01*
+X166044448Y-68084974D01*
+X166024457Y-68096956D01*
+X166003387Y-68106921D01*
+X165981443Y-68114773D01*
+X165958834Y-68120437D01*
+X165935779Y-68123856D01*
+X165912500Y-68125000D01*
+X165337500Y-68125000D01*
+X165314221Y-68123856D01*
+X165291166Y-68120437D01*
+X165268557Y-68114773D01*
+X165246613Y-68106921D01*
+X165225543Y-68096956D01*
+X165205552Y-68084974D01*
+X165186832Y-68071090D01*
+X165169562Y-68055438D01*
+X165153910Y-68038168D01*
+X165140026Y-68019448D01*
+X165128044Y-67999457D01*
+X165118079Y-67978387D01*
+X165110227Y-67956443D01*
+X165104563Y-67933834D01*
+X165101144Y-67910779D01*
+X165100000Y-67887500D01*
+X165100000Y-67412500D01*
+X165101144Y-67389221D01*
+X165104563Y-67366166D01*
+X165110227Y-67343557D01*
+X165118079Y-67321613D01*
+X165128044Y-67300543D01*
+X165140026Y-67280552D01*
+X165153910Y-67261832D01*
+X165169562Y-67244562D01*
+X165186832Y-67228910D01*
+X165205552Y-67215026D01*
+X165225543Y-67203044D01*
+X165246613Y-67193079D01*
+X165268557Y-67185227D01*
+X165291166Y-67179563D01*
+X165314221Y-67176144D01*
+X165337500Y-67175000D01*
+X165912500Y-67175000D01*
+X165935779Y-67176144D01*
+G37*
+G04 #@! TD.AperFunction*
+D18*
+X155220000Y-69300000D03*
+X152930000Y-69300000D03*
+X143790000Y-69300000D03*
+X141500000Y-69300000D03*
+D24*
+X140230000Y-63590000D03*
+X156490000Y-63590000D03*
+D18*
+X151940000Y-64480000D03*
+X149900000Y-64480000D03*
+X147860000Y-64480000D03*
+X145820000Y-64480000D03*
+X150920000Y-62700000D03*
+X148880000Y-62700000D03*
+X146840000Y-62700000D03*
+D42*
+X144800000Y-62700000D03*
+X124950000Y-62700000D03*
+D18*
+X126990000Y-62700000D03*
+X129030000Y-62700000D03*
+X131070000Y-62700000D03*
+X125970000Y-64480000D03*
+X128010000Y-64480000D03*
+X130050000Y-64480000D03*
+X132090000Y-64480000D03*
+D24*
+X136640000Y-63590000D03*
+X120380000Y-63590000D03*
+D18*
+X121650000Y-69300000D03*
+X123940000Y-69300000D03*
+X133080000Y-69300000D03*
+X135370000Y-69300000D03*
+D43*
+X164100000Y-92795000D03*
+X164100000Y-91525000D03*
+X164100000Y-90255000D03*
+X164100000Y-88985000D03*
+X164100000Y-87715000D03*
+X164100000Y-86445000D03*
+X164100000Y-85175000D03*
+X164100000Y-83905000D03*
+X158700000Y-83905000D03*
+X158700000Y-85175000D03*
+X158700000Y-86445000D03*
+X158700000Y-87715000D03*
+X158700000Y-88985000D03*
+X158700000Y-90255000D03*
+X158700000Y-91525000D03*
+X158700000Y-92795000D03*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+D10*
+G36*
+X163335779Y-68676144D02*
+G01*
+X163358834Y-68679563D01*
+X163381443Y-68685227D01*
+X163403387Y-68693079D01*
+X163424457Y-68703044D01*
+X163444448Y-68715026D01*
+X163463168Y-68728910D01*
+X163480438Y-68744562D01*
+X163496090Y-68761832D01*
+X163509974Y-68780552D01*
+X163521956Y-68800543D01*
+X163531921Y-68821613D01*
+X163539773Y-68843557D01*
+X163545437Y-68866166D01*
+X163548856Y-68889221D01*
+X163550000Y-68912500D01*
+X163550000Y-69387500D01*
+X163548856Y-69410779D01*
+X163545437Y-69433834D01*
+X163539773Y-69456443D01*
+X163531921Y-69478387D01*
+X163521956Y-69499457D01*
+X163509974Y-69519448D01*
+X163496090Y-69538168D01*
+X163480438Y-69555438D01*
+X163463168Y-69571090D01*
+X163444448Y-69584974D01*
+X163424457Y-69596956D01*
+X163403387Y-69606921D01*
+X163381443Y-69614773D01*
+X163358834Y-69620437D01*
+X163335779Y-69623856D01*
+X163312500Y-69625000D01*
+X162737500Y-69625000D01*
+X162714221Y-69623856D01*
+X162691166Y-69620437D01*
+X162668557Y-69614773D01*
+X162646613Y-69606921D01*
+X162625543Y-69596956D01*
+X162605552Y-69584974D01*
+X162586832Y-69571090D01*
+X162569562Y-69555438D01*
+X162553910Y-69538168D01*
+X162540026Y-69519448D01*
+X162528044Y-69499457D01*
+X162518079Y-69478387D01*
+X162510227Y-69456443D01*
+X162504563Y-69433834D01*
+X162501144Y-69410779D01*
+X162500000Y-69387500D01*
+X162500000Y-68912500D01*
+X162501144Y-68889221D01*
+X162504563Y-68866166D01*
+X162510227Y-68843557D01*
+X162518079Y-68821613D01*
+X162528044Y-68800543D01*
+X162540026Y-68780552D01*
+X162553910Y-68761832D01*
+X162569562Y-68744562D01*
+X162586832Y-68728910D01*
+X162605552Y-68715026D01*
+X162625543Y-68703044D01*
+X162646613Y-68693079D01*
+X162668557Y-68685227D01*
+X162691166Y-68679563D01*
+X162714221Y-68676144D01*
+X162737500Y-68675000D01*
+X163312500Y-68675000D01*
+X163335779Y-68676144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X161585779Y-68676144D02*
+G01*
+X161608834Y-68679563D01*
+X161631443Y-68685227D01*
+X161653387Y-68693079D01*
+X161674457Y-68703044D01*
+X161694448Y-68715026D01*
+X161713168Y-68728910D01*
+X161730438Y-68744562D01*
+X161746090Y-68761832D01*
+X161759974Y-68780552D01*
+X161771956Y-68800543D01*
+X161781921Y-68821613D01*
+X161789773Y-68843557D01*
+X161795437Y-68866166D01*
+X161798856Y-68889221D01*
+X161800000Y-68912500D01*
+X161800000Y-69387500D01*
+X161798856Y-69410779D01*
+X161795437Y-69433834D01*
+X161789773Y-69456443D01*
+X161781921Y-69478387D01*
+X161771956Y-69499457D01*
+X161759974Y-69519448D01*
+X161746090Y-69538168D01*
+X161730438Y-69555438D01*
+X161713168Y-69571090D01*
+X161694448Y-69584974D01*
+X161674457Y-69596956D01*
+X161653387Y-69606921D01*
+X161631443Y-69614773D01*
+X161608834Y-69620437D01*
+X161585779Y-69623856D01*
+X161562500Y-69625000D01*
+X160987500Y-69625000D01*
+X160964221Y-69623856D01*
+X160941166Y-69620437D01*
+X160918557Y-69614773D01*
+X160896613Y-69606921D01*
+X160875543Y-69596956D01*
+X160855552Y-69584974D01*
+X160836832Y-69571090D01*
+X160819562Y-69555438D01*
+X160803910Y-69538168D01*
+X160790026Y-69519448D01*
+X160778044Y-69499457D01*
+X160768079Y-69478387D01*
+X160760227Y-69456443D01*
+X160754563Y-69433834D01*
+X160751144Y-69410779D01*
+X160750000Y-69387500D01*
+X160750000Y-68912500D01*
+X160751144Y-68889221D01*
+X160754563Y-68866166D01*
+X160760227Y-68843557D01*
+X160768079Y-68821613D01*
+X160778044Y-68800543D01*
+X160790026Y-68780552D01*
+X160803910Y-68761832D01*
+X160819562Y-68744562D01*
+X160836832Y-68728910D01*
+X160855552Y-68715026D01*
+X160875543Y-68703044D01*
+X160896613Y-68693079D01*
+X160918557Y-68685227D01*
+X160941166Y-68679563D01*
+X160964221Y-68676144D01*
+X160987500Y-68675000D01*
+X161562500Y-68675000D01*
+X161585779Y-68676144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X161585779Y-67176144D02*
+G01*
+X161608834Y-67179563D01*
+X161631443Y-67185227D01*
+X161653387Y-67193079D01*
+X161674457Y-67203044D01*
+X161694448Y-67215026D01*
+X161713168Y-67228910D01*
+X161730438Y-67244562D01*
+X161746090Y-67261832D01*
+X161759974Y-67280552D01*
+X161771956Y-67300543D01*
+X161781921Y-67321613D01*
+X161789773Y-67343557D01*
+X161795437Y-67366166D01*
+X161798856Y-67389221D01*
+X161800000Y-67412500D01*
+X161800000Y-67887500D01*
+X161798856Y-67910779D01*
+X161795437Y-67933834D01*
+X161789773Y-67956443D01*
+X161781921Y-67978387D01*
+X161771956Y-67999457D01*
+X161759974Y-68019448D01*
+X161746090Y-68038168D01*
+X161730438Y-68055438D01*
+X161713168Y-68071090D01*
+X161694448Y-68084974D01*
+X161674457Y-68096956D01*
+X161653387Y-68106921D01*
+X161631443Y-68114773D01*
+X161608834Y-68120437D01*
+X161585779Y-68123856D01*
+X161562500Y-68125000D01*
+X160987500Y-68125000D01*
+X160964221Y-68123856D01*
+X160941166Y-68120437D01*
+X160918557Y-68114773D01*
+X160896613Y-68106921D01*
+X160875543Y-68096956D01*
+X160855552Y-68084974D01*
+X160836832Y-68071090D01*
+X160819562Y-68055438D01*
+X160803910Y-68038168D01*
+X160790026Y-68019448D01*
+X160778044Y-67999457D01*
+X160768079Y-67978387D01*
+X160760227Y-67956443D01*
+X160754563Y-67933834D01*
+X160751144Y-67910779D01*
+X160750000Y-67887500D01*
+X160750000Y-67412500D01*
+X160751144Y-67389221D01*
+X160754563Y-67366166D01*
+X160760227Y-67343557D01*
+X160768079Y-67321613D01*
+X160778044Y-67300543D01*
+X160790026Y-67280552D01*
+X160803910Y-67261832D01*
+X160819562Y-67244562D01*
+X160836832Y-67228910D01*
+X160855552Y-67215026D01*
+X160875543Y-67203044D01*
+X160896613Y-67193079D01*
+X160918557Y-67185227D01*
+X160941166Y-67179563D01*
+X160964221Y-67176144D01*
+X160987500Y-67175000D01*
+X161562500Y-67175000D01*
+X161585779Y-67176144D01*
+G37*
+G04 #@! TD.AperFunction*
+G04 #@! TA.AperFunction,SMDPad,CuDef*
+G36*
+X163335779Y-67176144D02*
+G01*
+X163358834Y-67179563D01*
+X163381443Y-67185227D01*
+X163403387Y-67193079D01*
+X163424457Y-67203044D01*
+X163444448Y-67215026D01*
+X163463168Y-67228910D01*
+X163480438Y-67244562D01*
+X163496090Y-67261832D01*
+X163509974Y-67280552D01*
+X163521956Y-67300543D01*
+X163531921Y-67321613D01*
+X163539773Y-67343557D01*
+X163545437Y-67366166D01*
+X163548856Y-67389221D01*
+X163550000Y-67412500D01*
+X163550000Y-67887500D01*
+X163548856Y-67910779D01*
+X163545437Y-67933834D01*
+X163539773Y-67956443D01*
+X163531921Y-67978387D01*
+X163521956Y-67999457D01*
+X163509974Y-68019448D01*
+X163496090Y-68038168D01*
+X163480438Y-68055438D01*
+X163463168Y-68071090D01*
+X163444448Y-68084974D01*
+X163424457Y-68096956D01*
+X163403387Y-68106921D01*
+X163381443Y-68114773D01*
+X163358834Y-68120437D01*
+X163335779Y-68123856D01*
+X163312500Y-68125000D01*
+X162737500Y-68125000D01*
+X162714221Y-68123856D01*
+X162691166Y-68120437D01*
+X162668557Y-68114773D01*
+X162646613Y-68106921D01*
+X162625543Y-68096956D01*
+X162605552Y-68084974D01*
+X162586832Y-68071090D01*
+X162569562Y-68055438D01*
+X162553910Y-68038168D01*
+X162540026Y-68019448D01*
+X162528044Y-67999457D01*
+X162518079Y-67978387D01*
+X162510227Y-67956443D01*
+X162504563Y-67933834D01*
+X162501144Y-67910779D01*
+X162500000Y-67887500D01*
+X162500000Y-67412500D01*
+X162501144Y-67389221D01*
+X162504563Y-67366166D01*
+X162510227Y-67343557D01*
+X162518079Y-67321613D01*
+X162528044Y-67300543D01*
+X162540026Y-67280552D01*
+X162553910Y-67261832D01*
+X162569562Y-67244562D01*
+X162586832Y-67228910D01*
+X162605552Y-67215026D01*
+X162625543Y-67203044D01*
+X162646613Y-67193079D01*
+X162668557Y-67185227D01*
+X162691166Y-67179563D01*
+X162714221Y-67176144D01*
+X162737500Y-67175000D01*
+X163312500Y-67175000D01*
+X163335779Y-67176144D01*
+G37*
+G04 #@! TD.AperFunction*
+D44*
+X133500000Y-89900000D03*
+X129000000Y-89900000D03*
+X142500000Y-89900000D03*
+X156000000Y-89300000D03*
+X92000000Y-88100000D03*
+X134200000Y-149700000D03*
+X148300000Y-153700000D03*
+X146300000Y-152900000D03*
+X102600000Y-91000000D03*
+X98500000Y-87700000D03*
+X98500000Y-88600000D03*
+X96900000Y-86600000D03*
+X96000000Y-85900000D03*
+X161200000Y-91400000D03*
+X159800000Y-79800000D03*
+X129486456Y-79149988D03*
+X160800000Y-65025000D03*
+X122712660Y-79112660D03*
+X140300000Y-149900000D03*
+X165500000Y-82600000D03*
+X157100000Y-89300000D03*
+X123600000Y-78750000D03*
+X122100000Y-77000000D03*
+X121300000Y-77000000D03*
+X122100000Y-76200000D03*
+X121300000Y-76200000D03*
+X111600000Y-79300000D03*
+X110400000Y-77600000D03*
+X164400000Y-82600000D03*
+X165500000Y-83500000D03*
+X118750000Y-73100000D03*
+X118750000Y-74250000D03*
+X119750000Y-74250000D03*
+X91000000Y-89600000D03*
+D24*
+X91500000Y-145500000D03*
+X89000000Y-120500000D03*
+X89000000Y-118000000D03*
+X89000000Y-115500000D03*
+X89000000Y-113000000D03*
+X89000000Y-110500000D03*
+X89000000Y-108000000D03*
+X89000000Y-105500000D03*
+X89000000Y-100500000D03*
+X89000000Y-103000000D03*
+D44*
+X119450000Y-87200000D03*
+X137250000Y-84250000D03*
+X136100000Y-86300000D03*
+X114500000Y-87300000D03*
+X111400000Y-85700000D03*
+X115050000Y-90350000D03*
+X115700000Y-84500000D03*
+X116750000Y-84800000D03*
+X116300000Y-89050000D03*
+X145500000Y-78175000D03*
+X147350000Y-76350000D03*
+X152000000Y-81050000D03*
+X150000000Y-82250000D03*
+X139875000Y-78125000D03*
+X139700000Y-75650000D03*
+X140150000Y-80650000D03*
+X119650000Y-88100000D03*
+X150000000Y-84600000D03*
+X147900000Y-93600000D03*
+X148000000Y-87000000D03*
+X107050000Y-90750000D03*
+X105600000Y-93400000D03*
+X95450000Y-89000000D03*
+X89500000Y-82850000D03*
+X90600000Y-81700000D03*
+X91950000Y-79400000D03*
+X90800000Y-80400000D03*
+X96450000Y-81400000D03*
+X101050000Y-81350000D03*
+X102500000Y-69750000D03*
+X103850000Y-69200000D03*
+X101300000Y-66850000D03*
+X101300000Y-68100000D03*
+X101950000Y-65900000D03*
+X101700000Y-61650000D03*
+X100000000Y-64850000D03*
+X107900000Y-58950000D03*
+X107950000Y-59950000D03*
+X111050000Y-58300000D03*
+X112100000Y-58200000D03*
+X88000000Y-70850000D03*
+X86950000Y-70850000D03*
+X85900000Y-70850000D03*
+X85900000Y-71950000D03*
+X85900000Y-73050000D03*
+X85900000Y-74100000D03*
+X86950000Y-74100000D03*
+X88000000Y-74100000D03*
+X91950000Y-80400000D03*
+X89650000Y-80400000D03*
+X85400000Y-91450000D03*
+X114550000Y-72750000D03*
+X117000000Y-72350000D03*
+X113200000Y-59500000D03*
+X97750000Y-82700000D03*
+X123950000Y-73800000D03*
+X138900000Y-80650000D03*
+X147900000Y-89900000D03*
+X142900000Y-87200000D03*
+X110200000Y-82200000D03*
+X109000000Y-81100000D03*
+X107400000Y-82750000D03*
+X108600000Y-83850000D03*
+X112250000Y-84500000D03*
+X114500000Y-84500000D03*
+X116600000Y-82400000D03*
+X111400000Y-82200000D03*
+X104300000Y-81300000D03*
+X106000000Y-82600000D03*
+X113500000Y-81500000D03*
+X118300000Y-83300000D03*
+X125000000Y-85000000D03*
+X108600000Y-70800000D03*
+X108600000Y-72000000D03*
+X108600000Y-73300000D03*
+X147462653Y-148362653D03*
+X157200000Y-144624979D03*
+X88087339Y-150112659D03*
+X129600000Y-77300000D03*
+X117200000Y-79000000D03*
+X93000000Y-91000000D03*
+X98750000Y-86350000D03*
+X99000000Y-83100000D03*
+X111927814Y-88699945D03*
+X112000000Y-90599967D03*
+X119300000Y-82900000D03*
+X125117031Y-82546238D03*
+D24*
+X142000000Y-112000000D03*
+X142000000Y-109000000D03*
+X142000000Y-118000000D03*
+X142000000Y-115000000D03*
+X139000000Y-112000000D03*
+X139000000Y-115000000D03*
+X139000000Y-118000000D03*
+X133000000Y-118000000D03*
+X136000000Y-118000000D03*
+X136000000Y-115000000D03*
+X136000000Y-112000000D03*
+X139000000Y-109000000D03*
+X136000000Y-109000000D03*
+X136000000Y-109000000D03*
+D44*
+X131500000Y-111500000D03*
+X130500000Y-111500000D03*
+X129500000Y-111500000D03*
+X129500000Y-112500000D03*
+X129500000Y-113500000D03*
+X129500000Y-114500000D03*
+X129500000Y-115500000D03*
+X130500000Y-115500000D03*
+X131500000Y-115500000D03*
+X131500000Y-112500000D03*
+X130500000Y-112500000D03*
+X130500000Y-113500000D03*
+X131500000Y-114500000D03*
+X130500000Y-114500000D03*
+X131500000Y-113500000D03*
+X123300000Y-95700000D03*
+X130000000Y-124500000D03*
+X131000000Y-124500000D03*
+D24*
+X139000000Y-125000000D03*
+X139000000Y-128000000D03*
+X136000000Y-128000000D03*
+X136000000Y-125000000D03*
+X136000000Y-122000000D03*
+X139000000Y-122000000D03*
+X142000000Y-122000000D03*
+X142000000Y-125000000D03*
+X142000000Y-128000000D03*
+X142000000Y-131000000D03*
+X139000000Y-131000000D03*
+X136000000Y-131000000D03*
+X133000000Y-131000000D03*
+X130000000Y-131000000D03*
+D44*
+X132000000Y-128500000D03*
+X131000000Y-128500000D03*
+X130000000Y-128500000D03*
+X129000000Y-128500000D03*
+X129000000Y-127500000D03*
+X129000000Y-126500000D03*
+X129000000Y-125500000D03*
+X132000000Y-127500000D03*
+X132000000Y-124500000D03*
+X132000000Y-125500000D03*
+X132000000Y-126500000D03*
+X131000000Y-125500000D03*
+X130000000Y-125500000D03*
+X130000000Y-126500000D03*
+X130000000Y-127500000D03*
+X131000000Y-127500000D03*
+X131000000Y-126500000D03*
+X136900000Y-97000000D03*
+D24*
+X118000000Y-96500000D03*
+D44*
+X131000000Y-139000000D03*
+X130000000Y-139000000D03*
+D24*
+X100000000Y-134000000D03*
+X102000000Y-136000000D03*
+X105000000Y-135000000D03*
+X106000000Y-132000000D03*
+X109000000Y-131000000D03*
+X114000000Y-132000000D03*
+X112000000Y-130000000D03*
+X101000000Y-131000000D03*
+X103000000Y-133000000D03*
+X100000000Y-126000000D03*
+X108000000Y-134000000D03*
+X107000000Y-129000000D03*
+X111000000Y-133000000D03*
+X94000000Y-128000000D03*
+X98000000Y-132000000D03*
+X96000000Y-130000000D03*
+X104000000Y-130000000D03*
+X97000000Y-127000000D03*
+X102000000Y-128000000D03*
+X99000000Y-129000000D03*
+X115000000Y-145000000D03*
+X115000000Y-142000000D03*
+X115000000Y-139000000D03*
+X115000000Y-136000000D03*
+X127000000Y-145000000D03*
+X124000000Y-145000000D03*
+X118000000Y-145000000D03*
+X121000000Y-145000000D03*
+X121000000Y-142000000D03*
+X118000000Y-142000000D03*
+X118000000Y-139000000D03*
+X121000000Y-139000000D03*
+X118000000Y-136000000D03*
+X121000000Y-136000000D03*
+X124000000Y-136000000D03*
+X127000000Y-136000000D03*
+X124000000Y-102000000D03*
+X124000000Y-99000000D03*
+X121000000Y-99000000D03*
+X121000000Y-102000000D03*
+X124000000Y-105000000D03*
+X121000000Y-105000000D03*
+X118000000Y-105000000D03*
+X118000000Y-102000000D03*
+X118000000Y-99000000D03*
+D44*
+X131000000Y-98000000D03*
+X130000000Y-98000000D03*
+X129000000Y-98000000D03*
+X128000000Y-98000000D03*
+X128000000Y-99000000D03*
+X128000000Y-100000000D03*
+X128000000Y-101000000D03*
+X128000000Y-102000000D03*
+X129000000Y-102000000D03*
+X130000000Y-102000000D03*
+X131000000Y-102000000D03*
+X131000000Y-101000000D03*
+X131000000Y-100000000D03*
+X131000000Y-99000000D03*
+X130000000Y-99000000D03*
+X129000000Y-99000000D03*
+X129000000Y-100000000D03*
+X129000000Y-101000000D03*
+X130000000Y-101000000D03*
+X130000000Y-100000000D03*
+X128000000Y-138000000D03*
+X128000000Y-139000000D03*
+X128000000Y-140000000D03*
+X128000000Y-141000000D03*
+X128000000Y-142000000D03*
+X129000000Y-142000000D03*
+X130000000Y-142000000D03*
+X131000000Y-142000000D03*
+X131000000Y-141000000D03*
+X131000000Y-140000000D03*
+X131000000Y-138000000D03*
+X130000000Y-138000000D03*
+X129000000Y-138000000D03*
+X129000000Y-139000000D03*
+X129000000Y-140000000D03*
+X129000000Y-141000000D03*
+X130000000Y-141000000D03*
+X130000000Y-140000000D03*
+X127150000Y-131800000D03*
+X140200002Y-95100000D03*
+X124649979Y-116725000D03*
+X124300000Y-94500000D03*
+X126875000Y-130050000D03*
+X136780253Y-94499989D03*
+X121525000Y-84000000D03*
+X124191433Y-82937664D03*
+X116900000Y-81000000D03*
+X131000000Y-80650000D03*
+X117700000Y-82400000D03*
+X129745454Y-81050014D03*
+X138500000Y-69100000D03*
+X135406139Y-65086186D03*
+X137500000Y-69000000D03*
+X135000000Y-66000000D03*
+X108900000Y-90300000D03*
+X162600000Y-93200000D03*
+X130975000Y-120625000D03*
+X108712653Y-89087347D03*
+X109900000Y-90374989D03*
+X162725010Y-92200000D03*
+X166500000Y-94800000D03*
+X117187342Y-80012661D03*
+X131009853Y-79650037D03*
+X106837347Y-89262653D03*
+X154208811Y-91464965D03*
+X126800000Y-152200000D03*
+X168750000Y-91050000D03*
+X146280875Y-150685242D03*
+X87900000Y-152300000D03*
+X144830864Y-150830865D03*
+X82300000Y-149075000D03*
+X127600000Y-151400000D03*
+X167400000Y-93600000D03*
+X127880000Y-85980000D03*
+X141455000Y-85805000D03*
+X105800000Y-79500000D03*
+D45*
+X94500000Y-91700000D02*
+X94500000Y-90750000D01*
+X94500000Y-90750000D02*
+X93300000Y-89550000D01*
+X93300000Y-89550000D02*
+X92625000Y-89550000D01*
+X92625000Y-89550000D02*
+X92000000Y-89550000D01*
+X95500000Y-87300000D02*
+X95500000Y-86400000D01*
+X95500000Y-86400000D02*
+X96000000Y-85900000D01*
+X95500000Y-87300000D02*
+X95500000Y-88250000D01*
+X95500000Y-88250000D02*
+X95400000Y-88350000D01*
+X101400000Y-91000000D02*
+X101011410Y-91000000D01*
+X101011410Y-91000000D02*
+X100325000Y-90313590D01*
+X100325000Y-90313590D02*
+X100325000Y-89100000D01*
+X100325000Y-89100000D02*
+X99700000Y-88475000D01*
+X99700000Y-88475000D02*
+X99700000Y-88250000D01*
+X96000000Y-88250000D02*
+X95850000Y-88400000D01*
+X96000000Y-87300000D02*
+X96000000Y-88250000D01*
+X94500000Y-91700000D02*
+X94500000Y-93000000D01*
+X115050000Y-91149990D02*
+X115025000Y-91174990D01*
+X115050000Y-90350000D02*
+X115050000Y-91149990D01*
+D46*
+X123275000Y-87775000D02*
+X122450000Y-86950000D01*
+X124195000Y-87775000D02*
+X123275000Y-87775000D01*
+D47*
+X142900000Y-89500000D02*
+X142900000Y-87200000D01*
+X142500000Y-89900000D02*
+X142900000Y-89500000D01*
+X136100000Y-87300000D02*
+X133500000Y-89900000D01*
+X136400000Y-87300000D02*
+X136100000Y-87300000D01*
+D45*
+X129000000Y-89900000D02*
+X133500000Y-89900000D01*
+X95500000Y-95100000D02*
+X95500000Y-91700000D01*
+X95500000Y-109250000D02*
+X95500000Y-95100000D01*
+X92512500Y-109500000D02*
+X95250000Y-109500000D01*
+X95250000Y-109500000D02*
+X95500000Y-109250000D01*
+D47*
+X161175011Y-86400011D02*
+X161175011Y-89514992D01*
+X161175011Y-89514992D02*
+X159900001Y-90790002D01*
+X159950000Y-85175000D02*
+X161175011Y-86400011D01*
+D45*
+X94000000Y-91700000D02*
+X92250000Y-91700000D01*
+X92250000Y-91700000D02*
+X92000000Y-91450000D01*
+X147462653Y-152937346D02*
+X146300000Y-154100000D01*
+X136600000Y-154100000D02*
+X136130000Y-153630000D01*
+X136130000Y-153630000D02*
+X136130000Y-152000000D01*
+X147462653Y-148362653D02*
+X147462653Y-152937346D01*
+X146300000Y-154100000D02*
+X136600000Y-154100000D01*
+X136130000Y-147000000D02*
+X136130000Y-152000000D01*
+X101124990Y-87324990D02*
+X100449990Y-87324990D01*
+X103600000Y-90050000D02*
+X103600000Y-89475000D01*
+X103600000Y-89475000D02*
+X103875010Y-89199990D01*
+X103875010Y-89199990D02*
+X103875010Y-87829500D01*
+X103875010Y-87829500D02*
+X103370500Y-87324990D01*
+X100449990Y-87324990D02*
+X99700000Y-86575000D01*
+X103370500Y-87324990D02*
+X101124990Y-87324990D01*
+X99700000Y-86575000D02*
+X99700000Y-86350000D01*
+X124400000Y-120974950D02*
+X122224950Y-120974950D01*
+X122224950Y-120974950D02*
+X122200000Y-120950000D01*
+X167700000Y-120373002D02*
+X167700000Y-143300000D01*
+X167700000Y-143300000D02*
+X167225032Y-143774968D01*
+X158050011Y-143774968D02*
+X157599999Y-144224980D01*
+X157599999Y-144224980D02*
+X157200000Y-144624979D01*
+X167225032Y-143774968D02*
+X158050011Y-143774968D01*
+X156000000Y-93000000D02*
+X157600000Y-94600000D01*
+X157600000Y-94600000D02*
+X158000000Y-94600000D01*
+X156000000Y-92750000D02*
+X156000000Y-93000000D01*
+X158000000Y-94600000D02*
+X157200000Y-94600000D01*
+X164000000Y-94600000D02*
+X158000000Y-94600000D01*
+X164525001Y-94074999D02*
+X164000000Y-94600000D01*
+X167700000Y-95100000D02*
+X167700000Y-120373002D01*
+X167700000Y-95100000D02*
+X167700000Y-94926998D01*
+X167700000Y-94926998D02*
+X166848001Y-94074999D01*
+X166848001Y-94074999D02*
+X164525001Y-94074999D01*
+D47*
+X158700000Y-85175000D02*
+X159950000Y-85175000D01*
+X132640000Y-74200000D02*
+X131000000Y-74200000D01*
+X132845000Y-73050000D02*
+X132845000Y-73995000D01*
+X132845000Y-73995000D02*
+X132640000Y-74200000D01*
+D46*
+X129200000Y-74525010D02*
+X129525010Y-74200000D01*
+X129525010Y-74200000D02*
+X131000000Y-74200000D01*
+D45*
+X86330000Y-147000000D02*
+X86330000Y-150112659D01*
+X86330000Y-150112659D02*
+X88087339Y-150112659D01*
+X159900001Y-90790002D02*
+X159690003Y-90899999D01*
+X159690003Y-90899999D02*
+X157689999Y-90899999D01*
+X157614999Y-90910001D02*
+X157525000Y-91000000D01*
+D47*
+X156000000Y-92750000D02*
+X156000000Y-92525000D01*
+X156000000Y-92525000D02*
+X157525000Y-91000000D01*
+X158700000Y-92795000D02*
+X156045000Y-92795000D01*
+X156045000Y-92795000D02*
+X156000000Y-92750000D01*
+D46*
+X128725000Y-71825000D02*
+X129200000Y-72300000D01*
+X129200000Y-72300000D02*
+X129200000Y-74525010D01*
+X128000000Y-71825000D02*
+X128725000Y-71825000D01*
+X129200000Y-74525010D02*
+X128725010Y-75000000D01*
+X128725010Y-75000000D02*
+X128725010Y-77224990D01*
+X128725010Y-77224990D02*
+X128600000Y-77350000D01*
+X116550000Y-67849684D02*
+X120525316Y-71825000D01*
+X120525316Y-71825000D02*
+X128000000Y-71825000D01*
+X116550000Y-66590000D02*
+X116550000Y-67849684D01*
+X129600000Y-77300000D02*
+X128650000Y-77300000D01*
+X128650000Y-77300000D02*
+X128600000Y-77350000D01*
+D45*
+X157689999Y-90910001D02*
+X157614999Y-90910001D01*
+X157689999Y-90899999D02*
+X157689999Y-90910001D01*
+X127125000Y-78075000D02*
+X127850000Y-77350000D01*
+X125350000Y-78075000D02*
+X127125000Y-78075000D01*
+X127850000Y-77350000D02*
+X128600000Y-77350000D01*
+X118125000Y-78075000D02*
+X117200000Y-79000000D01*
+X119450000Y-78075000D02*
+X118125000Y-78075000D01*
+X116550000Y-66590000D02*
+X116550000Y-67690000D01*
+X116550000Y-67690000D02*
+X120585000Y-71725000D01*
+X120585000Y-71725000D02*
+X127425000Y-71725000D01*
+X127425000Y-71725000D02*
+X128000000Y-71725000D01*
+X86330000Y-147000000D02*
+X86330000Y-152000000D01*
+D47*
+X159950000Y-81208002D02*
+X159950000Y-85175000D01*
+X158320000Y-79578002D02*
+X159950000Y-81208002D01*
+X158320000Y-77200000D02*
+X158320000Y-79578002D01*
+D46*
+X160050001Y-85074999D02*
+X160020160Y-85104840D01*
+X160050001Y-82300001D02*
+X160050001Y-85074999D01*
+X129600000Y-77300000D02*
+X129999999Y-76900001D01*
+X146150001Y-76900001D02*
+X151450000Y-82200000D01*
+X129999999Y-76900001D02*
+X146150001Y-76900001D01*
+X151450000Y-82200000D02*
+X159950000Y-82200000D01*
+X159950000Y-82200000D02*
+X160050001Y-82300001D01*
+X92000000Y-91450000D02*
+X92550000Y-91450000D01*
+X92550000Y-91450000D02*
+X93000000Y-91000000D01*
+X98750000Y-86350000D02*
+X99700000Y-86350000D01*
+X109400000Y-61887500D02*
+X109400000Y-66200000D01*
+X99860660Y-60300000D02*
+X98800000Y-60300000D01*
+X102800000Y-60300000D02*
+X99860660Y-60300000D01*
+X104000000Y-61500000D02*
+X102800000Y-60300000D01*
+X104000000Y-63300000D02*
+X104000000Y-61500000D01*
+X111287500Y-61887500D02*
+X111950000Y-61225000D01*
+X109400000Y-61887500D02*
+X111287500Y-61887500D01*
+X109012500Y-61500000D02*
+X109400000Y-61887500D01*
+X104000000Y-61500000D02*
+X109012500Y-61500000D01*
+X99565685Y-83100000D02*
+X99000000Y-83100000D01*
+X109400000Y-66200000D02*
+X107800000Y-66200000D01*
+X107250000Y-79900000D02*
+X104050000Y-83100000D01*
+X107250000Y-66750000D02*
+X107250000Y-79900000D01*
+X104050000Y-83100000D02*
+X99565685Y-83100000D01*
+X107800000Y-66200000D02*
+X107250000Y-66750000D01*
+D45*
+X112625000Y-82975000D02*
+X113150000Y-83500000D01*
+X112625000Y-82500000D02*
+X112625000Y-82975000D01*
+X113150000Y-83500000D02*
+X115000000Y-83500000D01*
+X115000000Y-83500000D02*
+X115950000Y-82550000D01*
+X116634315Y-79000000D02*
+X117200000Y-79000000D01*
+X115950000Y-79684315D02*
+X116634315Y-79000000D01*
+X115950000Y-82550000D02*
+X115950000Y-79684315D01*
+X124400000Y-121449950D02*
+X124475001Y-121524951D01*
+X124475001Y-121524951D02*
+X131175049Y-121524951D01*
+X124400000Y-120974950D02*
+X124400000Y-121449950D01*
+X167527009Y-120200011D02*
+X167700000Y-120373002D01*
+X131175049Y-121524951D02*
+X132499989Y-120200011D01*
+X132499989Y-120200011D02*
+X167527009Y-120200011D01*
+X157515000Y-87715000D02*
+X155525000Y-85725000D01*
+X154750000Y-86550000D02*
+X155525000Y-85775000D01*
+X155525000Y-85775000D02*
+X155525000Y-85725000D01*
+X154700000Y-86550000D02*
+X154750000Y-86550000D01*
+X112374967Y-90599967D02*
+X112000000Y-90599967D01*
+X113275000Y-91500000D02*
+X112374967Y-90599967D01*
+X110975000Y-91500000D02*
+X111875033Y-90599967D01*
+X110750000Y-91500000D02*
+X110975000Y-91500000D01*
+X111875033Y-90599967D02*
+X112000000Y-90599967D01*
+X158700000Y-87715000D02*
+X157515000Y-87715000D01*
+X115925000Y-93150000D02*
+X114925000Y-93150000D01*
+X114925000Y-93150000D02*
+X113275000Y-91500000D01*
+X119450000Y-82750000D02*
+X119300000Y-82900000D01*
+X119450000Y-81325000D02*
+X119450000Y-82750000D01*
+X93524999Y-88260001D02*
+X95000000Y-89735002D01*
+X93524999Y-86052181D02*
+X93524999Y-88260001D01*
+X95302200Y-84274980D02*
+X93524999Y-86052181D01*
+X95000000Y-89735002D02*
+X95000000Y-91700000D01*
+X111927814Y-88699945D02*
+X111927814Y-88134260D01*
+X111927814Y-88134260D02*
+X110143554Y-86350000D01*
+X110143554Y-86350000D02*
+X106386410Y-86350000D01*
+X106386410Y-86350000D02*
+X104311389Y-84274979D01*
+X104311389Y-84274979D02*
+X95302200Y-84274980D01*
+X112743554Y-88950000D02*
+X112493499Y-88699945D01*
+X113900000Y-88950000D02*
+X112743554Y-88950000D01*
+X119300000Y-82900000D02*
+X119300000Y-83550000D01*
+X112493499Y-88699945D02*
+X111927814Y-88699945D01*
+X119300000Y-83550000D02*
+X113900000Y-88950000D01*
+X141138567Y-82674978D02*
+X130466316Y-82674978D01*
+X155525000Y-85725000D02*
+X150051998Y-85725000D01*
+X128495854Y-82146239D02*
+X125517030Y-82146239D01*
+X148226998Y-83900000D02*
+X142363589Y-83900000D01*
+X129024651Y-82675037D02*
+X128495854Y-82146239D01*
+X150051998Y-85725000D02*
+X148226998Y-83900000D01*
+X142363589Y-83900000D02*
+X141138567Y-82674978D01*
+X130466316Y-82674978D02*
+X130466256Y-82675038D01*
+X125517030Y-82146239D02*
+X125117031Y-82546238D01*
+X130466256Y-82675038D02*
+X129024651Y-82675037D01*
+D46*
+X131100000Y-87050000D02*
+X131100000Y-88300000D01*
+X131925000Y-85950000D02*
+X131925000Y-86225000D01*
+X131925000Y-86225000D02*
+X131100000Y-87050000D01*
+D47*
+X122250001Y-95750001D02*
+X121700000Y-95200000D01*
+X125550001Y-95100001D02*
+X124900001Y-95750001D01*
+X125550001Y-93785001D02*
+X125550001Y-95100001D01*
+X121700000Y-95200000D02*
+X121700000Y-94487500D01*
+X125465000Y-93700000D02*
+X125550001Y-93785001D01*
+X125465000Y-92725000D02*
+X125465000Y-93700000D01*
+X123350001Y-95750001D02*
+X123300000Y-95700000D01*
+X123550001Y-95750001D02*
+X123350001Y-95750001D01*
+X123550001Y-95750001D02*
+X122250001Y-95750001D01*
+X124900001Y-95750001D02*
+X123550001Y-95750001D01*
+D48*
+X134900000Y-94500000D02*
+X134900000Y-94600000D01*
+D47*
+X137299999Y-96600001D02*
+X136900000Y-97000000D01*
+D46*
+X134900000Y-94500000D02*
+X134900000Y-95212500D01*
+X134900000Y-95212500D02*
+X136687500Y-97000000D01*
+X136687500Y-97000000D02*
+X136900000Y-97000000D01*
+D47*
+X138915000Y-92725000D02*
+X138915000Y-94985000D01*
+X138915000Y-94985000D02*
+X137299999Y-96600001D01*
+D45*
+X118000000Y-96500000D02*
+X118000000Y-93475000D01*
+X118000000Y-93475000D02*
+X117675000Y-93150000D01*
+D49*
+X84950000Y-87950000D02*
+X85400000Y-88400000D01*
+X80900000Y-87950000D02*
+X84950000Y-87950000D01*
+X85000000Y-88000000D02*
+X85400000Y-88400000D01*
+X85000000Y-84650000D02*
+X85000000Y-88000000D01*
+X80900000Y-90150000D02*
+X77050000Y-94000000D01*
+X80900000Y-87950000D02*
+X80900000Y-90150000D01*
+X77050000Y-94000000D02*
+X73700000Y-94000000D01*
+X73700000Y-94000000D02*
+X71700000Y-96000000D01*
+X71700000Y-121780000D02*
+X75000000Y-125080000D01*
+X71700000Y-96000000D02*
+X71700000Y-121780000D01*
+D47*
+X87775000Y-81225000D02*
+X87775000Y-81700000D01*
+X87775000Y-79515770D02*
+X87775000Y-81225000D01*
+X89155770Y-78135000D02*
+X87775000Y-79515770D01*
+X90275000Y-78135000D02*
+X89155770Y-78135000D01*
+D46*
+X86500000Y-84650000D02*
+X85000000Y-84650000D01*
+X87775000Y-81700000D02*
+X87775000Y-83375000D01*
+X87775000Y-83375000D02*
+X86500000Y-84650000D01*
+D45*
+X127961410Y-119024950D02*
+X126986360Y-120000000D01*
+X126986360Y-120000000D02*
+X127000000Y-120000000D01*
+X128800000Y-119024950D02*
+X127961410Y-119024950D01*
+X124400000Y-120324950D02*
+X124400000Y-119024950D01*
+X96000000Y-93200000D02*
+X96000000Y-91700000D01*
+X98175000Y-91875000D02*
+X99500000Y-91875000D01*
+X96000000Y-93200000D02*
+X96850000Y-93200000D01*
+X96850000Y-93200000D02*
+X98175000Y-91875000D01*
+X126986360Y-120000000D02*
+X126986360Y-120986360D01*
+X96250000Y-109500000D02*
+X98487500Y-109500000D01*
+X96000000Y-93200000D02*
+X96000000Y-109250000D01*
+X96000000Y-109250000D02*
+X96250000Y-109500000D01*
+D47*
+X126735000Y-94235000D02*
+X128500000Y-96000000D01*
+X126735000Y-92725000D02*
+X126735000Y-94235000D01*
+X132950002Y-96000000D02*
+X133500000Y-96549998D01*
+X128500000Y-96000000D02*
+X132950002Y-96000000D01*
+X133500000Y-96549998D02*
+X133500000Y-98089999D01*
+X140185000Y-92725000D02*
+X140185000Y-95084998D01*
+X140185000Y-95084998D02*
+X140200002Y-95100000D01*
+X133500000Y-135300000D02*
+X133500000Y-138089999D01*
+X132100000Y-133900000D02*
+X133500000Y-135300000D01*
+X128500000Y-133900000D02*
+X132100000Y-133900000D01*
+X127150000Y-131800000D02*
+X127150000Y-132550000D01*
+X127150000Y-132550000D02*
+X128500000Y-133900000D01*
+X124195000Y-92725000D02*
+X124195000Y-94395000D01*
+X124195000Y-94395000D02*
+X124300000Y-94500000D01*
+X124674979Y-116750000D02*
+X124649979Y-116725000D01*
+X125750000Y-116750000D02*
+X124674979Y-116750000D01*
+X126500000Y-115243301D02*
+X126500000Y-116000000D01*
+X126500000Y-116000000D02*
+X125750000Y-116750000D01*
+D45*
+X126875000Y-128946700D02*
+X126500000Y-128571700D01*
+X126875000Y-130050000D02*
+X126875000Y-128946700D01*
+D47*
+X126875000Y-128951701D02*
+X126500000Y-128576701D01*
+X126875000Y-130050000D02*
+X126875000Y-128951701D01*
+X137645000Y-92725000D02*
+X136780253Y-93589747D01*
+X136780253Y-93589747D02*
+X136780253Y-94499989D01*
+D45*
+X121999990Y-81450010D02*
+X124075000Y-79375000D01*
+X121999990Y-83950010D02*
+X121999990Y-81450010D01*
+X124075000Y-79375000D02*
+X125350000Y-79375000D01*
+X120750000Y-85175000D02*
+X120775000Y-85175000D01*
+X120775000Y-85175000D02*
+X121999990Y-83950010D01*
+X120750000Y-85700000D02*
+X120750000Y-85175000D01*
+X125465000Y-87015000D02*
+X124924990Y-86474990D01*
+X124924990Y-86474990D02*
+X123295500Y-86474990D01*
+X125465000Y-87775000D02*
+X125465000Y-87015000D01*
+X121100010Y-86050010D02*
+X120750000Y-85700000D01*
+X123295500Y-86474990D02*
+X122870520Y-86050010D01*
+X122870520Y-86050010D02*
+X121100010Y-86050010D01*
+X121999990Y-83950010D02*
+X121574990Y-83950010D01*
+X121574990Y-83950010D02*
+X121525000Y-84000000D01*
+X120275000Y-85175000D02*
+X120750000Y-85175000D01*
+X119650000Y-85175000D02*
+X120275000Y-85175000D01*
+X117950000Y-88050000D02*
+X117950000Y-86875000D01*
+X117950000Y-86875000D02*
+X119650000Y-85175000D01*
+X130652715Y-83124989D02*
+X130652655Y-83125048D01*
+X139260000Y-85875000D02*
+X137750000Y-85875000D01*
+X124591432Y-83337663D02*
+X124191433Y-82937664D01*
+X140185000Y-86800000D02*
+X139260000Y-85875000D01*
+X140185000Y-87775000D02*
+X140185000Y-86800000D01*
+X137750000Y-85875000D02*
+X134999989Y-83124989D01*
+X134999989Y-83124989D02*
+X130652715Y-83124989D01*
+X128838249Y-83125046D02*
+X128638193Y-82924989D01*
+X125350926Y-83337663D02*
+X124591432Y-83337663D01*
+X128638193Y-82924989D02*
+X125763600Y-82924989D01*
+X130652655Y-83125048D02*
+X128838249Y-83125046D01*
+X125763600Y-82924989D02*
+X125350926Y-83337663D01*
+X122450000Y-81650000D02*
+X122450000Y-84675000D01*
+X125350000Y-80675000D02*
+X123425000Y-80675000D01*
+X123425000Y-80675000D02*
+X122450000Y-81650000D01*
+X126600000Y-86400000D02*
+X125486411Y-86400000D01*
+X126735000Y-86535000D02*
+X126600000Y-86400000D01*
+X125111390Y-86024979D02*
+X123749979Y-86024979D01*
+X125486411Y-86400000D02*
+X125111390Y-86024979D01*
+X122925000Y-85200000D02*
+X122450000Y-85200000D01*
+X123749979Y-86024979D02*
+X122925000Y-85200000D01*
+X126735000Y-87775000D02*
+X126735000Y-86535000D01*
+X136600000Y-85400000D02*
+X134350000Y-85400000D01*
+X137525010Y-86325010D02*
+X136600000Y-85400000D01*
+X138650010Y-86325010D02*
+X137525010Y-86325010D01*
+X138915000Y-87775000D02*
+X138915000Y-86590000D01*
+X138915000Y-86590000D02*
+X138650010Y-86325010D01*
+X122450000Y-84675000D02*
+X122450000Y-85200000D01*
+X128451793Y-83375000D02*
+X125950000Y-83375000D01*
+X128651847Y-83575056D02*
+X128451793Y-83375000D01*
+X122487327Y-83787673D02*
+X122450000Y-83825000D01*
+X134350000Y-83950000D02*
+X133975000Y-83575000D01*
+X122450000Y-83825000D02*
+X122450000Y-84675000D01*
+X130839054Y-83575058D02*
+X128651847Y-83575056D01*
+X134350000Y-85400000D02*
+X134350000Y-83950000D01*
+X125950000Y-83375000D02*
+X125537327Y-83787673D01*
+X133975000Y-83575000D02*
+X130839112Y-83575000D01*
+X125537327Y-83787673D02*
+X122487327Y-83787673D01*
+X130839112Y-83575000D02*
+X130839054Y-83575058D01*
+X116550000Y-64050000D02*
+X115000000Y-65600000D01*
+X115000000Y-65600000D02*
+X115000000Y-67325002D01*
+X115000000Y-67325002D02*
+X123149998Y-75475000D01*
+X123149998Y-75475000D02*
+X124375000Y-75475000D01*
+X124375000Y-75475000D02*
+X125350000Y-75475000D01*
+X123125000Y-76125000D02*
+X114549990Y-67549990D01*
+X125350000Y-76125000D02*
+X123125000Y-76125000D01*
+X114549990Y-67549990D02*
+X114549990Y-60970010D01*
+X114549990Y-60970010D02*
+X115700001Y-59819999D01*
+X115700001Y-59819999D02*
+X116550000Y-58970000D01*
+X118248005Y-80025000D02*
+X117273005Y-81000000D01*
+X119450000Y-80025000D02*
+X118248005Y-80025000D01*
+X117273005Y-81000000D02*
+X116900000Y-81000000D01*
+X136276999Y-81049999D02*
+X131399999Y-81049999D01*
+X136655000Y-80671998D02*
+X136276999Y-81049999D01*
+X136655000Y-78450000D02*
+X136655000Y-80671998D01*
+X131399999Y-81049999D02*
+X131000000Y-80650000D01*
+X117700000Y-82400000D02*
+X117700000Y-81450000D01*
+X117700000Y-81450000D02*
+X118475000Y-80675000D01*
+X118475000Y-80675000D02*
+X119450000Y-80675000D01*
+X130284845Y-79302793D02*
+X130284845Y-80510623D01*
+X130145453Y-80650015D02*
+X129745454Y-81050014D01*
+X130284845Y-80510623D02*
+X130145453Y-80650015D01*
+X131137638Y-78450000D02*
+X130284845Y-79302793D01*
+X132845000Y-78450000D02*
+X131137638Y-78450000D01*
+D47*
+X129030000Y-62700000D02*
+X129030000Y-62870000D01*
+X148880000Y-62700000D02*
+X148880000Y-59780000D01*
+X148880000Y-59780000D02*
+X145900000Y-56800000D01*
+X145900000Y-56800000D02*
+X130900000Y-56800000D01*
+X130900000Y-56800000D02*
+X129030000Y-58670000D01*
+X129030000Y-58670000D02*
+X129030000Y-60530000D01*
+X129030000Y-60530000D02*
+X129030000Y-62700000D01*
+X138500000Y-69665685D02*
+X138500000Y-69100000D01*
+X135800000Y-71600000D02*
+X136565685Y-71600000D01*
+X135385000Y-72015000D02*
+X135800000Y-71600000D01*
+X136565685Y-71600000D02*
+X138500000Y-69665685D01*
+X135385000Y-73050000D02*
+X135385000Y-72015000D01*
+X131330000Y-60530000D02*
+X129030000Y-60530000D01*
+X133800000Y-63000000D02*
+X131330000Y-60530000D01*
+X135406139Y-65086186D02*
+X133800000Y-63480047D01*
+X133800000Y-63480047D02*
+X133800000Y-63000000D01*
+D45*
+X146340000Y-66000000D02*
+X134500000Y-66000000D01*
+X134500000Y-66000000D02*
+X135000000Y-66000000D01*
+D47*
+X134434315Y-66000000D02*
+X135000000Y-66000000D01*
+X128469340Y-66000000D02*
+X134434315Y-66000000D01*
+X128010000Y-65540660D02*
+X128469340Y-66000000D01*
+X128010000Y-64480000D02*
+X128010000Y-65540660D01*
+X135000000Y-66000000D02*
+X146340000Y-66000000D01*
+X147860000Y-65240000D02*
+X147860000Y-64480000D01*
+X146340000Y-66000000D02*
+X147100000Y-66000000D01*
+X147100000Y-66000000D02*
+X147860000Y-65240000D01*
+X134115000Y-72295035D02*
+X134115000Y-73050000D01*
+X136275733Y-70899990D02*
+X135510046Y-70899990D01*
+X137500000Y-69675723D02*
+X136275733Y-70899990D01*
+X135510046Y-70899990D02*
+X134115000Y-72295035D01*
+X137500000Y-69000000D02*
+X137500000Y-69675723D01*
+D45*
+X110075045Y-89424955D02*
+X114111456Y-89424955D01*
+X109775045Y-89424955D02*
+X110075045Y-89424955D01*
+X110200000Y-88700000D02*
+X110200000Y-89300000D01*
+X110200000Y-89300000D02*
+X110075045Y-89424955D01*
+X94500000Y-86350000D02*
+X95675001Y-85174999D01*
+X94500000Y-87300000D02*
+X94500000Y-86350000D01*
+X95675001Y-85174999D02*
+X103774999Y-85174999D01*
+X103774999Y-85174999D02*
+X104100000Y-85500000D01*
+X104100000Y-85500000D02*
+X108900000Y-90300000D01*
+X120500001Y-79663588D02*
+X123388589Y-76775000D01*
+X114111456Y-89424955D02*
+X120500001Y-83036410D01*
+X124375000Y-76775000D02*
+X125350000Y-76775000D01*
+X123388589Y-76775000D02*
+X124375000Y-76775000D01*
+X108900000Y-90300000D02*
+X109775045Y-89424955D01*
+X120500001Y-83036410D02*
+X120500001Y-79663588D01*
+X162000000Y-92600000D02*
+X162000000Y-79890000D01*
+X162600000Y-93200000D02*
+X162000000Y-92600000D01*
+X162000000Y-79890000D02*
+X160860000Y-78750000D01*
+X160860000Y-78750000D02*
+X160860000Y-77200000D01*
+X126335001Y-76764999D02*
+X126325000Y-76775000D01*
+X126935001Y-76764999D02*
+X126335001Y-76764999D01*
+X127500000Y-74800000D02*
+X127500000Y-76200000D01*
+X126300000Y-73575000D02*
+X126300000Y-73600000D01*
+X127500000Y-76200000D02*
+X126935001Y-76764999D01*
+X126300000Y-73600000D02*
+X127500000Y-74800000D01*
+X126325000Y-76775000D02*
+X125350000Y-76775000D01*
+X128800000Y-120974950D02*
+X130625050Y-120974950D01*
+X131000000Y-120500000D02*
+X131000000Y-120600000D01*
+X130625050Y-120974950D02*
+X130975000Y-120625000D01*
+X131000000Y-120600000D02*
+X130975000Y-120625000D01*
+X107700000Y-88300000D02*
+X108487347Y-89087347D01*
+X106100000Y-86700000D02*
+X107700000Y-88300000D01*
+X108200000Y-87700000D02*
+X108200000Y-87800000D01*
+X108200000Y-87800000D02*
+X107700000Y-88300000D01*
+X108487347Y-89087347D02*
+X108712653Y-89087347D01*
+X104124989Y-84724989D02*
+X106100000Y-86700000D01*
+X95488601Y-84724989D02*
+X104124989Y-84724989D01*
+X94000000Y-87300000D02*
+X94000000Y-86213590D01*
+X94000000Y-86213590D02*
+X95488601Y-84724989D01*
+X110299999Y-89974990D02*
+X109900000Y-90374989D01*
+X120950012Y-83222810D02*
+X114297856Y-89874966D01*
+X114297856Y-89874966D02*
+X110400023Y-89874966D01*
+X120950012Y-79849988D02*
+X120950012Y-83222810D01*
+X125350000Y-77425000D02*
+X123375000Y-77425000D01*
+X123375000Y-77425000D02*
+X120950012Y-79849988D01*
+X110400023Y-89874966D02*
+X110299999Y-89974990D01*
+X163400000Y-79250020D02*
+X162725010Y-79925010D01*
+X163400000Y-77200000D02*
+X163400000Y-79250020D01*
+X162725010Y-79925010D02*
+X162725010Y-91759319D01*
+X162725010Y-91759319D02*
+X162725010Y-92200000D01*
+X125350000Y-77425000D02*
+X126911411Y-77425000D01*
+X126911411Y-77425000D02*
+X128000000Y-76336411D01*
+X128000000Y-74200000D02*
+X128000000Y-73575000D01*
+X128000000Y-76336411D02*
+X128000000Y-74200000D01*
+X167249989Y-95549989D02*
+X167249989Y-119050011D01*
+X129727046Y-120324950D02*
+X128800000Y-120324950D01*
+X166500000Y-94800000D02*
+X167249989Y-95549989D01*
+X167249989Y-119050011D02*
+X166550000Y-119750000D01*
+X166550000Y-119750000D02*
+X130301996Y-119750000D01*
+X130301996Y-119750000D02*
+X129727046Y-120324950D01*
+X165385000Y-87715000D02*
+X167249989Y-85850011D01*
+X164100000Y-87715000D02*
+X165385000Y-87715000D01*
+X167249989Y-85850011D02*
+X167249989Y-69275011D01*
+X167249989Y-69275011D02*
+X167375000Y-69150000D01*
+X167700000Y-86550000D02*
+X165265000Y-88985000D01*
+X167700000Y-70700000D02*
+X167700000Y-86550000D01*
+X168550000Y-69850000D02*
+X167700000Y-70700000D01*
+X168550000Y-68050000D02*
+X168550000Y-69850000D01*
+X167375000Y-67650000D02*
+X168150000Y-67650000D01*
+X168150000Y-67650000D02*
+X168550000Y-68050000D01*
+X165265000Y-88985000D02*
+X164100000Y-88985000D01*
+X134200000Y-79700000D02*
+X135200000Y-79700000D01*
+X133700000Y-79700000D02*
+X134200000Y-79700000D01*
+X134115000Y-78450000D02*
+X134115000Y-79615000D01*
+X134115000Y-79615000D02*
+X134200000Y-79700000D01*
+X135200000Y-79700000D02*
+X135385000Y-79515000D01*
+X135385000Y-79515000D02*
+X135385000Y-78450000D01*
+X133700000Y-79700000D02*
+X133890000Y-79700000D01*
+X134115000Y-79475000D02*
+X134115000Y-78450000D01*
+X117487339Y-80012661D02*
+X117187342Y-80012661D01*
+X118125000Y-79375000D02*
+X117487339Y-80012661D01*
+X119450000Y-79375000D02*
+X118125000Y-79375000D01*
+X135385000Y-79810000D02*
+X135775000Y-80200000D01*
+X135385000Y-78450000D02*
+X135385000Y-79810000D01*
+X131575538Y-79650037D02*
+X131009853Y-79650037D01*
+X133650037Y-79650037D02*
+X131575538Y-79650037D01*
+X133700000Y-79700000D02*
+X133650037Y-79650037D01*
+X153750000Y-89000000D02*
+X154810660Y-89000000D01*
+X154810660Y-89000000D02*
+X154900000Y-89089340D01*
+X154900000Y-89089340D02*
+X154900000Y-89200000D01*
+X159840001Y-88359999D02*
+X160000000Y-88200000D01*
+X155740001Y-88359999D02*
+X159840001Y-88359999D01*
+X160000000Y-88200000D02*
+X160000000Y-86800000D01*
+X154900000Y-89200000D02*
+X155740001Y-88359999D01*
+X159645000Y-86445000D02*
+X158700000Y-86445000D01*
+X160000000Y-86800000D02*
+X159645000Y-86445000D01*
+X104220510Y-86425000D02*
+X106837347Y-89041837D01*
+X101300000Y-86425000D02*
+X104220510Y-86425000D01*
+X106837347Y-89041837D02*
+X106837347Y-89262653D01*
+X130279856Y-82225027D02*
+X129211052Y-82225027D01*
+X153886400Y-83449989D02*
+X142549989Y-83449989D01*
+X156881410Y-86445000D02*
+X153886400Y-83449989D01*
+X142549989Y-83449989D02*
+X141324967Y-82224967D01*
+X141324967Y-82224967D02*
+X130279916Y-82224967D01*
+X130279916Y-82224967D02*
+X130279856Y-82225027D01*
+X158700000Y-86445000D02*
+X156881410Y-86445000D01*
+X126325000Y-81325000D02*
+X125350000Y-81325000D01*
+X128311025Y-81325000D02*
+X126325000Y-81325000D01*
+X129211052Y-82225027D02*
+X128311025Y-81325000D01*
+X154900000Y-89200000D02*
+X154900000Y-90773776D01*
+X154900000Y-90773776D02*
+X154608810Y-91064966D01*
+X154608810Y-91064966D02*
+X154208811Y-91464965D01*
+X156600000Y-84600000D02*
+X156600000Y-84850000D01*
+X156872820Y-85800000D02*
+X156261410Y-85188590D01*
+X156600000Y-84850000D02*
+X156261410Y-85188590D01*
+X160600000Y-88805000D02*
+X160600000Y-86709998D01*
+X160600000Y-86709998D02*
+X159690002Y-85800000D01*
+X159150000Y-90255000D02*
+X160600000Y-88805000D01*
+X158700000Y-90255000D02*
+X159150000Y-90255000D01*
+X159690002Y-85800000D02*
+X156872820Y-85800000D01*
+X129397452Y-81775016D02*
+X130093456Y-81775016D01*
+X127647438Y-80025000D02*
+X129397452Y-81775016D01*
+X141624956Y-81774956D02*
+X142849978Y-82999978D01*
+X130093516Y-81774956D02*
+X141624956Y-81774956D01*
+X142849978Y-82999978D02*
+X154072798Y-82999978D01*
+X154072798Y-82999978D02*
+X156261410Y-85188590D01*
+X130093456Y-81775016D02*
+X130093516Y-81774956D01*
+X125350000Y-80025000D02*
+X127647438Y-80025000D01*
+X155920000Y-68600000D02*
+X155220000Y-69300000D01*
+X161275000Y-69150000D02*
+X160725000Y-68600000D01*
+X160725000Y-68600000D02*
+X155920000Y-68600000D01*
+X160190879Y-68050021D02*
+X145039979Y-68050021D01*
+X145039979Y-68050021D02*
+X143790000Y-69300000D01*
+X161275000Y-67650000D02*
+X160590900Y-67650000D01*
+X160590900Y-67650000D02*
+X160190879Y-68050021D01*
+X160768090Y-66199989D02*
+X164749989Y-66199989D01*
+X144667180Y-67150000D02*
+X159818079Y-67150000D01*
+X159818079Y-67150000D02*
+X160768090Y-66199989D01*
+X125640000Y-67600000D02*
+X144217180Y-67600000D01*
+X123940000Y-69300000D02*
+X125640000Y-67600000D01*
+X144217180Y-67600000D02*
+X144667180Y-67150000D01*
+X164749989Y-66199989D02*
+X165625000Y-67075000D01*
+X165625000Y-67075000D02*
+X165625000Y-67650000D01*
+X160954490Y-66650000D02*
+X160004479Y-67600011D01*
+X164400000Y-67925000D02*
+X164400000Y-66950000D01*
+X164100000Y-66650000D02*
+X160954490Y-66650000D01*
+X144853579Y-67600011D02*
+X144403590Y-68050000D01*
+X164400000Y-66950000D02*
+X164100000Y-66650000D01*
+X165625000Y-69150000D02*
+X164400000Y-67925000D01*
+X160004479Y-67600011D02*
+X144853579Y-67600011D01*
+X144403590Y-68050000D02*
+X136620000Y-68050000D01*
+X136620000Y-68050000D02*
+X135370000Y-69300000D01*
+X165325000Y-85175000D02*
+X166349967Y-84150033D01*
+X166349967Y-84150033D02*
+X166349967Y-72474967D01*
+X164100000Y-85175000D02*
+X165325000Y-85175000D01*
+X166349967Y-72474967D02*
+X163524072Y-69649072D01*
+X163524072Y-69649072D02*
+X163025000Y-69150000D01*
+X127726998Y-150200000D02*
+X126800000Y-151126998D01*
+X128925000Y-150200000D02*
+X127726998Y-150200000D01*
+X126800000Y-151126998D02*
+X126800000Y-152200000D01*
+X167955000Y-90255000D02*
+X168750000Y-91050000D01*
+X164100000Y-90255000D02*
+X167955000Y-90255000D01*
+X167125000Y-91525000D02*
+X168700000Y-93099999D01*
+X168700000Y-143686411D02*
+X167711421Y-144674990D01*
+X168700000Y-93099999D02*
+X168700000Y-143686411D01*
+X159865374Y-144674990D02*
+X158740364Y-145800000D01*
+X164100000Y-91525000D02*
+X167125000Y-91525000D01*
+X167711421Y-144674990D02*
+X159865374Y-144674990D01*
+X158740364Y-145800000D02*
+X149000000Y-145800000D01*
+X149000000Y-145800000D02*
+X146280875Y-148519125D01*
+X146280875Y-148519125D02*
+X146280875Y-150685242D01*
+X87900000Y-152815002D02*
+X87340001Y-153375001D01*
+X87900000Y-152300000D02*
+X87900000Y-152815002D01*
+X81550001Y-153375001D02*
+X81549072Y-153374072D01*
+X87340001Y-153375001D02*
+X81550001Y-153375001D01*
+X81549072Y-153374072D02*
+X81050000Y-152875000D01*
+X167758590Y-92795000D02*
+X168249989Y-93286399D01*
+X144830864Y-149332725D02*
+X144830864Y-150265180D01*
+X164100000Y-92795000D02*
+X167758590Y-92795000D01*
+X168249989Y-93286399D02*
+X168249989Y-143500011D01*
+X158553964Y-145349989D02*
+X148813600Y-145349989D01*
+X144830864Y-150265180D02*
+X144830864Y-150830865D01*
+X159678974Y-144224979D02*
+X158553964Y-145349989D01*
+X148813600Y-145349989D02*
+X144830864Y-149332725D01*
+X167525021Y-144224979D02*
+X159678974Y-144224979D01*
+X168249989Y-143500011D02*
+X167525021Y-144224979D01*
+X81100000Y-147875000D02*
+X82300000Y-149075000D01*
+X127600000Y-151400000D02*
+X128125000Y-151400000D01*
+X128125000Y-151400000D02*
+X128925000Y-152200000D01*
+X164363590Y-93600000D02*
+X167400000Y-93600000D01*
+X160499992Y-94149990D02*
+X163813600Y-94149990D01*
+X163813600Y-94149990D02*
+X164363590Y-93600000D01*
+X159775001Y-92150001D02*
+X159775001Y-93424999D01*
+X159150000Y-91525000D02*
+X159775001Y-92150001D01*
+X158700000Y-91525000D02*
+X159150000Y-91525000D01*
+X159775001Y-93424999D02*
+X160499992Y-94149990D01*
+X166799978Y-85000022D02*
+X165355000Y-86445000D01*
+X164400000Y-68850000D02*
+X164400000Y-69888590D01*
+X165355000Y-86445000D02*
+X164100000Y-86445000D01*
+X164400000Y-69888590D02*
+X166799978Y-72288568D01*
+X166799978Y-72288568D02*
+X166799978Y-85000022D01*
+X163025000Y-67650000D02*
+X163200000Y-67650000D01*
+X163200000Y-67650000D02*
+X164400000Y-68850000D01*
+X133470000Y-152000000D02*
+X130875000Y-152000000D01*
+X130875000Y-152000000D02*
+X130675000Y-152200000D01*
+X81050000Y-151125000D02*
+X82795000Y-151125000D01*
+X82795000Y-151125000D02*
+X83670000Y-152000000D01*
+X81975000Y-147000000D02*
+X81100000Y-146125000D01*
+X83670000Y-147000000D02*
+X81975000Y-147000000D01*
+X130675000Y-150200000D02*
+X133470000Y-147405000D01*
+X133470000Y-147405000D02*
+X133470000Y-147000000D01*
+X114450000Y-80450000D02*
+X114450000Y-77500000D01*
+X114450000Y-77500000D02*
+X114550000Y-77400000D01*
+X119450000Y-76775000D02*
+X115175000Y-76775000D01*
+X115175000Y-76775000D02*
+X114550000Y-77400000D01*
+X115775001Y-76125000D02*
+X115550001Y-75900000D01*
+X115550001Y-75900000D02*
+X113500000Y-75900000D01*
+X113500000Y-75900000D02*
+X112250000Y-74650000D01*
+X112250000Y-74650000D02*
+X112250000Y-74500000D01*
+X117125000Y-76125000D02*
+X117125000Y-75575000D01*
+X117125000Y-76125000D02*
+X115775001Y-76125000D01*
+X117125000Y-75575000D02*
+X117000000Y-75450000D01*
+X119450000Y-76125000D02*
+X117125000Y-76125000D01*
+X115100000Y-82500000D02*
+X114375000Y-82500000D01*
+X115450000Y-82150000D02*
+X115100000Y-82500000D01*
+X119450000Y-77425000D02*
+X117060002Y-77425000D01*
+X115450000Y-79035002D02*
+X115450000Y-82150000D01*
+X117060002Y-77425000D02*
+X115450000Y-79035002D01*
+X101400000Y-90050000D02*
+X101400000Y-88275000D01*
+X101400000Y-88275000D02*
+X101300000Y-88175000D01*
+X103025000Y-88300000D02*
+X101425000Y-88300000D01*
+X101425000Y-88300000D02*
+X101300000Y-88175000D01*
+D46*
+X159250000Y-64375000D02*
+X157275000Y-64375000D01*
+X157275000Y-64375000D02*
+X156490000Y-63590000D01*
+D45*
+X103600000Y-91950000D02*
+X103600000Y-91375000D01*
+X103600000Y-91375000D02*
+X104775000Y-90200000D01*
+X104775000Y-90200000D02*
+X104775000Y-88875000D01*
+X104775000Y-88875000D02*
+X104775000Y-88300000D01*
+X103600000Y-91950000D02*
+X105325000Y-91950000D01*
+X100400000Y-91025000D02*
+X100400000Y-91730000D01*
+X99500000Y-90125000D02*
+X100400000Y-91025000D01*
+X100400000Y-91730000D02*
+X100620000Y-91950000D01*
+X100620000Y-91950000D02*
+X101400000Y-91950000D01*
+D46*
+X92000000Y-74900000D02*
+X92000000Y-72500000D01*
+X90275000Y-75595000D02*
+X91305000Y-75595000D01*
+X91305000Y-75595000D02*
+X92000000Y-74900000D01*
+X96000000Y-72500000D02*
+X96250000Y-72250000D01*
+X92000000Y-72500000D02*
+X96000000Y-72500000D01*
+X96250000Y-69625000D02*
+X97375000Y-68500000D01*
+X96250000Y-72250000D02*
+X96250000Y-69625000D01*
+X97875000Y-76000000D02*
+X97875000Y-74675000D01*
+X96250000Y-73050000D02*
+X96250000Y-72250000D01*
+X97875000Y-74675000D02*
+X96250000Y-73050000D01*
+X99625000Y-76000000D02*
+X99625000Y-77750000D01*
+X101250000Y-79050000D02*
+X100325000Y-79050000D01*
+X100325000Y-79050000D02*
+X99750000Y-79625000D01*
+X101750000Y-78625000D02*
+X101675000Y-78625000D01*
+X101675000Y-78625000D02*
+X101250000Y-79050000D01*
+X99750000Y-79625000D02*
+X97750000Y-79625000D01*
+X95230000Y-79400000D02*
+X95225000Y-79405000D01*
+X97750000Y-79625000D02*
+X97525000Y-79400000D01*
+X97525000Y-79400000D02*
+X95230000Y-79400000D01*
+X100050000Y-72250000D02*
+X103200000Y-72250000D01*
+X101750000Y-73950000D02*
+X100050000Y-72250000D01*
+X101750000Y-76875000D02*
+X101750000Y-73950000D01*
+D49*
+X87000000Y-64250000D02*
+X87000000Y-61750000D01*
+X87000000Y-67400000D02*
+X87000000Y-64250000D01*
+X81650000Y-72750000D02*
+X87000000Y-67400000D01*
+X79250000Y-72750000D02*
+X81650000Y-72750000D01*
+X100050000Y-69425000D02*
+X99125000Y-68500000D01*
+X100050000Y-72250000D02*
+X100050000Y-69425000D01*
+X98300000Y-66600000D02*
+X87000000Y-66600000D01*
+X99125000Y-68500000D02*
+X99125000Y-67425000D01*
+X99125000Y-67425000D02*
+X98300000Y-66600000D01*
+X106300000Y-64475000D02*
+X104875000Y-65900000D01*
+X106300000Y-63300000D02*
+X106300000Y-64475000D01*
+X104875000Y-67537500D02*
+X105487500Y-68150000D01*
+X104875000Y-65900000D02*
+X104875000Y-67537500D01*
+X105487500Y-69962500D02*
+X103200000Y-72250000D01*
+X105487500Y-68150000D02*
+X105487500Y-69962500D01*
+D46*
+X145525000Y-87425000D02*
+X145525000Y-85650000D01*
+X144650000Y-88300000D02*
+X145525000Y-87425000D01*
+D47*
+X85000000Y-81225000D02*
+X85000000Y-81850000D01*
+X85000000Y-79982220D02*
+X85000000Y-81225000D01*
+X90275000Y-76865000D02*
+X88117220Y-76865000D01*
+X88117220Y-76865000D02*
+X85000000Y-79982220D01*
+X96200000Y-75595000D02*
+X95225000Y-75595000D01*
+X96800000Y-77550000D02*
+X96800000Y-76195000D01*
+X97875000Y-77750000D02*
+X97000000Y-77750000D01*
+X96800000Y-76195000D02*
+X96200000Y-75595000D01*
+X97000000Y-77750000D02*
+X96800000Y-77550000D01*
+D46*
+X128385000Y-85600000D02*
+X128005000Y-85980000D01*
+X127880000Y-85980000D02*
+X128005000Y-85980000D01*
+X130175000Y-85600000D02*
+X128385000Y-85600000D01*
+D47*
+X128005000Y-86105000D02*
+X128005000Y-87775000D01*
+X127880000Y-85980000D02*
+X128005000Y-86105000D01*
+X144225000Y-92725000D02*
+X144650000Y-92300000D01*
+X141455000Y-92725000D02*
+X144225000Y-92725000D01*
+D46*
+X140811352Y-90749990D02*
+X141485010Y-91423648D01*
+X134962510Y-90749990D02*
+X140811352Y-90749990D01*
+X134900000Y-91525000D02*
+X134900000Y-90812500D01*
+X141485010Y-91423648D02*
+X141485010Y-92725000D01*
+X134900000Y-90812500D02*
+X134962510Y-90749990D01*
+D47*
+X130675000Y-92725000D02*
+X131100000Y-92300000D01*
+X128005000Y-92725000D02*
+X130675000Y-92725000D01*
+X128005000Y-91605770D02*
+X128005000Y-91750000D01*
+X127299220Y-90899990D02*
+X128005000Y-91605770D01*
+X128005000Y-91750000D02*
+X128005000Y-92725000D01*
+X123187510Y-90899990D02*
+X127299220Y-90899990D01*
+X122575000Y-91512500D02*
+X123187510Y-90899990D01*
+X121700000Y-91512500D02*
+X122575000Y-91512500D01*
+D46*
+X127361352Y-90749990D02*
+X128035010Y-91423648D01*
+X123568648Y-90749990D02*
+X127361352Y-90749990D01*
+X121700000Y-91512500D02*
+X122806138Y-91512500D01*
+X128035010Y-91423648D02*
+X128035010Y-92725000D01*
+X122806138Y-91512500D02*
+X123568648Y-90749990D01*
+X140500000Y-84850000D02*
+X141455000Y-85805000D01*
+X141455000Y-85805000D02*
+X141600000Y-85950000D01*
+X141900000Y-85650000D02*
+X141600000Y-85950000D01*
+X143775000Y-85650000D02*
+X141900000Y-85650000D01*
+D47*
+X141455000Y-87775000D02*
+X141455000Y-85805000D01*
+D46*
+X105800000Y-70275000D02*
+X105800000Y-79500000D01*
+X105487500Y-69962500D02*
+X105800000Y-70275000D01*
+X128125000Y-85735000D02*
+X127880000Y-85980000D01*
+X128125000Y-85000000D02*
+X128125000Y-85735000D01*
+D50*
+G36*
+X89485933Y-124514067D02*
+G01*
+X89596100Y-124604478D01*
+X89721789Y-124671660D01*
+X89858169Y-124713031D01*
+X90000000Y-124727000D01*
+X100698866Y-124727000D01*
+X104485933Y-128514067D01*
+X104596100Y-128604478D01*
+X104721789Y-128671660D01*
+X104858169Y-128713031D01*
+X105000000Y-128727000D01*
+X112698866Y-128727000D01*
+X117485933Y-133514067D01*
+X117596100Y-133604478D01*
+X117721789Y-133671660D01*
+X117858169Y-133713031D01*
+X118000000Y-133727000D01*
+X126945314Y-133727000D01*
+X127775220Y-134556907D01*
+X127805814Y-134594186D01*
+X127954582Y-134716276D01*
+X128124309Y-134806997D01*
+X128308475Y-134862863D01*
+X128452007Y-134877000D01*
+X128452009Y-134877000D01*
+X128499999Y-134881727D01*
+X128547990Y-134877000D01*
+X131695314Y-134877000D01*
+X131873000Y-135054686D01*
+X131873000Y-136956397D01*
+X131745443Y-136995090D01*
+X131619147Y-137062597D01*
+X131508446Y-137153446D01*
+X131417597Y-137264147D01*
+X131350090Y-137390443D01*
+X131308520Y-137527483D01*
+X131294483Y-137670000D01*
+X131294483Y-138520000D01*
+X131308520Y-138662517D01*
+X131328990Y-138730000D01*
+X131308520Y-138797483D01*
+X131294483Y-138940000D01*
+X131294483Y-139790000D01*
+X131308520Y-139932517D01*
+X131328990Y-140000000D01*
+X131308520Y-140067483D01*
+X131294483Y-140210000D01*
+X131294483Y-141060000D01*
+X131308520Y-141202517D01*
+X131328990Y-141270000D01*
+X131308520Y-141337483D01*
+X131294483Y-141480000D01*
+X131294483Y-142330000D01*
+X131308520Y-142472517D01*
+X131350090Y-142609557D01*
+X131417597Y-142735853D01*
+X131508446Y-142846554D01*
+X131619147Y-142937403D01*
+X131745443Y-143004910D01*
+X131873000Y-143043603D01*
+X131873000Y-145311139D01*
+X131814147Y-145342597D01*
+X131703446Y-145433446D01*
+X131612597Y-145544147D01*
+X131545090Y-145670443D01*
+X131503520Y-145807483D01*
+X131489483Y-145950000D01*
+X131489483Y-147330911D01*
+X129947394Y-148873000D01*
+X114127000Y-148873000D01*
+X114127000Y-147500000D01*
+X114124560Y-147475224D01*
+X114117333Y-147451399D01*
+X114105597Y-147429443D01*
+X114089803Y-147410197D01*
+X112589803Y-145910197D01*
+X112570557Y-145894403D01*
+X112548601Y-145882667D01*
+X112524776Y-145875440D01*
+X112500000Y-145873000D01*
+X107500000Y-145873000D01*
+X107475224Y-145875440D01*
+X107451399Y-145882667D01*
+X107429443Y-145894403D01*
+X107410197Y-145910197D01*
+X105910197Y-147410197D01*
+X105894403Y-147429443D01*
+X105882667Y-147451399D01*
+X105875440Y-147475224D01*
+X105873000Y-147500000D01*
+X105873000Y-148873000D01*
+X99052606Y-148873000D01*
+X93089803Y-142910197D01*
+X93070557Y-142894403D01*
+X93048601Y-142882667D01*
+X93024776Y-142875440D01*
+X93000000Y-142873000D01*
+X70802000Y-142873000D01*
+X70802000Y-120727000D01*
+X85698866Y-120727000D01*
+X89485933Y-124514067D01*
+G37*
+X89485933Y-124514067D02*
+X89596100Y-124604478D01*
+X89721789Y-124671660D01*
+X89858169Y-124713031D01*
+X90000000Y-124727000D01*
+X100698866Y-124727000D01*
+X104485933Y-128514067D01*
+X104596100Y-128604478D01*
+X104721789Y-128671660D01*
+X104858169Y-128713031D01*
+X105000000Y-128727000D01*
+X112698866Y-128727000D01*
+X117485933Y-133514067D01*
+X117596100Y-133604478D01*
+X117721789Y-133671660D01*
+X117858169Y-133713031D01*
+X118000000Y-133727000D01*
+X126945314Y-133727000D01*
+X127775220Y-134556907D01*
+X127805814Y-134594186D01*
+X127954582Y-134716276D01*
+X128124309Y-134806997D01*
+X128308475Y-134862863D01*
+X128452007Y-134877000D01*
+X128452009Y-134877000D01*
+X128499999Y-134881727D01*
+X128547990Y-134877000D01*
+X131695314Y-134877000D01*
+X131873000Y-135054686D01*
+X131873000Y-136956397D01*
+X131745443Y-136995090D01*
+X131619147Y-137062597D01*
+X131508446Y-137153446D01*
+X131417597Y-137264147D01*
+X131350090Y-137390443D01*
+X131308520Y-137527483D01*
+X131294483Y-137670000D01*
+X131294483Y-138520000D01*
+X131308520Y-138662517D01*
+X131328990Y-138730000D01*
+X131308520Y-138797483D01*
+X131294483Y-138940000D01*
+X131294483Y-139790000D01*
+X131308520Y-139932517D01*
+X131328990Y-140000000D01*
+X131308520Y-140067483D01*
+X131294483Y-140210000D01*
+X131294483Y-141060000D01*
+X131308520Y-141202517D01*
+X131328990Y-141270000D01*
+X131308520Y-141337483D01*
+X131294483Y-141480000D01*
+X131294483Y-142330000D01*
+X131308520Y-142472517D01*
+X131350090Y-142609557D01*
+X131417597Y-142735853D01*
+X131508446Y-142846554D01*
+X131619147Y-142937403D01*
+X131745443Y-143004910D01*
+X131873000Y-143043603D01*
+X131873000Y-145311139D01*
+X131814147Y-145342597D01*
+X131703446Y-145433446D01*
+X131612597Y-145544147D01*
+X131545090Y-145670443D01*
+X131503520Y-145807483D01*
+X131489483Y-145950000D01*
+X131489483Y-147330911D01*
+X129947394Y-148873000D01*
+X114127000Y-148873000D01*
+X114127000Y-147500000D01*
+X114124560Y-147475224D01*
+X114117333Y-147451399D01*
+X114105597Y-147429443D01*
+X114089803Y-147410197D01*
+X112589803Y-145910197D01*
+X112570557Y-145894403D01*
+X112548601Y-145882667D01*
+X112524776Y-145875440D01*
+X112500000Y-145873000D01*
+X107500000Y-145873000D01*
+X107475224Y-145875440D01*
+X107451399Y-145882667D01*
+X107429443Y-145894403D01*
+X107410197Y-145910197D01*
+X105910197Y-147410197D01*
+X105894403Y-147429443D01*
+X105882667Y-147451399D01*
+X105875440Y-147475224D01*
+X105873000Y-147500000D01*
+X105873000Y-148873000D01*
+X99052606Y-148873000D01*
+X93089803Y-142910197D01*
+X93070557Y-142894403D01*
+X93048601Y-142882667D01*
+X93024776Y-142875440D01*
+X93000000Y-142873000D01*
+X70802000Y-142873000D01*
+X70802000Y-120727000D01*
+X85698866Y-120727000D01*
+X89485933Y-124514067D01*
+G36*
+X94373000Y-123873000D02*
+G01*
+X90052606Y-123873000D01*
+X86089803Y-119910197D01*
+X86070557Y-119894403D01*
+X86048601Y-119882667D01*
+X86024776Y-119875440D01*
+X86000000Y-119873000D01*
+X73027000Y-119873000D01*
+X73027000Y-96549660D01*
+X74249661Y-95327000D01*
+X76984826Y-95327000D01*
+X77050000Y-95333419D01*
+X77115174Y-95327000D01*
+X77115184Y-95327000D01*
+X77310137Y-95307799D01*
+X77560278Y-95231919D01*
+X77756567Y-95127000D01*
+X94373000Y-95127000D01*
+X94373000Y-123873000D01*
+G37*
+X94373000Y-123873000D02*
+X90052606Y-123873000D01*
+X86089803Y-119910197D01*
+X86070557Y-119894403D01*
+X86048601Y-119882667D01*
+X86024776Y-119875440D01*
+X86000000Y-119873000D01*
+X73027000Y-119873000D01*
+X73027000Y-96549660D01*
+X74249661Y-95327000D01*
+X76984826Y-95327000D01*
+X77050000Y-95333419D01*
+X77115174Y-95327000D01*
+X77115184Y-95327000D01*
+X77310137Y-95307799D01*
+X77560278Y-95231919D01*
+X77756567Y-95127000D01*
+X94373000Y-95127000D01*
+X94373000Y-123873000D01*
+G36*
+X105873000Y-99500000D02*
+G01*
+X105875440Y-99524776D01*
+X105882667Y-99548601D01*
+X105894403Y-99570557D01*
+X105910197Y-99589803D01*
+X107410197Y-101089803D01*
+X107429443Y-101105597D01*
+X107451399Y-101117333D01*
+X107475224Y-101124560D01*
+X107500000Y-101127000D01*
+X112500000Y-101127000D01*
+X112524776Y-101124560D01*
+X112548601Y-101117333D01*
+X112570557Y-101105597D01*
+X112589803Y-101089803D01*
+X113873000Y-99806606D01*
+X113873000Y-104000000D01*
+X113875440Y-104024776D01*
+X113882667Y-104048601D01*
+X113894403Y-104070557D01*
+X113910197Y-104089803D01*
+X116910197Y-107089803D01*
+X116929443Y-107105597D01*
+X116951399Y-107117333D01*
+X116975224Y-107124560D01*
+X117000000Y-107127000D01*
+X127873000Y-107127000D01*
+X127873000Y-114082783D01*
+X125025000Y-114082783D01*
+X124882483Y-114096820D01*
+X124745443Y-114138390D01*
+X124619147Y-114205897D01*
+X124508446Y-114296746D01*
+X124417597Y-114407447D01*
+X124350090Y-114533743D01*
+X124308520Y-114670783D01*
+X124294483Y-114813300D01*
+X124294483Y-115652395D01*
+X124116144Y-115726266D01*
+X123931558Y-115849602D01*
+X123774581Y-116006579D01*
+X123651245Y-116191165D01*
+X123566289Y-116396266D01*
+X123522979Y-116614000D01*
+X123522979Y-116836000D01*
+X123566289Y-117053734D01*
+X123651245Y-117258835D01*
+X123774581Y-117443421D01*
+X123931558Y-117600398D01*
+X124116144Y-117723734D01*
+X124321245Y-117808690D01*
+X124538979Y-117852000D01*
+X124760979Y-117852000D01*
+X124978713Y-117808690D01*
+X125175929Y-117727000D01*
+X125702007Y-117727000D01*
+X125750000Y-117731727D01*
+X125941525Y-117712863D01*
+X125941528Y-117712862D01*
+X126125691Y-117656997D01*
+X126295418Y-117566276D01*
+X126444186Y-117444186D01*
+X126474784Y-117406902D01*
+X127156907Y-116724780D01*
+X127194186Y-116694186D01*
+X127316276Y-116545418D01*
+X127397308Y-116393817D01*
+X127873000Y-116393817D01*
+X127873000Y-118751514D01*
+X127795443Y-118775040D01*
+X127669147Y-118842547D01*
+X127558446Y-118933396D01*
+X127467597Y-119044097D01*
+X127400090Y-119170393D01*
+X127358520Y-119307433D01*
+X127344483Y-119449950D01*
+X127344483Y-119899950D01*
+X127354332Y-119999950D01*
+X127344483Y-120099950D01*
+X127344483Y-120549950D01*
+X127354332Y-120649950D01*
+X127352067Y-120672951D01*
+X125847933Y-120672951D01*
+X125841480Y-120607433D01*
+X125799910Y-120470393D01*
+X125732403Y-120344097D01*
+X125641554Y-120233396D01*
+X125530853Y-120142547D01*
+X125404557Y-120075040D01*
+X125267517Y-120033470D01*
+X125125000Y-120019433D01*
+X123675000Y-120019433D01*
+X123532483Y-120033470D01*
+X123395443Y-120075040D01*
+X123305810Y-120122950D01*
+X123265838Y-120122950D01*
+X123249910Y-120070443D01*
+X123182403Y-119944147D01*
+X123091554Y-119833446D01*
+X122980853Y-119742597D01*
+X122854557Y-119675090D01*
+X122717517Y-119633520D01*
+X122575000Y-119619483D01*
+X121825000Y-119619483D01*
+X121682483Y-119633520D01*
+X121545443Y-119675090D01*
+X121419147Y-119742597D01*
+X121308446Y-119833446D01*
+X121217597Y-119944147D01*
+X121150090Y-120070443D01*
+X121108520Y-120207483D01*
+X121094483Y-120350000D01*
+X121094483Y-121550000D01*
+X121108520Y-121692517D01*
+X121150090Y-121829557D01*
+X121217597Y-121955853D01*
+X121308446Y-122066554D01*
+X121419147Y-122157403D01*
+X121545443Y-122224910D01*
+X121682483Y-122266480D01*
+X121825000Y-122280517D01*
+X122575000Y-122280517D01*
+X122717517Y-122266480D01*
+X122854557Y-122224910D01*
+X122980853Y-122157403D01*
+X123091554Y-122066554D01*
+X123182403Y-121955853D01*
+X123249910Y-121829557D01*
+X123250701Y-121826950D01*
+X123305810Y-121826950D01*
+X123395443Y-121874860D01*
+X123532483Y-121916430D01*
+X123675000Y-121930467D01*
+X123692167Y-121930467D01*
+X123713001Y-121955853D01*
+X123794631Y-122055320D01*
+X123827141Y-122082000D01*
+X123842946Y-122097805D01*
+X123869631Y-122130321D01*
+X123999365Y-122236790D01*
+X124147377Y-122315905D01*
+X124307980Y-122364623D01*
+X124433149Y-122376951D01*
+X124433151Y-122376951D01*
+X124475000Y-122381073D01*
+X124516849Y-122376951D01*
+X127873000Y-122376951D01*
+X127873000Y-127416183D01*
+X125025000Y-127416183D01*
+X124882483Y-127430220D01*
+X124745443Y-127471790D01*
+X124619147Y-127539297D01*
+X124508446Y-127630146D01*
+X124417597Y-127740847D01*
+X124350090Y-127867143D01*
+X124308520Y-128004183D01*
+X124294483Y-128146700D01*
+X124294483Y-128996700D01*
+X124308520Y-129139217D01*
+X124350090Y-129276257D01*
+X124417597Y-129402553D01*
+X124508446Y-129513254D01*
+X124619147Y-129604103D01*
+X124745443Y-129671610D01*
+X124882483Y-129713180D01*
+X125025000Y-129727217D01*
+X125790126Y-129727217D01*
+X125748000Y-129939000D01*
+X125748000Y-130161000D01*
+X125791310Y-130378734D01*
+X125876266Y-130583835D01*
+X125999602Y-130768421D01*
+X126156579Y-130925398D01*
+X126320953Y-131035228D01*
+X126274602Y-131081579D01*
+X126151266Y-131266165D01*
+X126066310Y-131471266D01*
+X126023000Y-131689000D01*
+X126023000Y-131911000D01*
+X126066310Y-132128734D01*
+X126151266Y-132333835D01*
+X126173000Y-132366362D01*
+X126173000Y-132502007D01*
+X126168273Y-132550000D01*
+X126187137Y-132741525D01*
+X126227020Y-132873000D01*
+X118052606Y-132873000D01*
+X113089803Y-127910197D01*
+X113070557Y-127894403D01*
+X113048601Y-127882667D01*
+X113024776Y-127875440D01*
+X113000000Y-127873000D01*
+X108315346Y-127873000D01*
+X108100898Y-127658552D01*
+X107818041Y-127469553D01*
+X107503747Y-127339368D01*
+X107170095Y-127273000D01*
+X106829905Y-127273000D01*
+X106496253Y-127339368D01*
+X106181959Y-127469553D01*
+X105899102Y-127658552D01*
+X105684654Y-127873000D01*
+X105052606Y-127873000D01*
+X101089803Y-123910197D01*
+X101070557Y-123894403D01*
+X101048601Y-123882667D01*
+X101024776Y-123875440D01*
+X101000000Y-123873000D01*
+X96727000Y-123873000D01*
+X96727000Y-95127000D01*
+X105873000Y-95127000D01*
+X105873000Y-99500000D01*
+G37*
+X105873000Y-99500000D02*
+X105875440Y-99524776D01*
+X105882667Y-99548601D01*
+X105894403Y-99570557D01*
+X105910197Y-99589803D01*
+X107410197Y-101089803D01*
+X107429443Y-101105597D01*
+X107451399Y-101117333D01*
+X107475224Y-101124560D01*
+X107500000Y-101127000D01*
+X112500000Y-101127000D01*
+X112524776Y-101124560D01*
+X112548601Y-101117333D01*
+X112570557Y-101105597D01*
+X112589803Y-101089803D01*
+X113873000Y-99806606D01*
+X113873000Y-104000000D01*
+X113875440Y-104024776D01*
+X113882667Y-104048601D01*
+X113894403Y-104070557D01*
+X113910197Y-104089803D01*
+X116910197Y-107089803D01*
+X116929443Y-107105597D01*
+X116951399Y-107117333D01*
+X116975224Y-107124560D01*
+X117000000Y-107127000D01*
+X127873000Y-107127000D01*
+X127873000Y-114082783D01*
+X125025000Y-114082783D01*
+X124882483Y-114096820D01*
+X124745443Y-114138390D01*
+X124619147Y-114205897D01*
+X124508446Y-114296746D01*
+X124417597Y-114407447D01*
+X124350090Y-114533743D01*
+X124308520Y-114670783D01*
+X124294483Y-114813300D01*
+X124294483Y-115652395D01*
+X124116144Y-115726266D01*
+X123931558Y-115849602D01*
+X123774581Y-116006579D01*
+X123651245Y-116191165D01*
+X123566289Y-116396266D01*
+X123522979Y-116614000D01*
+X123522979Y-116836000D01*
+X123566289Y-117053734D01*
+X123651245Y-117258835D01*
+X123774581Y-117443421D01*
+X123931558Y-117600398D01*
+X124116144Y-117723734D01*
+X124321245Y-117808690D01*
+X124538979Y-117852000D01*
+X124760979Y-117852000D01*
+X124978713Y-117808690D01*
+X125175929Y-117727000D01*
+X125702007Y-117727000D01*
+X125750000Y-117731727D01*
+X125941525Y-117712863D01*
+X125941528Y-117712862D01*
+X126125691Y-117656997D01*
+X126295418Y-117566276D01*
+X126444186Y-117444186D01*
+X126474784Y-117406902D01*
+X127156907Y-116724780D01*
+X127194186Y-116694186D01*
+X127316276Y-116545418D01*
+X127397308Y-116393817D01*
+X127873000Y-116393817D01*
+X127873000Y-118751514D01*
+X127795443Y-118775040D01*
+X127669147Y-118842547D01*
+X127558446Y-118933396D01*
+X127467597Y-119044097D01*
+X127400090Y-119170393D01*
+X127358520Y-119307433D01*
+X127344483Y-119449950D01*
+X127344483Y-119899950D01*
+X127354332Y-119999950D01*
+X127344483Y-120099950D01*
+X127344483Y-120549950D01*
+X127354332Y-120649950D01*
+X127352067Y-120672951D01*
+X125847933Y-120672951D01*
+X125841480Y-120607433D01*
+X125799910Y-120470393D01*
+X125732403Y-120344097D01*
+X125641554Y-120233396D01*
+X125530853Y-120142547D01*
+X125404557Y-120075040D01*
+X125267517Y-120033470D01*
+X125125000Y-120019433D01*
+X123675000Y-120019433D01*
+X123532483Y-120033470D01*
+X123395443Y-120075040D01*
+X123305810Y-120122950D01*
+X123265838Y-120122950D01*
+X123249910Y-120070443D01*
+X123182403Y-119944147D01*
+X123091554Y-119833446D01*
+X122980853Y-119742597D01*
+X122854557Y-119675090D01*
+X122717517Y-119633520D01*
+X122575000Y-119619483D01*
+X121825000Y-119619483D01*
+X121682483Y-119633520D01*
+X121545443Y-119675090D01*
+X121419147Y-119742597D01*
+X121308446Y-119833446D01*
+X121217597Y-119944147D01*
+X121150090Y-120070443D01*
+X121108520Y-120207483D01*
+X121094483Y-120350000D01*
+X121094483Y-121550000D01*
+X121108520Y-121692517D01*
+X121150090Y-121829557D01*
+X121217597Y-121955853D01*
+X121308446Y-122066554D01*
+X121419147Y-122157403D01*
+X121545443Y-122224910D01*
+X121682483Y-122266480D01*
+X121825000Y-122280517D01*
+X122575000Y-122280517D01*
+X122717517Y-122266480D01*
+X122854557Y-122224910D01*
+X122980853Y-122157403D01*
+X123091554Y-122066554D01*
+X123182403Y-121955853D01*
+X123249910Y-121829557D01*
+X123250701Y-121826950D01*
+X123305810Y-121826950D01*
+X123395443Y-121874860D01*
+X123532483Y-121916430D01*
+X123675000Y-121930467D01*
+X123692167Y-121930467D01*
+X123713001Y-121955853D01*
+X123794631Y-122055320D01*
+X123827141Y-122082000D01*
+X123842946Y-122097805D01*
+X123869631Y-122130321D01*
+X123999365Y-122236790D01*
+X124147377Y-122315905D01*
+X124307980Y-122364623D01*
+X124433149Y-122376951D01*
+X124433151Y-122376951D01*
+X124475000Y-122381073D01*
+X124516849Y-122376951D01*
+X127873000Y-122376951D01*
+X127873000Y-127416183D01*
+X125025000Y-127416183D01*
+X124882483Y-127430220D01*
+X124745443Y-127471790D01*
+X124619147Y-127539297D01*
+X124508446Y-127630146D01*
+X124417597Y-127740847D01*
+X124350090Y-127867143D01*
+X124308520Y-128004183D01*
+X124294483Y-128146700D01*
+X124294483Y-128996700D01*
+X124308520Y-129139217D01*
+X124350090Y-129276257D01*
+X124417597Y-129402553D01*
+X124508446Y-129513254D01*
+X124619147Y-129604103D01*
+X124745443Y-129671610D01*
+X124882483Y-129713180D01*
+X125025000Y-129727217D01*
+X125790126Y-129727217D01*
+X125748000Y-129939000D01*
+X125748000Y-130161000D01*
+X125791310Y-130378734D01*
+X125876266Y-130583835D01*
+X125999602Y-130768421D01*
+X126156579Y-130925398D01*
+X126320953Y-131035228D01*
+X126274602Y-131081579D01*
+X126151266Y-131266165D01*
+X126066310Y-131471266D01*
+X126023000Y-131689000D01*
+X126023000Y-131911000D01*
+X126066310Y-132128734D01*
+X126151266Y-132333835D01*
+X126173000Y-132366362D01*
+X126173000Y-132502007D01*
+X126168273Y-132550000D01*
+X126187137Y-132741525D01*
+X126227020Y-132873000D01*
+X118052606Y-132873000D01*
+X113089803Y-127910197D01*
+X113070557Y-127894403D01*
+X113048601Y-127882667D01*
+X113024776Y-127875440D01*
+X113000000Y-127873000D01*
+X108315346Y-127873000D01*
+X108100898Y-127658552D01*
+X107818041Y-127469553D01*
+X107503747Y-127339368D01*
+X107170095Y-127273000D01*
+X106829905Y-127273000D01*
+X106496253Y-127339368D01*
+X106181959Y-127469553D01*
+X105899102Y-127658552D01*
+X105684654Y-127873000D01*
+X105052606Y-127873000D01*
+X101089803Y-123910197D01*
+X101070557Y-123894403D01*
+X101048601Y-123882667D01*
+X101024776Y-123875440D01*
+X101000000Y-123873000D01*
+X96727000Y-123873000D01*
+X96727000Y-95127000D01*
+X105873000Y-95127000D01*
+X105873000Y-99500000D01*
+G36*
+X120310197Y-96989803D02*
+G01*
+X120329443Y-97005597D01*
+X120351399Y-97017333D01*
+X120375224Y-97024560D01*
+X120400000Y-97027000D01*
+X122447394Y-97027000D01*
+X123010197Y-97589803D01*
+X123029443Y-97605597D01*
+X123051399Y-97617333D01*
+X123075224Y-97624560D01*
+X123100000Y-97627000D01*
+X131298718Y-97627000D01*
+X131294483Y-97670000D01*
+X131294483Y-98520000D01*
+X131308520Y-98662517D01*
+X131328990Y-98730000D01*
+X131308520Y-98797483D01*
+X131294483Y-98940000D01*
+X131294483Y-99790000D01*
+X131308520Y-99932517D01*
+X131328990Y-100000000D01*
+X131308520Y-100067483D01*
+X131294483Y-100210000D01*
+X131294483Y-101060000D01*
+X131308520Y-101202517D01*
+X131328990Y-101270000D01*
+X131308520Y-101337483D01*
+X131294483Y-101480000D01*
+X131294483Y-102330000D01*
+X131308520Y-102472517D01*
+X131350090Y-102609557D01*
+X131417597Y-102735853D01*
+X131508446Y-102846554D01*
+X131619147Y-102937403D01*
+X131745443Y-103004910D01*
+X131873000Y-103043603D01*
+X131873000Y-106873000D01*
+X128714492Y-106873000D01*
+X128713031Y-106858169D01*
+X128671660Y-106721789D01*
+X128604478Y-106596100D01*
+X128514067Y-106485933D01*
+X128403900Y-106395522D01*
+X128278211Y-106328340D01*
+X128141831Y-106286969D01*
+X128000000Y-106273000D01*
+X117301134Y-106273000D01*
+X114727000Y-103698866D01*
+X114727000Y-95127000D01*
+X118447394Y-95127000D01*
+X120310197Y-96989803D01*
+G37*
+X120310197Y-96989803D02*
+X120329443Y-97005597D01*
+X120351399Y-97017333D01*
+X120375224Y-97024560D01*
+X120400000Y-97027000D01*
+X122447394Y-97027000D01*
+X123010197Y-97589803D01*
+X123029443Y-97605597D01*
+X123051399Y-97617333D01*
+X123075224Y-97624560D01*
+X123100000Y-97627000D01*
+X131298718Y-97627000D01*
+X131294483Y-97670000D01*
+X131294483Y-98520000D01*
+X131308520Y-98662517D01*
+X131328990Y-98730000D01*
+X131308520Y-98797483D01*
+X131294483Y-98940000D01*
+X131294483Y-99790000D01*
+X131308520Y-99932517D01*
+X131328990Y-100000000D01*
+X131308520Y-100067483D01*
+X131294483Y-100210000D01*
+X131294483Y-101060000D01*
+X131308520Y-101202517D01*
+X131328990Y-101270000D01*
+X131308520Y-101337483D01*
+X131294483Y-101480000D01*
+X131294483Y-102330000D01*
+X131308520Y-102472517D01*
+X131350090Y-102609557D01*
+X131417597Y-102735853D01*
+X131508446Y-102846554D01*
+X131619147Y-102937403D01*
+X131745443Y-103004910D01*
+X131873000Y-103043603D01*
+X131873000Y-106873000D01*
+X128714492Y-106873000D01*
+X128713031Y-106858169D01*
+X128671660Y-106721789D01*
+X128604478Y-106596100D01*
+X128514067Y-106485933D01*
+X128403900Y-106395522D01*
+X128278211Y-106328340D01*
+X128141831Y-106286969D01*
+X128000000Y-106273000D01*
+X117301134Y-106273000D01*
+X114727000Y-103698866D01*
+X114727000Y-95127000D01*
+X118447394Y-95127000D01*
+X120310197Y-96989803D01*
+G36*
+X165416310Y-95128734D02*
+G01*
+X165501266Y-95333835D01*
+X165624602Y-95518421D01*
+X165781579Y-95675398D01*
+X165966165Y-95798734D01*
+X166171266Y-95883690D01*
+X166389000Y-95927000D01*
+X166397989Y-95927000D01*
+X166397990Y-118697100D01*
+X166197091Y-118898000D01*
+X130343844Y-118898000D01*
+X130301995Y-118893878D01*
+X130260146Y-118898000D01*
+X130260144Y-118898000D01*
+X130255517Y-118898456D01*
+X130255517Y-118799950D01*
+X130241480Y-118657433D01*
+X130199910Y-118520393D01*
+X130132403Y-118394097D01*
+X130041554Y-118283396D01*
+X129930853Y-118192547D01*
+X129804557Y-118125040D01*
+X129667517Y-118083470D01*
+X129525000Y-118069433D01*
+X128727000Y-118069433D01*
+X128727000Y-107727000D01*
+X132000000Y-107727000D01*
+X132141831Y-107713031D01*
+X132278211Y-107671660D01*
+X132403900Y-107604478D01*
+X132514067Y-107514067D01*
+X132604478Y-107403900D01*
+X132671660Y-107278211D01*
+X132713031Y-107141831D01*
+X132727000Y-107000000D01*
+X132727000Y-99250517D01*
+X134975000Y-99250517D01*
+X135117517Y-99236480D01*
+X135254557Y-99194910D01*
+X135380853Y-99127403D01*
+X135491554Y-99036554D01*
+X135582403Y-98925853D01*
+X135649910Y-98799557D01*
+X135691480Y-98662517D01*
+X135705517Y-98520000D01*
+X135705517Y-97670000D01*
+X135701282Y-97627000D01*
+X135720681Y-97627000D01*
+X135851445Y-97757764D01*
+X135886735Y-97800765D01*
+X135929736Y-97836055D01*
+X135929739Y-97836058D01*
+X136016179Y-97906997D01*
+X136058343Y-97941600D01*
+X136254129Y-98046250D01*
+X136466569Y-98110693D01*
+X136632135Y-98127000D01*
+X136632143Y-98127000D01*
+X136687500Y-98132452D01*
+X136742857Y-98127000D01*
+X137011000Y-98127000D01*
+X137065567Y-98116146D01*
+X137120931Y-98110693D01*
+X137174167Y-98094544D01*
+X137228734Y-98083690D01*
+X137280134Y-98062399D01*
+X137333371Y-98046250D01*
+X137382435Y-98020025D01*
+X137433835Y-97998734D01*
+X137480094Y-97967825D01*
+X137529157Y-97941600D01*
+X137572159Y-97906309D01*
+X137618421Y-97875398D01*
+X137657764Y-97836055D01*
+X137700765Y-97800765D01*
+X137736055Y-97757764D01*
+X137775398Y-97718421D01*
+X137806309Y-97672159D01*
+X137841600Y-97629157D01*
+X137842753Y-97627000D01*
+X147500000Y-97627000D01*
+X147524776Y-97624560D01*
+X147548601Y-97617333D01*
+X147570557Y-97605597D01*
+X147589803Y-97589803D01*
+X147605597Y-97570557D01*
+X147617333Y-97548601D01*
+X147624560Y-97524776D01*
+X147627000Y-97500000D01*
+X147627000Y-95627000D01*
+X148873000Y-95627000D01*
+X148873000Y-99500000D01*
+X148875440Y-99524776D01*
+X148882667Y-99548601D01*
+X148894403Y-99570557D01*
+X148910197Y-99589803D01*
+X150410197Y-101089803D01*
+X150429443Y-101105597D01*
+X150451399Y-101117333D01*
+X150475224Y-101124560D01*
+X150500000Y-101127000D01*
+X155500000Y-101127000D01*
+X155524776Y-101124560D01*
+X155548601Y-101117333D01*
+X155570557Y-101105597D01*
+X155589803Y-101089803D01*
+X157089803Y-99589803D01*
+X157105597Y-99570557D01*
+X157117333Y-99548601D01*
+X157124560Y-99524776D01*
+X157127000Y-99500000D01*
+X157127000Y-95448932D01*
+X157158148Y-95452000D01*
+X157558151Y-95452000D01*
+X157600000Y-95456122D01*
+X157641849Y-95452000D01*
+X163958151Y-95452000D01*
+X164000000Y-95456122D01*
+X164041849Y-95452000D01*
+X164041852Y-95452000D01*
+X164167021Y-95439672D01*
+X164327624Y-95390954D01*
+X164475636Y-95311839D01*
+X164605370Y-95205370D01*
+X164632055Y-95172854D01*
+X164677909Y-95127000D01*
+X165415965Y-95127000D01*
+X165416310Y-95128734D01*
+G37*
+X165416310Y-95128734D02*
+X165501266Y-95333835D01*
+X165624602Y-95518421D01*
+X165781579Y-95675398D01*
+X165966165Y-95798734D01*
+X166171266Y-95883690D01*
+X166389000Y-95927000D01*
+X166397989Y-95927000D01*
+X166397990Y-118697100D01*
+X166197091Y-118898000D01*
+X130343844Y-118898000D01*
+X130301995Y-118893878D01*
+X130260146Y-118898000D01*
+X130260144Y-118898000D01*
+X130255517Y-118898456D01*
+X130255517Y-118799950D01*
+X130241480Y-118657433D01*
+X130199910Y-118520393D01*
+X130132403Y-118394097D01*
+X130041554Y-118283396D01*
+X129930853Y-118192547D01*
+X129804557Y-118125040D01*
+X129667517Y-118083470D01*
+X129525000Y-118069433D01*
+X128727000Y-118069433D01*
+X128727000Y-107727000D01*
+X132000000Y-107727000D01*
+X132141831Y-107713031D01*
+X132278211Y-107671660D01*
+X132403900Y-107604478D01*
+X132514067Y-107514067D01*
+X132604478Y-107403900D01*
+X132671660Y-107278211D01*
+X132713031Y-107141831D01*
+X132727000Y-107000000D01*
+X132727000Y-99250517D01*
+X134975000Y-99250517D01*
+X135117517Y-99236480D01*
+X135254557Y-99194910D01*
+X135380853Y-99127403D01*
+X135491554Y-99036554D01*
+X135582403Y-98925853D01*
+X135649910Y-98799557D01*
+X135691480Y-98662517D01*
+X135705517Y-98520000D01*
+X135705517Y-97670000D01*
+X135701282Y-97627000D01*
+X135720681Y-97627000D01*
+X135851445Y-97757764D01*
+X135886735Y-97800765D01*
+X135929736Y-97836055D01*
+X135929739Y-97836058D01*
+X136016179Y-97906997D01*
+X136058343Y-97941600D01*
+X136254129Y-98046250D01*
+X136466569Y-98110693D01*
+X136632135Y-98127000D01*
+X136632143Y-98127000D01*
+X136687500Y-98132452D01*
+X136742857Y-98127000D01*
+X137011000Y-98127000D01*
+X137065567Y-98116146D01*
+X137120931Y-98110693D01*
+X137174167Y-98094544D01*
+X137228734Y-98083690D01*
+X137280134Y-98062399D01*
+X137333371Y-98046250D01*
+X137382435Y-98020025D01*
+X137433835Y-97998734D01*
+X137480094Y-97967825D01*
+X137529157Y-97941600D01*
+X137572159Y-97906309D01*
+X137618421Y-97875398D01*
+X137657764Y-97836055D01*
+X137700765Y-97800765D01*
+X137736055Y-97757764D01*
+X137775398Y-97718421D01*
+X137806309Y-97672159D01*
+X137841600Y-97629157D01*
+X137842753Y-97627000D01*
+X147500000Y-97627000D01*
+X147524776Y-97624560D01*
+X147548601Y-97617333D01*
+X147570557Y-97605597D01*
+X147589803Y-97589803D01*
+X147605597Y-97570557D01*
+X147617333Y-97548601D01*
+X147624560Y-97524776D01*
+X147627000Y-97500000D01*
+X147627000Y-95627000D01*
+X148873000Y-95627000D01*
+X148873000Y-99500000D01*
+X148875440Y-99524776D01*
+X148882667Y-99548601D01*
+X148894403Y-99570557D01*
+X148910197Y-99589803D01*
+X150410197Y-101089803D01*
+X150429443Y-101105597D01*
+X150451399Y-101117333D01*
+X150475224Y-101124560D01*
+X150500000Y-101127000D01*
+X155500000Y-101127000D01*
+X155524776Y-101124560D01*
+X155548601Y-101117333D01*
+X155570557Y-101105597D01*
+X155589803Y-101089803D01*
+X157089803Y-99589803D01*
+X157105597Y-99570557D01*
+X157117333Y-99548601D01*
+X157124560Y-99524776D01*
+X157127000Y-99500000D01*
+X157127000Y-95448932D01*
+X157158148Y-95452000D01*
+X157558151Y-95452000D01*
+X157600000Y-95456122D01*
+X157641849Y-95452000D01*
+X163958151Y-95452000D01*
+X164000000Y-95456122D01*
+X164041849Y-95452000D01*
+X164041852Y-95452000D01*
+X164167021Y-95439672D01*
+X164327624Y-95390954D01*
+X164475636Y-95311839D01*
+X164605370Y-95205370D01*
+X164632055Y-95172854D01*
+X164677909Y-95127000D01*
+X165415965Y-95127000D01*
+X165416310Y-95128734D01*
+G36*
+X166848001Y-142873000D02*
+G01*
+X158000000Y-142873000D01*
+X157975224Y-142875440D01*
+X157951399Y-142882667D01*
+X157929443Y-142894403D01*
+X157910197Y-142910197D01*
+X157885328Y-142935066D01*
+X157882990Y-142935296D01*
+X157722387Y-142984014D01*
+X157574375Y-143063129D01*
+X157444641Y-143169598D01*
+X157417956Y-143202114D01*
+X157122091Y-143497979D01*
+X157089000Y-143497979D01*
+X156871266Y-143541289D01*
+X156666165Y-143626245D01*
+X156481579Y-143749581D01*
+X156324602Y-143906558D01*
+X156201266Y-144091144D01*
+X156116310Y-144296245D01*
+X156076181Y-144497989D01*
+X148855448Y-144497989D01*
+X148813599Y-144493867D01*
+X148771750Y-144497989D01*
+X148771748Y-144497989D01*
+X148646579Y-144510317D01*
+X148485976Y-144559035D01*
+X148389520Y-144610592D01*
+X148337963Y-144638150D01*
+X148272059Y-144692236D01*
+X148208230Y-144744619D01*
+X148181551Y-144777128D01*
+X146085680Y-146873000D01*
+X137610517Y-146873000D01*
+X137610517Y-145950000D01*
+X137596480Y-145807483D01*
+X137554910Y-145670443D01*
+X137487403Y-145544147D01*
+X137396554Y-145433446D01*
+X137285853Y-145342597D01*
+X137159557Y-145275090D01*
+X137022517Y-145233520D01*
+X136880000Y-145219483D01*
+X135380000Y-145219483D01*
+X135237483Y-145233520D01*
+X135100443Y-145275090D01*
+X135050000Y-145302052D01*
+X134999557Y-145275090D01*
+X134862517Y-145233520D01*
+X134720000Y-145219483D01*
+X132727000Y-145219483D01*
+X132727000Y-139250517D01*
+X134975000Y-139250517D01*
+X135117517Y-139236480D01*
+X135254557Y-139194910D01*
+X135380853Y-139127403D01*
+X135491554Y-139036554D01*
+X135582403Y-138925853D01*
+X135649910Y-138799557D01*
+X135691480Y-138662517D01*
+X135705517Y-138520000D01*
+X135705517Y-137670000D01*
+X135691480Y-137527483D01*
+X135649910Y-137390443D01*
+X135582403Y-137264147D01*
+X135491554Y-137153446D01*
+X135380853Y-137062597D01*
+X135254557Y-136995090D01*
+X135117517Y-136953520D01*
+X134975000Y-136939483D01*
+X134477000Y-136939483D01*
+X134477000Y-135347993D01*
+X134481727Y-135300000D01*
+X134462863Y-135108474D01*
+X134406997Y-134924309D01*
+X134316275Y-134754581D01*
+X134224779Y-134643092D01*
+X134194186Y-134605814D01*
+X134156907Y-134575220D01*
+X132824784Y-133243098D01*
+X132794186Y-133205814D01*
+X132727000Y-133150676D01*
+X132727000Y-133000000D01*
+X132713031Y-132858169D01*
+X132671660Y-132721789D01*
+X132604478Y-132596100D01*
+X132514067Y-132485933D01*
+X132403900Y-132395522D01*
+X132278211Y-132328340D01*
+X132141831Y-132286969D01*
+X132000000Y-132273000D01*
+X128727000Y-132273000D01*
+X128727000Y-122376951D01*
+X131133200Y-122376951D01*
+X131175049Y-122381073D01*
+X131216898Y-122376951D01*
+X131216901Y-122376951D01*
+X131342070Y-122364623D01*
+X131502673Y-122315905D01*
+X131650685Y-122236790D01*
+X131780419Y-122130321D01*
+X131807104Y-122097805D01*
+X132852899Y-121052011D01*
+X166848000Y-121052011D01*
+X166848001Y-142873000D01*
+G37*
+X166848001Y-142873000D02*
+X158000000Y-142873000D01*
+X157975224Y-142875440D01*
+X157951399Y-142882667D01*
+X157929443Y-142894403D01*
+X157910197Y-142910197D01*
+X157885328Y-142935066D01*
+X157882990Y-142935296D01*
+X157722387Y-142984014D01*
+X157574375Y-143063129D01*
+X157444641Y-143169598D01*
+X157417956Y-143202114D01*
+X157122091Y-143497979D01*
+X157089000Y-143497979D01*
+X156871266Y-143541289D01*
+X156666165Y-143626245D01*
+X156481579Y-143749581D01*
+X156324602Y-143906558D01*
+X156201266Y-144091144D01*
+X156116310Y-144296245D01*
+X156076181Y-144497989D01*
+X148855448Y-144497989D01*
+X148813599Y-144493867D01*
+X148771750Y-144497989D01*
+X148771748Y-144497989D01*
+X148646579Y-144510317D01*
+X148485976Y-144559035D01*
+X148389520Y-144610592D01*
+X148337963Y-144638150D01*
+X148272059Y-144692236D01*
+X148208230Y-144744619D01*
+X148181551Y-144777128D01*
+X146085680Y-146873000D01*
+X137610517Y-146873000D01*
+X137610517Y-145950000D01*
+X137596480Y-145807483D01*
+X137554910Y-145670443D01*
+X137487403Y-145544147D01*
+X137396554Y-145433446D01*
+X137285853Y-145342597D01*
+X137159557Y-145275090D01*
+X137022517Y-145233520D01*
+X136880000Y-145219483D01*
+X135380000Y-145219483D01*
+X135237483Y-145233520D01*
+X135100443Y-145275090D01*
+X135050000Y-145302052D01*
+X134999557Y-145275090D01*
+X134862517Y-145233520D01*
+X134720000Y-145219483D01*
+X132727000Y-145219483D01*
+X132727000Y-139250517D01*
+X134975000Y-139250517D01*
+X135117517Y-139236480D01*
+X135254557Y-139194910D01*
+X135380853Y-139127403D01*
+X135491554Y-139036554D01*
+X135582403Y-138925853D01*
+X135649910Y-138799557D01*
+X135691480Y-138662517D01*
+X135705517Y-138520000D01*
+X135705517Y-137670000D01*
+X135691480Y-137527483D01*
+X135649910Y-137390443D01*
+X135582403Y-137264147D01*
+X135491554Y-137153446D01*
+X135380853Y-137062597D01*
+X135254557Y-136995090D01*
+X135117517Y-136953520D01*
+X134975000Y-136939483D01*
+X134477000Y-136939483D01*
+X134477000Y-135347993D01*
+X134481727Y-135300000D01*
+X134462863Y-135108474D01*
+X134406997Y-134924309D01*
+X134316275Y-134754581D01*
+X134224779Y-134643092D01*
+X134194186Y-134605814D01*
+X134156907Y-134575220D01*
+X132824784Y-133243098D01*
+X132794186Y-133205814D01*
+X132727000Y-133150676D01*
+X132727000Y-133000000D01*
+X132713031Y-132858169D01*
+X132671660Y-132721789D01*
+X132604478Y-132596100D01*
+X132514067Y-132485933D01*
+X132403900Y-132395522D01*
+X132278211Y-132328340D01*
+X132141831Y-132286969D01*
+X132000000Y-132273000D01*
+X128727000Y-132273000D01*
+X128727000Y-122376951D01*
+X131133200Y-122376951D01*
+X131175049Y-122381073D01*
+X131216898Y-122376951D01*
+X131216901Y-122376951D01*
+X131342070Y-122364623D01*
+X131502673Y-122315905D01*
+X131650685Y-122236790D01*
+X131780419Y-122130321D01*
+X131807104Y-122097805D01*
+X132852899Y-121052011D01*
+X166848000Y-121052011D01*
+X166848001Y-142873000D01*
+G36*
+X118623000Y-65500000D02*
+G01*
+X118630244Y-65573549D01*
+X118651697Y-65644272D01*
+X118686536Y-65709450D01*
+X118733421Y-65766579D01*
+X118790550Y-65813464D01*
+X118855728Y-65848303D01*
+X118926451Y-65869756D01*
+X119000000Y-65877000D01*
+X127478832Y-65877000D01*
+X127486148Y-65890688D01*
+X127564500Y-65986161D01*
+X127588422Y-66005793D01*
+X128004206Y-66421578D01*
+X128023839Y-66445501D01*
+X128119312Y-66523853D01*
+X128228237Y-66582075D01*
+X128328421Y-66612465D01*
+X128346427Y-66617927D01*
+X128469340Y-66630033D01*
+X128500134Y-66627000D01*
+X134539807Y-66627000D01*
+X134631952Y-66688569D01*
+X134773357Y-66747141D01*
+X134923472Y-66777000D01*
+X135076528Y-66777000D01*
+X135226643Y-66747141D01*
+X135368048Y-66688569D01*
+X135460193Y-66627000D01*
+X147069206Y-66627000D01*
+X147100000Y-66630033D01*
+X147130794Y-66627000D01*
+X147222913Y-66617927D01*
+X147341103Y-66582075D01*
+X147450028Y-66523853D01*
+X147545501Y-66445501D01*
+X147565138Y-66421573D01*
+X148109712Y-65877000D01*
+X158000000Y-65877000D01*
+X158073549Y-65869756D01*
+X158144272Y-65848303D01*
+X158209450Y-65813464D01*
+X158266579Y-65766579D01*
+X158313464Y-65709450D01*
+X158348303Y-65644272D01*
+X158369756Y-65573549D01*
+X158377000Y-65500000D01*
+X158377000Y-65152000D01*
+X158642118Y-65152000D01*
+X158670089Y-65174955D01*
+X158759432Y-65222709D01*
+X158701095Y-65228455D01*
+X158630030Y-65250012D01*
+X158564537Y-65285019D01*
+X158507131Y-65332131D01*
+X158460019Y-65389537D01*
+X158425012Y-65455030D01*
+X158403455Y-65526095D01*
+X158396176Y-65600000D01*
+X158398000Y-65903750D01*
+X158492250Y-65998000D01*
+X159123000Y-65998000D01*
+X159123000Y-65978000D01*
+X159377000Y-65978000D01*
+X159377000Y-65998000D01*
+X160007750Y-65998000D01*
+X160102000Y-65903750D01*
+X160103824Y-65600000D01*
+X160096545Y-65526095D01*
+X160074988Y-65455030D01*
+X160039981Y-65389537D01*
+X159992869Y-65332131D01*
+X159935463Y-65285019D01*
+X159869970Y-65250012D01*
+X159798905Y-65228455D01*
+X159740568Y-65222709D01*
+X159829911Y-65174955D01*
+X159923307Y-65098307D01*
+X159999955Y-65004911D01*
+X160056909Y-64898357D01*
+X160091982Y-64782739D01*
+X160103824Y-64662500D01*
+X160103824Y-64087500D01*
+X160091982Y-63967261D01*
+X160056909Y-63851643D01*
+X159999955Y-63745089D01*
+X159923307Y-63651693D01*
+X159829911Y-63575045D01*
+X159723357Y-63518091D01*
+X159607739Y-63483018D01*
+X159487500Y-63471176D01*
+X159012500Y-63471176D01*
+X158892261Y-63483018D01*
+X158776643Y-63518091D01*
+X158670089Y-63575045D01*
+X158642118Y-63598000D01*
+X158377000Y-63598000D01*
+X158377000Y-55452000D01*
+X159873000Y-55452000D01*
+X159873000Y-63000000D01*
+X159875440Y-63024776D01*
+X159882667Y-63048601D01*
+X159894403Y-63070557D01*
+X159910197Y-63089803D01*
+X161910197Y-65089803D01*
+X161929443Y-65105597D01*
+X161951399Y-65117333D01*
+X161975224Y-65124560D01*
+X162000000Y-65127000D01*
+X169548001Y-65127000D01*
+X169548001Y-93873000D01*
+X169202000Y-93873000D01*
+X169202000Y-93124644D01*
+X169204427Y-93099999D01*
+X169202000Y-93075356D01*
+X169202000Y-93075346D01*
+X169194736Y-93001590D01*
+X169166031Y-92906963D01*
+X169125937Y-92831952D01*
+X169119417Y-92819753D01*
+X169072400Y-92762463D01*
+X169072392Y-92762455D01*
+X169056684Y-92743315D01*
+X169037543Y-92727606D01*
+X167497397Y-91187462D01*
+X167481684Y-91168316D01*
+X167462536Y-91152601D01*
+X167462535Y-91152600D01*
+X167435557Y-91130460D01*
+X167405245Y-91105583D01*
+X167318036Y-91058969D01*
+X167223409Y-91030264D01*
+X167149653Y-91023000D01*
+X167149643Y-91023000D01*
+X167125000Y-91020573D01*
+X167100357Y-91023000D01*
+X165169505Y-91023000D01*
+X165164981Y-91014537D01*
+X165117869Y-90957131D01*
+X165060463Y-90910019D01*
+X165023010Y-90890000D01*
+X165060463Y-90869981D01*
+X165117869Y-90822869D01*
+X165164981Y-90765463D01*
+X165169505Y-90757000D01*
+X167747066Y-90757000D01*
+X167973000Y-90982935D01*
+X167973000Y-91126528D01*
+X168002859Y-91276643D01*
+X168061431Y-91418048D01*
+X168146464Y-91545309D01*
+X168254691Y-91653536D01*
+X168381952Y-91738569D01*
+X168523357Y-91797141D01*
+X168673472Y-91827000D01*
+X168826528Y-91827000D01*
+X168976643Y-91797141D01*
+X169118048Y-91738569D01*
+X169245309Y-91653536D01*
+X169353536Y-91545309D01*
+X169438569Y-91418048D01*
+X169497141Y-91276643D01*
+X169527000Y-91126528D01*
+X169527000Y-90973472D01*
+X169497141Y-90823357D01*
+X169438569Y-90681952D01*
+X169353536Y-90554691D01*
+X169245309Y-90446464D01*
+X169118048Y-90361431D01*
+X168976643Y-90302859D01*
+X168826528Y-90273000D01*
+X168682935Y-90273000D01*
+X168327399Y-89917465D01*
+X168311684Y-89898316D01*
+X168235245Y-89835583D01*
+X168148036Y-89788969D01*
+X168053409Y-89760264D01*
+X167979653Y-89753000D01*
+X167979643Y-89753000D01*
+X167955000Y-89750573D01*
+X167930357Y-89753000D01*
+X165169505Y-89753000D01*
+X165164981Y-89744537D01*
+X165117869Y-89687131D01*
+X165060463Y-89640019D01*
+X165023010Y-89620000D01*
+X165060463Y-89599981D01*
+X165117869Y-89552869D01*
+X165164981Y-89495463D01*
+X165169505Y-89487000D01*
+X165240357Y-89487000D01*
+X165265000Y-89489427D01*
+X165289643Y-89487000D01*
+X165289653Y-89487000D01*
+X165363409Y-89479736D01*
+X165458036Y-89451031D01*
+X165545245Y-89404417D01*
+X165621684Y-89341684D01*
+X165637402Y-89322533D01*
+X168037538Y-86922397D01*
+X168056684Y-86906684D01*
+X168079623Y-86878734D01*
+X168119409Y-86830255D01*
+X168119417Y-86830245D01*
+X168166031Y-86743036D01*
+X168194736Y-86648409D01*
+X168202000Y-86574653D01*
+X168202000Y-86574644D01*
+X168204427Y-86550001D01*
+X168202000Y-86525358D01*
+X168202000Y-70907934D01*
+X168887538Y-70222397D01*
+X168906684Y-70206684D01*
+X168922397Y-70187538D01*
+X168922400Y-70187535D01*
+X168969417Y-70130246D01*
+X169016031Y-70043037D01*
+X169044736Y-69948410D01*
+X169047846Y-69916827D01*
+X169052000Y-69874653D01*
+X169052000Y-69874646D01*
+X169054427Y-69850000D01*
+X169052000Y-69825355D01*
+X169052000Y-68074642D01*
+X169054427Y-68049999D01*
+X169052000Y-68025356D01*
+X169052000Y-68025347D01*
+X169044736Y-67951591D01*
+X169016031Y-67856964D01*
+X168969417Y-67769755D01*
+X168947480Y-67743025D01*
+X168922399Y-67712464D01*
+X168922397Y-67712462D01*
+X168906684Y-67693316D01*
+X168887536Y-67677601D01*
+X168522401Y-67312467D01*
+X168506684Y-67293316D01*
+X168430245Y-67230583D01*
+X168343036Y-67183969D01*
+X168248409Y-67155264D01*
+X168218930Y-67152361D01*
+X168174955Y-67070089D01*
+X168098307Y-66976693D01*
+X168004911Y-66900045D01*
+X167898357Y-66843091D01*
+X167782739Y-66808018D01*
+X167662500Y-66796176D01*
+X167087500Y-66796176D01*
+X166967261Y-66808018D01*
+X166851643Y-66843091D01*
+X166745089Y-66900045D01*
+X166651693Y-66976693D01*
+X166575045Y-67070089D01*
+X166518091Y-67176643D01*
+X166500000Y-67236280D01*
+X166481909Y-67176643D01*
+X166424955Y-67070089D01*
+X166348307Y-66976693D01*
+X166254911Y-66900045D01*
+X166148357Y-66843091D01*
+X166055138Y-66814813D01*
+X166044417Y-66794755D01*
+X166013402Y-66756964D01*
+X165997399Y-66737464D01*
+X165997397Y-66737462D01*
+X165981684Y-66718316D01*
+X165962538Y-66702603D01*
+X165122390Y-65862456D01*
+X165106673Y-65843305D01*
+X165030234Y-65780572D01*
+X164943025Y-65733958D01*
+X164848398Y-65705253D01*
+X164774642Y-65697989D01*
+X164774632Y-65697989D01*
+X164749989Y-65695562D01*
+X164725346Y-65697989D01*
+X160792732Y-65697989D01*
+X160768089Y-65695562D01*
+X160743446Y-65697989D01*
+X160743437Y-65697989D01*
+X160669681Y-65705253D01*
+X160575054Y-65733958D01*
+X160487845Y-65780572D01*
+X160484003Y-65783725D01*
+X160434633Y-65824243D01*
+X160411406Y-65843305D01*
+X160395693Y-65862451D01*
+X160006144Y-66252000D01*
+X159377000Y-66252000D01*
+X159377000Y-66272000D01*
+X159123000Y-66272000D01*
+X159123000Y-66252000D01*
+X158492250Y-66252000D01*
+X158398000Y-66346250D01*
+X158396188Y-66648000D01*
+X144691822Y-66648000D01*
+X144667179Y-66645573D01*
+X144642536Y-66648000D01*
+X144642527Y-66648000D01*
+X144568771Y-66655264D01*
+X144474144Y-66683969D01*
+X144386935Y-66730583D01*
+X144386933Y-66730584D01*
+X144386934Y-66730584D01*
+X144330377Y-66777000D01*
+X144310496Y-66793316D01*
+X144294783Y-66812462D01*
+X144009246Y-67098000D01*
+X125664642Y-67098000D01*
+X125639999Y-67095573D01*
+X125615356Y-67098000D01*
+X125615347Y-67098000D01*
+X125541591Y-67105264D01*
+X125446964Y-67133969D01*
+X125359755Y-67180583D01*
+X125359753Y-67180584D01*
+X125359754Y-67180584D01*
+X125303693Y-67226593D01*
+X125283316Y-67243316D01*
+X125267603Y-67262462D01*
+X124300569Y-68229497D01*
+X124268734Y-68216310D01*
+X124051000Y-68173000D01*
+X123829000Y-68173000D01*
+X123611266Y-68216310D01*
+X123406165Y-68301266D01*
+X123221579Y-68424602D01*
+X123064602Y-68581579D01*
+X122941266Y-68766165D01*
+X122856310Y-68971266D01*
+X122813000Y-69189000D01*
+X122813000Y-69411000D01*
+X122856310Y-69628734D01*
+X122941266Y-69833835D01*
+X123064602Y-70018421D01*
+X123221579Y-70175398D01*
+X123406165Y-70298734D01*
+X123611266Y-70383690D01*
+X123829000Y-70427000D01*
+X124051000Y-70427000D01*
+X124268734Y-70383690D01*
+X124473835Y-70298734D01*
+X124658421Y-70175398D01*
+X124715409Y-70118410D01*
+X132441195Y-70118410D01*
+X132521306Y-70285043D01*
+X132724213Y-70375111D01*
+X132940793Y-70423864D01*
+X133162723Y-70429428D01*
+X133381474Y-70391588D01*
+X133588640Y-70311798D01*
+X133638694Y-70285043D01*
+X133718805Y-70118410D01*
+X133080000Y-69479605D01*
+X132441195Y-70118410D01*
+X124715409Y-70118410D01*
+X124815398Y-70018421D01*
+X124938734Y-69833835D01*
+X125023690Y-69628734D01*
+X125067000Y-69411000D01*
+X125067000Y-69382723D01*
+X131950572Y-69382723D01*
+X131988412Y-69601474D01*
+X132068202Y-69808640D01*
+X132094957Y-69858694D01*
+X132261590Y-69938805D01*
+X132900395Y-69300000D01*
+X133259605Y-69300000D01*
+X133898410Y-69938805D01*
+X134065043Y-69858694D01*
+X134155111Y-69655787D01*
+X134203864Y-69439207D01*
+X134209428Y-69217277D01*
+X134171588Y-68998526D01*
+X134091798Y-68791360D01*
+X134065043Y-68741306D01*
+X133898410Y-68661195D01*
+X133259605Y-69300000D01*
+X132900395Y-69300000D01*
+X132261590Y-68661195D01*
+X132094957Y-68741306D01*
+X132004889Y-68944213D01*
+X131956136Y-69160793D01*
+X131950572Y-69382723D01*
+X125067000Y-69382723D01*
+X125067000Y-69189000D01*
+X125023690Y-68971266D01*
+X125010503Y-68939431D01*
+X125468344Y-68481590D01*
+X132441195Y-68481590D01*
+X133080000Y-69120395D01*
+X133718805Y-68481590D01*
+X133638694Y-68314957D01*
+X133435787Y-68224889D01*
+X133219207Y-68176136D01*
+X132997277Y-68170572D01*
+X132778526Y-68208412D01*
+X132571360Y-68288202D01*
+X132521306Y-68314957D01*
+X132441195Y-68481590D01*
+X125468344Y-68481590D01*
+X125847935Y-68102000D01*
+X135858065Y-68102000D01*
+X135730569Y-68229497D01*
+X135698734Y-68216310D01*
+X135481000Y-68173000D01*
+X135259000Y-68173000D01*
+X135041266Y-68216310D01*
+X134836165Y-68301266D01*
+X134651579Y-68424602D01*
+X134494602Y-68581579D01*
+X134371266Y-68766165D01*
+X134286310Y-68971266D01*
+X134243000Y-69189000D01*
+X134243000Y-69411000D01*
+X134286310Y-69628734D01*
+X134371266Y-69833835D01*
+X134494602Y-70018421D01*
+X134651579Y-70175398D01*
+X134836165Y-70298734D01*
+X135041266Y-70383690D01*
+X135129443Y-70401229D01*
+X135064545Y-70454489D01*
+X135044915Y-70478409D01*
+X133693427Y-71829897D01*
+X133669499Y-71849534D01*
+X133591147Y-71945008D01*
+X133566389Y-71991326D01*
+X133547131Y-72007131D01*
+X133500019Y-72064537D01*
+X133480000Y-72101990D01*
+X133459981Y-72064537D01*
+X133412869Y-72007131D01*
+X133355463Y-71960019D01*
+X133289970Y-71925012D01*
+X133218905Y-71903455D01*
+X133145000Y-71896176D01*
+X132545000Y-71896176D01*
+X132471095Y-71903455D01*
+X132400030Y-71925012D01*
+X132334537Y-71960019D01*
+X132277131Y-72007131D01*
+X132230019Y-72064537D01*
+X132195012Y-72130030D01*
+X132173455Y-72201095D01*
+X132166176Y-72275000D01*
+X132166176Y-73573000D01*
+X131751165Y-73573000D01*
+X131746545Y-73526095D01*
+X131724988Y-73455030D01*
+X131689981Y-73389537D01*
+X131642869Y-73332131D01*
+X131585463Y-73285019D01*
+X131519970Y-73250012D01*
+X131519930Y-73250000D01*
+X131519970Y-73249988D01*
+X131585463Y-73214981D01*
+X131642869Y-73167869D01*
+X131689981Y-73110463D01*
+X131724988Y-73044970D01*
+X131746545Y-72973905D01*
+X131753824Y-72900000D01*
+X131752000Y-72521250D01*
+X131657750Y-72427000D01*
+X131127000Y-72427000D01*
+X131127000Y-72447000D01*
+X130873000Y-72447000D01*
+X130873000Y-72427000D01*
+X130342250Y-72427000D01*
+X130248000Y-72521250D01*
+X130246176Y-72900000D01*
+X130253455Y-72973905D01*
+X130275012Y-73044970D01*
+X130310019Y-73110463D01*
+X130357131Y-73167869D01*
+X130414537Y-73214981D01*
+X130480030Y-73249988D01*
+X130480070Y-73250000D01*
+X130480030Y-73250012D01*
+X130414537Y-73285019D01*
+X130357131Y-73332131D01*
+X130310019Y-73389537D01*
+X130292133Y-73423000D01*
+X129977000Y-73423000D01*
+X129977000Y-72338155D01*
+X129980758Y-72299999D01*
+X129977000Y-72261843D01*
+X129977000Y-72261834D01*
+X129965757Y-72147681D01*
+X129921327Y-72001216D01*
+X129893966Y-71950027D01*
+X129849177Y-71866233D01*
+X129808143Y-71816234D01*
+X129752080Y-71747920D01*
+X129722432Y-71723589D01*
+X129698843Y-71700000D01*
+X130246176Y-71700000D01*
+X130248000Y-72078750D01*
+X130342250Y-72173000D01*
+X130873000Y-72173000D01*
+X130873000Y-71417250D01*
+X131127000Y-71417250D01*
+X131127000Y-72173000D01*
+X131657750Y-72173000D01*
+X131752000Y-72078750D01*
+X131753824Y-71700000D01*
+X131746545Y-71626095D01*
+X131724988Y-71555030D01*
+X131689981Y-71489537D01*
+X131642869Y-71432131D01*
+X131585463Y-71385019D01*
+X131519970Y-71350012D01*
+X131448905Y-71328455D01*
+X131375000Y-71321176D01*
+X131221250Y-71323000D01*
+X131127000Y-71417250D01*
+X130873000Y-71417250D01*
+X130778750Y-71323000D01*
+X130625000Y-71321176D01*
+X130551095Y-71328455D01*
+X130480030Y-71350012D01*
+X130414537Y-71385019D01*
+X130357131Y-71432131D01*
+X130310019Y-71489537D01*
+X130275012Y-71555030D01*
+X130253455Y-71626095D01*
+X130246176Y-71700000D01*
+X129698843Y-71700000D01*
+X129301413Y-71302570D01*
+X129277080Y-71272920D01*
+X129158766Y-71175823D01*
+X129023784Y-71103673D01*
+X128877319Y-71059243D01*
+X128763166Y-71048000D01*
+X128763163Y-71048000D01*
+X128725000Y-71044241D01*
+X128686837Y-71048000D01*
+X128607882Y-71048000D01*
+X128579911Y-71025045D01*
+X128473357Y-70968091D01*
+X128357739Y-70933018D01*
+X128237500Y-70921176D01*
+X127762500Y-70921176D01*
+X127642261Y-70933018D01*
+X127526643Y-70968091D01*
+X127420089Y-71025045D01*
+X127392118Y-71048000D01*
+X126907882Y-71048000D01*
+X126879911Y-71025045D01*
+X126773357Y-70968091D01*
+X126657739Y-70933018D01*
+X126537500Y-70921176D01*
+X126062500Y-70921176D01*
+X125942261Y-70933018D01*
+X125826643Y-70968091D01*
+X125720089Y-71025045D01*
+X125692118Y-71048000D01*
+X120847160Y-71048000D01*
+X119917570Y-70118410D01*
+X121011195Y-70118410D01*
+X121091306Y-70285043D01*
+X121294213Y-70375111D01*
+X121510793Y-70423864D01*
+X121732723Y-70429428D01*
+X121951474Y-70391588D01*
+X122158640Y-70311798D01*
+X122208694Y-70285043D01*
+X122288805Y-70118410D01*
+X121650000Y-69479605D01*
+X121011195Y-70118410D01*
+X119917570Y-70118410D01*
+X119181883Y-69382723D01*
+X120520572Y-69382723D01*
+X120558412Y-69601474D01*
+X120638202Y-69808640D01*
+X120664957Y-69858694D01*
+X120831590Y-69938805D01*
+X121470395Y-69300000D01*
+X121829605Y-69300000D01*
+X122468410Y-69938805D01*
+X122635043Y-69858694D01*
+X122725111Y-69655787D01*
+X122773864Y-69439207D01*
+X122779428Y-69217277D01*
+X122741588Y-68998526D01*
+X122661798Y-68791360D01*
+X122635043Y-68741306D01*
+X122468410Y-68661195D01*
+X121829605Y-69300000D01*
+X121470395Y-69300000D01*
+X120831590Y-68661195D01*
+X120664957Y-68741306D01*
+X120574889Y-68944213D01*
+X120526136Y-69160793D01*
+X120520572Y-69382723D01*
+X119181883Y-69382723D01*
+X118280750Y-68481590D01*
+X121011195Y-68481590D01*
+X121650000Y-69120395D01*
+X122288805Y-68481590D01*
+X122208694Y-68314957D01*
+X122005787Y-68224889D01*
+X121789207Y-68176136D01*
+X121567277Y-68170572D01*
+X121348526Y-68208412D01*
+X121141360Y-68288202D01*
+X121091306Y-68314957D01*
+X121011195Y-68481590D01*
+X118280750Y-68481590D01*
+X117573759Y-67774600D01*
+X117610463Y-67754981D01*
+X117667869Y-67707869D01*
+X117714981Y-67650463D01*
+X117749988Y-67584970D01*
+X117771545Y-67513905D01*
+X117778824Y-67440000D01*
+X117778824Y-65740000D01*
+X117771545Y-65666095D01*
+X117749988Y-65595030D01*
+X117714981Y-65529537D01*
+X117667869Y-65472131D01*
+X117610463Y-65425019D01*
+X117544970Y-65390012D01*
+X117473905Y-65368455D01*
+X117400000Y-65361176D01*
+X115948758Y-65361176D01*
+X116112894Y-65197040D01*
+X116192097Y-65229847D01*
+X116429151Y-65277000D01*
+X116670849Y-65277000D01*
+X116907903Y-65229847D01*
+X117131202Y-65137353D01*
+X117332167Y-65003073D01*
+X117503073Y-64832167D01*
+X117637353Y-64631202D01*
+X117729847Y-64407903D01*
+X117777000Y-64170849D01*
+X117777000Y-63929151D01*
+X117729847Y-63692097D01*
+X117637353Y-63468798D01*
+X117503073Y-63267833D01*
+X117332167Y-63096927D01*
+X117131202Y-62962647D01*
+X116907903Y-62870153D01*
+X116670849Y-62823000D01*
+X116429151Y-62823000D01*
+X116192097Y-62870153D01*
+X115968798Y-62962647D01*
+X115767833Y-63096927D01*
+X115596927Y-63267833D01*
+X115462647Y-63468798D01*
+X115370153Y-63692097D01*
+X115323000Y-63929151D01*
+X115323000Y-64170849D01*
+X115370153Y-64407903D01*
+X115402960Y-64487106D01*
+X115051990Y-64838076D01*
+X115051990Y-61826351D01*
+X115364482Y-61826351D01*
+X115388518Y-61905605D01*
+X115488014Y-62124597D01*
+X115628322Y-62319971D01*
+X115804049Y-62484218D01*
+X116008443Y-62611026D01*
+X116233648Y-62695523D01*
+X116423000Y-62636166D01*
+X116423000Y-61637000D01*
+X116677000Y-61637000D01*
+X116677000Y-62636166D01*
+X116866352Y-62695523D01*
+X117091557Y-62611026D01*
+X117295951Y-62484218D01*
+X117471678Y-62319971D01*
+X117611986Y-62124597D01*
+X117711482Y-61905605D01*
+X117735518Y-61826351D01*
+X117675608Y-61637000D01*
+X116677000Y-61637000D01*
+X116423000Y-61637000D01*
+X115424392Y-61637000D01*
+X115364482Y-61826351D01*
+X115051990Y-61826351D01*
+X115051990Y-61193649D01*
+X115364482Y-61193649D01*
+X115424392Y-61383000D01*
+X116423000Y-61383000D01*
+X116423000Y-60383834D01*
+X116677000Y-60383834D01*
+X116677000Y-61383000D01*
+X117675608Y-61383000D01*
+X117735518Y-61193649D01*
+X117711482Y-61114395D01*
+X117611986Y-60895403D01*
+X117471678Y-60700029D01*
+X117295951Y-60535782D01*
+X117091557Y-60408974D01*
+X116866352Y-60324477D01*
+X116677000Y-60383834D01*
+X116423000Y-60383834D01*
+X116233648Y-60324477D01*
+X116008443Y-60408974D01*
+X115804049Y-60535782D01*
+X115628322Y-60700029D01*
+X115488014Y-60895403D01*
+X115388518Y-61114395D01*
+X115364482Y-61193649D01*
+X115051990Y-61193649D01*
+X115051990Y-61177944D01*
+X116072400Y-60157535D01*
+X116072404Y-60157530D01*
+X116112894Y-60117040D01*
+X116192097Y-60149847D01*
+X116429151Y-60197000D01*
+X116670849Y-60197000D01*
+X116907903Y-60149847D01*
+X117131202Y-60057353D01*
+X117332167Y-59923073D01*
+X117503073Y-59752167D01*
+X117637353Y-59551202D01*
+X117729847Y-59327903D01*
+X117777000Y-59090849D01*
+X117777000Y-58849151D01*
+X117729847Y-58612097D01*
+X117637353Y-58388798D01*
+X117503073Y-58187833D01*
+X117332167Y-58016927D01*
+X117131202Y-57882647D01*
+X116907903Y-57790153D01*
+X116670849Y-57743000D01*
+X116429151Y-57743000D01*
+X116192097Y-57790153D01*
+X115968798Y-57882647D01*
+X115767833Y-58016927D01*
+X115596927Y-58187833D01*
+X115462647Y-58388798D01*
+X115370153Y-58612097D01*
+X115323000Y-58849151D01*
+X115323000Y-59090849D01*
+X115370153Y-59327903D01*
+X115402960Y-59407106D01*
+X115362470Y-59447596D01*
+X115362465Y-59447600D01*
+X114212453Y-60597613D01*
+X114193307Y-60613326D01*
+X114177594Y-60632472D01*
+X114177591Y-60632475D01*
+X114130574Y-60689765D01*
+X114083960Y-60776974D01*
+X114055255Y-60871601D01*
+X114045563Y-60970010D01*
+X114047991Y-60994663D01*
+X114047990Y-67525347D01*
+X114045563Y-67549990D01*
+X114047990Y-67574633D01*
+X114047990Y-67574642D01*
+X114055254Y-67648398D01*
+X114083959Y-67743025D01*
+X114130573Y-67830235D01*
+X114193306Y-67906674D01*
+X114212458Y-67922392D01*
+X122752603Y-76462538D01*
+X122768316Y-76481684D01*
+X122787462Y-76497397D01*
+X122787464Y-76497399D01*
+X122818659Y-76523000D01*
+X122844755Y-76544417D01*
+X122886776Y-76566878D01*
+X120553824Y-78899831D01*
+X120553824Y-78500000D01*
+X120546545Y-78426095D01*
+X120538629Y-78400000D01*
+X120546545Y-78373905D01*
+X120553824Y-78300000D01*
+X120553824Y-77850000D01*
+X120546545Y-77776095D01*
+X120538629Y-77750000D01*
+X120546545Y-77723905D01*
+X120553824Y-77650000D01*
+X120553824Y-77200000D01*
+X120546545Y-77126095D01*
+X120538629Y-77100000D01*
+X120546545Y-77073905D01*
+X120553824Y-77000000D01*
+X120553824Y-76550000D01*
+X120546545Y-76476095D01*
+X120538629Y-76450000D01*
+X120546545Y-76423905D01*
+X120553824Y-76350000D01*
+X120553824Y-75900000D01*
+X120546545Y-75826095D01*
+X120538629Y-75800000D01*
+X120546545Y-75773905D01*
+X120553824Y-75700000D01*
+X120552000Y-75667250D01*
+X120457750Y-75573000D01*
+X120362977Y-75573000D01*
+X120319970Y-75550012D01*
+X120248905Y-75528455D01*
+X120175000Y-75521176D01*
+X118725000Y-75521176D01*
+X118651095Y-75528455D01*
+X118580030Y-75550012D01*
+X118537023Y-75573000D01*
+X118442250Y-75573000D01*
+X118392250Y-75623000D01*
+X117753824Y-75623000D01*
+X117753824Y-75250000D01*
+X118346176Y-75250000D01*
+X118348000Y-75282750D01*
+X118442250Y-75377000D01*
+X119323000Y-75377000D01*
+X119323000Y-74967250D01*
+X119577000Y-74967250D01*
+X119577000Y-75377000D01*
+X120457750Y-75377000D01*
+X120552000Y-75282750D01*
+X120553824Y-75250000D01*
+X120546545Y-75176095D01*
+X120524988Y-75105030D01*
+X120489981Y-75039537D01*
+X120442869Y-74982131D01*
+X120385463Y-74935019D01*
+X120319970Y-74900012D01*
+X120248905Y-74878455D01*
+X120175000Y-74871176D01*
+X119671250Y-74873000D01*
+X119577000Y-74967250D01*
+X119323000Y-74967250D01*
+X119228750Y-74873000D01*
+X118725000Y-74871176D01*
+X118651095Y-74878455D01*
+X118580030Y-74900012D01*
+X118514537Y-74935019D01*
+X118457131Y-74982131D01*
+X118410019Y-75039537D01*
+X118375012Y-75105030D01*
+X118353455Y-75176095D01*
+X118346176Y-75250000D01*
+X117753824Y-75250000D01*
+X117753824Y-74850000D01*
+X117746545Y-74776095D01*
+X117724988Y-74705030D01*
+X117689981Y-74639537D01*
+X117642869Y-74582131D01*
+X117585463Y-74535019D01*
+X117519970Y-74500012D01*
+X117519930Y-74500000D01*
+X117519970Y-74499988D01*
+X117585463Y-74464981D01*
+X117642869Y-74417869D01*
+X117689981Y-74360463D01*
+X117724988Y-74294970D01*
+X117746545Y-74223905D01*
+X117753824Y-74150000D01*
+X117752000Y-73771250D01*
+X117657750Y-73677000D01*
+X117127000Y-73677000D01*
+X117127000Y-73697000D01*
+X116873000Y-73697000D01*
+X116873000Y-73677000D01*
+X116342250Y-73677000D01*
+X116248000Y-73771250D01*
+X116246176Y-74150000D01*
+X116253455Y-74223905D01*
+X116275012Y-74294970D01*
+X116310019Y-74360463D01*
+X116357131Y-74417869D01*
+X116414537Y-74464981D01*
+X116480030Y-74499988D01*
+X116480070Y-74500000D01*
+X116480030Y-74500012D01*
+X116414537Y-74535019D01*
+X116357131Y-74582131D01*
+X116310019Y-74639537D01*
+X116275012Y-74705030D01*
+X116253455Y-74776095D01*
+X116246176Y-74850000D01*
+X116246176Y-75623000D01*
+X115982935Y-75623000D01*
+X115922403Y-75562468D01*
+X115906685Y-75543316D01*
+X115830246Y-75480583D01*
+X115828669Y-75479740D01*
+X115827000Y-74721250D01*
+X115732750Y-74627000D01*
+X114677000Y-74627000D01*
+X114677000Y-74647000D01*
+X114423000Y-74647000D01*
+X114423000Y-74627000D01*
+X114403000Y-74627000D01*
+X114403000Y-74373000D01*
+X114423000Y-74373000D01*
+X114423000Y-73167250D01*
+X114677000Y-73167250D01*
+X114677000Y-74373000D01*
+X115732750Y-74373000D01*
+X115827000Y-74278750D01*
+X115828824Y-73450000D01*
+X115821545Y-73376095D01*
+X115799988Y-73305030D01*
+X115764981Y-73239537D01*
+X115717869Y-73182131D01*
+X115660463Y-73135019D01*
+X115594970Y-73100012D01*
+X115523905Y-73078455D01*
+X115450000Y-73071176D01*
+X114771250Y-73073000D01*
+X114677000Y-73167250D01*
+X114423000Y-73167250D01*
+X114328750Y-73073000D01*
+X113650000Y-73071176D01*
+X113576095Y-73078455D01*
+X113505030Y-73100012D01*
+X113439537Y-73135019D01*
+X113400000Y-73167466D01*
+X113360463Y-73135019D01*
+X113294970Y-73100012D01*
+X113223905Y-73078455D01*
+X113150000Y-73071176D01*
+X111350000Y-73071176D01*
+X111276095Y-73078455D01*
+X111205030Y-73100012D01*
+X111139537Y-73135019D01*
+X111082131Y-73182131D01*
+X111035019Y-73239537D01*
+X111000012Y-73305030D01*
+X110978455Y-73376095D01*
+X110971176Y-73450000D01*
+X110971176Y-75550000D01*
+X110978455Y-75623905D01*
+X111000012Y-75694970D01*
+X111035019Y-75760463D01*
+X111082131Y-75817869D01*
+X111139537Y-75864981D01*
+X111205030Y-75899988D01*
+X111276095Y-75921545D01*
+X111350000Y-75928824D01*
+X112818889Y-75928824D01*
+X112862015Y-75971950D01*
+X112471250Y-75973000D01*
+X112377000Y-76067250D01*
+X112377000Y-77273000D01*
+X112397000Y-77273000D01*
+X112397000Y-77527000D01*
+X112377000Y-77527000D01*
+X112377000Y-78732750D01*
+X112471250Y-78827000D01*
+X113150000Y-78828824D01*
+X113223905Y-78821545D01*
+X113294970Y-78799988D01*
+X113360463Y-78764981D01*
+X113400000Y-78732534D01*
+X113439537Y-78764981D01*
+X113505030Y-78799988D01*
+X113576095Y-78821545D01*
+X113650000Y-78828824D01*
+X113948001Y-78828824D01*
+X113948000Y-79696176D01*
+X113850000Y-79696176D01*
+X113776095Y-79703455D01*
+X113705030Y-79725012D01*
+X113639537Y-79760019D01*
+X113582131Y-79807131D01*
+X113535019Y-79864537D01*
+X113500012Y-79930030D01*
+X113500000Y-79930070D01*
+X113499988Y-79930030D01*
+X113464981Y-79864537D01*
+X113417869Y-79807131D01*
+X113360463Y-79760019D01*
+X113294970Y-79725012D01*
+X113223905Y-79703455D01*
+X113150000Y-79696176D01*
+X112771250Y-79698000D01*
+X112677000Y-79792250D01*
+X112677000Y-80323000D01*
+X112697000Y-80323000D01*
+X112697000Y-80577000D01*
+X112677000Y-80577000D01*
+X112677000Y-81107750D01*
+X112771250Y-81202000D01*
+X113150000Y-81203824D01*
+X113223905Y-81196545D01*
+X113294970Y-81174988D01*
+X113360463Y-81139981D01*
+X113417869Y-81092869D01*
+X113464981Y-81035463D01*
+X113499988Y-80969970D01*
+X113500000Y-80969930D01*
+X113500012Y-80969970D01*
+X113535019Y-81035463D01*
+X113582131Y-81092869D01*
+X113639537Y-81139981D01*
+X113705030Y-81174988D01*
+X113776095Y-81196545D01*
+X113850000Y-81203824D01*
+X114948001Y-81203824D01*
+X114948001Y-81719626D01*
+X114898357Y-81693091D01*
+X114782739Y-81658018D01*
+X114662500Y-81646176D01*
+X114087500Y-81646176D01*
+X113967261Y-81658018D01*
+X113851643Y-81693091D01*
+X113745089Y-81750045D01*
+X113651693Y-81826693D01*
+X113575045Y-81920089D01*
+X113518091Y-82026643D01*
+X113500000Y-82086280D01*
+X113481909Y-82026643D01*
+X113424955Y-81920089D01*
+X113348307Y-81826693D01*
+X113254911Y-81750045D01*
+X113148357Y-81693091D01*
+X113032739Y-81658018D01*
+X112912500Y-81646176D01*
+X112337500Y-81646176D01*
+X112217261Y-81658018D01*
+X112101643Y-81693091D01*
+X111995089Y-81750045D01*
+X111901693Y-81826693D01*
+X111825045Y-81920089D01*
+X111768091Y-82026643D01*
+X111733018Y-82142261D01*
+X111721176Y-82262500D01*
+X111721176Y-82737500D01*
+X111733018Y-82857739D01*
+X111768091Y-82973357D01*
+X111825045Y-83079911D01*
+X111901693Y-83173307D01*
+X111995089Y-83249955D01*
+X112101643Y-83306909D01*
+X112217261Y-83341982D01*
+X112289126Y-83349060D01*
+X112777603Y-83837538D01*
+X112793316Y-83856684D01*
+X112812462Y-83872397D01*
+X112812464Y-83872399D01*
+X112836640Y-83892240D01*
+X112869755Y-83919417D01*
+X112956964Y-83966031D01*
+X113051591Y-83994736D01*
+X113125347Y-84002000D01*
+X113125356Y-84002000D01*
+X113149999Y-84004427D01*
+X113174642Y-84002000D01*
+X114975357Y-84002000D01*
+X115000000Y-84004427D01*
+X115024643Y-84002000D01*
+X115024653Y-84002000D01*
+X115098409Y-83994736D01*
+X115193036Y-83966031D01*
+X115280245Y-83919417D01*
+X115356684Y-83856684D01*
+X115372401Y-83837533D01*
+X116287538Y-82922397D01*
+X116306684Y-82906684D01*
+X116334822Y-82872399D01*
+X116354617Y-82848278D01*
+X116369417Y-82830245D01*
+X116416031Y-82743036D01*
+X116444736Y-82648409D01*
+X116452000Y-82574653D01*
+X116452000Y-82574643D01*
+X116454427Y-82550000D01*
+X116452000Y-82525357D01*
+X116452000Y-81635147D01*
+X116531952Y-81688569D01*
+X116673357Y-81747141D01*
+X116823472Y-81777000D01*
+X116976528Y-81777000D01*
+X117126643Y-81747141D01*
+X117198001Y-81717584D01*
+X117198001Y-81803154D01*
+X117096464Y-81904691D01*
+X117011431Y-82031952D01*
+X116952859Y-82173357D01*
+X116923000Y-82323472D01*
+X116923000Y-82476528D01*
+X116952859Y-82626643D01*
+X117011431Y-82768048D01*
+X117096464Y-82895309D01*
+X117204691Y-83003536D01*
+X117331952Y-83088569D01*
+X117473357Y-83147141D01*
+X117623472Y-83177000D01*
+X117776528Y-83177000D01*
+X117926643Y-83147141D01*
+X118068048Y-83088569D01*
+X118195309Y-83003536D01*
+X118303536Y-82895309D01*
+X118388569Y-82768048D01*
+X118447141Y-82626643D01*
+X118477000Y-82476528D01*
+X118477000Y-82323472D01*
+X118447141Y-82173357D01*
+X118388569Y-82031952D01*
+X118303536Y-81904691D01*
+X118202000Y-81803155D01*
+X118202000Y-81657934D01*
+X118346176Y-81513758D01*
+X118346176Y-81550000D01*
+X118353455Y-81623905D01*
+X118375012Y-81694970D01*
+X118410019Y-81760463D01*
+X118457131Y-81817869D01*
+X118514537Y-81864981D01*
+X118580030Y-81899988D01*
+X118651095Y-81921545D01*
+X118725000Y-81928824D01*
+X118948000Y-81928824D01*
+X118948001Y-82204783D01*
+X118931952Y-82211431D01*
+X118804691Y-82296464D01*
+X118696464Y-82404691D01*
+X118611431Y-82531952D01*
+X118552859Y-82673357D01*
+X118523000Y-82823472D01*
+X118523000Y-82976528D01*
+X118552859Y-83126643D01*
+X118611431Y-83268048D01*
+X118696464Y-83395309D01*
+X118720610Y-83419455D01*
+X113692066Y-88448000D01*
+X112951488Y-88448000D01*
+X112865901Y-88362413D01*
+X112850183Y-88343261D01*
+X112773744Y-88280528D01*
+X112686535Y-88233914D01*
+X112591908Y-88205209D01*
+X112525370Y-88198656D01*
+X112483086Y-88156372D01*
+X112560793Y-88173864D01*
+X112782723Y-88179428D01*
+X113001474Y-88141588D01*
+X113208640Y-88061798D01*
+X113258694Y-88035043D01*
+X113338805Y-87868410D01*
+X112700000Y-87229605D01*
+X112685858Y-87243748D01*
+X112506253Y-87064143D01*
+X112520395Y-87050000D01*
+X112879605Y-87050000D01*
+X113518410Y-87688805D01*
+X113685043Y-87608694D01*
+X113775111Y-87405787D01*
+X113823864Y-87189207D01*
+X113829428Y-86967277D01*
+X113791588Y-86748526D01*
+X113711798Y-86541360D01*
+X113685043Y-86491306D01*
+X113518410Y-86411195D01*
+X112879605Y-87050000D01*
+X112520395Y-87050000D01*
+X111881590Y-86411195D01*
+X111714957Y-86491306D01*
+X111624889Y-86694213D01*
+X111576136Y-86910793D01*
+X111572177Y-87068688D01*
+X110735079Y-86231590D01*
+X112061195Y-86231590D01*
+X112700000Y-86870395D01*
+X113338805Y-86231590D01*
+X113258694Y-86064957D01*
+X113055787Y-85974889D01*
+X112839207Y-85926136D01*
+X112617277Y-85920572D01*
+X112398526Y-85958412D01*
+X112191360Y-86038202D01*
+X112141306Y-86064957D01*
+X112061195Y-86231590D01*
+X110735079Y-86231590D01*
+X110515953Y-86012465D01*
+X110500238Y-85993316D01*
+X110423799Y-85930583D01*
+X110336590Y-85883969D01*
+X110241963Y-85855264D01*
+X110168207Y-85848000D01*
+X110168197Y-85848000D01*
+X110143554Y-85845573D01*
+X110118911Y-85848000D01*
+X106594346Y-85848000D01*
+X104683785Y-83937441D01*
+X104668072Y-83918295D01*
+X104647719Y-83901591D01*
+X104591634Y-83855563D01*
+X104591635Y-83855563D01*
+X104591633Y-83855562D01*
+X104504424Y-83808948D01*
+X104419908Y-83783310D01*
+X104483766Y-83749177D01*
+X104602080Y-83652080D01*
+X104626413Y-83622430D01*
+X107423843Y-80825000D01*
+X111571176Y-80825000D01*
+X111578455Y-80898905D01*
+X111600012Y-80969970D01*
+X111635019Y-81035463D01*
+X111682131Y-81092869D01*
+X111739537Y-81139981D01*
+X111805030Y-81174988D01*
+X111876095Y-81196545D01*
+X111950000Y-81203824D01*
+X112328750Y-81202000D01*
+X112423000Y-81107750D01*
+X112423000Y-80577000D01*
+X111667250Y-80577000D01*
+X111573000Y-80671250D01*
+X111571176Y-80825000D01*
+X107423843Y-80825000D01*
+X107772436Y-80476408D01*
+X107802080Y-80452080D01*
+X107826409Y-80422435D01*
+X107899177Y-80333767D01*
+X107971327Y-80198784D01*
+X107977935Y-80177000D01*
+X108008876Y-80075000D01*
+X111571176Y-80075000D01*
+X111573000Y-80228750D01*
+X111667250Y-80323000D01*
+X112423000Y-80323000D01*
+X112423000Y-79792250D01*
+X112328750Y-79698000D01*
+X111950000Y-79696176D01*
+X111876095Y-79703455D01*
+X111805030Y-79725012D01*
+X111739537Y-79760019D01*
+X111682131Y-79807131D01*
+X111635019Y-79864537D01*
+X111600012Y-79930030D01*
+X111578455Y-80001095D01*
+X111571176Y-80075000D01*
+X108008876Y-80075000D01*
+X108015757Y-80052319D01*
+X108027000Y-79938166D01*
+X108027000Y-79938157D01*
+X108030758Y-79900001D01*
+X108027000Y-79861845D01*
+X108027000Y-78450000D01*
+X110971176Y-78450000D01*
+X110978455Y-78523905D01*
+X111000012Y-78594970D01*
+X111035019Y-78660463D01*
+X111082131Y-78717869D01*
+X111139537Y-78764981D01*
+X111205030Y-78799988D01*
+X111276095Y-78821545D01*
+X111350000Y-78828824D01*
+X112028750Y-78827000D01*
+X112123000Y-78732750D01*
+X112123000Y-77527000D01*
+X111067250Y-77527000D01*
+X110973000Y-77621250D01*
+X110971176Y-78450000D01*
+X108027000Y-78450000D01*
+X108027000Y-76350000D01*
+X110971176Y-76350000D01*
+X110973000Y-77178750D01*
+X111067250Y-77273000D01*
+X112123000Y-77273000D01*
+X112123000Y-76067250D01*
+X112028750Y-75973000D01*
+X111350000Y-75971176D01*
+X111276095Y-75978455D01*
+X111205030Y-76000012D01*
+X111139537Y-76035019D01*
+X111082131Y-76082131D01*
+X111035019Y-76139537D01*
+X111000012Y-76205030D01*
+X110978455Y-76276095D01*
+X110971176Y-76350000D01*
+X108027000Y-76350000D01*
+X108027000Y-72950000D01*
+X116246176Y-72950000D01*
+X116248000Y-73328750D01*
+X116342250Y-73423000D01*
+X116873000Y-73423000D01*
+X116873000Y-72667250D01*
+X117127000Y-72667250D01*
+X117127000Y-73423000D01*
+X117657750Y-73423000D01*
+X117752000Y-73328750D01*
+X117753824Y-72950000D01*
+X117746545Y-72876095D01*
+X117724988Y-72805030D01*
+X117689981Y-72739537D01*
+X117642869Y-72682131D01*
+X117585463Y-72635019D01*
+X117519970Y-72600012D01*
+X117448905Y-72578455D01*
+X117375000Y-72571176D01*
+X117221250Y-72573000D01*
+X117127000Y-72667250D01*
+X116873000Y-72667250D01*
+X116778750Y-72573000D01*
+X116625000Y-72571176D01*
+X116551095Y-72578455D01*
+X116480030Y-72600012D01*
+X116414537Y-72635019D01*
+X116357131Y-72682131D01*
+X116310019Y-72739537D01*
+X116275012Y-72805030D01*
+X116253455Y-72876095D01*
+X116246176Y-72950000D01*
+X108027000Y-72950000D01*
+X108027000Y-69054192D01*
+X108725413Y-69054192D01*
+X108811595Y-69225936D01*
+X109023051Y-69321015D01*
+X109248992Y-69373014D01*
+X109480738Y-69379936D01*
+X109709380Y-69341512D01*
+X109926133Y-69259221D01*
+X109988405Y-69225936D01*
+X110074587Y-69054192D01*
+X109400000Y-68379605D01*
+X108725413Y-69054192D01*
+X108027000Y-69054192D01*
+X108027000Y-67071843D01*
+X108121844Y-66977000D01*
+X108221176Y-66977000D01*
+X108221176Y-67000000D01*
+X108228455Y-67073905D01*
+X108250012Y-67144970D01*
+X108285019Y-67210463D01*
+X108332131Y-67267869D01*
+X108389537Y-67314981D01*
+X108455030Y-67349988D01*
+X108526095Y-67371545D01*
+X108553992Y-67374293D01*
+X108474339Y-67453946D01*
+X108545807Y-67525414D01*
+X108374064Y-67611595D01*
+X108278985Y-67823051D01*
+X108226986Y-68048992D01*
+X108220064Y-68280738D01*
+X108258488Y-68509380D01*
+X108340779Y-68726133D01*
+X108374064Y-68788405D01*
+X108545808Y-68874587D01*
+X109220395Y-68200000D01*
+X109206253Y-68185858D01*
+X109385858Y-68006253D01*
+X109400000Y-68020395D01*
+X109414143Y-68006253D01*
+X109593748Y-68185858D01*
+X109579605Y-68200000D01*
+X110254192Y-68874587D01*
+X110425936Y-68788405D01*
+X110521015Y-68576949D01*
+X110573014Y-68351008D01*
+X110579936Y-68119262D01*
+X110541512Y-67890620D01*
+X110459221Y-67673867D01*
+X110425936Y-67611595D01*
+X110254193Y-67525414D01*
+X110325661Y-67453946D01*
+X110246008Y-67374293D01*
+X110273905Y-67371545D01*
+X110344970Y-67349988D01*
+X110410463Y-67314981D01*
+X110467869Y-67267869D01*
+X110514981Y-67210463D01*
+X110549988Y-67144970D01*
+X110571545Y-67073905D01*
+X110578824Y-67000000D01*
+X110578824Y-65400000D01*
+X110571545Y-65326095D01*
+X110549988Y-65255030D01*
+X110514981Y-65189537D01*
+X110467869Y-65132131D01*
+X110410463Y-65085019D01*
+X110344970Y-65050012D01*
+X110273905Y-65028455D01*
+X110200000Y-65021176D01*
+X110177000Y-65021176D01*
+X110177000Y-62957846D01*
+X110265641Y-62930958D01*
+X110374356Y-62872848D01*
+X110469646Y-62794646D01*
+X110547848Y-62699356D01*
+X110566479Y-62664500D01*
+X111249337Y-62664500D01*
+X111287500Y-62668259D01*
+X111325663Y-62664500D01*
+X111325666Y-62664500D01*
+X111439819Y-62653257D01*
+X111586284Y-62608827D01*
+X111721266Y-62536677D01*
+X111839580Y-62439580D01*
+X111863912Y-62409931D01*
+X112145019Y-62128824D01*
+X112187500Y-62128824D01*
+X112307739Y-62116982D01*
+X112423357Y-62081909D01*
+X112529911Y-62024955D01*
+X112623307Y-61948307D01*
+X112699955Y-61854911D01*
+X112756909Y-61748357D01*
+X112791982Y-61632739D01*
+X112803824Y-61512500D01*
+X112803824Y-60937500D01*
+X112791982Y-60817261D01*
+X112756909Y-60701643D01*
+X112699955Y-60595089D01*
+X112623307Y-60501693D01*
+X112529911Y-60425045D01*
+X112440568Y-60377291D01*
+X112498905Y-60371545D01*
+X112569970Y-60349988D01*
+X112635463Y-60314981D01*
+X112692869Y-60267869D01*
+X112739981Y-60210463D01*
+X112774988Y-60144970D01*
+X112796545Y-60073905D01*
+X112803824Y-60000000D01*
+X112802000Y-59696250D01*
+X112707750Y-59602000D01*
+X112077000Y-59602000D01*
+X112077000Y-59622000D01*
+X111823000Y-59622000D01*
+X111823000Y-59602000D01*
+X111192250Y-59602000D01*
+X111098000Y-59696250D01*
+X111096176Y-60000000D01*
+X111103455Y-60073905D01*
+X111125012Y-60144970D01*
+X111160019Y-60210463D01*
+X111207131Y-60267869D01*
+X111264537Y-60314981D01*
+X111330030Y-60349988D01*
+X111401095Y-60371545D01*
+X111459432Y-60377291D01*
+X111370089Y-60425045D01*
+X111276693Y-60501693D01*
+X111200045Y-60595089D01*
+X111143091Y-60701643D01*
+X111108018Y-60817261D01*
+X111096176Y-60937500D01*
+X111096176Y-60979980D01*
+X110965657Y-61110500D01*
+X110566479Y-61110500D01*
+X110547848Y-61075644D01*
+X110469646Y-60980354D01*
+X110374356Y-60902152D01*
+X110265641Y-60844042D01*
+X110147677Y-60808259D01*
+X110025000Y-60796176D01*
+X109344030Y-60796176D01*
+X109311284Y-60778673D01*
+X109164819Y-60734243D01*
+X109050666Y-60723000D01*
+X109050663Y-60723000D01*
+X109012500Y-60719241D01*
+X108974337Y-60723000D01*
+X104321844Y-60723000D01*
+X103376412Y-59777569D01*
+X103352080Y-59747920D01*
+X103233766Y-59650823D01*
+X103185455Y-59625000D01*
+X108146176Y-59625000D01*
+X108153455Y-59698905D01*
+X108175012Y-59769970D01*
+X108210019Y-59835463D01*
+X108257131Y-59892869D01*
+X108314537Y-59939981D01*
+X108380030Y-59974988D01*
+X108451095Y-59996545D01*
+X108525000Y-60003824D01*
+X109178750Y-60002000D01*
+X109273000Y-59907750D01*
+X109273000Y-59039500D01*
+X109527000Y-59039500D01*
+X109527000Y-59907750D01*
+X109621250Y-60002000D01*
+X110275000Y-60003824D01*
+X110348905Y-59996545D01*
+X110419970Y-59974988D01*
+X110485463Y-59939981D01*
+X110542869Y-59892869D01*
+X110589981Y-59835463D01*
+X110624988Y-59769970D01*
+X110646545Y-59698905D01*
+X110653824Y-59625000D01*
+X110652000Y-59133750D01*
+X110557750Y-59039500D01*
+X109527000Y-59039500D01*
+X109273000Y-59039500D01*
+X108242250Y-59039500D01*
+X108148000Y-59133750D01*
+X108146176Y-59625000D01*
+X103185455Y-59625000D01*
+X103098784Y-59578673D01*
+X102952319Y-59534243D01*
+X102838166Y-59523000D01*
+X102838163Y-59523000D01*
+X102800000Y-59519241D01*
+X102761837Y-59523000D01*
+X99616819Y-59523000D01*
+X99518421Y-59424602D01*
+X99333835Y-59301266D01*
+X99128734Y-59216310D01*
+X98911000Y-59173000D01*
+X98689000Y-59173000D01*
+X98471266Y-59216310D01*
+X98266165Y-59301266D01*
+X98081579Y-59424602D01*
+X97924602Y-59581579D01*
+X97801266Y-59766165D01*
+X97716310Y-59971266D01*
+X97673000Y-60189000D01*
+X97673000Y-60411000D01*
+X97716310Y-60628734D01*
+X97801266Y-60833835D01*
+X97924602Y-61018421D01*
+X98081579Y-61175398D01*
+X98266165Y-61298734D01*
+X98471266Y-61383690D01*
+X98689000Y-61427000D01*
+X98911000Y-61427000D01*
+X99128734Y-61383690D01*
+X99333835Y-61298734D01*
+X99518421Y-61175398D01*
+X99616819Y-61077000D01*
+X102478157Y-61077000D01*
+X103223001Y-61821845D01*
+X103223001Y-61923835D01*
+X103176095Y-61928455D01*
+X103105030Y-61950012D01*
+X103039537Y-61985019D01*
+X102982131Y-62032131D01*
+X102935019Y-62089537D01*
+X102900012Y-62155030D01*
+X102878455Y-62226095D01*
+X102871176Y-62300000D01*
+X102871176Y-64300000D01*
+X102878455Y-64373905D01*
+X102900012Y-64444970D01*
+X102935019Y-64510463D01*
+X102982131Y-64567869D01*
+X103039537Y-64614981D01*
+X103105030Y-64649988D01*
+X103176095Y-64671545D01*
+X103250000Y-64678824D01*
+X104714490Y-64678824D01*
+X104234939Y-65158375D01*
+X104151693Y-65226693D01*
+X104075045Y-65320089D01*
+X104027291Y-65409432D01*
+X104021545Y-65351095D01*
+X103999988Y-65280030D01*
+X103964981Y-65214537D01*
+X103917869Y-65157131D01*
+X103860463Y-65110019D01*
+X103794970Y-65075012D01*
+X103723905Y-65053455D01*
+X103650000Y-65046176D01*
+X103346250Y-65048000D01*
+X103252000Y-65142250D01*
+X103252000Y-65773000D01*
+X103272000Y-65773000D01*
+X103272000Y-66027000D01*
+X103252000Y-66027000D01*
+X103252000Y-66657750D01*
+X103346250Y-66752000D01*
+X103650000Y-66753824D01*
+X103723905Y-66746545D01*
+X103794970Y-66724988D01*
+X103860463Y-66689981D01*
+X103898000Y-66659175D01*
+X103898001Y-67489497D01*
+X103893273Y-67537500D01*
+X103912137Y-67729025D01*
+X103968003Y-67913190D01*
+X104058725Y-68082918D01*
+X104135227Y-68176136D01*
+X104180815Y-68231686D01*
+X104218093Y-68262279D01*
+X104396176Y-68440362D01*
+X104396176Y-68775000D01*
+X104408259Y-68897677D01*
+X104444042Y-69015641D01*
+X104502152Y-69124356D01*
+X104510501Y-69134529D01*
+X104510501Y-69557813D01*
+X102909636Y-71158678D01*
+X102871266Y-71166310D01*
+X102666165Y-71251266D01*
+X102481579Y-71374602D01*
+X102383181Y-71473000D01*
+X101528824Y-71473000D01*
+X101528824Y-70300000D01*
+X101521545Y-70226095D01*
+X101499988Y-70155030D01*
+X101464981Y-70089537D01*
+X101417869Y-70032131D01*
+X101360463Y-69985019D01*
+X101294970Y-69950012D01*
+X101223905Y-69928455D01*
+X101150000Y-69921176D01*
+X101027000Y-69921176D01*
+X101027000Y-69472993D01*
+X101031727Y-69425000D01*
+X101012863Y-69233474D01*
+X100973152Y-69102566D01*
+X100956997Y-69049309D01*
+X100944004Y-69025000D01*
+X101421176Y-69025000D01*
+X101428455Y-69098905D01*
+X101450012Y-69169970D01*
+X101485019Y-69235463D01*
+X101532131Y-69292869D01*
+X101589537Y-69339981D01*
+X101655030Y-69374988D01*
+X101726095Y-69396545D01*
+X101800000Y-69403824D01*
+X102291250Y-69402000D01*
+X102385500Y-69307750D01*
+X102385500Y-68277000D01*
+X102639500Y-68277000D01*
+X102639500Y-69307750D01*
+X102733750Y-69402000D01*
+X103225000Y-69403824D01*
+X103298905Y-69396545D01*
+X103369970Y-69374988D01*
+X103435463Y-69339981D01*
+X103492869Y-69292869D01*
+X103539981Y-69235463D01*
+X103574988Y-69169970D01*
+X103596545Y-69098905D01*
+X103603824Y-69025000D01*
+X103602000Y-68371250D01*
+X103507750Y-68277000D01*
+X102639500Y-68277000D01*
+X102385500Y-68277000D01*
+X101517250Y-68277000D01*
+X101423000Y-68371250D01*
+X101421176Y-69025000D01*
+X100944004Y-69025000D01*
+X100866276Y-68879582D01*
+X100744186Y-68730814D01*
+X100706902Y-68700216D01*
+X100102000Y-68095314D01*
+X100102000Y-67472990D01*
+X100106727Y-67424999D01*
+X100100025Y-67356954D01*
+X100091953Y-67275000D01*
+X101421176Y-67275000D01*
+X101423000Y-67928750D01*
+X101517250Y-68023000D01*
+X102385500Y-68023000D01*
+X102385500Y-66992250D01*
+X102639500Y-66992250D01*
+X102639500Y-68023000D01*
+X103507750Y-68023000D01*
+X103602000Y-67928750D01*
+X103603824Y-67275000D01*
+X103596545Y-67201095D01*
+X103574988Y-67130030D01*
+X103539981Y-67064537D01*
+X103492869Y-67007131D01*
+X103435463Y-66960019D01*
+X103369970Y-66925012D01*
+X103298905Y-66903455D01*
+X103225000Y-66896176D01*
+X102733750Y-66898000D01*
+X102639500Y-66992250D01*
+X102385500Y-66992250D01*
+X102291250Y-66898000D01*
+X101800000Y-66896176D01*
+X101726095Y-66903455D01*
+X101655030Y-66925012D01*
+X101589537Y-66960019D01*
+X101532131Y-67007131D01*
+X101485019Y-67064537D01*
+X101450012Y-67130030D01*
+X101428455Y-67201095D01*
+X101421176Y-67275000D01*
+X100091953Y-67275000D01*
+X100087863Y-67233475D01*
+X100031997Y-67049309D01*
+X99941276Y-66879582D01*
+X99819186Y-66730814D01*
+X99781907Y-66700220D01*
+X99456687Y-66375000D01*
+X102221176Y-66375000D01*
+X102228455Y-66448905D01*
+X102250012Y-66519970D01*
+X102285019Y-66585463D01*
+X102332131Y-66642869D01*
+X102389537Y-66689981D01*
+X102455030Y-66724988D01*
+X102526095Y-66746545D01*
+X102600000Y-66753824D01*
+X102903750Y-66752000D01*
+X102998000Y-66657750D01*
+X102998000Y-66027000D01*
+X102317250Y-66027000D01*
+X102223000Y-66121250D01*
+X102221176Y-66375000D01*
+X99456687Y-66375000D01*
+X99024784Y-65943098D01*
+X98994186Y-65905814D01*
+X98845418Y-65783724D01*
+X98675691Y-65693003D01*
+X98491525Y-65637137D01*
+X98347993Y-65623000D01*
+X98300000Y-65618273D01*
+X98252007Y-65623000D01*
+X87977000Y-65623000D01*
+X87977000Y-65425000D01*
+X102221176Y-65425000D01*
+X102223000Y-65678750D01*
+X102317250Y-65773000D01*
+X102998000Y-65773000D01*
+X102998000Y-65142250D01*
+X102903750Y-65048000D01*
+X102600000Y-65046176D01*
+X102526095Y-65053455D01*
+X102455030Y-65075012D01*
+X102389537Y-65110019D01*
+X102332131Y-65157131D01*
+X102285019Y-65214537D01*
+X102250012Y-65280030D01*
+X102228455Y-65351095D01*
+X102221176Y-65425000D01*
+X87977000Y-65425000D01*
+X87977000Y-64268410D01*
+X98111195Y-64268410D01*
+X98191306Y-64435043D01*
+X98394213Y-64525111D01*
+X98610793Y-64573864D01*
+X98832723Y-64579428D01*
+X99051474Y-64541588D01*
+X99258640Y-64461798D01*
+X99308694Y-64435043D01*
+X99373617Y-64300000D01*
+X100571176Y-64300000D01*
+X100578455Y-64373905D01*
+X100600012Y-64444970D01*
+X100635019Y-64510463D01*
+X100682131Y-64567869D01*
+X100739537Y-64614981D01*
+X100805030Y-64649988D01*
+X100876095Y-64671545D01*
+X100950000Y-64678824D01*
+X101478750Y-64677000D01*
+X101573000Y-64582750D01*
+X101573000Y-63427000D01*
+X101827000Y-63427000D01*
+X101827000Y-64582750D01*
+X101921250Y-64677000D01*
+X102450000Y-64678824D01*
+X102523905Y-64671545D01*
+X102594970Y-64649988D01*
+X102660463Y-64614981D01*
+X102717869Y-64567869D01*
+X102764981Y-64510463D01*
+X102799988Y-64444970D01*
+X102821545Y-64373905D01*
+X102828824Y-64300000D01*
+X102827000Y-63521250D01*
+X102732750Y-63427000D01*
+X101827000Y-63427000D01*
+X101573000Y-63427000D01*
+X100667250Y-63427000D01*
+X100573000Y-63521250D01*
+X100571176Y-64300000D01*
+X99373617Y-64300000D01*
+X99388805Y-64268410D01*
+X98750000Y-63629605D01*
+X98111195Y-64268410D01*
+X87977000Y-64268410D01*
+X87977000Y-63532723D01*
+X97620572Y-63532723D01*
+X97658412Y-63751474D01*
+X97738202Y-63958640D01*
+X97764957Y-64008694D01*
+X97931590Y-64088805D01*
+X98570395Y-63450000D01*
+X98929605Y-63450000D01*
+X99568410Y-64088805D01*
+X99735043Y-64008694D01*
+X99825111Y-63805787D01*
+X99873864Y-63589207D01*
+X99879428Y-63367277D01*
+X99841588Y-63148526D01*
+X99761798Y-62941360D01*
+X99735043Y-62891306D01*
+X99568410Y-62811195D01*
+X98929605Y-63450000D01*
+X98570395Y-63450000D01*
+X97931590Y-62811195D01*
+X97764957Y-62891306D01*
+X97674889Y-63094213D01*
+X97626136Y-63310793D01*
+X97620572Y-63532723D01*
+X87977000Y-63532723D01*
+X87977000Y-63428824D01*
+X88000000Y-63428824D01*
+X88073905Y-63421545D01*
+X88144970Y-63399988D01*
+X88210463Y-63364981D01*
+X88267869Y-63317869D01*
+X88314981Y-63260463D01*
+X88349988Y-63194970D01*
+X88371545Y-63123905D01*
+X88378824Y-63050000D01*
+X88378824Y-62788950D01*
+X88465624Y-62920617D01*
+X88656117Y-63113489D01*
+X88880577Y-63265491D01*
+X89130378Y-63370783D01*
+X89200071Y-63384383D01*
+X89413000Y-63326448D01*
+X89413000Y-61877000D01*
+X89667000Y-61877000D01*
+X89667000Y-63326448D01*
+X89879929Y-63384383D01*
+X89949622Y-63370783D01*
+X90199423Y-63265491D01*
+X90423883Y-63113489D01*
+X90614376Y-62920617D01*
+X90763581Y-62694288D01*
+X90789096Y-62631590D01*
+X98111195Y-62631590D01*
+X98750000Y-63270395D01*
+X99388805Y-62631590D01*
+X99308694Y-62464957D01*
+X99105787Y-62374889D01*
+X98889207Y-62326136D01*
+X98667277Y-62320572D01*
+X98448526Y-62358412D01*
+X98241360Y-62438202D01*
+X98191306Y-62464957D01*
+X98111195Y-62631590D01*
+X90789096Y-62631590D01*
+X90865765Y-62443199D01*
+X90893326Y-62300000D01*
+X100571176Y-62300000D01*
+X100573000Y-63078750D01*
+X100667250Y-63173000D01*
+X101573000Y-63173000D01*
+X101573000Y-62017250D01*
+X101827000Y-62017250D01*
+X101827000Y-63173000D01*
+X102732750Y-63173000D01*
+X102827000Y-63078750D01*
+X102828824Y-62300000D01*
+X102821545Y-62226095D01*
+X102799988Y-62155030D01*
+X102764981Y-62089537D01*
+X102717869Y-62032131D01*
+X102660463Y-61985019D01*
+X102594970Y-61950012D01*
+X102523905Y-61928455D01*
+X102450000Y-61921176D01*
+X101921250Y-61923000D01*
+X101827000Y-62017250D01*
+X101573000Y-62017250D01*
+X101478750Y-61923000D01*
+X100950000Y-61921176D01*
+X100876095Y-61928455D01*
+X100805030Y-61950012D01*
+X100739537Y-61985019D01*
+X100682131Y-62032131D01*
+X100635019Y-62089537D01*
+X100600012Y-62155030D01*
+X100578455Y-62226095D01*
+X100571176Y-62300000D01*
+X90893326Y-62300000D01*
+X90917000Y-62177000D01*
+X90917000Y-61877000D01*
+X89667000Y-61877000D01*
+X89413000Y-61877000D01*
+X89393000Y-61877000D01*
+X89393000Y-61623000D01*
+X89413000Y-61623000D01*
+X89413000Y-60173552D01*
+X89667000Y-60173552D01*
+X89667000Y-61623000D01*
+X90917000Y-61623000D01*
+X90917000Y-61323000D01*
+X90865765Y-61056801D01*
+X90763581Y-60805712D01*
+X90614376Y-60579383D01*
+X90423883Y-60386511D01*
+X90199423Y-60234509D01*
+X89949622Y-60129217D01*
+X89879929Y-60115617D01*
+X89667000Y-60173552D01*
+X89413000Y-60173552D01*
+X89200071Y-60115617D01*
+X89130378Y-60129217D01*
+X88880577Y-60234509D01*
+X88656117Y-60386511D01*
+X88465624Y-60579383D01*
+X88378824Y-60711050D01*
+X88378824Y-60450000D01*
+X88371545Y-60376095D01*
+X88349988Y-60305030D01*
+X88314981Y-60239537D01*
+X88267869Y-60182131D01*
+X88210463Y-60135019D01*
+X88144970Y-60100012D01*
+X88073905Y-60078455D01*
+X88000000Y-60071176D01*
+X86000000Y-60071176D01*
+X85926095Y-60078455D01*
+X85855030Y-60100012D01*
+X85789537Y-60135019D01*
+X85732131Y-60182131D01*
+X85685019Y-60239537D01*
+X85650012Y-60305030D01*
+X85628455Y-60376095D01*
+X85621176Y-60450000D01*
+X85621176Y-63050000D01*
+X85628455Y-63123905D01*
+X85650012Y-63194970D01*
+X85685019Y-63260463D01*
+X85732131Y-63317869D01*
+X85789537Y-63364981D01*
+X85855030Y-63399988D01*
+X85926095Y-63421545D01*
+X86000000Y-63428824D01*
+X86023000Y-63428824D01*
+X86023000Y-64297992D01*
+X86023001Y-64298002D01*
+X86023000Y-66552004D01*
+X86018273Y-66600000D01*
+X86023000Y-66647995D01*
+X86023000Y-66995314D01*
+X81245314Y-71773000D01*
+X80828824Y-71773000D01*
+X80828824Y-71550000D01*
+X80821545Y-71476095D01*
+X80799988Y-71405030D01*
+X80764981Y-71339537D01*
+X80717869Y-71282131D01*
+X80660463Y-71235019D01*
+X80594970Y-71200012D01*
+X80523905Y-71178455D01*
+X80450000Y-71171176D01*
+X78050000Y-71171176D01*
+X77976095Y-71178455D01*
+X77905030Y-71200012D01*
+X77839537Y-71235019D01*
+X77782131Y-71282131D01*
+X77735019Y-71339537D01*
+X77700012Y-71405030D01*
+X77678455Y-71476095D01*
+X77671176Y-71550000D01*
+X77671176Y-73950000D01*
+X77678455Y-74023905D01*
+X77700012Y-74094970D01*
+X77735019Y-74160463D01*
+X77782131Y-74217869D01*
+X77839537Y-74264981D01*
+X77905030Y-74299988D01*
+X77976095Y-74321545D01*
+X78050000Y-74328824D01*
+X80450000Y-74328824D01*
+X80523905Y-74321545D01*
+X80594970Y-74299988D01*
+X80660463Y-74264981D01*
+X80717869Y-74217869D01*
+X80764981Y-74160463D01*
+X80799988Y-74094970D01*
+X80821545Y-74023905D01*
+X80828824Y-73950000D01*
+X80828824Y-73727000D01*
+X81602007Y-73727000D01*
+X81650000Y-73731727D01*
+X81841525Y-73712863D01*
+X82025691Y-73656997D01*
+X82195418Y-73566276D01*
+X82344186Y-73444186D01*
+X82374784Y-73406902D01*
+X82381686Y-73400000D01*
+X86371176Y-73400000D01*
+X86378455Y-73473905D01*
+X86400012Y-73544970D01*
+X86435019Y-73610463D01*
+X86482131Y-73667869D01*
+X86539537Y-73714981D01*
+X86605030Y-73749988D01*
+X86676095Y-73771545D01*
+X86750000Y-73778824D01*
+X87778750Y-73777000D01*
+X87873000Y-73682750D01*
+X87873000Y-72627000D01*
+X88127000Y-72627000D01*
+X88127000Y-73682750D01*
+X88221250Y-73777000D01*
+X89250000Y-73778824D01*
+X89323905Y-73771545D01*
+X89394970Y-73749988D01*
+X89460463Y-73714981D01*
+X89517869Y-73667869D01*
+X89564981Y-73610463D01*
+X89599988Y-73544970D01*
+X89621545Y-73473905D01*
+X89628824Y-73400000D01*
+X89627000Y-72721250D01*
+X89532750Y-72627000D01*
+X88127000Y-72627000D01*
+X87873000Y-72627000D01*
+X86467250Y-72627000D01*
+X86373000Y-72721250D01*
+X86371176Y-73400000D01*
+X82381686Y-73400000D01*
+X84181686Y-71600000D01*
+X86371176Y-71600000D01*
+X86373000Y-72278750D01*
+X86467250Y-72373000D01*
+X87873000Y-72373000D01*
+X87873000Y-71317250D01*
+X88127000Y-71317250D01*
+X88127000Y-72373000D01*
+X89532750Y-72373000D01*
+X89627000Y-72278750D01*
+X89628824Y-71600000D01*
+X89621545Y-71526095D01*
+X89599988Y-71455030D01*
+X89564981Y-71389537D01*
+X89517869Y-71332131D01*
+X89460463Y-71285019D01*
+X89394970Y-71250012D01*
+X89323905Y-71228455D01*
+X89250000Y-71221176D01*
+X88221250Y-71223000D01*
+X88127000Y-71317250D01*
+X87873000Y-71317250D01*
+X87778750Y-71223000D01*
+X86750000Y-71221176D01*
+X86676095Y-71228455D01*
+X86605030Y-71250012D01*
+X86539537Y-71285019D01*
+X86482131Y-71332131D01*
+X86435019Y-71389537D01*
+X86400012Y-71455030D01*
+X86378455Y-71526095D01*
+X86371176Y-71600000D01*
+X84181686Y-71600000D01*
+X87656902Y-68124784D01*
+X87694186Y-68094186D01*
+X87816276Y-67945418D01*
+X87906997Y-67775691D01*
+X87944518Y-67652000D01*
+X87962863Y-67591526D01*
+X87964294Y-67577000D01*
+X97895314Y-67577000D01*
+X98148001Y-67829687D01*
+X98148001Y-67887245D01*
+X98098307Y-67826693D01*
+X98004911Y-67750045D01*
+X97898357Y-67693091D01*
+X97782739Y-67658018D01*
+X97662500Y-67646176D01*
+X97087500Y-67646176D01*
+X96967261Y-67658018D01*
+X96851643Y-67693091D01*
+X96745089Y-67750045D01*
+X96651693Y-67826693D01*
+X96575045Y-67920089D01*
+X96518091Y-68026643D01*
+X96483018Y-68142261D01*
+X96471176Y-68262500D01*
+X96471176Y-68304980D01*
+X95727565Y-69048592D01*
+X95697921Y-69072920D01*
+X95673593Y-69102564D01*
+X95673591Y-69102566D01*
+X95600823Y-69191234D01*
+X95528673Y-69326217D01*
+X95502955Y-69411000D01*
+X95485650Y-69468048D01*
+X95484244Y-69472682D01*
+X95469241Y-69625000D01*
+X95473001Y-69663173D01*
+X95473001Y-69921176D01*
+X95150000Y-69921176D01*
+X95076095Y-69928455D01*
+X95005030Y-69950012D01*
+X94939537Y-69985019D01*
+X94882131Y-70032131D01*
+X94835019Y-70089537D01*
+X94800012Y-70155030D01*
+X94778455Y-70226095D01*
+X94771176Y-70300000D01*
+X94771176Y-71723000D01*
+X93628824Y-71723000D01*
+X93628824Y-71600000D01*
+X93621545Y-71526095D01*
+X93599988Y-71455030D01*
+X93564981Y-71389537D01*
+X93517869Y-71332131D01*
+X93460463Y-71285019D01*
+X93394970Y-71250012D01*
+X93323905Y-71228455D01*
+X93250000Y-71221176D01*
+X90750000Y-71221176D01*
+X90676095Y-71228455D01*
+X90605030Y-71250012D01*
+X90539537Y-71285019D01*
+X90482131Y-71332131D01*
+X90435019Y-71389537D01*
+X90400012Y-71455030D01*
+X90378455Y-71526095D01*
+X90371176Y-71600000D01*
+X90371176Y-73400000D01*
+X90378455Y-73473905D01*
+X90400012Y-73544970D01*
+X90435019Y-73610463D01*
+X90482131Y-73667869D01*
+X90539537Y-73714981D01*
+X90605030Y-73749988D01*
+X90676095Y-73771545D01*
+X90750000Y-73778824D01*
+X91223000Y-73778824D01*
+X91223000Y-74578156D01*
+X90983157Y-74818000D01*
+X90236834Y-74818000D01*
+X90122681Y-74829243D01*
+X89976216Y-74873673D01*
+X89896699Y-74916176D01*
+X89450000Y-74916176D01*
+X89346832Y-74926337D01*
+X89247628Y-74956430D01*
+X89156201Y-75005299D01*
+X89076065Y-75071065D01*
+X89010299Y-75151201D01*
+X88961430Y-75242628D01*
+X88931337Y-75341832D01*
+X88921176Y-75445000D01*
+X88921176Y-75745000D01*
+X88931337Y-75848168D01*
+X88961430Y-75947372D01*
+X89010299Y-76038799D01*
+X89076065Y-76118935D01*
+X89156201Y-76184701D01*
+X89240949Y-76230000D01*
+X89225982Y-76238000D01*
+X88148014Y-76238000D01*
+X88117220Y-76234967D01*
+X88023710Y-76244177D01*
+X87994307Y-76247073D01*
+X87876117Y-76282925D01*
+X87767192Y-76341147D01*
+X87671719Y-76419499D01*
+X87652086Y-76443422D01*
+X84578427Y-79517082D01*
+X84554499Y-79536719D01*
+X84476147Y-79632193D01*
+X84417925Y-79741118D01*
+X84390733Y-79830759D01*
+X84382073Y-79859308D01*
+X84369967Y-79982220D01*
+X84373000Y-80013014D01*
+X84373001Y-80846176D01*
+X83925000Y-80846176D01*
+X83802323Y-80858259D01*
+X83684359Y-80894042D01*
+X83575644Y-80952152D01*
+X83480354Y-81030354D01*
+X83402152Y-81125644D01*
+X83344042Y-81234359D01*
+X83308259Y-81352323D01*
+X83296176Y-81475000D01*
+X83296176Y-82225000D01*
+X83308259Y-82347677D01*
+X83344042Y-82465641D01*
+X83402152Y-82574356D01*
+X83480354Y-82669646D01*
+X83575644Y-82747848D01*
+X83684359Y-82805958D01*
+X83802323Y-82841741D01*
+X83925000Y-82853824D01*
+X86075000Y-82853824D01*
+X86197677Y-82841741D01*
+X86315641Y-82805958D01*
+X86424356Y-82747848D01*
+X86519646Y-82669646D01*
+X86597848Y-82574356D01*
+X86648000Y-82480529D01*
+X86648000Y-82908181D01*
+X86244959Y-83311222D01*
+X86075000Y-83294483D01*
+X83925000Y-83294483D01*
+X83733711Y-83313323D01*
+X83549772Y-83369120D01*
+X83380254Y-83459730D01*
+X83231670Y-83581670D01*
+X83109730Y-83730254D01*
+X83019120Y-83899772D01*
+X82963323Y-84083711D01*
+X82944483Y-84275000D01*
+X82944483Y-85025000D01*
+X82963323Y-85216289D01*
+X83019120Y-85400228D01*
+X83109730Y-85569746D01*
+X83231670Y-85718330D01*
+X83380254Y-85840270D01*
+X83549772Y-85930880D01*
+X83673000Y-85968261D01*
+X83673001Y-86623000D01*
+X82549551Y-86623000D01*
+X82507403Y-86544147D01*
+X82416554Y-86433446D01*
+X82305853Y-86342597D01*
+X82179557Y-86275090D01*
+X82042517Y-86233520D01*
+X81900000Y-86219483D01*
+X79900000Y-86219483D01*
+X79757483Y-86233520D01*
+X79620443Y-86275090D01*
+X79494147Y-86342597D01*
+X79383446Y-86433446D01*
+X79292597Y-86544147D01*
+X79225090Y-86670443D01*
+X79183520Y-86807483D01*
+X79169483Y-86950000D01*
+X79169483Y-88950000D01*
+X79183520Y-89092517D01*
+X79225090Y-89229557D01*
+X79292597Y-89355853D01*
+X79383446Y-89466554D01*
+X79494147Y-89557403D01*
+X79573001Y-89599551D01*
+X79573001Y-89600338D01*
+X76500340Y-92673000D01*
+X73765173Y-92673000D01*
+X73699999Y-92666581D01*
+X73634825Y-92673000D01*
+X73634816Y-92673000D01*
+X73439863Y-92692201D01*
+X73249327Y-92750000D01*
+X73189722Y-92768081D01*
+X72959191Y-92891302D01*
+X72818257Y-93006964D01*
+X72757130Y-93057130D01*
+X72715579Y-93107760D01*
+X71950339Y-93873000D01*
+X70452000Y-93873000D01*
+X70452000Y-88947122D01*
+X75082483Y-88947122D01*
+X75192881Y-89139330D01*
+X75438495Y-89254430D01*
+X75701845Y-89319400D01*
+X75972809Y-89331745D01*
+X76240975Y-89290991D01*
+X76496038Y-89198703D01*
+X76607119Y-89139330D01*
+X76717517Y-88947122D01*
+X75900000Y-88129605D01*
+X75082483Y-88947122D01*
+X70452000Y-88947122D01*
+X70452000Y-88022809D01*
+X74518255Y-88022809D01*
+X74559009Y-88290975D01*
+X74651297Y-88546038D01*
+X74710670Y-88657119D01*
+X74902878Y-88767517D01*
+X75720395Y-87950000D01*
+X76079605Y-87950000D01*
+X76897122Y-88767517D01*
+X77089330Y-88657119D01*
+X77204430Y-88411505D01*
+X77269400Y-88148155D01*
+X77281745Y-87877191D01*
+X77240991Y-87609025D01*
+X77148703Y-87353962D01*
+X77089330Y-87242881D01*
+X76897122Y-87132483D01*
+X76079605Y-87950000D01*
+X75720395Y-87950000D01*
+X74902878Y-87132483D01*
+X74710670Y-87242881D01*
+X74595570Y-87488495D01*
+X74530600Y-87751845D01*
+X74518255Y-88022809D01*
+X70452000Y-88022809D01*
+X70452000Y-86952878D01*
+X75082483Y-86952878D01*
+X75900000Y-87770395D01*
+X76717517Y-86952878D01*
+X76607119Y-86760670D01*
+X76361505Y-86645570D01*
+X76098155Y-86580600D01*
+X75827191Y-86568255D01*
+X75559025Y-86609009D01*
+X75303962Y-86701297D01*
+X75192881Y-86760670D01*
+X75082483Y-86952878D01*
+X70452000Y-86952878D01*
+X70452000Y-78889827D01*
+X78289778Y-78889827D01*
+X78424319Y-79102518D01*
+X78704048Y-79237612D01*
+X79004756Y-79315538D01*
+X79314890Y-79333301D01*
+X79622530Y-79290219D01*
+X79915853Y-79187948D01*
+X80075681Y-79102518D01*
+X80210222Y-78889827D01*
+X79250000Y-77929605D01*
+X78289778Y-78889827D01*
+X70452000Y-78889827D01*
+X70452000Y-77814890D01*
+X77666699Y-77814890D01*
+X77709781Y-78122530D01*
+X77812052Y-78415853D01*
+X77897482Y-78575681D01*
+X78110173Y-78710222D01*
+X79070395Y-77750000D01*
+X79429605Y-77750000D01*
+X80389827Y-78710222D01*
+X80602518Y-78575681D01*
+X80737612Y-78295952D01*
+X80815538Y-77995244D01*
+X80833301Y-77685110D01*
+X80790219Y-77377470D01*
+X80687948Y-77084147D01*
+X80602518Y-76924319D01*
+X80389827Y-76789778D01*
+X79429605Y-77750000D01*
+X79070395Y-77750000D01*
+X78110173Y-76789778D01*
+X77897482Y-76924319D01*
+X77762388Y-77204048D01*
+X77684462Y-77504756D01*
+X77666699Y-77814890D01*
+X70452000Y-77814890D01*
+X70452000Y-76610173D01*
+X78289778Y-76610173D01*
+X79250000Y-77570395D01*
+X80210222Y-76610173D01*
+X80075681Y-76397482D01*
+X79795952Y-76262388D01*
+X79495244Y-76184462D01*
+X79185110Y-76166699D01*
+X78877470Y-76209781D01*
+X78584147Y-76312052D01*
+X78424319Y-76397482D01*
+X78289778Y-76610173D01*
+X70452000Y-76610173D01*
+X70452000Y-65127000D01*
+X80000000Y-65127000D01*
+X80024776Y-65124560D01*
+X80048601Y-65117333D01*
+X80070557Y-65105597D01*
+X80089803Y-65089803D01*
+X80105597Y-65070557D01*
+X80117333Y-65048601D01*
+X80124560Y-65024776D01*
+X80127000Y-65000000D01*
+X80127000Y-58950000D01*
+X111096176Y-58950000D01*
+X111098000Y-59253750D01*
+X111192250Y-59348000D01*
+X111823000Y-59348000D01*
+X111823000Y-58667250D01*
+X112077000Y-58667250D01*
+X112077000Y-59348000D01*
+X112707750Y-59348000D01*
+X112802000Y-59253750D01*
+X112803824Y-58950000D01*
+X112796545Y-58876095D01*
+X112774988Y-58805030D01*
+X112739981Y-58739537D01*
+X112692869Y-58682131D01*
+X112635463Y-58635019D01*
+X112569970Y-58600012D01*
+X112498905Y-58578455D01*
+X112425000Y-58571176D01*
+X112171250Y-58573000D01*
+X112077000Y-58667250D01*
+X111823000Y-58667250D01*
+X111728750Y-58573000D01*
+X111475000Y-58571176D01*
+X111401095Y-58578455D01*
+X111330030Y-58600012D01*
+X111264537Y-58635019D01*
+X111207131Y-58682131D01*
+X111160019Y-58739537D01*
+X111125012Y-58805030D01*
+X111103455Y-58876095D01*
+X111096176Y-58950000D01*
+X80127000Y-58950000D01*
+X80127000Y-56000000D01*
+X101721176Y-56000000D01*
+X101721176Y-58000000D01*
+X101728455Y-58073905D01*
+X101750012Y-58144970D01*
+X101785019Y-58210463D01*
+X101832131Y-58267869D01*
+X101889537Y-58314981D01*
+X101955030Y-58349988D01*
+X102026095Y-58371545D01*
+X102100000Y-58378824D01*
+X105900000Y-58378824D01*
+X105973905Y-58371545D01*
+X106044970Y-58349988D01*
+X106110463Y-58314981D01*
+X106167869Y-58267869D01*
+X106214981Y-58210463D01*
+X106220573Y-58200000D01*
+X108146176Y-58200000D01*
+X108148000Y-58691250D01*
+X108242250Y-58785500D01*
+X109273000Y-58785500D01*
+X109273000Y-57917250D01*
+X109527000Y-57917250D01*
+X109527000Y-58785500D01*
+X110557750Y-58785500D01*
+X110652000Y-58691250D01*
+X110653824Y-58200000D01*
+X110646545Y-58126095D01*
+X110624988Y-58055030D01*
+X110589981Y-57989537D01*
+X110542869Y-57932131D01*
+X110485463Y-57885019D01*
+X110419970Y-57850012D01*
+X110348905Y-57828455D01*
+X110275000Y-57821176D01*
+X109621250Y-57823000D01*
+X109527000Y-57917250D01*
+X109273000Y-57917250D01*
+X109178750Y-57823000D01*
+X108525000Y-57821176D01*
+X108451095Y-57828455D01*
+X108380030Y-57850012D01*
+X108314537Y-57885019D01*
+X108257131Y-57932131D01*
+X108210019Y-57989537D01*
+X108175012Y-58055030D01*
+X108153455Y-58126095D01*
+X108146176Y-58200000D01*
+X106220573Y-58200000D01*
+X106249988Y-58144970D01*
+X106271545Y-58073905D01*
+X106278824Y-58000000D01*
+X106278824Y-56000000D01*
+X106271545Y-55926095D01*
+X106249988Y-55855030D01*
+X106214981Y-55789537D01*
+X106167869Y-55732131D01*
+X106110463Y-55685019D01*
+X106044970Y-55650012D01*
+X105973905Y-55628455D01*
+X105900000Y-55621176D01*
+X102100000Y-55621176D01*
+X102026095Y-55628455D01*
+X101955030Y-55650012D01*
+X101889537Y-55685019D01*
+X101832131Y-55732131D01*
+X101785019Y-55789537D01*
+X101750012Y-55855030D01*
+X101728455Y-55926095D01*
+X101721176Y-56000000D01*
+X80127000Y-56000000D01*
+X80127000Y-55452000D01*
+X118623000Y-55452000D01*
+X118623000Y-65500000D01*
+G37*
+X118623000Y-65500000D02*
+X118630244Y-65573549D01*
+X118651697Y-65644272D01*
+X118686536Y-65709450D01*
+X118733421Y-65766579D01*
+X118790550Y-65813464D01*
+X118855728Y-65848303D01*
+X118926451Y-65869756D01*
+X119000000Y-65877000D01*
+X127478832Y-65877000D01*
+X127486148Y-65890688D01*
+X127564500Y-65986161D01*
+X127588422Y-66005793D01*
+X128004206Y-66421578D01*
+X128023839Y-66445501D01*
+X128119312Y-66523853D01*
+X128228237Y-66582075D01*
+X128328421Y-66612465D01*
+X128346427Y-66617927D01*
+X128469340Y-66630033D01*
+X128500134Y-66627000D01*
+X134539807Y-66627000D01*
+X134631952Y-66688569D01*
+X134773357Y-66747141D01*
+X134923472Y-66777000D01*
+X135076528Y-66777000D01*
+X135226643Y-66747141D01*
+X135368048Y-66688569D01*
+X135460193Y-66627000D01*
+X147069206Y-66627000D01*
+X147100000Y-66630033D01*
+X147130794Y-66627000D01*
+X147222913Y-66617927D01*
+X147341103Y-66582075D01*
+X147450028Y-66523853D01*
+X147545501Y-66445501D01*
+X147565138Y-66421573D01*
+X148109712Y-65877000D01*
+X158000000Y-65877000D01*
+X158073549Y-65869756D01*
+X158144272Y-65848303D01*
+X158209450Y-65813464D01*
+X158266579Y-65766579D01*
+X158313464Y-65709450D01*
+X158348303Y-65644272D01*
+X158369756Y-65573549D01*
+X158377000Y-65500000D01*
+X158377000Y-65152000D01*
+X158642118Y-65152000D01*
+X158670089Y-65174955D01*
+X158759432Y-65222709D01*
+X158701095Y-65228455D01*
+X158630030Y-65250012D01*
+X158564537Y-65285019D01*
+X158507131Y-65332131D01*
+X158460019Y-65389537D01*
+X158425012Y-65455030D01*
+X158403455Y-65526095D01*
+X158396176Y-65600000D01*
+X158398000Y-65903750D01*
+X158492250Y-65998000D01*
+X159123000Y-65998000D01*
+X159123000Y-65978000D01*
+X159377000Y-65978000D01*
+X159377000Y-65998000D01*
+X160007750Y-65998000D01*
+X160102000Y-65903750D01*
+X160103824Y-65600000D01*
+X160096545Y-65526095D01*
+X160074988Y-65455030D01*
+X160039981Y-65389537D01*
+X159992869Y-65332131D01*
+X159935463Y-65285019D01*
+X159869970Y-65250012D01*
+X159798905Y-65228455D01*
+X159740568Y-65222709D01*
+X159829911Y-65174955D01*
+X159923307Y-65098307D01*
+X159999955Y-65004911D01*
+X160056909Y-64898357D01*
+X160091982Y-64782739D01*
+X160103824Y-64662500D01*
+X160103824Y-64087500D01*
+X160091982Y-63967261D01*
+X160056909Y-63851643D01*
+X159999955Y-63745089D01*
+X159923307Y-63651693D01*
+X159829911Y-63575045D01*
+X159723357Y-63518091D01*
+X159607739Y-63483018D01*
+X159487500Y-63471176D01*
+X159012500Y-63471176D01*
+X158892261Y-63483018D01*
+X158776643Y-63518091D01*
+X158670089Y-63575045D01*
+X158642118Y-63598000D01*
+X158377000Y-63598000D01*
+X158377000Y-55452000D01*
+X159873000Y-55452000D01*
+X159873000Y-63000000D01*
+X159875440Y-63024776D01*
+X159882667Y-63048601D01*
+X159894403Y-63070557D01*
+X159910197Y-63089803D01*
+X161910197Y-65089803D01*
+X161929443Y-65105597D01*
+X161951399Y-65117333D01*
+X161975224Y-65124560D01*
+X162000000Y-65127000D01*
+X169548001Y-65127000D01*
+X169548001Y-93873000D01*
+X169202000Y-93873000D01*
+X169202000Y-93124644D01*
+X169204427Y-93099999D01*
+X169202000Y-93075356D01*
+X169202000Y-93075346D01*
+X169194736Y-93001590D01*
+X169166031Y-92906963D01*
+X169125937Y-92831952D01*
+X169119417Y-92819753D01*
+X169072400Y-92762463D01*
+X169072392Y-92762455D01*
+X169056684Y-92743315D01*
+X169037543Y-92727606D01*
+X167497397Y-91187462D01*
+X167481684Y-91168316D01*
+X167462536Y-91152601D01*
+X167462535Y-91152600D01*
+X167435557Y-91130460D01*
+X167405245Y-91105583D01*
+X167318036Y-91058969D01*
+X167223409Y-91030264D01*
+X167149653Y-91023000D01*
+X167149643Y-91023000D01*
+X167125000Y-91020573D01*
+X167100357Y-91023000D01*
+X165169505Y-91023000D01*
+X165164981Y-91014537D01*
+X165117869Y-90957131D01*
+X165060463Y-90910019D01*
+X165023010Y-90890000D01*
+X165060463Y-90869981D01*
+X165117869Y-90822869D01*
+X165164981Y-90765463D01*
+X165169505Y-90757000D01*
+X167747066Y-90757000D01*
+X167973000Y-90982935D01*
+X167973000Y-91126528D01*
+X168002859Y-91276643D01*
+X168061431Y-91418048D01*
+X168146464Y-91545309D01*
+X168254691Y-91653536D01*
+X168381952Y-91738569D01*
+X168523357Y-91797141D01*
+X168673472Y-91827000D01*
+X168826528Y-91827000D01*
+X168976643Y-91797141D01*
+X169118048Y-91738569D01*
+X169245309Y-91653536D01*
+X169353536Y-91545309D01*
+X169438569Y-91418048D01*
+X169497141Y-91276643D01*
+X169527000Y-91126528D01*
+X169527000Y-90973472D01*
+X169497141Y-90823357D01*
+X169438569Y-90681952D01*
+X169353536Y-90554691D01*
+X169245309Y-90446464D01*
+X169118048Y-90361431D01*
+X168976643Y-90302859D01*
+X168826528Y-90273000D01*
+X168682935Y-90273000D01*
+X168327399Y-89917465D01*
+X168311684Y-89898316D01*
+X168235245Y-89835583D01*
+X168148036Y-89788969D01*
+X168053409Y-89760264D01*
+X167979653Y-89753000D01*
+X167979643Y-89753000D01*
+X167955000Y-89750573D01*
+X167930357Y-89753000D01*
+X165169505Y-89753000D01*
+X165164981Y-89744537D01*
+X165117869Y-89687131D01*
+X165060463Y-89640019D01*
+X165023010Y-89620000D01*
+X165060463Y-89599981D01*
+X165117869Y-89552869D01*
+X165164981Y-89495463D01*
+X165169505Y-89487000D01*
+X165240357Y-89487000D01*
+X165265000Y-89489427D01*
+X165289643Y-89487000D01*
+X165289653Y-89487000D01*
+X165363409Y-89479736D01*
+X165458036Y-89451031D01*
+X165545245Y-89404417D01*
+X165621684Y-89341684D01*
+X165637402Y-89322533D01*
+X168037538Y-86922397D01*
+X168056684Y-86906684D01*
+X168079623Y-86878734D01*
+X168119409Y-86830255D01*
+X168119417Y-86830245D01*
+X168166031Y-86743036D01*
+X168194736Y-86648409D01*
+X168202000Y-86574653D01*
+X168202000Y-86574644D01*
+X168204427Y-86550001D01*
+X168202000Y-86525358D01*
+X168202000Y-70907934D01*
+X168887538Y-70222397D01*
+X168906684Y-70206684D01*
+X168922397Y-70187538D01*
+X168922400Y-70187535D01*
+X168969417Y-70130246D01*
+X169016031Y-70043037D01*
+X169044736Y-69948410D01*
+X169047846Y-69916827D01*
+X169052000Y-69874653D01*
+X169052000Y-69874646D01*
+X169054427Y-69850000D01*
+X169052000Y-69825355D01*
+X169052000Y-68074642D01*
+X169054427Y-68049999D01*
+X169052000Y-68025356D01*
+X169052000Y-68025347D01*
+X169044736Y-67951591D01*
+X169016031Y-67856964D01*
+X168969417Y-67769755D01*
+X168947480Y-67743025D01*
+X168922399Y-67712464D01*
+X168922397Y-67712462D01*
+X168906684Y-67693316D01*
+X168887536Y-67677601D01*
+X168522401Y-67312467D01*
+X168506684Y-67293316D01*
+X168430245Y-67230583D01*
+X168343036Y-67183969D01*
+X168248409Y-67155264D01*
+X168218930Y-67152361D01*
+X168174955Y-67070089D01*
+X168098307Y-66976693D01*
+X168004911Y-66900045D01*
+X167898357Y-66843091D01*
+X167782739Y-66808018D01*
+X167662500Y-66796176D01*
+X167087500Y-66796176D01*
+X166967261Y-66808018D01*
+X166851643Y-66843091D01*
+X166745089Y-66900045D01*
+X166651693Y-66976693D01*
+X166575045Y-67070089D01*
+X166518091Y-67176643D01*
+X166500000Y-67236280D01*
+X166481909Y-67176643D01*
+X166424955Y-67070089D01*
+X166348307Y-66976693D01*
+X166254911Y-66900045D01*
+X166148357Y-66843091D01*
+X166055138Y-66814813D01*
+X166044417Y-66794755D01*
+X166013402Y-66756964D01*
+X165997399Y-66737464D01*
+X165997397Y-66737462D01*
+X165981684Y-66718316D01*
+X165962538Y-66702603D01*
+X165122390Y-65862456D01*
+X165106673Y-65843305D01*
+X165030234Y-65780572D01*
+X164943025Y-65733958D01*
+X164848398Y-65705253D01*
+X164774642Y-65697989D01*
+X164774632Y-65697989D01*
+X164749989Y-65695562D01*
+X164725346Y-65697989D01*
+X160792732Y-65697989D01*
+X160768089Y-65695562D01*
+X160743446Y-65697989D01*
+X160743437Y-65697989D01*
+X160669681Y-65705253D01*
+X160575054Y-65733958D01*
+X160487845Y-65780572D01*
+X160484003Y-65783725D01*
+X160434633Y-65824243D01*
+X160411406Y-65843305D01*
+X160395693Y-65862451D01*
+X160006144Y-66252000D01*
+X159377000Y-66252000D01*
+X159377000Y-66272000D01*
+X159123000Y-66272000D01*
+X159123000Y-66252000D01*
+X158492250Y-66252000D01*
+X158398000Y-66346250D01*
+X158396188Y-66648000D01*
+X144691822Y-66648000D01*
+X144667179Y-66645573D01*
+X144642536Y-66648000D01*
+X144642527Y-66648000D01*
+X144568771Y-66655264D01*
+X144474144Y-66683969D01*
+X144386935Y-66730583D01*
+X144386933Y-66730584D01*
+X144386934Y-66730584D01*
+X144330377Y-66777000D01*
+X144310496Y-66793316D01*
+X144294783Y-66812462D01*
+X144009246Y-67098000D01*
+X125664642Y-67098000D01*
+X125639999Y-67095573D01*
+X125615356Y-67098000D01*
+X125615347Y-67098000D01*
+X125541591Y-67105264D01*
+X125446964Y-67133969D01*
+X125359755Y-67180583D01*
+X125359753Y-67180584D01*
+X125359754Y-67180584D01*
+X125303693Y-67226593D01*
+X125283316Y-67243316D01*
+X125267603Y-67262462D01*
+X124300569Y-68229497D01*
+X124268734Y-68216310D01*
+X124051000Y-68173000D01*
+X123829000Y-68173000D01*
+X123611266Y-68216310D01*
+X123406165Y-68301266D01*
+X123221579Y-68424602D01*
+X123064602Y-68581579D01*
+X122941266Y-68766165D01*
+X122856310Y-68971266D01*
+X122813000Y-69189000D01*
+X122813000Y-69411000D01*
+X122856310Y-69628734D01*
+X122941266Y-69833835D01*
+X123064602Y-70018421D01*
+X123221579Y-70175398D01*
+X123406165Y-70298734D01*
+X123611266Y-70383690D01*
+X123829000Y-70427000D01*
+X124051000Y-70427000D01*
+X124268734Y-70383690D01*
+X124473835Y-70298734D01*
+X124658421Y-70175398D01*
+X124715409Y-70118410D01*
+X132441195Y-70118410D01*
+X132521306Y-70285043D01*
+X132724213Y-70375111D01*
+X132940793Y-70423864D01*
+X133162723Y-70429428D01*
+X133381474Y-70391588D01*
+X133588640Y-70311798D01*
+X133638694Y-70285043D01*
+X133718805Y-70118410D01*
+X133080000Y-69479605D01*
+X132441195Y-70118410D01*
+X124715409Y-70118410D01*
+X124815398Y-70018421D01*
+X124938734Y-69833835D01*
+X125023690Y-69628734D01*
+X125067000Y-69411000D01*
+X125067000Y-69382723D01*
+X131950572Y-69382723D01*
+X131988412Y-69601474D01*
+X132068202Y-69808640D01*
+X132094957Y-69858694D01*
+X132261590Y-69938805D01*
+X132900395Y-69300000D01*
+X133259605Y-69300000D01*
+X133898410Y-69938805D01*
+X134065043Y-69858694D01*
+X134155111Y-69655787D01*
+X134203864Y-69439207D01*
+X134209428Y-69217277D01*
+X134171588Y-68998526D01*
+X134091798Y-68791360D01*
+X134065043Y-68741306D01*
+X133898410Y-68661195D01*
+X133259605Y-69300000D01*
+X132900395Y-69300000D01*
+X132261590Y-68661195D01*
+X132094957Y-68741306D01*
+X132004889Y-68944213D01*
+X131956136Y-69160793D01*
+X131950572Y-69382723D01*
+X125067000Y-69382723D01*
+X125067000Y-69189000D01*
+X125023690Y-68971266D01*
+X125010503Y-68939431D01*
+X125468344Y-68481590D01*
+X132441195Y-68481590D01*
+X133080000Y-69120395D01*
+X133718805Y-68481590D01*
+X133638694Y-68314957D01*
+X133435787Y-68224889D01*
+X133219207Y-68176136D01*
+X132997277Y-68170572D01*
+X132778526Y-68208412D01*
+X132571360Y-68288202D01*
+X132521306Y-68314957D01*
+X132441195Y-68481590D01*
+X125468344Y-68481590D01*
+X125847935Y-68102000D01*
+X135858065Y-68102000D01*
+X135730569Y-68229497D01*
+X135698734Y-68216310D01*
+X135481000Y-68173000D01*
+X135259000Y-68173000D01*
+X135041266Y-68216310D01*
+X134836165Y-68301266D01*
+X134651579Y-68424602D01*
+X134494602Y-68581579D01*
+X134371266Y-68766165D01*
+X134286310Y-68971266D01*
+X134243000Y-69189000D01*
+X134243000Y-69411000D01*
+X134286310Y-69628734D01*
+X134371266Y-69833835D01*
+X134494602Y-70018421D01*
+X134651579Y-70175398D01*
+X134836165Y-70298734D01*
+X135041266Y-70383690D01*
+X135129443Y-70401229D01*
+X135064545Y-70454489D01*
+X135044915Y-70478409D01*
+X133693427Y-71829897D01*
+X133669499Y-71849534D01*
+X133591147Y-71945008D01*
+X133566389Y-71991326D01*
+X133547131Y-72007131D01*
+X133500019Y-72064537D01*
+X133480000Y-72101990D01*
+X133459981Y-72064537D01*
+X133412869Y-72007131D01*
+X133355463Y-71960019D01*
+X133289970Y-71925012D01*
+X133218905Y-71903455D01*
+X133145000Y-71896176D01*
+X132545000Y-71896176D01*
+X132471095Y-71903455D01*
+X132400030Y-71925012D01*
+X132334537Y-71960019D01*
+X132277131Y-72007131D01*
+X132230019Y-72064537D01*
+X132195012Y-72130030D01*
+X132173455Y-72201095D01*
+X132166176Y-72275000D01*
+X132166176Y-73573000D01*
+X131751165Y-73573000D01*
+X131746545Y-73526095D01*
+X131724988Y-73455030D01*
+X131689981Y-73389537D01*
+X131642869Y-73332131D01*
+X131585463Y-73285019D01*
+X131519970Y-73250012D01*
+X131519930Y-73250000D01*
+X131519970Y-73249988D01*
+X131585463Y-73214981D01*
+X131642869Y-73167869D01*
+X131689981Y-73110463D01*
+X131724988Y-73044970D01*
+X131746545Y-72973905D01*
+X131753824Y-72900000D01*
+X131752000Y-72521250D01*
+X131657750Y-72427000D01*
+X131127000Y-72427000D01*
+X131127000Y-72447000D01*
+X130873000Y-72447000D01*
+X130873000Y-72427000D01*
+X130342250Y-72427000D01*
+X130248000Y-72521250D01*
+X130246176Y-72900000D01*
+X130253455Y-72973905D01*
+X130275012Y-73044970D01*
+X130310019Y-73110463D01*
+X130357131Y-73167869D01*
+X130414537Y-73214981D01*
+X130480030Y-73249988D01*
+X130480070Y-73250000D01*
+X130480030Y-73250012D01*
+X130414537Y-73285019D01*
+X130357131Y-73332131D01*
+X130310019Y-73389537D01*
+X130292133Y-73423000D01*
+X129977000Y-73423000D01*
+X129977000Y-72338155D01*
+X129980758Y-72299999D01*
+X129977000Y-72261843D01*
+X129977000Y-72261834D01*
+X129965757Y-72147681D01*
+X129921327Y-72001216D01*
+X129893966Y-71950027D01*
+X129849177Y-71866233D01*
+X129808143Y-71816234D01*
+X129752080Y-71747920D01*
+X129722432Y-71723589D01*
+X129698843Y-71700000D01*
+X130246176Y-71700000D01*
+X130248000Y-72078750D01*
+X130342250Y-72173000D01*
+X130873000Y-72173000D01*
+X130873000Y-71417250D01*
+X131127000Y-71417250D01*
+X131127000Y-72173000D01*
+X131657750Y-72173000D01*
+X131752000Y-72078750D01*
+X131753824Y-71700000D01*
+X131746545Y-71626095D01*
+X131724988Y-71555030D01*
+X131689981Y-71489537D01*
+X131642869Y-71432131D01*
+X131585463Y-71385019D01*
+X131519970Y-71350012D01*
+X131448905Y-71328455D01*
+X131375000Y-71321176D01*
+X131221250Y-71323000D01*
+X131127000Y-71417250D01*
+X130873000Y-71417250D01*
+X130778750Y-71323000D01*
+X130625000Y-71321176D01*
+X130551095Y-71328455D01*
+X130480030Y-71350012D01*
+X130414537Y-71385019D01*
+X130357131Y-71432131D01*
+X130310019Y-71489537D01*
+X130275012Y-71555030D01*
+X130253455Y-71626095D01*
+X130246176Y-71700000D01*
+X129698843Y-71700000D01*
+X129301413Y-71302570D01*
+X129277080Y-71272920D01*
+X129158766Y-71175823D01*
+X129023784Y-71103673D01*
+X128877319Y-71059243D01*
+X128763166Y-71048000D01*
+X128763163Y-71048000D01*
+X128725000Y-71044241D01*
+X128686837Y-71048000D01*
+X128607882Y-71048000D01*
+X128579911Y-71025045D01*
+X128473357Y-70968091D01*
+X128357739Y-70933018D01*
+X128237500Y-70921176D01*
+X127762500Y-70921176D01*
+X127642261Y-70933018D01*
+X127526643Y-70968091D01*
+X127420089Y-71025045D01*
+X127392118Y-71048000D01*
+X126907882Y-71048000D01*
+X126879911Y-71025045D01*
+X126773357Y-70968091D01*
+X126657739Y-70933018D01*
+X126537500Y-70921176D01*
+X126062500Y-70921176D01*
+X125942261Y-70933018D01*
+X125826643Y-70968091D01*
+X125720089Y-71025045D01*
+X125692118Y-71048000D01*
+X120847160Y-71048000D01*
+X119917570Y-70118410D01*
+X121011195Y-70118410D01*
+X121091306Y-70285043D01*
+X121294213Y-70375111D01*
+X121510793Y-70423864D01*
+X121732723Y-70429428D01*
+X121951474Y-70391588D01*
+X122158640Y-70311798D01*
+X122208694Y-70285043D01*
+X122288805Y-70118410D01*
+X121650000Y-69479605D01*
+X121011195Y-70118410D01*
+X119917570Y-70118410D01*
+X119181883Y-69382723D01*
+X120520572Y-69382723D01*
+X120558412Y-69601474D01*
+X120638202Y-69808640D01*
+X120664957Y-69858694D01*
+X120831590Y-69938805D01*
+X121470395Y-69300000D01*
+X121829605Y-69300000D01*
+X122468410Y-69938805D01*
+X122635043Y-69858694D01*
+X122725111Y-69655787D01*
+X122773864Y-69439207D01*
+X122779428Y-69217277D01*
+X122741588Y-68998526D01*
+X122661798Y-68791360D01*
+X122635043Y-68741306D01*
+X122468410Y-68661195D01*
+X121829605Y-69300000D01*
+X121470395Y-69300000D01*
+X120831590Y-68661195D01*
+X120664957Y-68741306D01*
+X120574889Y-68944213D01*
+X120526136Y-69160793D01*
+X120520572Y-69382723D01*
+X119181883Y-69382723D01*
+X118280750Y-68481590D01*
+X121011195Y-68481590D01*
+X121650000Y-69120395D01*
+X122288805Y-68481590D01*
+X122208694Y-68314957D01*
+X122005787Y-68224889D01*
+X121789207Y-68176136D01*
+X121567277Y-68170572D01*
+X121348526Y-68208412D01*
+X121141360Y-68288202D01*
+X121091306Y-68314957D01*
+X121011195Y-68481590D01*
+X118280750Y-68481590D01*
+X117573759Y-67774600D01*
+X117610463Y-67754981D01*
+X117667869Y-67707869D01*
+X117714981Y-67650463D01*
+X117749988Y-67584970D01*
+X117771545Y-67513905D01*
+X117778824Y-67440000D01*
+X117778824Y-65740000D01*
+X117771545Y-65666095D01*
+X117749988Y-65595030D01*
+X117714981Y-65529537D01*
+X117667869Y-65472131D01*
+X117610463Y-65425019D01*
+X117544970Y-65390012D01*
+X117473905Y-65368455D01*
+X117400000Y-65361176D01*
+X115948758Y-65361176D01*
+X116112894Y-65197040D01*
+X116192097Y-65229847D01*
+X116429151Y-65277000D01*
+X116670849Y-65277000D01*
+X116907903Y-65229847D01*
+X117131202Y-65137353D01*
+X117332167Y-65003073D01*
+X117503073Y-64832167D01*
+X117637353Y-64631202D01*
+X117729847Y-64407903D01*
+X117777000Y-64170849D01*
+X117777000Y-63929151D01*
+X117729847Y-63692097D01*
+X117637353Y-63468798D01*
+X117503073Y-63267833D01*
+X117332167Y-63096927D01*
+X117131202Y-62962647D01*
+X116907903Y-62870153D01*
+X116670849Y-62823000D01*
+X116429151Y-62823000D01*
+X116192097Y-62870153D01*
+X115968798Y-62962647D01*
+X115767833Y-63096927D01*
+X115596927Y-63267833D01*
+X115462647Y-63468798D01*
+X115370153Y-63692097D01*
+X115323000Y-63929151D01*
+X115323000Y-64170849D01*
+X115370153Y-64407903D01*
+X115402960Y-64487106D01*
+X115051990Y-64838076D01*
+X115051990Y-61826351D01*
+X115364482Y-61826351D01*
+X115388518Y-61905605D01*
+X115488014Y-62124597D01*
+X115628322Y-62319971D01*
+X115804049Y-62484218D01*
+X116008443Y-62611026D01*
+X116233648Y-62695523D01*
+X116423000Y-62636166D01*
+X116423000Y-61637000D01*
+X116677000Y-61637000D01*
+X116677000Y-62636166D01*
+X116866352Y-62695523D01*
+X117091557Y-62611026D01*
+X117295951Y-62484218D01*
+X117471678Y-62319971D01*
+X117611986Y-62124597D01*
+X117711482Y-61905605D01*
+X117735518Y-61826351D01*
+X117675608Y-61637000D01*
+X116677000Y-61637000D01*
+X116423000Y-61637000D01*
+X115424392Y-61637000D01*
+X115364482Y-61826351D01*
+X115051990Y-61826351D01*
+X115051990Y-61193649D01*
+X115364482Y-61193649D01*
+X115424392Y-61383000D01*
+X116423000Y-61383000D01*
+X116423000Y-60383834D01*
+X116677000Y-60383834D01*
+X116677000Y-61383000D01*
+X117675608Y-61383000D01*
+X117735518Y-61193649D01*
+X117711482Y-61114395D01*
+X117611986Y-60895403D01*
+X117471678Y-60700029D01*
+X117295951Y-60535782D01*
+X117091557Y-60408974D01*
+X116866352Y-60324477D01*
+X116677000Y-60383834D01*
+X116423000Y-60383834D01*
+X116233648Y-60324477D01*
+X116008443Y-60408974D01*
+X115804049Y-60535782D01*
+X115628322Y-60700029D01*
+X115488014Y-60895403D01*
+X115388518Y-61114395D01*
+X115364482Y-61193649D01*
+X115051990Y-61193649D01*
+X115051990Y-61177944D01*
+X116072400Y-60157535D01*
+X116072404Y-60157530D01*
+X116112894Y-60117040D01*
+X116192097Y-60149847D01*
+X116429151Y-60197000D01*
+X116670849Y-60197000D01*
+X116907903Y-60149847D01*
+X117131202Y-60057353D01*
+X117332167Y-59923073D01*
+X117503073Y-59752167D01*
+X117637353Y-59551202D01*
+X117729847Y-59327903D01*
+X117777000Y-59090849D01*
+X117777000Y-58849151D01*
+X117729847Y-58612097D01*
+X117637353Y-58388798D01*
+X117503073Y-58187833D01*
+X117332167Y-58016927D01*
+X117131202Y-57882647D01*
+X116907903Y-57790153D01*
+X116670849Y-57743000D01*
+X116429151Y-57743000D01*
+X116192097Y-57790153D01*
+X115968798Y-57882647D01*
+X115767833Y-58016927D01*
+X115596927Y-58187833D01*
+X115462647Y-58388798D01*
+X115370153Y-58612097D01*
+X115323000Y-58849151D01*
+X115323000Y-59090849D01*
+X115370153Y-59327903D01*
+X115402960Y-59407106D01*
+X115362470Y-59447596D01*
+X115362465Y-59447600D01*
+X114212453Y-60597613D01*
+X114193307Y-60613326D01*
+X114177594Y-60632472D01*
+X114177591Y-60632475D01*
+X114130574Y-60689765D01*
+X114083960Y-60776974D01*
+X114055255Y-60871601D01*
+X114045563Y-60970010D01*
+X114047991Y-60994663D01*
+X114047990Y-67525347D01*
+X114045563Y-67549990D01*
+X114047990Y-67574633D01*
+X114047990Y-67574642D01*
+X114055254Y-67648398D01*
+X114083959Y-67743025D01*
+X114130573Y-67830235D01*
+X114193306Y-67906674D01*
+X114212458Y-67922392D01*
+X122752603Y-76462538D01*
+X122768316Y-76481684D01*
+X122787462Y-76497397D01*
+X122787464Y-76497399D01*
+X122818659Y-76523000D01*
+X122844755Y-76544417D01*
+X122886776Y-76566878D01*
+X120553824Y-78899831D01*
+X120553824Y-78500000D01*
+X120546545Y-78426095D01*
+X120538629Y-78400000D01*
+X120546545Y-78373905D01*
+X120553824Y-78300000D01*
+X120553824Y-77850000D01*
+X120546545Y-77776095D01*
+X120538629Y-77750000D01*
+X120546545Y-77723905D01*
+X120553824Y-77650000D01*
+X120553824Y-77200000D01*
+X120546545Y-77126095D01*
+X120538629Y-77100000D01*
+X120546545Y-77073905D01*
+X120553824Y-77000000D01*
+X120553824Y-76550000D01*
+X120546545Y-76476095D01*
+X120538629Y-76450000D01*
+X120546545Y-76423905D01*
+X120553824Y-76350000D01*
+X120553824Y-75900000D01*
+X120546545Y-75826095D01*
+X120538629Y-75800000D01*
+X120546545Y-75773905D01*
+X120553824Y-75700000D01*
+X120552000Y-75667250D01*
+X120457750Y-75573000D01*
+X120362977Y-75573000D01*
+X120319970Y-75550012D01*
+X120248905Y-75528455D01*
+X120175000Y-75521176D01*
+X118725000Y-75521176D01*
+X118651095Y-75528455D01*
+X118580030Y-75550012D01*
+X118537023Y-75573000D01*
+X118442250Y-75573000D01*
+X118392250Y-75623000D01*
+X117753824Y-75623000D01*
+X117753824Y-75250000D01*
+X118346176Y-75250000D01*
+X118348000Y-75282750D01*
+X118442250Y-75377000D01*
+X119323000Y-75377000D01*
+X119323000Y-74967250D01*
+X119577000Y-74967250D01*
+X119577000Y-75377000D01*
+X120457750Y-75377000D01*
+X120552000Y-75282750D01*
+X120553824Y-75250000D01*
+X120546545Y-75176095D01*
+X120524988Y-75105030D01*
+X120489981Y-75039537D01*
+X120442869Y-74982131D01*
+X120385463Y-74935019D01*
+X120319970Y-74900012D01*
+X120248905Y-74878455D01*
+X120175000Y-74871176D01*
+X119671250Y-74873000D01*
+X119577000Y-74967250D01*
+X119323000Y-74967250D01*
+X119228750Y-74873000D01*
+X118725000Y-74871176D01*
+X118651095Y-74878455D01*
+X118580030Y-74900012D01*
+X118514537Y-74935019D01*
+X118457131Y-74982131D01*
+X118410019Y-75039537D01*
+X118375012Y-75105030D01*
+X118353455Y-75176095D01*
+X118346176Y-75250000D01*
+X117753824Y-75250000D01*
+X117753824Y-74850000D01*
+X117746545Y-74776095D01*
+X117724988Y-74705030D01*
+X117689981Y-74639537D01*
+X117642869Y-74582131D01*
+X117585463Y-74535019D01*
+X117519970Y-74500012D01*
+X117519930Y-74500000D01*
+X117519970Y-74499988D01*
+X117585463Y-74464981D01*
+X117642869Y-74417869D01*
+X117689981Y-74360463D01*
+X117724988Y-74294970D01*
+X117746545Y-74223905D01*
+X117753824Y-74150000D01*
+X117752000Y-73771250D01*
+X117657750Y-73677000D01*
+X117127000Y-73677000D01*
+X117127000Y-73697000D01*
+X116873000Y-73697000D01*
+X116873000Y-73677000D01*
+X116342250Y-73677000D01*
+X116248000Y-73771250D01*
+X116246176Y-74150000D01*
+X116253455Y-74223905D01*
+X116275012Y-74294970D01*
+X116310019Y-74360463D01*
+X116357131Y-74417869D01*
+X116414537Y-74464981D01*
+X116480030Y-74499988D01*
+X116480070Y-74500000D01*
+X116480030Y-74500012D01*
+X116414537Y-74535019D01*
+X116357131Y-74582131D01*
+X116310019Y-74639537D01*
+X116275012Y-74705030D01*
+X116253455Y-74776095D01*
+X116246176Y-74850000D01*
+X116246176Y-75623000D01*
+X115982935Y-75623000D01*
+X115922403Y-75562468D01*
+X115906685Y-75543316D01*
+X115830246Y-75480583D01*
+X115828669Y-75479740D01*
+X115827000Y-74721250D01*
+X115732750Y-74627000D01*
+X114677000Y-74627000D01*
+X114677000Y-74647000D01*
+X114423000Y-74647000D01*
+X114423000Y-74627000D01*
+X114403000Y-74627000D01*
+X114403000Y-74373000D01*
+X114423000Y-74373000D01*
+X114423000Y-73167250D01*
+X114677000Y-73167250D01*
+X114677000Y-74373000D01*
+X115732750Y-74373000D01*
+X115827000Y-74278750D01*
+X115828824Y-73450000D01*
+X115821545Y-73376095D01*
+X115799988Y-73305030D01*
+X115764981Y-73239537D01*
+X115717869Y-73182131D01*
+X115660463Y-73135019D01*
+X115594970Y-73100012D01*
+X115523905Y-73078455D01*
+X115450000Y-73071176D01*
+X114771250Y-73073000D01*
+X114677000Y-73167250D01*
+X114423000Y-73167250D01*
+X114328750Y-73073000D01*
+X113650000Y-73071176D01*
+X113576095Y-73078455D01*
+X113505030Y-73100012D01*
+X113439537Y-73135019D01*
+X113400000Y-73167466D01*
+X113360463Y-73135019D01*
+X113294970Y-73100012D01*
+X113223905Y-73078455D01*
+X113150000Y-73071176D01*
+X111350000Y-73071176D01*
+X111276095Y-73078455D01*
+X111205030Y-73100012D01*
+X111139537Y-73135019D01*
+X111082131Y-73182131D01*
+X111035019Y-73239537D01*
+X111000012Y-73305030D01*
+X110978455Y-73376095D01*
+X110971176Y-73450000D01*
+X110971176Y-75550000D01*
+X110978455Y-75623905D01*
+X111000012Y-75694970D01*
+X111035019Y-75760463D01*
+X111082131Y-75817869D01*
+X111139537Y-75864981D01*
+X111205030Y-75899988D01*
+X111276095Y-75921545D01*
+X111350000Y-75928824D01*
+X112818889Y-75928824D01*
+X112862015Y-75971950D01*
+X112471250Y-75973000D01*
+X112377000Y-76067250D01*
+X112377000Y-77273000D01*
+X112397000Y-77273000D01*
+X112397000Y-77527000D01*
+X112377000Y-77527000D01*
+X112377000Y-78732750D01*
+X112471250Y-78827000D01*
+X113150000Y-78828824D01*
+X113223905Y-78821545D01*
+X113294970Y-78799988D01*
+X113360463Y-78764981D01*
+X113400000Y-78732534D01*
+X113439537Y-78764981D01*
+X113505030Y-78799988D01*
+X113576095Y-78821545D01*
+X113650000Y-78828824D01*
+X113948001Y-78828824D01*
+X113948000Y-79696176D01*
+X113850000Y-79696176D01*
+X113776095Y-79703455D01*
+X113705030Y-79725012D01*
+X113639537Y-79760019D01*
+X113582131Y-79807131D01*
+X113535019Y-79864537D01*
+X113500012Y-79930030D01*
+X113500000Y-79930070D01*
+X113499988Y-79930030D01*
+X113464981Y-79864537D01*
+X113417869Y-79807131D01*
+X113360463Y-79760019D01*
+X113294970Y-79725012D01*
+X113223905Y-79703455D01*
+X113150000Y-79696176D01*
+X112771250Y-79698000D01*
+X112677000Y-79792250D01*
+X112677000Y-80323000D01*
+X112697000Y-80323000D01*
+X112697000Y-80577000D01*
+X112677000Y-80577000D01*
+X112677000Y-81107750D01*
+X112771250Y-81202000D01*
+X113150000Y-81203824D01*
+X113223905Y-81196545D01*
+X113294970Y-81174988D01*
+X113360463Y-81139981D01*
+X113417869Y-81092869D01*
+X113464981Y-81035463D01*
+X113499988Y-80969970D01*
+X113500000Y-80969930D01*
+X113500012Y-80969970D01*
+X113535019Y-81035463D01*
+X113582131Y-81092869D01*
+X113639537Y-81139981D01*
+X113705030Y-81174988D01*
+X113776095Y-81196545D01*
+X113850000Y-81203824D01*
+X114948001Y-81203824D01*
+X114948001Y-81719626D01*
+X114898357Y-81693091D01*
+X114782739Y-81658018D01*
+X114662500Y-81646176D01*
+X114087500Y-81646176D01*
+X113967261Y-81658018D01*
+X113851643Y-81693091D01*
+X113745089Y-81750045D01*
+X113651693Y-81826693D01*
+X113575045Y-81920089D01*
+X113518091Y-82026643D01*
+X113500000Y-82086280D01*
+X113481909Y-82026643D01*
+X113424955Y-81920089D01*
+X113348307Y-81826693D01*
+X113254911Y-81750045D01*
+X113148357Y-81693091D01*
+X113032739Y-81658018D01*
+X112912500Y-81646176D01*
+X112337500Y-81646176D01*
+X112217261Y-81658018D01*
+X112101643Y-81693091D01*
+X111995089Y-81750045D01*
+X111901693Y-81826693D01*
+X111825045Y-81920089D01*
+X111768091Y-82026643D01*
+X111733018Y-82142261D01*
+X111721176Y-82262500D01*
+X111721176Y-82737500D01*
+X111733018Y-82857739D01*
+X111768091Y-82973357D01*
+X111825045Y-83079911D01*
+X111901693Y-83173307D01*
+X111995089Y-83249955D01*
+X112101643Y-83306909D01*
+X112217261Y-83341982D01*
+X112289126Y-83349060D01*
+X112777603Y-83837538D01*
+X112793316Y-83856684D01*
+X112812462Y-83872397D01*
+X112812464Y-83872399D01*
+X112836640Y-83892240D01*
+X112869755Y-83919417D01*
+X112956964Y-83966031D01*
+X113051591Y-83994736D01*
+X113125347Y-84002000D01*
+X113125356Y-84002000D01*
+X113149999Y-84004427D01*
+X113174642Y-84002000D01*
+X114975357Y-84002000D01*
+X115000000Y-84004427D01*
+X115024643Y-84002000D01*
+X115024653Y-84002000D01*
+X115098409Y-83994736D01*
+X115193036Y-83966031D01*
+X115280245Y-83919417D01*
+X115356684Y-83856684D01*
+X115372401Y-83837533D01*
+X116287538Y-82922397D01*
+X116306684Y-82906684D01*
+X116334822Y-82872399D01*
+X116354617Y-82848278D01*
+X116369417Y-82830245D01*
+X116416031Y-82743036D01*
+X116444736Y-82648409D01*
+X116452000Y-82574653D01*
+X116452000Y-82574643D01*
+X116454427Y-82550000D01*
+X116452000Y-82525357D01*
+X116452000Y-81635147D01*
+X116531952Y-81688569D01*
+X116673357Y-81747141D01*
+X116823472Y-81777000D01*
+X116976528Y-81777000D01*
+X117126643Y-81747141D01*
+X117198001Y-81717584D01*
+X117198001Y-81803154D01*
+X117096464Y-81904691D01*
+X117011431Y-82031952D01*
+X116952859Y-82173357D01*
+X116923000Y-82323472D01*
+X116923000Y-82476528D01*
+X116952859Y-82626643D01*
+X117011431Y-82768048D01*
+X117096464Y-82895309D01*
+X117204691Y-83003536D01*
+X117331952Y-83088569D01*
+X117473357Y-83147141D01*
+X117623472Y-83177000D01*
+X117776528Y-83177000D01*
+X117926643Y-83147141D01*
+X118068048Y-83088569D01*
+X118195309Y-83003536D01*
+X118303536Y-82895309D01*
+X118388569Y-82768048D01*
+X118447141Y-82626643D01*
+X118477000Y-82476528D01*
+X118477000Y-82323472D01*
+X118447141Y-82173357D01*
+X118388569Y-82031952D01*
+X118303536Y-81904691D01*
+X118202000Y-81803155D01*
+X118202000Y-81657934D01*
+X118346176Y-81513758D01*
+X118346176Y-81550000D01*
+X118353455Y-81623905D01*
+X118375012Y-81694970D01*
+X118410019Y-81760463D01*
+X118457131Y-81817869D01*
+X118514537Y-81864981D01*
+X118580030Y-81899988D01*
+X118651095Y-81921545D01*
+X118725000Y-81928824D01*
+X118948000Y-81928824D01*
+X118948001Y-82204783D01*
+X118931952Y-82211431D01*
+X118804691Y-82296464D01*
+X118696464Y-82404691D01*
+X118611431Y-82531952D01*
+X118552859Y-82673357D01*
+X118523000Y-82823472D01*
+X118523000Y-82976528D01*
+X118552859Y-83126643D01*
+X118611431Y-83268048D01*
+X118696464Y-83395309D01*
+X118720610Y-83419455D01*
+X113692066Y-88448000D01*
+X112951488Y-88448000D01*
+X112865901Y-88362413D01*
+X112850183Y-88343261D01*
+X112773744Y-88280528D01*
+X112686535Y-88233914D01*
+X112591908Y-88205209D01*
+X112525370Y-88198656D01*
+X112483086Y-88156372D01*
+X112560793Y-88173864D01*
+X112782723Y-88179428D01*
+X113001474Y-88141588D01*
+X113208640Y-88061798D01*
+X113258694Y-88035043D01*
+X113338805Y-87868410D01*
+X112700000Y-87229605D01*
+X112685858Y-87243748D01*
+X112506253Y-87064143D01*
+X112520395Y-87050000D01*
+X112879605Y-87050000D01*
+X113518410Y-87688805D01*
+X113685043Y-87608694D01*
+X113775111Y-87405787D01*
+X113823864Y-87189207D01*
+X113829428Y-86967277D01*
+X113791588Y-86748526D01*
+X113711798Y-86541360D01*
+X113685043Y-86491306D01*
+X113518410Y-86411195D01*
+X112879605Y-87050000D01*
+X112520395Y-87050000D01*
+X111881590Y-86411195D01*
+X111714957Y-86491306D01*
+X111624889Y-86694213D01*
+X111576136Y-86910793D01*
+X111572177Y-87068688D01*
+X110735079Y-86231590D01*
+X112061195Y-86231590D01*
+X112700000Y-86870395D01*
+X113338805Y-86231590D01*
+X113258694Y-86064957D01*
+X113055787Y-85974889D01*
+X112839207Y-85926136D01*
+X112617277Y-85920572D01*
+X112398526Y-85958412D01*
+X112191360Y-86038202D01*
+X112141306Y-86064957D01*
+X112061195Y-86231590D01*
+X110735079Y-86231590D01*
+X110515953Y-86012465D01*
+X110500238Y-85993316D01*
+X110423799Y-85930583D01*
+X110336590Y-85883969D01*
+X110241963Y-85855264D01*
+X110168207Y-85848000D01*
+X110168197Y-85848000D01*
+X110143554Y-85845573D01*
+X110118911Y-85848000D01*
+X106594346Y-85848000D01*
+X104683785Y-83937441D01*
+X104668072Y-83918295D01*
+X104647719Y-83901591D01*
+X104591634Y-83855563D01*
+X104591635Y-83855563D01*
+X104591633Y-83855562D01*
+X104504424Y-83808948D01*
+X104419908Y-83783310D01*
+X104483766Y-83749177D01*
+X104602080Y-83652080D01*
+X104626413Y-83622430D01*
+X107423843Y-80825000D01*
+X111571176Y-80825000D01*
+X111578455Y-80898905D01*
+X111600012Y-80969970D01*
+X111635019Y-81035463D01*
+X111682131Y-81092869D01*
+X111739537Y-81139981D01*
+X111805030Y-81174988D01*
+X111876095Y-81196545D01*
+X111950000Y-81203824D01*
+X112328750Y-81202000D01*
+X112423000Y-81107750D01*
+X112423000Y-80577000D01*
+X111667250Y-80577000D01*
+X111573000Y-80671250D01*
+X111571176Y-80825000D01*
+X107423843Y-80825000D01*
+X107772436Y-80476408D01*
+X107802080Y-80452080D01*
+X107826409Y-80422435D01*
+X107899177Y-80333767D01*
+X107971327Y-80198784D01*
+X107977935Y-80177000D01*
+X108008876Y-80075000D01*
+X111571176Y-80075000D01*
+X111573000Y-80228750D01*
+X111667250Y-80323000D01*
+X112423000Y-80323000D01*
+X112423000Y-79792250D01*
+X112328750Y-79698000D01*
+X111950000Y-79696176D01*
+X111876095Y-79703455D01*
+X111805030Y-79725012D01*
+X111739537Y-79760019D01*
+X111682131Y-79807131D01*
+X111635019Y-79864537D01*
+X111600012Y-79930030D01*
+X111578455Y-80001095D01*
+X111571176Y-80075000D01*
+X108008876Y-80075000D01*
+X108015757Y-80052319D01*
+X108027000Y-79938166D01*
+X108027000Y-79938157D01*
+X108030758Y-79900001D01*
+X108027000Y-79861845D01*
+X108027000Y-78450000D01*
+X110971176Y-78450000D01*
+X110978455Y-78523905D01*
+X111000012Y-78594970D01*
+X111035019Y-78660463D01*
+X111082131Y-78717869D01*
+X111139537Y-78764981D01*
+X111205030Y-78799988D01*
+X111276095Y-78821545D01*
+X111350000Y-78828824D01*
+X112028750Y-78827000D01*
+X112123000Y-78732750D01*
+X112123000Y-77527000D01*
+X111067250Y-77527000D01*
+X110973000Y-77621250D01*
+X110971176Y-78450000D01*
+X108027000Y-78450000D01*
+X108027000Y-76350000D01*
+X110971176Y-76350000D01*
+X110973000Y-77178750D01*
+X111067250Y-77273000D01*
+X112123000Y-77273000D01*
+X112123000Y-76067250D01*
+X112028750Y-75973000D01*
+X111350000Y-75971176D01*
+X111276095Y-75978455D01*
+X111205030Y-76000012D01*
+X111139537Y-76035019D01*
+X111082131Y-76082131D01*
+X111035019Y-76139537D01*
+X111000012Y-76205030D01*
+X110978455Y-76276095D01*
+X110971176Y-76350000D01*
+X108027000Y-76350000D01*
+X108027000Y-72950000D01*
+X116246176Y-72950000D01*
+X116248000Y-73328750D01*
+X116342250Y-73423000D01*
+X116873000Y-73423000D01*
+X116873000Y-72667250D01*
+X117127000Y-72667250D01*
+X117127000Y-73423000D01*
+X117657750Y-73423000D01*
+X117752000Y-73328750D01*
+X117753824Y-72950000D01*
+X117746545Y-72876095D01*
+X117724988Y-72805030D01*
+X117689981Y-72739537D01*
+X117642869Y-72682131D01*
+X117585463Y-72635019D01*
+X117519970Y-72600012D01*
+X117448905Y-72578455D01*
+X117375000Y-72571176D01*
+X117221250Y-72573000D01*
+X117127000Y-72667250D01*
+X116873000Y-72667250D01*
+X116778750Y-72573000D01*
+X116625000Y-72571176D01*
+X116551095Y-72578455D01*
+X116480030Y-72600012D01*
+X116414537Y-72635019D01*
+X116357131Y-72682131D01*
+X116310019Y-72739537D01*
+X116275012Y-72805030D01*
+X116253455Y-72876095D01*
+X116246176Y-72950000D01*
+X108027000Y-72950000D01*
+X108027000Y-69054192D01*
+X108725413Y-69054192D01*
+X108811595Y-69225936D01*
+X109023051Y-69321015D01*
+X109248992Y-69373014D01*
+X109480738Y-69379936D01*
+X109709380Y-69341512D01*
+X109926133Y-69259221D01*
+X109988405Y-69225936D01*
+X110074587Y-69054192D01*
+X109400000Y-68379605D01*
+X108725413Y-69054192D01*
+X108027000Y-69054192D01*
+X108027000Y-67071843D01*
+X108121844Y-66977000D01*
+X108221176Y-66977000D01*
+X108221176Y-67000000D01*
+X108228455Y-67073905D01*
+X108250012Y-67144970D01*
+X108285019Y-67210463D01*
+X108332131Y-67267869D01*
+X108389537Y-67314981D01*
+X108455030Y-67349988D01*
+X108526095Y-67371545D01*
+X108553992Y-67374293D01*
+X108474339Y-67453946D01*
+X108545807Y-67525414D01*
+X108374064Y-67611595D01*
+X108278985Y-67823051D01*
+X108226986Y-68048992D01*
+X108220064Y-68280738D01*
+X108258488Y-68509380D01*
+X108340779Y-68726133D01*
+X108374064Y-68788405D01*
+X108545808Y-68874587D01*
+X109220395Y-68200000D01*
+X109206253Y-68185858D01*
+X109385858Y-68006253D01*
+X109400000Y-68020395D01*
+X109414143Y-68006253D01*
+X109593748Y-68185858D01*
+X109579605Y-68200000D01*
+X110254192Y-68874587D01*
+X110425936Y-68788405D01*
+X110521015Y-68576949D01*
+X110573014Y-68351008D01*
+X110579936Y-68119262D01*
+X110541512Y-67890620D01*
+X110459221Y-67673867D01*
+X110425936Y-67611595D01*
+X110254193Y-67525414D01*
+X110325661Y-67453946D01*
+X110246008Y-67374293D01*
+X110273905Y-67371545D01*
+X110344970Y-67349988D01*
+X110410463Y-67314981D01*
+X110467869Y-67267869D01*
+X110514981Y-67210463D01*
+X110549988Y-67144970D01*
+X110571545Y-67073905D01*
+X110578824Y-67000000D01*
+X110578824Y-65400000D01*
+X110571545Y-65326095D01*
+X110549988Y-65255030D01*
+X110514981Y-65189537D01*
+X110467869Y-65132131D01*
+X110410463Y-65085019D01*
+X110344970Y-65050012D01*
+X110273905Y-65028455D01*
+X110200000Y-65021176D01*
+X110177000Y-65021176D01*
+X110177000Y-62957846D01*
+X110265641Y-62930958D01*
+X110374356Y-62872848D01*
+X110469646Y-62794646D01*
+X110547848Y-62699356D01*
+X110566479Y-62664500D01*
+X111249337Y-62664500D01*
+X111287500Y-62668259D01*
+X111325663Y-62664500D01*
+X111325666Y-62664500D01*
+X111439819Y-62653257D01*
+X111586284Y-62608827D01*
+X111721266Y-62536677D01*
+X111839580Y-62439580D01*
+X111863912Y-62409931D01*
+X112145019Y-62128824D01*
+X112187500Y-62128824D01*
+X112307739Y-62116982D01*
+X112423357Y-62081909D01*
+X112529911Y-62024955D01*
+X112623307Y-61948307D01*
+X112699955Y-61854911D01*
+X112756909Y-61748357D01*
+X112791982Y-61632739D01*
+X112803824Y-61512500D01*
+X112803824Y-60937500D01*
+X112791982Y-60817261D01*
+X112756909Y-60701643D01*
+X112699955Y-60595089D01*
+X112623307Y-60501693D01*
+X112529911Y-60425045D01*
+X112440568Y-60377291D01*
+X112498905Y-60371545D01*
+X112569970Y-60349988D01*
+X112635463Y-60314981D01*
+X112692869Y-60267869D01*
+X112739981Y-60210463D01*
+X112774988Y-60144970D01*
+X112796545Y-60073905D01*
+X112803824Y-60000000D01*
+X112802000Y-59696250D01*
+X112707750Y-59602000D01*
+X112077000Y-59602000D01*
+X112077000Y-59622000D01*
+X111823000Y-59622000D01*
+X111823000Y-59602000D01*
+X111192250Y-59602000D01*
+X111098000Y-59696250D01*
+X111096176Y-60000000D01*
+X111103455Y-60073905D01*
+X111125012Y-60144970D01*
+X111160019Y-60210463D01*
+X111207131Y-60267869D01*
+X111264537Y-60314981D01*
+X111330030Y-60349988D01*
+X111401095Y-60371545D01*
+X111459432Y-60377291D01*
+X111370089Y-60425045D01*
+X111276693Y-60501693D01*
+X111200045Y-60595089D01*
+X111143091Y-60701643D01*
+X111108018Y-60817261D01*
+X111096176Y-60937500D01*
+X111096176Y-60979980D01*
+X110965657Y-61110500D01*
+X110566479Y-61110500D01*
+X110547848Y-61075644D01*
+X110469646Y-60980354D01*
+X110374356Y-60902152D01*
+X110265641Y-60844042D01*
+X110147677Y-60808259D01*
+X110025000Y-60796176D01*
+X109344030Y-60796176D01*
+X109311284Y-60778673D01*
+X109164819Y-60734243D01*
+X109050666Y-60723000D01*
+X109050663Y-60723000D01*
+X109012500Y-60719241D01*
+X108974337Y-60723000D01*
+X104321844Y-60723000D01*
+X103376412Y-59777569D01*
+X103352080Y-59747920D01*
+X103233766Y-59650823D01*
+X103185455Y-59625000D01*
+X108146176Y-59625000D01*
+X108153455Y-59698905D01*
+X108175012Y-59769970D01*
+X108210019Y-59835463D01*
+X108257131Y-59892869D01*
+X108314537Y-59939981D01*
+X108380030Y-59974988D01*
+X108451095Y-59996545D01*
+X108525000Y-60003824D01*
+X109178750Y-60002000D01*
+X109273000Y-59907750D01*
+X109273000Y-59039500D01*
+X109527000Y-59039500D01*
+X109527000Y-59907750D01*
+X109621250Y-60002000D01*
+X110275000Y-60003824D01*
+X110348905Y-59996545D01*
+X110419970Y-59974988D01*
+X110485463Y-59939981D01*
+X110542869Y-59892869D01*
+X110589981Y-59835463D01*
+X110624988Y-59769970D01*
+X110646545Y-59698905D01*
+X110653824Y-59625000D01*
+X110652000Y-59133750D01*
+X110557750Y-59039500D01*
+X109527000Y-59039500D01*
+X109273000Y-59039500D01*
+X108242250Y-59039500D01*
+X108148000Y-59133750D01*
+X108146176Y-59625000D01*
+X103185455Y-59625000D01*
+X103098784Y-59578673D01*
+X102952319Y-59534243D01*
+X102838166Y-59523000D01*
+X102838163Y-59523000D01*
+X102800000Y-59519241D01*
+X102761837Y-59523000D01*
+X99616819Y-59523000D01*
+X99518421Y-59424602D01*
+X99333835Y-59301266D01*
+X99128734Y-59216310D01*
+X98911000Y-59173000D01*
+X98689000Y-59173000D01*
+X98471266Y-59216310D01*
+X98266165Y-59301266D01*
+X98081579Y-59424602D01*
+X97924602Y-59581579D01*
+X97801266Y-59766165D01*
+X97716310Y-59971266D01*
+X97673000Y-60189000D01*
+X97673000Y-60411000D01*
+X97716310Y-60628734D01*
+X97801266Y-60833835D01*
+X97924602Y-61018421D01*
+X98081579Y-61175398D01*
+X98266165Y-61298734D01*
+X98471266Y-61383690D01*
+X98689000Y-61427000D01*
+X98911000Y-61427000D01*
+X99128734Y-61383690D01*
+X99333835Y-61298734D01*
+X99518421Y-61175398D01*
+X99616819Y-61077000D01*
+X102478157Y-61077000D01*
+X103223001Y-61821845D01*
+X103223001Y-61923835D01*
+X103176095Y-61928455D01*
+X103105030Y-61950012D01*
+X103039537Y-61985019D01*
+X102982131Y-62032131D01*
+X102935019Y-62089537D01*
+X102900012Y-62155030D01*
+X102878455Y-62226095D01*
+X102871176Y-62300000D01*
+X102871176Y-64300000D01*
+X102878455Y-64373905D01*
+X102900012Y-64444970D01*
+X102935019Y-64510463D01*
+X102982131Y-64567869D01*
+X103039537Y-64614981D01*
+X103105030Y-64649988D01*
+X103176095Y-64671545D01*
+X103250000Y-64678824D01*
+X104714490Y-64678824D01*
+X104234939Y-65158375D01*
+X104151693Y-65226693D01*
+X104075045Y-65320089D01*
+X104027291Y-65409432D01*
+X104021545Y-65351095D01*
+X103999988Y-65280030D01*
+X103964981Y-65214537D01*
+X103917869Y-65157131D01*
+X103860463Y-65110019D01*
+X103794970Y-65075012D01*
+X103723905Y-65053455D01*
+X103650000Y-65046176D01*
+X103346250Y-65048000D01*
+X103252000Y-65142250D01*
+X103252000Y-65773000D01*
+X103272000Y-65773000D01*
+X103272000Y-66027000D01*
+X103252000Y-66027000D01*
+X103252000Y-66657750D01*
+X103346250Y-66752000D01*
+X103650000Y-66753824D01*
+X103723905Y-66746545D01*
+X103794970Y-66724988D01*
+X103860463Y-66689981D01*
+X103898000Y-66659175D01*
+X103898001Y-67489497D01*
+X103893273Y-67537500D01*
+X103912137Y-67729025D01*
+X103968003Y-67913190D01*
+X104058725Y-68082918D01*
+X104135227Y-68176136D01*
+X104180815Y-68231686D01*
+X104218093Y-68262279D01*
+X104396176Y-68440362D01*
+X104396176Y-68775000D01*
+X104408259Y-68897677D01*
+X104444042Y-69015641D01*
+X104502152Y-69124356D01*
+X104510501Y-69134529D01*
+X104510501Y-69557813D01*
+X102909636Y-71158678D01*
+X102871266Y-71166310D01*
+X102666165Y-71251266D01*
+X102481579Y-71374602D01*
+X102383181Y-71473000D01*
+X101528824Y-71473000D01*
+X101528824Y-70300000D01*
+X101521545Y-70226095D01*
+X101499988Y-70155030D01*
+X101464981Y-70089537D01*
+X101417869Y-70032131D01*
+X101360463Y-69985019D01*
+X101294970Y-69950012D01*
+X101223905Y-69928455D01*
+X101150000Y-69921176D01*
+X101027000Y-69921176D01*
+X101027000Y-69472993D01*
+X101031727Y-69425000D01*
+X101012863Y-69233474D01*
+X100973152Y-69102566D01*
+X100956997Y-69049309D01*
+X100944004Y-69025000D01*
+X101421176Y-69025000D01*
+X101428455Y-69098905D01*
+X101450012Y-69169970D01*
+X101485019Y-69235463D01*
+X101532131Y-69292869D01*
+X101589537Y-69339981D01*
+X101655030Y-69374988D01*
+X101726095Y-69396545D01*
+X101800000Y-69403824D01*
+X102291250Y-69402000D01*
+X102385500Y-69307750D01*
+X102385500Y-68277000D01*
+X102639500Y-68277000D01*
+X102639500Y-69307750D01*
+X102733750Y-69402000D01*
+X103225000Y-69403824D01*
+X103298905Y-69396545D01*
+X103369970Y-69374988D01*
+X103435463Y-69339981D01*
+X103492869Y-69292869D01*
+X103539981Y-69235463D01*
+X103574988Y-69169970D01*
+X103596545Y-69098905D01*
+X103603824Y-69025000D01*
+X103602000Y-68371250D01*
+X103507750Y-68277000D01*
+X102639500Y-68277000D01*
+X102385500Y-68277000D01*
+X101517250Y-68277000D01*
+X101423000Y-68371250D01*
+X101421176Y-69025000D01*
+X100944004Y-69025000D01*
+X100866276Y-68879582D01*
+X100744186Y-68730814D01*
+X100706902Y-68700216D01*
+X100102000Y-68095314D01*
+X100102000Y-67472990D01*
+X100106727Y-67424999D01*
+X100100025Y-67356954D01*
+X100091953Y-67275000D01*
+X101421176Y-67275000D01*
+X101423000Y-67928750D01*
+X101517250Y-68023000D01*
+X102385500Y-68023000D01*
+X102385500Y-66992250D01*
+X102639500Y-66992250D01*
+X102639500Y-68023000D01*
+X103507750Y-68023000D01*
+X103602000Y-67928750D01*
+X103603824Y-67275000D01*
+X103596545Y-67201095D01*
+X103574988Y-67130030D01*
+X103539981Y-67064537D01*
+X103492869Y-67007131D01*
+X103435463Y-66960019D01*
+X103369970Y-66925012D01*
+X103298905Y-66903455D01*
+X103225000Y-66896176D01*
+X102733750Y-66898000D01*
+X102639500Y-66992250D01*
+X102385500Y-66992250D01*
+X102291250Y-66898000D01*
+X101800000Y-66896176D01*
+X101726095Y-66903455D01*
+X101655030Y-66925012D01*
+X101589537Y-66960019D01*
+X101532131Y-67007131D01*
+X101485019Y-67064537D01*
+X101450012Y-67130030D01*
+X101428455Y-67201095D01*
+X101421176Y-67275000D01*
+X100091953Y-67275000D01*
+X100087863Y-67233475D01*
+X100031997Y-67049309D01*
+X99941276Y-66879582D01*
+X99819186Y-66730814D01*
+X99781907Y-66700220D01*
+X99456687Y-66375000D01*
+X102221176Y-66375000D01*
+X102228455Y-66448905D01*
+X102250012Y-66519970D01*
+X102285019Y-66585463D01*
+X102332131Y-66642869D01*
+X102389537Y-66689981D01*
+X102455030Y-66724988D01*
+X102526095Y-66746545D01*
+X102600000Y-66753824D01*
+X102903750Y-66752000D01*
+X102998000Y-66657750D01*
+X102998000Y-66027000D01*
+X102317250Y-66027000D01*
+X102223000Y-66121250D01*
+X102221176Y-66375000D01*
+X99456687Y-66375000D01*
+X99024784Y-65943098D01*
+X98994186Y-65905814D01*
+X98845418Y-65783724D01*
+X98675691Y-65693003D01*
+X98491525Y-65637137D01*
+X98347993Y-65623000D01*
+X98300000Y-65618273D01*
+X98252007Y-65623000D01*
+X87977000Y-65623000D01*
+X87977000Y-65425000D01*
+X102221176Y-65425000D01*
+X102223000Y-65678750D01*
+X102317250Y-65773000D01*
+X102998000Y-65773000D01*
+X102998000Y-65142250D01*
+X102903750Y-65048000D01*
+X102600000Y-65046176D01*
+X102526095Y-65053455D01*
+X102455030Y-65075012D01*
+X102389537Y-65110019D01*
+X102332131Y-65157131D01*
+X102285019Y-65214537D01*
+X102250012Y-65280030D01*
+X102228455Y-65351095D01*
+X102221176Y-65425000D01*
+X87977000Y-65425000D01*
+X87977000Y-64268410D01*
+X98111195Y-64268410D01*
+X98191306Y-64435043D01*
+X98394213Y-64525111D01*
+X98610793Y-64573864D01*
+X98832723Y-64579428D01*
+X99051474Y-64541588D01*
+X99258640Y-64461798D01*
+X99308694Y-64435043D01*
+X99373617Y-64300000D01*
+X100571176Y-64300000D01*
+X100578455Y-64373905D01*
+X100600012Y-64444970D01*
+X100635019Y-64510463D01*
+X100682131Y-64567869D01*
+X100739537Y-64614981D01*
+X100805030Y-64649988D01*
+X100876095Y-64671545D01*
+X100950000Y-64678824D01*
+X101478750Y-64677000D01*
+X101573000Y-64582750D01*
+X101573000Y-63427000D01*
+X101827000Y-63427000D01*
+X101827000Y-64582750D01*
+X101921250Y-64677000D01*
+X102450000Y-64678824D01*
+X102523905Y-64671545D01*
+X102594970Y-64649988D01*
+X102660463Y-64614981D01*
+X102717869Y-64567869D01*
+X102764981Y-64510463D01*
+X102799988Y-64444970D01*
+X102821545Y-64373905D01*
+X102828824Y-64300000D01*
+X102827000Y-63521250D01*
+X102732750Y-63427000D01*
+X101827000Y-63427000D01*
+X101573000Y-63427000D01*
+X100667250Y-63427000D01*
+X100573000Y-63521250D01*
+X100571176Y-64300000D01*
+X99373617Y-64300000D01*
+X99388805Y-64268410D01*
+X98750000Y-63629605D01*
+X98111195Y-64268410D01*
+X87977000Y-64268410D01*
+X87977000Y-63532723D01*
+X97620572Y-63532723D01*
+X97658412Y-63751474D01*
+X97738202Y-63958640D01*
+X97764957Y-64008694D01*
+X97931590Y-64088805D01*
+X98570395Y-63450000D01*
+X98929605Y-63450000D01*
+X99568410Y-64088805D01*
+X99735043Y-64008694D01*
+X99825111Y-63805787D01*
+X99873864Y-63589207D01*
+X99879428Y-63367277D01*
+X99841588Y-63148526D01*
+X99761798Y-62941360D01*
+X99735043Y-62891306D01*
+X99568410Y-62811195D01*
+X98929605Y-63450000D01*
+X98570395Y-63450000D01*
+X97931590Y-62811195D01*
+X97764957Y-62891306D01*
+X97674889Y-63094213D01*
+X97626136Y-63310793D01*
+X97620572Y-63532723D01*
+X87977000Y-63532723D01*
+X87977000Y-63428824D01*
+X88000000Y-63428824D01*
+X88073905Y-63421545D01*
+X88144970Y-63399988D01*
+X88210463Y-63364981D01*
+X88267869Y-63317869D01*
+X88314981Y-63260463D01*
+X88349988Y-63194970D01*
+X88371545Y-63123905D01*
+X88378824Y-63050000D01*
+X88378824Y-62788950D01*
+X88465624Y-62920617D01*
+X88656117Y-63113489D01*
+X88880577Y-63265491D01*
+X89130378Y-63370783D01*
+X89200071Y-63384383D01*
+X89413000Y-63326448D01*
+X89413000Y-61877000D01*
+X89667000Y-61877000D01*
+X89667000Y-63326448D01*
+X89879929Y-63384383D01*
+X89949622Y-63370783D01*
+X90199423Y-63265491D01*
+X90423883Y-63113489D01*
+X90614376Y-62920617D01*
+X90763581Y-62694288D01*
+X90789096Y-62631590D01*
+X98111195Y-62631590D01*
+X98750000Y-63270395D01*
+X99388805Y-62631590D01*
+X99308694Y-62464957D01*
+X99105787Y-62374889D01*
+X98889207Y-62326136D01*
+X98667277Y-62320572D01*
+X98448526Y-62358412D01*
+X98241360Y-62438202D01*
+X98191306Y-62464957D01*
+X98111195Y-62631590D01*
+X90789096Y-62631590D01*
+X90865765Y-62443199D01*
+X90893326Y-62300000D01*
+X100571176Y-62300000D01*
+X100573000Y-63078750D01*
+X100667250Y-63173000D01*
+X101573000Y-63173000D01*
+X101573000Y-62017250D01*
+X101827000Y-62017250D01*
+X101827000Y-63173000D01*
+X102732750Y-63173000D01*
+X102827000Y-63078750D01*
+X102828824Y-62300000D01*
+X102821545Y-62226095D01*
+X102799988Y-62155030D01*
+X102764981Y-62089537D01*
+X102717869Y-62032131D01*
+X102660463Y-61985019D01*
+X102594970Y-61950012D01*
+X102523905Y-61928455D01*
+X102450000Y-61921176D01*
+X101921250Y-61923000D01*
+X101827000Y-62017250D01*
+X101573000Y-62017250D01*
+X101478750Y-61923000D01*
+X100950000Y-61921176D01*
+X100876095Y-61928455D01*
+X100805030Y-61950012D01*
+X100739537Y-61985019D01*
+X100682131Y-62032131D01*
+X100635019Y-62089537D01*
+X100600012Y-62155030D01*
+X100578455Y-62226095D01*
+X100571176Y-62300000D01*
+X90893326Y-62300000D01*
+X90917000Y-62177000D01*
+X90917000Y-61877000D01*
+X89667000Y-61877000D01*
+X89413000Y-61877000D01*
+X89393000Y-61877000D01*
+X89393000Y-61623000D01*
+X89413000Y-61623000D01*
+X89413000Y-60173552D01*
+X89667000Y-60173552D01*
+X89667000Y-61623000D01*
+X90917000Y-61623000D01*
+X90917000Y-61323000D01*
+X90865765Y-61056801D01*
+X90763581Y-60805712D01*
+X90614376Y-60579383D01*
+X90423883Y-60386511D01*
+X90199423Y-60234509D01*
+X89949622Y-60129217D01*
+X89879929Y-60115617D01*
+X89667000Y-60173552D01*
+X89413000Y-60173552D01*
+X89200071Y-60115617D01*
+X89130378Y-60129217D01*
+X88880577Y-60234509D01*
+X88656117Y-60386511D01*
+X88465624Y-60579383D01*
+X88378824Y-60711050D01*
+X88378824Y-60450000D01*
+X88371545Y-60376095D01*
+X88349988Y-60305030D01*
+X88314981Y-60239537D01*
+X88267869Y-60182131D01*
+X88210463Y-60135019D01*
+X88144970Y-60100012D01*
+X88073905Y-60078455D01*
+X88000000Y-60071176D01*
+X86000000Y-60071176D01*
+X85926095Y-60078455D01*
+X85855030Y-60100012D01*
+X85789537Y-60135019D01*
+X85732131Y-60182131D01*
+X85685019Y-60239537D01*
+X85650012Y-60305030D01*
+X85628455Y-60376095D01*
+X85621176Y-60450000D01*
+X85621176Y-63050000D01*
+X85628455Y-63123905D01*
+X85650012Y-63194970D01*
+X85685019Y-63260463D01*
+X85732131Y-63317869D01*
+X85789537Y-63364981D01*
+X85855030Y-63399988D01*
+X85926095Y-63421545D01*
+X86000000Y-63428824D01*
+X86023000Y-63428824D01*
+X86023000Y-64297992D01*
+X86023001Y-64298002D01*
+X86023000Y-66552004D01*
+X86018273Y-66600000D01*
+X86023000Y-66647995D01*
+X86023000Y-66995314D01*
+X81245314Y-71773000D01*
+X80828824Y-71773000D01*
+X80828824Y-71550000D01*
+X80821545Y-71476095D01*
+X80799988Y-71405030D01*
+X80764981Y-71339537D01*
+X80717869Y-71282131D01*
+X80660463Y-71235019D01*
+X80594970Y-71200012D01*
+X80523905Y-71178455D01*
+X80450000Y-71171176D01*
+X78050000Y-71171176D01*
+X77976095Y-71178455D01*
+X77905030Y-71200012D01*
+X77839537Y-71235019D01*
+X77782131Y-71282131D01*
+X77735019Y-71339537D01*
+X77700012Y-71405030D01*
+X77678455Y-71476095D01*
+X77671176Y-71550000D01*
+X77671176Y-73950000D01*
+X77678455Y-74023905D01*
+X77700012Y-74094970D01*
+X77735019Y-74160463D01*
+X77782131Y-74217869D01*
+X77839537Y-74264981D01*
+X77905030Y-74299988D01*
+X77976095Y-74321545D01*
+X78050000Y-74328824D01*
+X80450000Y-74328824D01*
+X80523905Y-74321545D01*
+X80594970Y-74299988D01*
+X80660463Y-74264981D01*
+X80717869Y-74217869D01*
+X80764981Y-74160463D01*
+X80799988Y-74094970D01*
+X80821545Y-74023905D01*
+X80828824Y-73950000D01*
+X80828824Y-73727000D01*
+X81602007Y-73727000D01*
+X81650000Y-73731727D01*
+X81841525Y-73712863D01*
+X82025691Y-73656997D01*
+X82195418Y-73566276D01*
+X82344186Y-73444186D01*
+X82374784Y-73406902D01*
+X82381686Y-73400000D01*
+X86371176Y-73400000D01*
+X86378455Y-73473905D01*
+X86400012Y-73544970D01*
+X86435019Y-73610463D01*
+X86482131Y-73667869D01*
+X86539537Y-73714981D01*
+X86605030Y-73749988D01*
+X86676095Y-73771545D01*
+X86750000Y-73778824D01*
+X87778750Y-73777000D01*
+X87873000Y-73682750D01*
+X87873000Y-72627000D01*
+X88127000Y-72627000D01*
+X88127000Y-73682750D01*
+X88221250Y-73777000D01*
+X89250000Y-73778824D01*
+X89323905Y-73771545D01*
+X89394970Y-73749988D01*
+X89460463Y-73714981D01*
+X89517869Y-73667869D01*
+X89564981Y-73610463D01*
+X89599988Y-73544970D01*
+X89621545Y-73473905D01*
+X89628824Y-73400000D01*
+X89627000Y-72721250D01*
+X89532750Y-72627000D01*
+X88127000Y-72627000D01*
+X87873000Y-72627000D01*
+X86467250Y-72627000D01*
+X86373000Y-72721250D01*
+X86371176Y-73400000D01*
+X82381686Y-73400000D01*
+X84181686Y-71600000D01*
+X86371176Y-71600000D01*
+X86373000Y-72278750D01*
+X86467250Y-72373000D01*
+X87873000Y-72373000D01*
+X87873000Y-71317250D01*
+X88127000Y-71317250D01*
+X88127000Y-72373000D01*
+X89532750Y-72373000D01*
+X89627000Y-72278750D01*
+X89628824Y-71600000D01*
+X89621545Y-71526095D01*
+X89599988Y-71455030D01*
+X89564981Y-71389537D01*
+X89517869Y-71332131D01*
+X89460463Y-71285019D01*
+X89394970Y-71250012D01*
+X89323905Y-71228455D01*
+X89250000Y-71221176D01*
+X88221250Y-71223000D01*
+X88127000Y-71317250D01*
+X87873000Y-71317250D01*
+X87778750Y-71223000D01*
+X86750000Y-71221176D01*
+X86676095Y-71228455D01*
+X86605030Y-71250012D01*
+X86539537Y-71285019D01*
+X86482131Y-71332131D01*
+X86435019Y-71389537D01*
+X86400012Y-71455030D01*
+X86378455Y-71526095D01*
+X86371176Y-71600000D01*
+X84181686Y-71600000D01*
+X87656902Y-68124784D01*
+X87694186Y-68094186D01*
+X87816276Y-67945418D01*
+X87906997Y-67775691D01*
+X87944518Y-67652000D01*
+X87962863Y-67591526D01*
+X87964294Y-67577000D01*
+X97895314Y-67577000D01*
+X98148001Y-67829687D01*
+X98148001Y-67887245D01*
+X98098307Y-67826693D01*
+X98004911Y-67750045D01*
+X97898357Y-67693091D01*
+X97782739Y-67658018D01*
+X97662500Y-67646176D01*
+X97087500Y-67646176D01*
+X96967261Y-67658018D01*
+X96851643Y-67693091D01*
+X96745089Y-67750045D01*
+X96651693Y-67826693D01*
+X96575045Y-67920089D01*
+X96518091Y-68026643D01*
+X96483018Y-68142261D01*
+X96471176Y-68262500D01*
+X96471176Y-68304980D01*
+X95727565Y-69048592D01*
+X95697921Y-69072920D01*
+X95673593Y-69102564D01*
+X95673591Y-69102566D01*
+X95600823Y-69191234D01*
+X95528673Y-69326217D01*
+X95502955Y-69411000D01*
+X95485650Y-69468048D01*
+X95484244Y-69472682D01*
+X95469241Y-69625000D01*
+X95473001Y-69663173D01*
+X95473001Y-69921176D01*
+X95150000Y-69921176D01*
+X95076095Y-69928455D01*
+X95005030Y-69950012D01*
+X94939537Y-69985019D01*
+X94882131Y-70032131D01*
+X94835019Y-70089537D01*
+X94800012Y-70155030D01*
+X94778455Y-70226095D01*
+X94771176Y-70300000D01*
+X94771176Y-71723000D01*
+X93628824Y-71723000D01*
+X93628824Y-71600000D01*
+X93621545Y-71526095D01*
+X93599988Y-71455030D01*
+X93564981Y-71389537D01*
+X93517869Y-71332131D01*
+X93460463Y-71285019D01*
+X93394970Y-71250012D01*
+X93323905Y-71228455D01*
+X93250000Y-71221176D01*
+X90750000Y-71221176D01*
+X90676095Y-71228455D01*
+X90605030Y-71250012D01*
+X90539537Y-71285019D01*
+X90482131Y-71332131D01*
+X90435019Y-71389537D01*
+X90400012Y-71455030D01*
+X90378455Y-71526095D01*
+X90371176Y-71600000D01*
+X90371176Y-73400000D01*
+X90378455Y-73473905D01*
+X90400012Y-73544970D01*
+X90435019Y-73610463D01*
+X90482131Y-73667869D01*
+X90539537Y-73714981D01*
+X90605030Y-73749988D01*
+X90676095Y-73771545D01*
+X90750000Y-73778824D01*
+X91223000Y-73778824D01*
+X91223000Y-74578156D01*
+X90983157Y-74818000D01*
+X90236834Y-74818000D01*
+X90122681Y-74829243D01*
+X89976216Y-74873673D01*
+X89896699Y-74916176D01*
+X89450000Y-74916176D01*
+X89346832Y-74926337D01*
+X89247628Y-74956430D01*
+X89156201Y-75005299D01*
+X89076065Y-75071065D01*
+X89010299Y-75151201D01*
+X88961430Y-75242628D01*
+X88931337Y-75341832D01*
+X88921176Y-75445000D01*
+X88921176Y-75745000D01*
+X88931337Y-75848168D01*
+X88961430Y-75947372D01*
+X89010299Y-76038799D01*
+X89076065Y-76118935D01*
+X89156201Y-76184701D01*
+X89240949Y-76230000D01*
+X89225982Y-76238000D01*
+X88148014Y-76238000D01*
+X88117220Y-76234967D01*
+X88023710Y-76244177D01*
+X87994307Y-76247073D01*
+X87876117Y-76282925D01*
+X87767192Y-76341147D01*
+X87671719Y-76419499D01*
+X87652086Y-76443422D01*
+X84578427Y-79517082D01*
+X84554499Y-79536719D01*
+X84476147Y-79632193D01*
+X84417925Y-79741118D01*
+X84390733Y-79830759D01*
+X84382073Y-79859308D01*
+X84369967Y-79982220D01*
+X84373000Y-80013014D01*
+X84373001Y-80846176D01*
+X83925000Y-80846176D01*
+X83802323Y-80858259D01*
+X83684359Y-80894042D01*
+X83575644Y-80952152D01*
+X83480354Y-81030354D01*
+X83402152Y-81125644D01*
+X83344042Y-81234359D01*
+X83308259Y-81352323D01*
+X83296176Y-81475000D01*
+X83296176Y-82225000D01*
+X83308259Y-82347677D01*
+X83344042Y-82465641D01*
+X83402152Y-82574356D01*
+X83480354Y-82669646D01*
+X83575644Y-82747848D01*
+X83684359Y-82805958D01*
+X83802323Y-82841741D01*
+X83925000Y-82853824D01*
+X86075000Y-82853824D01*
+X86197677Y-82841741D01*
+X86315641Y-82805958D01*
+X86424356Y-82747848D01*
+X86519646Y-82669646D01*
+X86597848Y-82574356D01*
+X86648000Y-82480529D01*
+X86648000Y-82908181D01*
+X86244959Y-83311222D01*
+X86075000Y-83294483D01*
+X83925000Y-83294483D01*
+X83733711Y-83313323D01*
+X83549772Y-83369120D01*
+X83380254Y-83459730D01*
+X83231670Y-83581670D01*
+X83109730Y-83730254D01*
+X83019120Y-83899772D01*
+X82963323Y-84083711D01*
+X82944483Y-84275000D01*
+X82944483Y-85025000D01*
+X82963323Y-85216289D01*
+X83019120Y-85400228D01*
+X83109730Y-85569746D01*
+X83231670Y-85718330D01*
+X83380254Y-85840270D01*
+X83549772Y-85930880D01*
+X83673000Y-85968261D01*
+X83673001Y-86623000D01*
+X82549551Y-86623000D01*
+X82507403Y-86544147D01*
+X82416554Y-86433446D01*
+X82305853Y-86342597D01*
+X82179557Y-86275090D01*
+X82042517Y-86233520D01*
+X81900000Y-86219483D01*
+X79900000Y-86219483D01*
+X79757483Y-86233520D01*
+X79620443Y-86275090D01*
+X79494147Y-86342597D01*
+X79383446Y-86433446D01*
+X79292597Y-86544147D01*
+X79225090Y-86670443D01*
+X79183520Y-86807483D01*
+X79169483Y-86950000D01*
+X79169483Y-88950000D01*
+X79183520Y-89092517D01*
+X79225090Y-89229557D01*
+X79292597Y-89355853D01*
+X79383446Y-89466554D01*
+X79494147Y-89557403D01*
+X79573001Y-89599551D01*
+X79573001Y-89600338D01*
+X76500340Y-92673000D01*
+X73765173Y-92673000D01*
+X73699999Y-92666581D01*
+X73634825Y-92673000D01*
+X73634816Y-92673000D01*
+X73439863Y-92692201D01*
+X73249327Y-92750000D01*
+X73189722Y-92768081D01*
+X72959191Y-92891302D01*
+X72818257Y-93006964D01*
+X72757130Y-93057130D01*
+X72715579Y-93107760D01*
+X71950339Y-93873000D01*
+X70452000Y-93873000D01*
+X70452000Y-88947122D01*
+X75082483Y-88947122D01*
+X75192881Y-89139330D01*
+X75438495Y-89254430D01*
+X75701845Y-89319400D01*
+X75972809Y-89331745D01*
+X76240975Y-89290991D01*
+X76496038Y-89198703D01*
+X76607119Y-89139330D01*
+X76717517Y-88947122D01*
+X75900000Y-88129605D01*
+X75082483Y-88947122D01*
+X70452000Y-88947122D01*
+X70452000Y-88022809D01*
+X74518255Y-88022809D01*
+X74559009Y-88290975D01*
+X74651297Y-88546038D01*
+X74710670Y-88657119D01*
+X74902878Y-88767517D01*
+X75720395Y-87950000D01*
+X76079605Y-87950000D01*
+X76897122Y-88767517D01*
+X77089330Y-88657119D01*
+X77204430Y-88411505D01*
+X77269400Y-88148155D01*
+X77281745Y-87877191D01*
+X77240991Y-87609025D01*
+X77148703Y-87353962D01*
+X77089330Y-87242881D01*
+X76897122Y-87132483D01*
+X76079605Y-87950000D01*
+X75720395Y-87950000D01*
+X74902878Y-87132483D01*
+X74710670Y-87242881D01*
+X74595570Y-87488495D01*
+X74530600Y-87751845D01*
+X74518255Y-88022809D01*
+X70452000Y-88022809D01*
+X70452000Y-86952878D01*
+X75082483Y-86952878D01*
+X75900000Y-87770395D01*
+X76717517Y-86952878D01*
+X76607119Y-86760670D01*
+X76361505Y-86645570D01*
+X76098155Y-86580600D01*
+X75827191Y-86568255D01*
+X75559025Y-86609009D01*
+X75303962Y-86701297D01*
+X75192881Y-86760670D01*
+X75082483Y-86952878D01*
+X70452000Y-86952878D01*
+X70452000Y-78889827D01*
+X78289778Y-78889827D01*
+X78424319Y-79102518D01*
+X78704048Y-79237612D01*
+X79004756Y-79315538D01*
+X79314890Y-79333301D01*
+X79622530Y-79290219D01*
+X79915853Y-79187948D01*
+X80075681Y-79102518D01*
+X80210222Y-78889827D01*
+X79250000Y-77929605D01*
+X78289778Y-78889827D01*
+X70452000Y-78889827D01*
+X70452000Y-77814890D01*
+X77666699Y-77814890D01*
+X77709781Y-78122530D01*
+X77812052Y-78415853D01*
+X77897482Y-78575681D01*
+X78110173Y-78710222D01*
+X79070395Y-77750000D01*
+X79429605Y-77750000D01*
+X80389827Y-78710222D01*
+X80602518Y-78575681D01*
+X80737612Y-78295952D01*
+X80815538Y-77995244D01*
+X80833301Y-77685110D01*
+X80790219Y-77377470D01*
+X80687948Y-77084147D01*
+X80602518Y-76924319D01*
+X80389827Y-76789778D01*
+X79429605Y-77750000D01*
+X79070395Y-77750000D01*
+X78110173Y-76789778D01*
+X77897482Y-76924319D01*
+X77762388Y-77204048D01*
+X77684462Y-77504756D01*
+X77666699Y-77814890D01*
+X70452000Y-77814890D01*
+X70452000Y-76610173D01*
+X78289778Y-76610173D01*
+X79250000Y-77570395D01*
+X80210222Y-76610173D01*
+X80075681Y-76397482D01*
+X79795952Y-76262388D01*
+X79495244Y-76184462D01*
+X79185110Y-76166699D01*
+X78877470Y-76209781D01*
+X78584147Y-76312052D01*
+X78424319Y-76397482D01*
+X78289778Y-76610173D01*
+X70452000Y-76610173D01*
+X70452000Y-65127000D01*
+X80000000Y-65127000D01*
+X80024776Y-65124560D01*
+X80048601Y-65117333D01*
+X80070557Y-65105597D01*
+X80089803Y-65089803D01*
+X80105597Y-65070557D01*
+X80117333Y-65048601D01*
+X80124560Y-65024776D01*
+X80127000Y-65000000D01*
+X80127000Y-58950000D01*
+X111096176Y-58950000D01*
+X111098000Y-59253750D01*
+X111192250Y-59348000D01*
+X111823000Y-59348000D01*
+X111823000Y-58667250D01*
+X112077000Y-58667250D01*
+X112077000Y-59348000D01*
+X112707750Y-59348000D01*
+X112802000Y-59253750D01*
+X112803824Y-58950000D01*
+X112796545Y-58876095D01*
+X112774988Y-58805030D01*
+X112739981Y-58739537D01*
+X112692869Y-58682131D01*
+X112635463Y-58635019D01*
+X112569970Y-58600012D01*
+X112498905Y-58578455D01*
+X112425000Y-58571176D01*
+X112171250Y-58573000D01*
+X112077000Y-58667250D01*
+X111823000Y-58667250D01*
+X111728750Y-58573000D01*
+X111475000Y-58571176D01*
+X111401095Y-58578455D01*
+X111330030Y-58600012D01*
+X111264537Y-58635019D01*
+X111207131Y-58682131D01*
+X111160019Y-58739537D01*
+X111125012Y-58805030D01*
+X111103455Y-58876095D01*
+X111096176Y-58950000D01*
+X80127000Y-58950000D01*
+X80127000Y-56000000D01*
+X101721176Y-56000000D01*
+X101721176Y-58000000D01*
+X101728455Y-58073905D01*
+X101750012Y-58144970D01*
+X101785019Y-58210463D01*
+X101832131Y-58267869D01*
+X101889537Y-58314981D01*
+X101955030Y-58349988D01*
+X102026095Y-58371545D01*
+X102100000Y-58378824D01*
+X105900000Y-58378824D01*
+X105973905Y-58371545D01*
+X106044970Y-58349988D01*
+X106110463Y-58314981D01*
+X106167869Y-58267869D01*
+X106214981Y-58210463D01*
+X106220573Y-58200000D01*
+X108146176Y-58200000D01*
+X108148000Y-58691250D01*
+X108242250Y-58785500D01*
+X109273000Y-58785500D01*
+X109273000Y-57917250D01*
+X109527000Y-57917250D01*
+X109527000Y-58785500D01*
+X110557750Y-58785500D01*
+X110652000Y-58691250D01*
+X110653824Y-58200000D01*
+X110646545Y-58126095D01*
+X110624988Y-58055030D01*
+X110589981Y-57989537D01*
+X110542869Y-57932131D01*
+X110485463Y-57885019D01*
+X110419970Y-57850012D01*
+X110348905Y-57828455D01*
+X110275000Y-57821176D01*
+X109621250Y-57823000D01*
+X109527000Y-57917250D01*
+X109273000Y-57917250D01*
+X109178750Y-57823000D01*
+X108525000Y-57821176D01*
+X108451095Y-57828455D01*
+X108380030Y-57850012D01*
+X108314537Y-57885019D01*
+X108257131Y-57932131D01*
+X108210019Y-57989537D01*
+X108175012Y-58055030D01*
+X108153455Y-58126095D01*
+X108146176Y-58200000D01*
+X106220573Y-58200000D01*
+X106249988Y-58144970D01*
+X106271545Y-58073905D01*
+X106278824Y-58000000D01*
+X106278824Y-56000000D01*
+X106271545Y-55926095D01*
+X106249988Y-55855030D01*
+X106214981Y-55789537D01*
+X106167869Y-55732131D01*
+X106110463Y-55685019D01*
+X106044970Y-55650012D01*
+X105973905Y-55628455D01*
+X105900000Y-55621176D01*
+X102100000Y-55621176D01*
+X102026095Y-55628455D01*
+X101955030Y-55650012D01*
+X101889537Y-55685019D01*
+X101832131Y-55732131D01*
+X101785019Y-55789537D01*
+X101750012Y-55855030D01*
+X101728455Y-55926095D01*
+X101721176Y-56000000D01*
+X80127000Y-56000000D01*
+X80127000Y-55452000D01*
+X118623000Y-55452000D01*
+X118623000Y-65500000D01*
+G36*
+X105023001Y-79423467D02*
+G01*
+X105023000Y-79423472D01*
+X105023000Y-79576528D01*
+X105030486Y-79614164D01*
+X105034244Y-79652319D01*
+X105045372Y-79689004D01*
+X105052859Y-79726643D01*
+X105067546Y-79762101D01*
+X105078674Y-79798784D01*
+X105096744Y-79832590D01*
+X105111431Y-79868048D01*
+X105132755Y-79899962D01*
+X105150824Y-79933766D01*
+X105175140Y-79963395D01*
+X105196464Y-79995309D01*
+X105223602Y-80022447D01*
+X105247921Y-80052080D01*
+X105277554Y-80076399D01*
+X105304691Y-80103536D01*
+X105336603Y-80124859D01*
+X105366235Y-80149177D01*
+X105400041Y-80167247D01*
+X105431952Y-80188569D01*
+X105467407Y-80203255D01*
+X105501217Y-80221327D01*
+X105537905Y-80232456D01*
+X105573357Y-80247141D01*
+X105610990Y-80254626D01*
+X105647682Y-80265757D01*
+X105685845Y-80269516D01*
+X105723472Y-80277000D01*
+X105761834Y-80277000D01*
+X105773051Y-80278105D01*
+X103728157Y-82323000D01*
+X98923472Y-82323000D01*
+X98885846Y-82330484D01*
+X98847681Y-82334243D01*
+X98810987Y-82345374D01*
+X98773357Y-82352859D01*
+X98737908Y-82367543D01*
+X98701216Y-82378673D01*
+X98667402Y-82396747D01*
+X98631952Y-82411431D01*
+X98600045Y-82432750D01*
+X98566234Y-82450823D01*
+X98536598Y-82475144D01*
+X98504691Y-82496464D01*
+X98477558Y-82523597D01*
+X98447920Y-82547920D01*
+X98423597Y-82577558D01*
+X98396464Y-82604691D01*
+X98375144Y-82636598D01*
+X98350823Y-82666234D01*
+X98332750Y-82700045D01*
+X98311431Y-82731952D01*
+X98296747Y-82767402D01*
+X98278673Y-82801216D01*
+X98267543Y-82837908D01*
+X98252859Y-82873357D01*
+X98245374Y-82910987D01*
+X98234243Y-82947681D01*
+X98230484Y-82985846D01*
+X98223000Y-83023472D01*
+X98223000Y-83061834D01*
+X98219241Y-83100000D01*
+X98223000Y-83138166D01*
+X98223000Y-83176528D01*
+X98230484Y-83214154D01*
+X98234243Y-83252319D01*
+X98245374Y-83289013D01*
+X98252859Y-83326643D01*
+X98267543Y-83362092D01*
+X98278673Y-83398784D01*
+X98296747Y-83432598D01*
+X98311431Y-83468048D01*
+X98332750Y-83499955D01*
+X98350823Y-83533766D01*
+X98375144Y-83563402D01*
+X98396464Y-83595309D01*
+X98423597Y-83622442D01*
+X98447920Y-83652080D01*
+X98477558Y-83676403D01*
+X98504691Y-83703536D01*
+X98536598Y-83724856D01*
+X98566234Y-83749177D01*
+X98600045Y-83767250D01*
+X98608622Y-83772980D01*
+X95326853Y-83772981D01*
+X95302200Y-83770553D01*
+X95203790Y-83780245D01*
+X95109163Y-83808950D01*
+X95021954Y-83855563D01*
+X94964664Y-83902580D01*
+X94964651Y-83902593D01*
+X94945516Y-83918297D01*
+X94929812Y-83937432D01*
+X93187466Y-85679780D01*
+X93168315Y-85695497D01*
+X93105582Y-85771936D01*
+X93058968Y-85859146D01*
+X93030263Y-85953773D01*
+X93022999Y-86027529D01*
+X93022999Y-86027538D01*
+X93020572Y-86052181D01*
+X93022999Y-86076824D01*
+X93023000Y-88235348D01*
+X93020572Y-88260001D01*
+X93030264Y-88358410D01*
+X93058969Y-88453037D01*
+X93105583Y-88540246D01*
+X93152600Y-88597536D01*
+X93152603Y-88597539D01*
+X93168316Y-88616685D01*
+X93187462Y-88632398D01*
+X94498000Y-89942937D01*
+X94498001Y-90623000D01*
+X94382750Y-90623000D01*
+X94350000Y-90621176D01*
+X94276095Y-90628455D01*
+X94250000Y-90636371D01*
+X94223905Y-90628455D01*
+X94150000Y-90621176D01*
+X93850000Y-90621176D01*
+X93776095Y-90628455D01*
+X93705030Y-90650012D01*
+X93697678Y-90653942D01*
+X93688569Y-90631952D01*
+X93667248Y-90600043D01*
+X93649177Y-90566234D01*
+X93624858Y-90536601D01*
+X93603536Y-90504691D01*
+X93576396Y-90477551D01*
+X93552079Y-90447921D01*
+X93522449Y-90423604D01*
+X93495309Y-90396464D01*
+X93463399Y-90375142D01*
+X93433766Y-90350823D01*
+X93399957Y-90332752D01*
+X93368048Y-90311431D01*
+X93332591Y-90296744D01*
+X93298782Y-90278673D01*
+X93262099Y-90267546D01*
+X93226643Y-90252859D01*
+X93189002Y-90245372D01*
+X93152318Y-90234244D01*
+X93114165Y-90230486D01*
+X93076528Y-90223000D01*
+X93038155Y-90223000D01*
+X92999999Y-90219242D01*
+X92961843Y-90223000D01*
+X92923472Y-90223000D01*
+X92885836Y-90230486D01*
+X92847681Y-90234244D01*
+X92810994Y-90245373D01*
+X92773357Y-90252859D01*
+X92737905Y-90267544D01*
+X92732842Y-90269080D01*
+X92746545Y-90223905D01*
+X92753824Y-90150000D01*
+X92752000Y-89771250D01*
+X92657750Y-89677000D01*
+X92127000Y-89677000D01*
+X92127000Y-89697000D01*
+X91873000Y-89697000D01*
+X91873000Y-89677000D01*
+X91342250Y-89677000D01*
+X91248000Y-89771250D01*
+X91246176Y-90150000D01*
+X91253455Y-90223905D01*
+X91275012Y-90294970D01*
+X91310019Y-90360463D01*
+X91357131Y-90417869D01*
+X91414537Y-90464981D01*
+X91480030Y-90499988D01*
+X91480070Y-90500000D01*
+X91480030Y-90500012D01*
+X91414537Y-90535019D01*
+X91357131Y-90582131D01*
+X91310019Y-90639537D01*
+X91275012Y-90705030D01*
+X91253455Y-90776095D01*
+X91246176Y-90850000D01*
+X91246176Y-91258343D01*
+X91234243Y-91297681D01*
+X91219241Y-91450000D01*
+X91234243Y-91602319D01*
+X91246176Y-91641657D01*
+X91246176Y-92050000D01*
+X91253455Y-92123905D01*
+X91275012Y-92194970D01*
+X91310019Y-92260463D01*
+X91357131Y-92317869D01*
+X91414537Y-92364981D01*
+X91480030Y-92399988D01*
+X91551095Y-92421545D01*
+X91625000Y-92428824D01*
+X92375000Y-92428824D01*
+X92448905Y-92421545D01*
+X92519970Y-92399988D01*
+X92585463Y-92364981D01*
+X92642869Y-92317869D01*
+X92689981Y-92260463D01*
+X92716114Y-92211572D01*
+X92747669Y-92202000D01*
+X93471176Y-92202000D01*
+X93471176Y-92400000D01*
+X93478455Y-92473905D01*
+X93500012Y-92544970D01*
+X93535019Y-92610463D01*
+X93582131Y-92667869D01*
+X93639537Y-92714981D01*
+X93705030Y-92749988D01*
+X93776095Y-92771545D01*
+X93850000Y-92778824D01*
+X94150000Y-92778824D01*
+X94223905Y-92771545D01*
+X94250000Y-92763629D01*
+X94276095Y-92771545D01*
+X94350000Y-92778824D01*
+X94382750Y-92777000D01*
+X94477000Y-92682750D01*
+X94477000Y-92587977D01*
+X94499988Y-92544970D01*
+X94500000Y-92544930D01*
+X94500012Y-92544970D01*
+X94523000Y-92587977D01*
+X94523000Y-92682750D01*
+X94610500Y-92770250D01*
+X94610500Y-93873000D01*
+X79053660Y-93873000D01*
+X80658250Y-92268410D01*
+X84761195Y-92268410D01*
+X84841306Y-92435043D01*
+X85044213Y-92525111D01*
+X85260793Y-92573864D01*
+X85482723Y-92579428D01*
+X85701474Y-92541588D01*
+X85908640Y-92461798D01*
+X85958694Y-92435043D01*
+X86038805Y-92268410D01*
+X85400000Y-91629605D01*
+X84761195Y-92268410D01*
+X80658250Y-92268410D01*
+X81393937Y-91532723D01*
+X84270572Y-91532723D01*
+X84308412Y-91751474D01*
+X84388202Y-91958640D01*
+X84414957Y-92008694D01*
+X84581590Y-92088805D01*
+X85220395Y-91450000D01*
+X85579605Y-91450000D01*
+X86218410Y-92088805D01*
+X86385043Y-92008694D01*
+X86475111Y-91805787D01*
+X86523864Y-91589207D01*
+X86529428Y-91367277D01*
+X86491588Y-91148526D01*
+X86411798Y-90941360D01*
+X86385043Y-90891306D01*
+X86218410Y-90811195D01*
+X85579605Y-91450000D01*
+X85220395Y-91450000D01*
+X84581590Y-90811195D01*
+X84414957Y-90891306D01*
+X84324889Y-91094213D01*
+X84276136Y-91310793D01*
+X84270572Y-91532723D01*
+X81393937Y-91532723D01*
+X81792240Y-91134421D01*
+X81842870Y-91092870D01*
+X81891651Y-91033430D01*
+X82008698Y-90890810D01*
+X82131919Y-90660278D01*
+X82135535Y-90648357D01*
+X82140621Y-90631590D01*
+X84761195Y-90631590D01*
+X85400000Y-91270395D01*
+X86038805Y-90631590D01*
+X85958694Y-90464957D01*
+X85755787Y-90374889D01*
+X85539207Y-90326136D01*
+X85317277Y-90320572D01*
+X85098526Y-90358412D01*
+X84891360Y-90438202D01*
+X84841306Y-90464957D01*
+X84761195Y-90631590D01*
+X82140621Y-90631590D01*
+X82207799Y-90410137D01*
+X82227000Y-90215184D01*
+X82227000Y-90215175D01*
+X82233419Y-90150001D01*
+X82227000Y-90084827D01*
+X82227000Y-89599551D01*
+X82305853Y-89557403D01*
+X82416554Y-89466554D01*
+X82507403Y-89355853D01*
+X82549551Y-89277000D01*
+X84209619Y-89277000D01*
+X84252739Y-89341533D01*
+X84458467Y-89547261D01*
+X84700378Y-89708901D01*
+X84969175Y-89820240D01*
+X85254528Y-89877000D01*
+X85545472Y-89877000D01*
+X85830825Y-89820240D01*
+X86099622Y-89708901D01*
+X86341533Y-89547261D01*
+X86547261Y-89341533D01*
+X86708901Y-89099622D01*
+X86770876Y-88950000D01*
+X91246176Y-88950000D01*
+X91248000Y-89328750D01*
+X91342250Y-89423000D01*
+X91873000Y-89423000D01*
+X91873000Y-88667250D01*
+X92127000Y-88667250D01*
+X92127000Y-89423000D01*
+X92657750Y-89423000D01*
+X92752000Y-89328750D01*
+X92753824Y-88950000D01*
+X92746545Y-88876095D01*
+X92724988Y-88805030D01*
+X92689981Y-88739537D01*
+X92642869Y-88682131D01*
+X92585463Y-88635019D01*
+X92519970Y-88600012D01*
+X92448905Y-88578455D01*
+X92375000Y-88571176D01*
+X92221250Y-88573000D01*
+X92127000Y-88667250D01*
+X91873000Y-88667250D01*
+X91778750Y-88573000D01*
+X91625000Y-88571176D01*
+X91551095Y-88578455D01*
+X91480030Y-88600012D01*
+X91414537Y-88635019D01*
+X91357131Y-88682131D01*
+X91310019Y-88739537D01*
+X91275012Y-88805030D01*
+X91253455Y-88876095D01*
+X91246176Y-88950000D01*
+X86770876Y-88950000D01*
+X86820240Y-88830825D01*
+X86877000Y-88545472D01*
+X86877000Y-88254528D01*
+X86820240Y-87969175D01*
+X86708901Y-87700378D01*
+X86547261Y-87458467D01*
+X86341533Y-87252739D01*
+X86327000Y-87243028D01*
+X86327000Y-85968261D01*
+X86450228Y-85930880D01*
+X86619746Y-85840270D01*
+X86716135Y-85761165D01*
+X86720931Y-85760693D01*
+X86933371Y-85696250D01*
+X87129157Y-85591600D01*
+X87300765Y-85450765D01*
+X87336059Y-85407759D01*
+X88532764Y-84211055D01*
+X88575765Y-84175765D01*
+X88611055Y-84132764D01*
+X88611058Y-84132761D01*
+X88716600Y-84004157D01*
+X88736979Y-83966030D01*
+X88821250Y-83808371D01*
+X88885693Y-83595931D01*
+X88902000Y-83430365D01*
+X88902000Y-83430355D01*
+X88907452Y-83375001D01*
+X88902000Y-83319646D01*
+X88902000Y-82539236D01*
+X88926095Y-82546545D01*
+X89000000Y-82553824D01*
+X89303750Y-82552000D01*
+X89398000Y-82457750D01*
+X89398000Y-81827000D01*
+X89652000Y-81827000D01*
+X89652000Y-82457750D01*
+X89746250Y-82552000D01*
+X90050000Y-82553824D01*
+X90123905Y-82546545D01*
+X90194970Y-82524988D01*
+X90260463Y-82489981D01*
+X90317869Y-82442869D01*
+X90364981Y-82385463D01*
+X90399988Y-82319970D01*
+X90421545Y-82248905D01*
+X90428824Y-82175000D01*
+X90427000Y-81921250D01*
+X90405750Y-81900000D01*
+X96896176Y-81900000D01*
+X96903455Y-81973905D01*
+X96925012Y-82044970D01*
+X96960019Y-82110463D01*
+X97007131Y-82167869D01*
+X97064537Y-82214981D01*
+X97130030Y-82249988D01*
+X97201095Y-82271545D01*
+X97275000Y-82278824D01*
+X97528750Y-82277000D01*
+X97623000Y-82182750D01*
+X97623000Y-81502000D01*
+X97877000Y-81502000D01*
+X97877000Y-82182750D01*
+X97971250Y-82277000D01*
+X98225000Y-82278824D01*
+X98298905Y-82271545D01*
+X98369970Y-82249988D01*
+X98435463Y-82214981D01*
+X98492869Y-82167869D01*
+X98539981Y-82110463D01*
+X98574988Y-82044970D01*
+X98596545Y-81973905D01*
+X98603824Y-81900000D01*
+X98896176Y-81900000D01*
+X98903455Y-81973905D01*
+X98925012Y-82044970D01*
+X98960019Y-82110463D01*
+X99007131Y-82167869D01*
+X99064537Y-82214981D01*
+X99130030Y-82249988D01*
+X99201095Y-82271545D01*
+X99275000Y-82278824D01*
+X99528750Y-82277000D01*
+X99623000Y-82182750D01*
+X99623000Y-81502000D01*
+X99877000Y-81502000D01*
+X99877000Y-82182750D01*
+X99971250Y-82277000D01*
+X100225000Y-82278824D01*
+X100298905Y-82271545D01*
+X100369970Y-82249988D01*
+X100435463Y-82214981D01*
+X100492869Y-82167869D01*
+X100539981Y-82110463D01*
+X100574988Y-82044970D01*
+X100596545Y-81973905D01*
+X100603824Y-81900000D01*
+X100602000Y-81596250D01*
+X100507750Y-81502000D01*
+X99877000Y-81502000D01*
+X99623000Y-81502000D01*
+X98992250Y-81502000D01*
+X98898000Y-81596250D01*
+X98896176Y-81900000D01*
+X98603824Y-81900000D01*
+X98602000Y-81596250D01*
+X98507750Y-81502000D01*
+X97877000Y-81502000D01*
+X97623000Y-81502000D01*
+X96992250Y-81502000D01*
+X96898000Y-81596250D01*
+X96896176Y-81900000D01*
+X90405750Y-81900000D01*
+X90332750Y-81827000D01*
+X89652000Y-81827000D01*
+X89398000Y-81827000D01*
+X89378000Y-81827000D01*
+X89378000Y-81573000D01*
+X89398000Y-81573000D01*
+X89398000Y-80942250D01*
+X89652000Y-80942250D01*
+X89652000Y-81573000D01*
+X90332750Y-81573000D01*
+X90427000Y-81478750D01*
+X90428824Y-81225000D01*
+X90421545Y-81151095D01*
+X90399988Y-81080030D01*
+X90364981Y-81014537D01*
+X90317869Y-80957131D01*
+X90260463Y-80910019D01*
+X90194970Y-80875012D01*
+X90123905Y-80853455D01*
+X90050000Y-80846176D01*
+X89746250Y-80848000D01*
+X89652000Y-80942250D01*
+X89398000Y-80942250D01*
+X89303750Y-80848000D01*
+X89000000Y-80846176D01*
+X88926095Y-80853455D01*
+X88855030Y-80875012D01*
+X88835268Y-80885575D01*
+X88752000Y-80784112D01*
+X88752000Y-79920456D01*
+X88925325Y-79747131D01*
+X88928455Y-79778905D01*
+X88950012Y-79849970D01*
+X88985019Y-79915463D01*
+X89032131Y-79972869D01*
+X89089537Y-80019981D01*
+X89155030Y-80054988D01*
+X89226095Y-80076545D01*
+X89300000Y-80083824D01*
+X90053750Y-80082000D01*
+X90148000Y-79987750D01*
+X90148000Y-79532000D01*
+X90402000Y-79532000D01*
+X90402000Y-79987750D01*
+X90496250Y-80082000D01*
+X91250000Y-80083824D01*
+X91323905Y-80076545D01*
+X91394970Y-80054988D01*
+X91460463Y-80019981D01*
+X91517869Y-79972869D01*
+X91564981Y-79915463D01*
+X91599988Y-79849970D01*
+X91621545Y-79778905D01*
+X91628824Y-79705000D01*
+X91627000Y-79626250D01*
+X91532750Y-79532000D01*
+X90402000Y-79532000D01*
+X90148000Y-79532000D01*
+X90128000Y-79532000D01*
+X90128000Y-79278000D01*
+X90148000Y-79278000D01*
+X90148000Y-79258000D01*
+X90402000Y-79258000D01*
+X90402000Y-79278000D01*
+X91532750Y-79278000D01*
+X91627000Y-79183750D01*
+X91628824Y-79105000D01*
+X91621545Y-79031095D01*
+X91611702Y-78998647D01*
+X91722620Y-78907620D01*
+X91832123Y-78774189D01*
+X91913492Y-78621959D01*
+X91963598Y-78456780D01*
+X91980517Y-78285000D01*
+X91980517Y-77985000D01*
+X91963598Y-77813220D01*
+X91913492Y-77648041D01*
+X91832123Y-77495811D01*
+X91722620Y-77362380D01*
+X91589189Y-77252877D01*
+X91573947Y-77244730D01*
+X91588570Y-77217372D01*
+X91618663Y-77118168D01*
+X91628824Y-77015000D01*
+X91628824Y-76715000D01*
+X91618663Y-76611832D01*
+X91588570Y-76512628D01*
+X91539701Y-76421201D01*
+X91483562Y-76352796D01*
+X91603784Y-76316327D01*
+X91738766Y-76244177D01*
+X91857080Y-76147080D01*
+X91881412Y-76117431D01*
+X92522437Y-75476407D01*
+X92552080Y-75452080D01*
+X92598454Y-75395573D01*
+X92649177Y-75333767D01*
+X92721327Y-75198784D01*
+X92730133Y-75169755D01*
+X92765757Y-75052319D01*
+X92777000Y-74938166D01*
+X92777000Y-74938157D01*
+X92780758Y-74900001D01*
+X92777000Y-74861845D01*
+X92777000Y-73778824D01*
+X93250000Y-73778824D01*
+X93323905Y-73771545D01*
+X93394970Y-73749988D01*
+X93460463Y-73714981D01*
+X93517869Y-73667869D01*
+X93564981Y-73610463D01*
+X93599988Y-73544970D01*
+X93621545Y-73473905D01*
+X93628824Y-73400000D01*
+X93628824Y-73277000D01*
+X94771176Y-73277000D01*
+X94771176Y-74200000D01*
+X94778455Y-74273905D01*
+X94800012Y-74344970D01*
+X94835019Y-74410463D01*
+X94882131Y-74467869D01*
+X94939537Y-74514981D01*
+X95005030Y-74549988D01*
+X95076095Y-74571545D01*
+X95150000Y-74578824D01*
+X96679980Y-74578824D01*
+X97098001Y-74996845D01*
+X97098000Y-75392118D01*
+X97075045Y-75420089D01*
+X97018183Y-75526471D01*
+X96665138Y-75173427D01*
+X96645501Y-75149499D01*
+X96550028Y-75071147D01*
+X96441103Y-75012925D01*
+X96322913Y-74977073D01*
+X96283782Y-74973219D01*
+X96252372Y-74956430D01*
+X96153168Y-74926337D01*
+X96050000Y-74916176D01*
+X94400000Y-74916176D01*
+X94296832Y-74926337D01*
+X94197628Y-74956430D01*
+X94106201Y-75005299D01*
+X94026065Y-75071065D01*
+X93960299Y-75151201D01*
+X93911430Y-75242628D01*
+X93881337Y-75341832D01*
+X93871176Y-75445000D01*
+X93871176Y-75745000D01*
+X93881337Y-75848168D01*
+X93911430Y-75947372D01*
+X93960299Y-76038799D01*
+X94026065Y-76118935D01*
+X94106201Y-76184701D01*
+X94190949Y-76230000D01*
+X94106201Y-76275299D01*
+X94026065Y-76341065D01*
+X93960299Y-76421201D01*
+X93911430Y-76512628D01*
+X93881337Y-76611832D01*
+X93871176Y-76715000D01*
+X93871176Y-77015000D01*
+X93881337Y-77118168D01*
+X93911430Y-77217372D01*
+X93960299Y-77308799D01*
+X94026065Y-77388935D01*
+X94106201Y-77454701D01*
+X94190949Y-77500000D01*
+X94106201Y-77545299D01*
+X94026065Y-77611065D01*
+X93960299Y-77691201D01*
+X93911430Y-77782628D01*
+X93881337Y-77881832D01*
+X93871176Y-77985000D01*
+X93871176Y-78285000D01*
+X93881337Y-78388168D01*
+X93911430Y-78487372D01*
+X93960299Y-78578799D01*
+X94026065Y-78658935D01*
+X94106201Y-78724701D01*
+X94190949Y-78770000D01*
+X94106201Y-78815299D01*
+X94026065Y-78881065D01*
+X93960299Y-78961201D01*
+X93911430Y-79052628D01*
+X93881337Y-79151832D01*
+X93871176Y-79255000D01*
+X93871176Y-79555000D01*
+X93881337Y-79658168D01*
+X93911430Y-79757372D01*
+X93960299Y-79848799D01*
+X94026065Y-79928935D01*
+X94106201Y-79994701D01*
+X94197628Y-80043570D01*
+X94296832Y-80073663D01*
+X94400000Y-80083824D01*
+X94846700Y-80083824D01*
+X94926217Y-80126327D01*
+X95072682Y-80170756D01*
+X95225000Y-80185759D01*
+X95313926Y-80177000D01*
+X96958401Y-80177000D01*
+X97000045Y-80254911D01*
+X97076693Y-80348307D01*
+X97170089Y-80424955D01*
+X97259432Y-80472709D01*
+X97201095Y-80478455D01*
+X97130030Y-80500012D01*
+X97064537Y-80535019D01*
+X97007131Y-80582131D01*
+X96960019Y-80639537D01*
+X96925012Y-80705030D01*
+X96903455Y-80776095D01*
+X96896176Y-80850000D01*
+X96898000Y-81153750D01*
+X96992250Y-81248000D01*
+X97623000Y-81248000D01*
+X97623000Y-81228000D01*
+X97877000Y-81228000D01*
+X97877000Y-81248000D01*
+X98507750Y-81248000D01*
+X98602000Y-81153750D01*
+X98603824Y-80850000D01*
+X98596545Y-80776095D01*
+X98574988Y-80705030D01*
+X98539981Y-80639537D01*
+X98492869Y-80582131D01*
+X98435463Y-80535019D01*
+X98369970Y-80500012D01*
+X98298905Y-80478455D01*
+X98240568Y-80472709D01*
+X98329911Y-80424955D01*
+X98357882Y-80402000D01*
+X99142118Y-80402000D01*
+X99170089Y-80424955D01*
+X99259432Y-80472709D01*
+X99201095Y-80478455D01*
+X99130030Y-80500012D01*
+X99064537Y-80535019D01*
+X99007131Y-80582131D01*
+X98960019Y-80639537D01*
+X98925012Y-80705030D01*
+X98903455Y-80776095D01*
+X98896176Y-80850000D01*
+X98898000Y-81153750D01*
+X98992250Y-81248000D01*
+X99623000Y-81248000D01*
+X99623000Y-81228000D01*
+X99877000Y-81228000D01*
+X99877000Y-81248000D01*
+X100507750Y-81248000D01*
+X100602000Y-81153750D01*
+X100603824Y-80850000D01*
+X100596545Y-80776095D01*
+X100574988Y-80705030D01*
+X100539981Y-80639537D01*
+X100492869Y-80582131D01*
+X100435463Y-80535019D01*
+X100369970Y-80500012D01*
+X100298905Y-80478455D01*
+X100240568Y-80472709D01*
+X100329911Y-80424955D01*
+X100423307Y-80348307D01*
+X100499955Y-80254911D01*
+X100556909Y-80148357D01*
+X100591982Y-80032739D01*
+X100603824Y-79912500D01*
+X100603824Y-79870020D01*
+X100646844Y-79827000D01*
+X101211837Y-79827000D01*
+X101250000Y-79830759D01*
+X101288163Y-79827000D01*
+X101288166Y-79827000D01*
+X101402319Y-79815757D01*
+X101548784Y-79771327D01*
+X101683766Y-79699177D01*
+X101802080Y-79602080D01*
+X101826413Y-79572430D01*
+X101870019Y-79528824D01*
+X101987500Y-79528824D01*
+X102107739Y-79516982D01*
+X102223357Y-79481909D01*
+X102329911Y-79424955D01*
+X102423307Y-79348307D01*
+X102499955Y-79254911D01*
+X102556909Y-79148357D01*
+X102591982Y-79032739D01*
+X102603824Y-78912500D01*
+X102603824Y-78337500D01*
+X102591982Y-78217261D01*
+X102556909Y-78101643D01*
+X102499955Y-77995089D01*
+X102423307Y-77901693D01*
+X102329911Y-77825045D01*
+X102223357Y-77768091D01*
+X102163720Y-77750000D01*
+X102223357Y-77731909D01*
+X102329911Y-77674955D01*
+X102423307Y-77598307D01*
+X102499955Y-77504911D01*
+X102556909Y-77398357D01*
+X102591982Y-77282739D01*
+X102603824Y-77162500D01*
+X102603824Y-76587500D01*
+X102591982Y-76467261D01*
+X102556909Y-76351643D01*
+X102527000Y-76295687D01*
+X102527000Y-73988155D01*
+X102530758Y-73949999D01*
+X102527000Y-73911843D01*
+X102527000Y-73911834D01*
+X102515757Y-73797681D01*
+X102471327Y-73651216D01*
+X102456561Y-73623591D01*
+X102399177Y-73516233D01*
+X102326409Y-73427565D01*
+X102326408Y-73427564D01*
+X102302080Y-73397920D01*
+X102272436Y-73373592D01*
+X101925844Y-73027000D01*
+X102383181Y-73027000D01*
+X102481579Y-73125398D01*
+X102666165Y-73248734D01*
+X102871266Y-73333690D01*
+X103089000Y-73377000D01*
+X103311000Y-73377000D01*
+X103528734Y-73333690D01*
+X103733835Y-73248734D01*
+X103918421Y-73125398D01*
+X104075398Y-72968421D01*
+X104198734Y-72783835D01*
+X104283690Y-72578734D01*
+X104291322Y-72540364D01*
+X105023000Y-71808686D01*
+X105023001Y-79423467D01*
+G37*
+X105023001Y-79423467D02*
+X105023000Y-79423472D01*
+X105023000Y-79576528D01*
+X105030486Y-79614164D01*
+X105034244Y-79652319D01*
+X105045372Y-79689004D01*
+X105052859Y-79726643D01*
+X105067546Y-79762101D01*
+X105078674Y-79798784D01*
+X105096744Y-79832590D01*
+X105111431Y-79868048D01*
+X105132755Y-79899962D01*
+X105150824Y-79933766D01*
+X105175140Y-79963395D01*
+X105196464Y-79995309D01*
+X105223602Y-80022447D01*
+X105247921Y-80052080D01*
+X105277554Y-80076399D01*
+X105304691Y-80103536D01*
+X105336603Y-80124859D01*
+X105366235Y-80149177D01*
+X105400041Y-80167247D01*
+X105431952Y-80188569D01*
+X105467407Y-80203255D01*
+X105501217Y-80221327D01*
+X105537905Y-80232456D01*
+X105573357Y-80247141D01*
+X105610990Y-80254626D01*
+X105647682Y-80265757D01*
+X105685845Y-80269516D01*
+X105723472Y-80277000D01*
+X105761834Y-80277000D01*
+X105773051Y-80278105D01*
+X103728157Y-82323000D01*
+X98923472Y-82323000D01*
+X98885846Y-82330484D01*
+X98847681Y-82334243D01*
+X98810987Y-82345374D01*
+X98773357Y-82352859D01*
+X98737908Y-82367543D01*
+X98701216Y-82378673D01*
+X98667402Y-82396747D01*
+X98631952Y-82411431D01*
+X98600045Y-82432750D01*
+X98566234Y-82450823D01*
+X98536598Y-82475144D01*
+X98504691Y-82496464D01*
+X98477558Y-82523597D01*
+X98447920Y-82547920D01*
+X98423597Y-82577558D01*
+X98396464Y-82604691D01*
+X98375144Y-82636598D01*
+X98350823Y-82666234D01*
+X98332750Y-82700045D01*
+X98311431Y-82731952D01*
+X98296747Y-82767402D01*
+X98278673Y-82801216D01*
+X98267543Y-82837908D01*
+X98252859Y-82873357D01*
+X98245374Y-82910987D01*
+X98234243Y-82947681D01*
+X98230484Y-82985846D01*
+X98223000Y-83023472D01*
+X98223000Y-83061834D01*
+X98219241Y-83100000D01*
+X98223000Y-83138166D01*
+X98223000Y-83176528D01*
+X98230484Y-83214154D01*
+X98234243Y-83252319D01*
+X98245374Y-83289013D01*
+X98252859Y-83326643D01*
+X98267543Y-83362092D01*
+X98278673Y-83398784D01*
+X98296747Y-83432598D01*
+X98311431Y-83468048D01*
+X98332750Y-83499955D01*
+X98350823Y-83533766D01*
+X98375144Y-83563402D01*
+X98396464Y-83595309D01*
+X98423597Y-83622442D01*
+X98447920Y-83652080D01*
+X98477558Y-83676403D01*
+X98504691Y-83703536D01*
+X98536598Y-83724856D01*
+X98566234Y-83749177D01*
+X98600045Y-83767250D01*
+X98608622Y-83772980D01*
+X95326853Y-83772981D01*
+X95302200Y-83770553D01*
+X95203790Y-83780245D01*
+X95109163Y-83808950D01*
+X95021954Y-83855563D01*
+X94964664Y-83902580D01*
+X94964651Y-83902593D01*
+X94945516Y-83918297D01*
+X94929812Y-83937432D01*
+X93187466Y-85679780D01*
+X93168315Y-85695497D01*
+X93105582Y-85771936D01*
+X93058968Y-85859146D01*
+X93030263Y-85953773D01*
+X93022999Y-86027529D01*
+X93022999Y-86027538D01*
+X93020572Y-86052181D01*
+X93022999Y-86076824D01*
+X93023000Y-88235348D01*
+X93020572Y-88260001D01*
+X93030264Y-88358410D01*
+X93058969Y-88453037D01*
+X93105583Y-88540246D01*
+X93152600Y-88597536D01*
+X93152603Y-88597539D01*
+X93168316Y-88616685D01*
+X93187462Y-88632398D01*
+X94498000Y-89942937D01*
+X94498001Y-90623000D01*
+X94382750Y-90623000D01*
+X94350000Y-90621176D01*
+X94276095Y-90628455D01*
+X94250000Y-90636371D01*
+X94223905Y-90628455D01*
+X94150000Y-90621176D01*
+X93850000Y-90621176D01*
+X93776095Y-90628455D01*
+X93705030Y-90650012D01*
+X93697678Y-90653942D01*
+X93688569Y-90631952D01*
+X93667248Y-90600043D01*
+X93649177Y-90566234D01*
+X93624858Y-90536601D01*
+X93603536Y-90504691D01*
+X93576396Y-90477551D01*
+X93552079Y-90447921D01*
+X93522449Y-90423604D01*
+X93495309Y-90396464D01*
+X93463399Y-90375142D01*
+X93433766Y-90350823D01*
+X93399957Y-90332752D01*
+X93368048Y-90311431D01*
+X93332591Y-90296744D01*
+X93298782Y-90278673D01*
+X93262099Y-90267546D01*
+X93226643Y-90252859D01*
+X93189002Y-90245372D01*
+X93152318Y-90234244D01*
+X93114165Y-90230486D01*
+X93076528Y-90223000D01*
+X93038155Y-90223000D01*
+X92999999Y-90219242D01*
+X92961843Y-90223000D01*
+X92923472Y-90223000D01*
+X92885836Y-90230486D01*
+X92847681Y-90234244D01*
+X92810994Y-90245373D01*
+X92773357Y-90252859D01*
+X92737905Y-90267544D01*
+X92732842Y-90269080D01*
+X92746545Y-90223905D01*
+X92753824Y-90150000D01*
+X92752000Y-89771250D01*
+X92657750Y-89677000D01*
+X92127000Y-89677000D01*
+X92127000Y-89697000D01*
+X91873000Y-89697000D01*
+X91873000Y-89677000D01*
+X91342250Y-89677000D01*
+X91248000Y-89771250D01*
+X91246176Y-90150000D01*
+X91253455Y-90223905D01*
+X91275012Y-90294970D01*
+X91310019Y-90360463D01*
+X91357131Y-90417869D01*
+X91414537Y-90464981D01*
+X91480030Y-90499988D01*
+X91480070Y-90500000D01*
+X91480030Y-90500012D01*
+X91414537Y-90535019D01*
+X91357131Y-90582131D01*
+X91310019Y-90639537D01*
+X91275012Y-90705030D01*
+X91253455Y-90776095D01*
+X91246176Y-90850000D01*
+X91246176Y-91258343D01*
+X91234243Y-91297681D01*
+X91219241Y-91450000D01*
+X91234243Y-91602319D01*
+X91246176Y-91641657D01*
+X91246176Y-92050000D01*
+X91253455Y-92123905D01*
+X91275012Y-92194970D01*
+X91310019Y-92260463D01*
+X91357131Y-92317869D01*
+X91414537Y-92364981D01*
+X91480030Y-92399988D01*
+X91551095Y-92421545D01*
+X91625000Y-92428824D01*
+X92375000Y-92428824D01*
+X92448905Y-92421545D01*
+X92519970Y-92399988D01*
+X92585463Y-92364981D01*
+X92642869Y-92317869D01*
+X92689981Y-92260463D01*
+X92716114Y-92211572D01*
+X92747669Y-92202000D01*
+X93471176Y-92202000D01*
+X93471176Y-92400000D01*
+X93478455Y-92473905D01*
+X93500012Y-92544970D01*
+X93535019Y-92610463D01*
+X93582131Y-92667869D01*
+X93639537Y-92714981D01*
+X93705030Y-92749988D01*
+X93776095Y-92771545D01*
+X93850000Y-92778824D01*
+X94150000Y-92778824D01*
+X94223905Y-92771545D01*
+X94250000Y-92763629D01*
+X94276095Y-92771545D01*
+X94350000Y-92778824D01*
+X94382750Y-92777000D01*
+X94477000Y-92682750D01*
+X94477000Y-92587977D01*
+X94499988Y-92544970D01*
+X94500000Y-92544930D01*
+X94500012Y-92544970D01*
+X94523000Y-92587977D01*
+X94523000Y-92682750D01*
+X94610500Y-92770250D01*
+X94610500Y-93873000D01*
+X79053660Y-93873000D01*
+X80658250Y-92268410D01*
+X84761195Y-92268410D01*
+X84841306Y-92435043D01*
+X85044213Y-92525111D01*
+X85260793Y-92573864D01*
+X85482723Y-92579428D01*
+X85701474Y-92541588D01*
+X85908640Y-92461798D01*
+X85958694Y-92435043D01*
+X86038805Y-92268410D01*
+X85400000Y-91629605D01*
+X84761195Y-92268410D01*
+X80658250Y-92268410D01*
+X81393937Y-91532723D01*
+X84270572Y-91532723D01*
+X84308412Y-91751474D01*
+X84388202Y-91958640D01*
+X84414957Y-92008694D01*
+X84581590Y-92088805D01*
+X85220395Y-91450000D01*
+X85579605Y-91450000D01*
+X86218410Y-92088805D01*
+X86385043Y-92008694D01*
+X86475111Y-91805787D01*
+X86523864Y-91589207D01*
+X86529428Y-91367277D01*
+X86491588Y-91148526D01*
+X86411798Y-90941360D01*
+X86385043Y-90891306D01*
+X86218410Y-90811195D01*
+X85579605Y-91450000D01*
+X85220395Y-91450000D01*
+X84581590Y-90811195D01*
+X84414957Y-90891306D01*
+X84324889Y-91094213D01*
+X84276136Y-91310793D01*
+X84270572Y-91532723D01*
+X81393937Y-91532723D01*
+X81792240Y-91134421D01*
+X81842870Y-91092870D01*
+X81891651Y-91033430D01*
+X82008698Y-90890810D01*
+X82131919Y-90660278D01*
+X82135535Y-90648357D01*
+X82140621Y-90631590D01*
+X84761195Y-90631590D01*
+X85400000Y-91270395D01*
+X86038805Y-90631590D01*
+X85958694Y-90464957D01*
+X85755787Y-90374889D01*
+X85539207Y-90326136D01*
+X85317277Y-90320572D01*
+X85098526Y-90358412D01*
+X84891360Y-90438202D01*
+X84841306Y-90464957D01*
+X84761195Y-90631590D01*
+X82140621Y-90631590D01*
+X82207799Y-90410137D01*
+X82227000Y-90215184D01*
+X82227000Y-90215175D01*
+X82233419Y-90150001D01*
+X82227000Y-90084827D01*
+X82227000Y-89599551D01*
+X82305853Y-89557403D01*
+X82416554Y-89466554D01*
+X82507403Y-89355853D01*
+X82549551Y-89277000D01*
+X84209619Y-89277000D01*
+X84252739Y-89341533D01*
+X84458467Y-89547261D01*
+X84700378Y-89708901D01*
+X84969175Y-89820240D01*
+X85254528Y-89877000D01*
+X85545472Y-89877000D01*
+X85830825Y-89820240D01*
+X86099622Y-89708901D01*
+X86341533Y-89547261D01*
+X86547261Y-89341533D01*
+X86708901Y-89099622D01*
+X86770876Y-88950000D01*
+X91246176Y-88950000D01*
+X91248000Y-89328750D01*
+X91342250Y-89423000D01*
+X91873000Y-89423000D01*
+X91873000Y-88667250D01*
+X92127000Y-88667250D01*
+X92127000Y-89423000D01*
+X92657750Y-89423000D01*
+X92752000Y-89328750D01*
+X92753824Y-88950000D01*
+X92746545Y-88876095D01*
+X92724988Y-88805030D01*
+X92689981Y-88739537D01*
+X92642869Y-88682131D01*
+X92585463Y-88635019D01*
+X92519970Y-88600012D01*
+X92448905Y-88578455D01*
+X92375000Y-88571176D01*
+X92221250Y-88573000D01*
+X92127000Y-88667250D01*
+X91873000Y-88667250D01*
+X91778750Y-88573000D01*
+X91625000Y-88571176D01*
+X91551095Y-88578455D01*
+X91480030Y-88600012D01*
+X91414537Y-88635019D01*
+X91357131Y-88682131D01*
+X91310019Y-88739537D01*
+X91275012Y-88805030D01*
+X91253455Y-88876095D01*
+X91246176Y-88950000D01*
+X86770876Y-88950000D01*
+X86820240Y-88830825D01*
+X86877000Y-88545472D01*
+X86877000Y-88254528D01*
+X86820240Y-87969175D01*
+X86708901Y-87700378D01*
+X86547261Y-87458467D01*
+X86341533Y-87252739D01*
+X86327000Y-87243028D01*
+X86327000Y-85968261D01*
+X86450228Y-85930880D01*
+X86619746Y-85840270D01*
+X86716135Y-85761165D01*
+X86720931Y-85760693D01*
+X86933371Y-85696250D01*
+X87129157Y-85591600D01*
+X87300765Y-85450765D01*
+X87336059Y-85407759D01*
+X88532764Y-84211055D01*
+X88575765Y-84175765D01*
+X88611055Y-84132764D01*
+X88611058Y-84132761D01*
+X88716600Y-84004157D01*
+X88736979Y-83966030D01*
+X88821250Y-83808371D01*
+X88885693Y-83595931D01*
+X88902000Y-83430365D01*
+X88902000Y-83430355D01*
+X88907452Y-83375001D01*
+X88902000Y-83319646D01*
+X88902000Y-82539236D01*
+X88926095Y-82546545D01*
+X89000000Y-82553824D01*
+X89303750Y-82552000D01*
+X89398000Y-82457750D01*
+X89398000Y-81827000D01*
+X89652000Y-81827000D01*
+X89652000Y-82457750D01*
+X89746250Y-82552000D01*
+X90050000Y-82553824D01*
+X90123905Y-82546545D01*
+X90194970Y-82524988D01*
+X90260463Y-82489981D01*
+X90317869Y-82442869D01*
+X90364981Y-82385463D01*
+X90399988Y-82319970D01*
+X90421545Y-82248905D01*
+X90428824Y-82175000D01*
+X90427000Y-81921250D01*
+X90405750Y-81900000D01*
+X96896176Y-81900000D01*
+X96903455Y-81973905D01*
+X96925012Y-82044970D01*
+X96960019Y-82110463D01*
+X97007131Y-82167869D01*
+X97064537Y-82214981D01*
+X97130030Y-82249988D01*
+X97201095Y-82271545D01*
+X97275000Y-82278824D01*
+X97528750Y-82277000D01*
+X97623000Y-82182750D01*
+X97623000Y-81502000D01*
+X97877000Y-81502000D01*
+X97877000Y-82182750D01*
+X97971250Y-82277000D01*
+X98225000Y-82278824D01*
+X98298905Y-82271545D01*
+X98369970Y-82249988D01*
+X98435463Y-82214981D01*
+X98492869Y-82167869D01*
+X98539981Y-82110463D01*
+X98574988Y-82044970D01*
+X98596545Y-81973905D01*
+X98603824Y-81900000D01*
+X98896176Y-81900000D01*
+X98903455Y-81973905D01*
+X98925012Y-82044970D01*
+X98960019Y-82110463D01*
+X99007131Y-82167869D01*
+X99064537Y-82214981D01*
+X99130030Y-82249988D01*
+X99201095Y-82271545D01*
+X99275000Y-82278824D01*
+X99528750Y-82277000D01*
+X99623000Y-82182750D01*
+X99623000Y-81502000D01*
+X99877000Y-81502000D01*
+X99877000Y-82182750D01*
+X99971250Y-82277000D01*
+X100225000Y-82278824D01*
+X100298905Y-82271545D01*
+X100369970Y-82249988D01*
+X100435463Y-82214981D01*
+X100492869Y-82167869D01*
+X100539981Y-82110463D01*
+X100574988Y-82044970D01*
+X100596545Y-81973905D01*
+X100603824Y-81900000D01*
+X100602000Y-81596250D01*
+X100507750Y-81502000D01*
+X99877000Y-81502000D01*
+X99623000Y-81502000D01*
+X98992250Y-81502000D01*
+X98898000Y-81596250D01*
+X98896176Y-81900000D01*
+X98603824Y-81900000D01*
+X98602000Y-81596250D01*
+X98507750Y-81502000D01*
+X97877000Y-81502000D01*
+X97623000Y-81502000D01*
+X96992250Y-81502000D01*
+X96898000Y-81596250D01*
+X96896176Y-81900000D01*
+X90405750Y-81900000D01*
+X90332750Y-81827000D01*
+X89652000Y-81827000D01*
+X89398000Y-81827000D01*
+X89378000Y-81827000D01*
+X89378000Y-81573000D01*
+X89398000Y-81573000D01*
+X89398000Y-80942250D01*
+X89652000Y-80942250D01*
+X89652000Y-81573000D01*
+X90332750Y-81573000D01*
+X90427000Y-81478750D01*
+X90428824Y-81225000D01*
+X90421545Y-81151095D01*
+X90399988Y-81080030D01*
+X90364981Y-81014537D01*
+X90317869Y-80957131D01*
+X90260463Y-80910019D01*
+X90194970Y-80875012D01*
+X90123905Y-80853455D01*
+X90050000Y-80846176D01*
+X89746250Y-80848000D01*
+X89652000Y-80942250D01*
+X89398000Y-80942250D01*
+X89303750Y-80848000D01*
+X89000000Y-80846176D01*
+X88926095Y-80853455D01*
+X88855030Y-80875012D01*
+X88835268Y-80885575D01*
+X88752000Y-80784112D01*
+X88752000Y-79920456D01*
+X88925325Y-79747131D01*
+X88928455Y-79778905D01*
+X88950012Y-79849970D01*
+X88985019Y-79915463D01*
+X89032131Y-79972869D01*
+X89089537Y-80019981D01*
+X89155030Y-80054988D01*
+X89226095Y-80076545D01*
+X89300000Y-80083824D01*
+X90053750Y-80082000D01*
+X90148000Y-79987750D01*
+X90148000Y-79532000D01*
+X90402000Y-79532000D01*
+X90402000Y-79987750D01*
+X90496250Y-80082000D01*
+X91250000Y-80083824D01*
+X91323905Y-80076545D01*
+X91394970Y-80054988D01*
+X91460463Y-80019981D01*
+X91517869Y-79972869D01*
+X91564981Y-79915463D01*
+X91599988Y-79849970D01*
+X91621545Y-79778905D01*
+X91628824Y-79705000D01*
+X91627000Y-79626250D01*
+X91532750Y-79532000D01*
+X90402000Y-79532000D01*
+X90148000Y-79532000D01*
+X90128000Y-79532000D01*
+X90128000Y-79278000D01*
+X90148000Y-79278000D01*
+X90148000Y-79258000D01*
+X90402000Y-79258000D01*
+X90402000Y-79278000D01*
+X91532750Y-79278000D01*
+X91627000Y-79183750D01*
+X91628824Y-79105000D01*
+X91621545Y-79031095D01*
+X91611702Y-78998647D01*
+X91722620Y-78907620D01*
+X91832123Y-78774189D01*
+X91913492Y-78621959D01*
+X91963598Y-78456780D01*
+X91980517Y-78285000D01*
+X91980517Y-77985000D01*
+X91963598Y-77813220D01*
+X91913492Y-77648041D01*
+X91832123Y-77495811D01*
+X91722620Y-77362380D01*
+X91589189Y-77252877D01*
+X91573947Y-77244730D01*
+X91588570Y-77217372D01*
+X91618663Y-77118168D01*
+X91628824Y-77015000D01*
+X91628824Y-76715000D01*
+X91618663Y-76611832D01*
+X91588570Y-76512628D01*
+X91539701Y-76421201D01*
+X91483562Y-76352796D01*
+X91603784Y-76316327D01*
+X91738766Y-76244177D01*
+X91857080Y-76147080D01*
+X91881412Y-76117431D01*
+X92522437Y-75476407D01*
+X92552080Y-75452080D01*
+X92598454Y-75395573D01*
+X92649177Y-75333767D01*
+X92721327Y-75198784D01*
+X92730133Y-75169755D01*
+X92765757Y-75052319D01*
+X92777000Y-74938166D01*
+X92777000Y-74938157D01*
+X92780758Y-74900001D01*
+X92777000Y-74861845D01*
+X92777000Y-73778824D01*
+X93250000Y-73778824D01*
+X93323905Y-73771545D01*
+X93394970Y-73749988D01*
+X93460463Y-73714981D01*
+X93517869Y-73667869D01*
+X93564981Y-73610463D01*
+X93599988Y-73544970D01*
+X93621545Y-73473905D01*
+X93628824Y-73400000D01*
+X93628824Y-73277000D01*
+X94771176Y-73277000D01*
+X94771176Y-74200000D01*
+X94778455Y-74273905D01*
+X94800012Y-74344970D01*
+X94835019Y-74410463D01*
+X94882131Y-74467869D01*
+X94939537Y-74514981D01*
+X95005030Y-74549988D01*
+X95076095Y-74571545D01*
+X95150000Y-74578824D01*
+X96679980Y-74578824D01*
+X97098001Y-74996845D01*
+X97098000Y-75392118D01*
+X97075045Y-75420089D01*
+X97018183Y-75526471D01*
+X96665138Y-75173427D01*
+X96645501Y-75149499D01*
+X96550028Y-75071147D01*
+X96441103Y-75012925D01*
+X96322913Y-74977073D01*
+X96283782Y-74973219D01*
+X96252372Y-74956430D01*
+X96153168Y-74926337D01*
+X96050000Y-74916176D01*
+X94400000Y-74916176D01*
+X94296832Y-74926337D01*
+X94197628Y-74956430D01*
+X94106201Y-75005299D01*
+X94026065Y-75071065D01*
+X93960299Y-75151201D01*
+X93911430Y-75242628D01*
+X93881337Y-75341832D01*
+X93871176Y-75445000D01*
+X93871176Y-75745000D01*
+X93881337Y-75848168D01*
+X93911430Y-75947372D01*
+X93960299Y-76038799D01*
+X94026065Y-76118935D01*
+X94106201Y-76184701D01*
+X94190949Y-76230000D01*
+X94106201Y-76275299D01*
+X94026065Y-76341065D01*
+X93960299Y-76421201D01*
+X93911430Y-76512628D01*
+X93881337Y-76611832D01*
+X93871176Y-76715000D01*
+X93871176Y-77015000D01*
+X93881337Y-77118168D01*
+X93911430Y-77217372D01*
+X93960299Y-77308799D01*
+X94026065Y-77388935D01*
+X94106201Y-77454701D01*
+X94190949Y-77500000D01*
+X94106201Y-77545299D01*
+X94026065Y-77611065D01*
+X93960299Y-77691201D01*
+X93911430Y-77782628D01*
+X93881337Y-77881832D01*
+X93871176Y-77985000D01*
+X93871176Y-78285000D01*
+X93881337Y-78388168D01*
+X93911430Y-78487372D01*
+X93960299Y-78578799D01*
+X94026065Y-78658935D01*
+X94106201Y-78724701D01*
+X94190949Y-78770000D01*
+X94106201Y-78815299D01*
+X94026065Y-78881065D01*
+X93960299Y-78961201D01*
+X93911430Y-79052628D01*
+X93881337Y-79151832D01*
+X93871176Y-79255000D01*
+X93871176Y-79555000D01*
+X93881337Y-79658168D01*
+X93911430Y-79757372D01*
+X93960299Y-79848799D01*
+X94026065Y-79928935D01*
+X94106201Y-79994701D01*
+X94197628Y-80043570D01*
+X94296832Y-80073663D01*
+X94400000Y-80083824D01*
+X94846700Y-80083824D01*
+X94926217Y-80126327D01*
+X95072682Y-80170756D01*
+X95225000Y-80185759D01*
+X95313926Y-80177000D01*
+X96958401Y-80177000D01*
+X97000045Y-80254911D01*
+X97076693Y-80348307D01*
+X97170089Y-80424955D01*
+X97259432Y-80472709D01*
+X97201095Y-80478455D01*
+X97130030Y-80500012D01*
+X97064537Y-80535019D01*
+X97007131Y-80582131D01*
+X96960019Y-80639537D01*
+X96925012Y-80705030D01*
+X96903455Y-80776095D01*
+X96896176Y-80850000D01*
+X96898000Y-81153750D01*
+X96992250Y-81248000D01*
+X97623000Y-81248000D01*
+X97623000Y-81228000D01*
+X97877000Y-81228000D01*
+X97877000Y-81248000D01*
+X98507750Y-81248000D01*
+X98602000Y-81153750D01*
+X98603824Y-80850000D01*
+X98596545Y-80776095D01*
+X98574988Y-80705030D01*
+X98539981Y-80639537D01*
+X98492869Y-80582131D01*
+X98435463Y-80535019D01*
+X98369970Y-80500012D01*
+X98298905Y-80478455D01*
+X98240568Y-80472709D01*
+X98329911Y-80424955D01*
+X98357882Y-80402000D01*
+X99142118Y-80402000D01*
+X99170089Y-80424955D01*
+X99259432Y-80472709D01*
+X99201095Y-80478455D01*
+X99130030Y-80500012D01*
+X99064537Y-80535019D01*
+X99007131Y-80582131D01*
+X98960019Y-80639537D01*
+X98925012Y-80705030D01*
+X98903455Y-80776095D01*
+X98896176Y-80850000D01*
+X98898000Y-81153750D01*
+X98992250Y-81248000D01*
+X99623000Y-81248000D01*
+X99623000Y-81228000D01*
+X99877000Y-81228000D01*
+X99877000Y-81248000D01*
+X100507750Y-81248000D01*
+X100602000Y-81153750D01*
+X100603824Y-80850000D01*
+X100596545Y-80776095D01*
+X100574988Y-80705030D01*
+X100539981Y-80639537D01*
+X100492869Y-80582131D01*
+X100435463Y-80535019D01*
+X100369970Y-80500012D01*
+X100298905Y-80478455D01*
+X100240568Y-80472709D01*
+X100329911Y-80424955D01*
+X100423307Y-80348307D01*
+X100499955Y-80254911D01*
+X100556909Y-80148357D01*
+X100591982Y-80032739D01*
+X100603824Y-79912500D01*
+X100603824Y-79870020D01*
+X100646844Y-79827000D01*
+X101211837Y-79827000D01*
+X101250000Y-79830759D01*
+X101288163Y-79827000D01*
+X101288166Y-79827000D01*
+X101402319Y-79815757D01*
+X101548784Y-79771327D01*
+X101683766Y-79699177D01*
+X101802080Y-79602080D01*
+X101826413Y-79572430D01*
+X101870019Y-79528824D01*
+X101987500Y-79528824D01*
+X102107739Y-79516982D01*
+X102223357Y-79481909D01*
+X102329911Y-79424955D01*
+X102423307Y-79348307D01*
+X102499955Y-79254911D01*
+X102556909Y-79148357D01*
+X102591982Y-79032739D01*
+X102603824Y-78912500D01*
+X102603824Y-78337500D01*
+X102591982Y-78217261D01*
+X102556909Y-78101643D01*
+X102499955Y-77995089D01*
+X102423307Y-77901693D01*
+X102329911Y-77825045D01*
+X102223357Y-77768091D01*
+X102163720Y-77750000D01*
+X102223357Y-77731909D01*
+X102329911Y-77674955D01*
+X102423307Y-77598307D01*
+X102499955Y-77504911D01*
+X102556909Y-77398357D01*
+X102591982Y-77282739D01*
+X102603824Y-77162500D01*
+X102603824Y-76587500D01*
+X102591982Y-76467261D01*
+X102556909Y-76351643D01*
+X102527000Y-76295687D01*
+X102527000Y-73988155D01*
+X102530758Y-73949999D01*
+X102527000Y-73911843D01*
+X102527000Y-73911834D01*
+X102515757Y-73797681D01*
+X102471327Y-73651216D01*
+X102456561Y-73623591D01*
+X102399177Y-73516233D01*
+X102326409Y-73427565D01*
+X102326408Y-73427564D01*
+X102302080Y-73397920D01*
+X102272436Y-73373592D01*
+X101925844Y-73027000D01*
+X102383181Y-73027000D01*
+X102481579Y-73125398D01*
+X102666165Y-73248734D01*
+X102871266Y-73333690D01*
+X103089000Y-73377000D01*
+X103311000Y-73377000D01*
+X103528734Y-73333690D01*
+X103733835Y-73248734D01*
+X103918421Y-73125398D01*
+X104075398Y-72968421D01*
+X104198734Y-72783835D01*
+X104283690Y-72578734D01*
+X104291322Y-72540364D01*
+X105023000Y-71808686D01*
+X105023001Y-79423467D01*
+G36*
+X141991196Y-84237543D02*
+G01*
+X142006905Y-84256684D01*
+X142026045Y-84272392D01*
+X142026053Y-84272400D01*
+X142083343Y-84319417D01*
+X142127592Y-84343068D01*
+X142170553Y-84366031D01*
+X142265180Y-84394736D01*
+X142338936Y-84402000D01*
+X142338946Y-84402000D01*
+X142363589Y-84404427D01*
+X142388232Y-84402000D01*
+X148019064Y-84402000D01*
+X149679605Y-86062543D01*
+X149695314Y-86081684D01*
+X149714454Y-86097392D01*
+X149714462Y-86097400D01*
+X149771752Y-86144417D01*
+X149809801Y-86164754D01*
+X149858962Y-86191031D01*
+X149953589Y-86219736D01*
+X150027345Y-86227000D01*
+X150027355Y-86227000D01*
+X150051998Y-86229427D01*
+X150076641Y-86227000D01*
+X153615169Y-86227000D01*
+X153573000Y-86439000D01*
+X153573000Y-86661000D01*
+X153616310Y-86878734D01*
+X153701266Y-87083835D01*
+X153824602Y-87268421D01*
+X153981579Y-87425398D01*
+X154166165Y-87548734D01*
+X154371266Y-87633690D01*
+X154589000Y-87677000D01*
+X154811000Y-87677000D01*
+X155028734Y-87633690D01*
+X155233835Y-87548734D01*
+X155418421Y-87425398D01*
+X155575398Y-87268421D01*
+X155698734Y-87083835D01*
+X155783690Y-86878734D01*
+X155814402Y-86724336D01*
+X156948064Y-87857999D01*
+X155764644Y-87857999D01*
+X155740001Y-87855572D01*
+X155715358Y-87857999D01*
+X155715348Y-87857999D01*
+X155641592Y-87865263D01*
+X155546965Y-87893968D01*
+X155459756Y-87940582D01*
+X155459754Y-87940583D01*
+X155459755Y-87940583D01*
+X155402465Y-87987599D01*
+X155402457Y-87987607D01*
+X155383317Y-88003315D01*
+X155367608Y-88022456D01*
+X154886977Y-88503088D01*
+X154835313Y-88498000D01*
+X154835303Y-88498000D01*
+X154810660Y-88495573D01*
+X154786017Y-88498000D01*
+X154761921Y-88498000D01*
+X154748734Y-88466165D01*
+X154625398Y-88281579D01*
+X154468421Y-88124602D01*
+X154283835Y-88001266D01*
+X154078734Y-87916310D01*
+X153861000Y-87873000D01*
+X153639000Y-87873000D01*
+X153421266Y-87916310D01*
+X153216165Y-88001266D01*
+X153031579Y-88124602D01*
+X152874602Y-88281579D01*
+X152751266Y-88466165D01*
+X152666310Y-88671266D01*
+X152623000Y-88889000D01*
+X152623000Y-89111000D01*
+X152666310Y-89328734D01*
+X152751266Y-89533835D01*
+X152874602Y-89718421D01*
+X153031579Y-89875398D01*
+X153216165Y-89998734D01*
+X153421266Y-90083690D01*
+X153639000Y-90127000D01*
+X153861000Y-90127000D01*
+X154078734Y-90083690D01*
+X154283835Y-89998734D01*
+X154398000Y-89922451D01*
+X154398001Y-90565841D01*
+X154275877Y-90687965D01*
+X154132283Y-90687965D01*
+X153982168Y-90717824D01*
+X153840763Y-90776396D01*
+X153713502Y-90861429D01*
+X153605275Y-90969656D01*
+X153520242Y-91096917D01*
+X153461670Y-91238322D01*
+X153431811Y-91388437D01*
+X153431811Y-91541493D01*
+X153461670Y-91691608D01*
+X153520242Y-91833013D01*
+X153605275Y-91960274D01*
+X153713502Y-92068501D01*
+X153840763Y-92153534D01*
+X153982168Y-92212106D01*
+X154132283Y-92241965D01*
+X154285339Y-92241965D01*
+X154435454Y-92212106D01*
+X154576859Y-92153534D01*
+X154704120Y-92068501D01*
+X154812347Y-91960274D01*
+X154897380Y-91833013D01*
+X154955952Y-91691608D01*
+X154985811Y-91541493D01*
+X154985811Y-91397899D01*
+X155237537Y-91146173D01*
+X155247679Y-91137850D01*
+X155246176Y-91450000D01*
+X155253455Y-91523905D01*
+X155275012Y-91594970D01*
+X155310019Y-91660463D01*
+X155357131Y-91717869D01*
+X155414537Y-91764981D01*
+X155480030Y-91799988D01*
+X155480070Y-91800000D01*
+X155480030Y-91800012D01*
+X155414537Y-91835019D01*
+X155357131Y-91882131D01*
+X155310019Y-91939537D01*
+X155275012Y-92005030D01*
+X155253455Y-92076095D01*
+X155246176Y-92150000D01*
+X155246176Y-92873000D01*
+X150500000Y-92873000D01*
+X150475224Y-92875440D01*
+X150451399Y-92882667D01*
+X150429443Y-92894403D01*
+X150410197Y-92910197D01*
+X149447394Y-93873000D01*
+X147627000Y-93873000D01*
+X147627000Y-90000000D01*
+X147624560Y-89975224D01*
+X147617333Y-89951399D01*
+X147605597Y-89929443D01*
+X147589803Y-89910197D01*
+X147570557Y-89894403D01*
+X147548601Y-89882667D01*
+X147524776Y-89875440D01*
+X147500000Y-89873000D01*
+X145745461Y-89873000D01*
+X145760463Y-89864981D01*
+X145817869Y-89817869D01*
+X145864981Y-89760463D01*
+X145899988Y-89694970D01*
+X145921545Y-89623905D01*
+X145928824Y-89550000D01*
+X145928824Y-88120020D01*
+X146047437Y-88001407D01*
+X146077080Y-87977080D01*
+X146109591Y-87937465D01*
+X146174177Y-87858767D01*
+X146215967Y-87780583D01*
+X146246327Y-87723784D01*
+X146290757Y-87577319D01*
+X146302000Y-87463166D01*
+X146302000Y-87463164D01*
+X146305759Y-87425000D01*
+X146302000Y-87386837D01*
+X146302000Y-86257882D01*
+X146324955Y-86229911D01*
+X146381909Y-86123357D01*
+X146416982Y-86007739D01*
+X146428824Y-85887500D01*
+X146428824Y-85412500D01*
+X146416982Y-85292261D01*
+X146381909Y-85176643D01*
+X146324955Y-85070089D01*
+X146248307Y-84976693D01*
+X146154911Y-84900045D01*
+X146048357Y-84843091D01*
+X145932739Y-84808018D01*
+X145812500Y-84796176D01*
+X145237500Y-84796176D01*
+X145117261Y-84808018D01*
+X145001643Y-84843091D01*
+X144895089Y-84900045D01*
+X144801693Y-84976693D01*
+X144725045Y-85070089D01*
+X144668091Y-85176643D01*
+X144650000Y-85236280D01*
+X144631909Y-85176643D01*
+X144574955Y-85070089D01*
+X144498307Y-84976693D01*
+X144404911Y-84900045D01*
+X144298357Y-84843091D01*
+X144182739Y-84808018D01*
+X144062500Y-84796176D01*
+X143487500Y-84796176D01*
+X143367261Y-84808018D01*
+X143251643Y-84843091D01*
+X143195687Y-84873000D01*
+X141938155Y-84873000D01*
+X141899999Y-84869242D01*
+X141861843Y-84873000D01*
+X141861834Y-84873000D01*
+X141747681Y-84884243D01*
+X141659758Y-84910914D01*
+X141478824Y-84729981D01*
+X141478824Y-84475000D01*
+X141471545Y-84401095D01*
+X141449988Y-84330030D01*
+X141414981Y-84264537D01*
+X141367869Y-84207131D01*
+X141310463Y-84160019D01*
+X141244970Y-84125012D01*
+X141173905Y-84103455D01*
+X141100000Y-84096176D01*
+X140691653Y-84096176D01*
+X140652318Y-84084244D01*
+X140500000Y-84069241D01*
+X140347682Y-84084244D01*
+X140308347Y-84096176D01*
+X139900000Y-84096176D01*
+X139826095Y-84103455D01*
+X139755030Y-84125012D01*
+X139689537Y-84160019D01*
+X139632131Y-84207131D01*
+X139585019Y-84264537D01*
+X139550012Y-84330030D01*
+X139550000Y-84330070D01*
+X139549988Y-84330030D01*
+X139514981Y-84264537D01*
+X139467869Y-84207131D01*
+X139410463Y-84160019D01*
+X139344970Y-84125012D01*
+X139273905Y-84103455D01*
+X139200000Y-84096176D01*
+X138821250Y-84098000D01*
+X138727000Y-84192250D01*
+X138727000Y-84723000D01*
+X138747000Y-84723000D01*
+X138747000Y-84977000D01*
+X138727000Y-84977000D01*
+X138727000Y-84997000D01*
+X138473000Y-84997000D01*
+X138473000Y-84977000D01*
+X137717250Y-84977000D01*
+X137639593Y-85054657D01*
+X137059936Y-84475000D01*
+X137621176Y-84475000D01*
+X137623000Y-84628750D01*
+X137717250Y-84723000D01*
+X138473000Y-84723000D01*
+X138473000Y-84192250D01*
+X138378750Y-84098000D01*
+X138000000Y-84096176D01*
+X137926095Y-84103455D01*
+X137855030Y-84125012D01*
+X137789537Y-84160019D01*
+X137732131Y-84207131D01*
+X137685019Y-84264537D01*
+X137650012Y-84330030D01*
+X137628455Y-84401095D01*
+X137621176Y-84475000D01*
+X137059936Y-84475000D01*
+X135761912Y-83176978D01*
+X140930633Y-83176978D01*
+X141991196Y-84237543D01*
+G37*
+X141991196Y-84237543D02*
+X142006905Y-84256684D01*
+X142026045Y-84272392D01*
+X142026053Y-84272400D01*
+X142083343Y-84319417D01*
+X142127592Y-84343068D01*
+X142170553Y-84366031D01*
+X142265180Y-84394736D01*
+X142338936Y-84402000D01*
+X142338946Y-84402000D01*
+X142363589Y-84404427D01*
+X142388232Y-84402000D01*
+X148019064Y-84402000D01*
+X149679605Y-86062543D01*
+X149695314Y-86081684D01*
+X149714454Y-86097392D01*
+X149714462Y-86097400D01*
+X149771752Y-86144417D01*
+X149809801Y-86164754D01*
+X149858962Y-86191031D01*
+X149953589Y-86219736D01*
+X150027345Y-86227000D01*
+X150027355Y-86227000D01*
+X150051998Y-86229427D01*
+X150076641Y-86227000D01*
+X153615169Y-86227000D01*
+X153573000Y-86439000D01*
+X153573000Y-86661000D01*
+X153616310Y-86878734D01*
+X153701266Y-87083835D01*
+X153824602Y-87268421D01*
+X153981579Y-87425398D01*
+X154166165Y-87548734D01*
+X154371266Y-87633690D01*
+X154589000Y-87677000D01*
+X154811000Y-87677000D01*
+X155028734Y-87633690D01*
+X155233835Y-87548734D01*
+X155418421Y-87425398D01*
+X155575398Y-87268421D01*
+X155698734Y-87083835D01*
+X155783690Y-86878734D01*
+X155814402Y-86724336D01*
+X156948064Y-87857999D01*
+X155764644Y-87857999D01*
+X155740001Y-87855572D01*
+X155715358Y-87857999D01*
+X155715348Y-87857999D01*
+X155641592Y-87865263D01*
+X155546965Y-87893968D01*
+X155459756Y-87940582D01*
+X155459754Y-87940583D01*
+X155459755Y-87940583D01*
+X155402465Y-87987599D01*
+X155402457Y-87987607D01*
+X155383317Y-88003315D01*
+X155367608Y-88022456D01*
+X154886977Y-88503088D01*
+X154835313Y-88498000D01*
+X154835303Y-88498000D01*
+X154810660Y-88495573D01*
+X154786017Y-88498000D01*
+X154761921Y-88498000D01*
+X154748734Y-88466165D01*
+X154625398Y-88281579D01*
+X154468421Y-88124602D01*
+X154283835Y-88001266D01*
+X154078734Y-87916310D01*
+X153861000Y-87873000D01*
+X153639000Y-87873000D01*
+X153421266Y-87916310D01*
+X153216165Y-88001266D01*
+X153031579Y-88124602D01*
+X152874602Y-88281579D01*
+X152751266Y-88466165D01*
+X152666310Y-88671266D01*
+X152623000Y-88889000D01*
+X152623000Y-89111000D01*
+X152666310Y-89328734D01*
+X152751266Y-89533835D01*
+X152874602Y-89718421D01*
+X153031579Y-89875398D01*
+X153216165Y-89998734D01*
+X153421266Y-90083690D01*
+X153639000Y-90127000D01*
+X153861000Y-90127000D01*
+X154078734Y-90083690D01*
+X154283835Y-89998734D01*
+X154398000Y-89922451D01*
+X154398001Y-90565841D01*
+X154275877Y-90687965D01*
+X154132283Y-90687965D01*
+X153982168Y-90717824D01*
+X153840763Y-90776396D01*
+X153713502Y-90861429D01*
+X153605275Y-90969656D01*
+X153520242Y-91096917D01*
+X153461670Y-91238322D01*
+X153431811Y-91388437D01*
+X153431811Y-91541493D01*
+X153461670Y-91691608D01*
+X153520242Y-91833013D01*
+X153605275Y-91960274D01*
+X153713502Y-92068501D01*
+X153840763Y-92153534D01*
+X153982168Y-92212106D01*
+X154132283Y-92241965D01*
+X154285339Y-92241965D01*
+X154435454Y-92212106D01*
+X154576859Y-92153534D01*
+X154704120Y-92068501D01*
+X154812347Y-91960274D01*
+X154897380Y-91833013D01*
+X154955952Y-91691608D01*
+X154985811Y-91541493D01*
+X154985811Y-91397899D01*
+X155237537Y-91146173D01*
+X155247679Y-91137850D01*
+X155246176Y-91450000D01*
+X155253455Y-91523905D01*
+X155275012Y-91594970D01*
+X155310019Y-91660463D01*
+X155357131Y-91717869D01*
+X155414537Y-91764981D01*
+X155480030Y-91799988D01*
+X155480070Y-91800000D01*
+X155480030Y-91800012D01*
+X155414537Y-91835019D01*
+X155357131Y-91882131D01*
+X155310019Y-91939537D01*
+X155275012Y-92005030D01*
+X155253455Y-92076095D01*
+X155246176Y-92150000D01*
+X155246176Y-92873000D01*
+X150500000Y-92873000D01*
+X150475224Y-92875440D01*
+X150451399Y-92882667D01*
+X150429443Y-92894403D01*
+X150410197Y-92910197D01*
+X149447394Y-93873000D01*
+X147627000Y-93873000D01*
+X147627000Y-90000000D01*
+X147624560Y-89975224D01*
+X147617333Y-89951399D01*
+X147605597Y-89929443D01*
+X147589803Y-89910197D01*
+X147570557Y-89894403D01*
+X147548601Y-89882667D01*
+X147524776Y-89875440D01*
+X147500000Y-89873000D01*
+X145745461Y-89873000D01*
+X145760463Y-89864981D01*
+X145817869Y-89817869D01*
+X145864981Y-89760463D01*
+X145899988Y-89694970D01*
+X145921545Y-89623905D01*
+X145928824Y-89550000D01*
+X145928824Y-88120020D01*
+X146047437Y-88001407D01*
+X146077080Y-87977080D01*
+X146109591Y-87937465D01*
+X146174177Y-87858767D01*
+X146215967Y-87780583D01*
+X146246327Y-87723784D01*
+X146290757Y-87577319D01*
+X146302000Y-87463166D01*
+X146302000Y-87463164D01*
+X146305759Y-87425000D01*
+X146302000Y-87386837D01*
+X146302000Y-86257882D01*
+X146324955Y-86229911D01*
+X146381909Y-86123357D01*
+X146416982Y-86007739D01*
+X146428824Y-85887500D01*
+X146428824Y-85412500D01*
+X146416982Y-85292261D01*
+X146381909Y-85176643D01*
+X146324955Y-85070089D01*
+X146248307Y-84976693D01*
+X146154911Y-84900045D01*
+X146048357Y-84843091D01*
+X145932739Y-84808018D01*
+X145812500Y-84796176D01*
+X145237500Y-84796176D01*
+X145117261Y-84808018D01*
+X145001643Y-84843091D01*
+X144895089Y-84900045D01*
+X144801693Y-84976693D01*
+X144725045Y-85070089D01*
+X144668091Y-85176643D01*
+X144650000Y-85236280D01*
+X144631909Y-85176643D01*
+X144574955Y-85070089D01*
+X144498307Y-84976693D01*
+X144404911Y-84900045D01*
+X144298357Y-84843091D01*
+X144182739Y-84808018D01*
+X144062500Y-84796176D01*
+X143487500Y-84796176D01*
+X143367261Y-84808018D01*
+X143251643Y-84843091D01*
+X143195687Y-84873000D01*
+X141938155Y-84873000D01*
+X141899999Y-84869242D01*
+X141861843Y-84873000D01*
+X141861834Y-84873000D01*
+X141747681Y-84884243D01*
+X141659758Y-84910914D01*
+X141478824Y-84729981D01*
+X141478824Y-84475000D01*
+X141471545Y-84401095D01*
+X141449988Y-84330030D01*
+X141414981Y-84264537D01*
+X141367869Y-84207131D01*
+X141310463Y-84160019D01*
+X141244970Y-84125012D01*
+X141173905Y-84103455D01*
+X141100000Y-84096176D01*
+X140691653Y-84096176D01*
+X140652318Y-84084244D01*
+X140500000Y-84069241D01*
+X140347682Y-84084244D01*
+X140308347Y-84096176D01*
+X139900000Y-84096176D01*
+X139826095Y-84103455D01*
+X139755030Y-84125012D01*
+X139689537Y-84160019D01*
+X139632131Y-84207131D01*
+X139585019Y-84264537D01*
+X139550012Y-84330030D01*
+X139550000Y-84330070D01*
+X139549988Y-84330030D01*
+X139514981Y-84264537D01*
+X139467869Y-84207131D01*
+X139410463Y-84160019D01*
+X139344970Y-84125012D01*
+X139273905Y-84103455D01*
+X139200000Y-84096176D01*
+X138821250Y-84098000D01*
+X138727000Y-84192250D01*
+X138727000Y-84723000D01*
+X138747000Y-84723000D01*
+X138747000Y-84977000D01*
+X138727000Y-84977000D01*
+X138727000Y-84997000D01*
+X138473000Y-84997000D01*
+X138473000Y-84977000D01*
+X137717250Y-84977000D01*
+X137639593Y-85054657D01*
+X137059936Y-84475000D01*
+X137621176Y-84475000D01*
+X137623000Y-84628750D01*
+X137717250Y-84723000D01*
+X138473000Y-84723000D01*
+X138473000Y-84192250D01*
+X138378750Y-84098000D01*
+X138000000Y-84096176D01*
+X137926095Y-84103455D01*
+X137855030Y-84125012D01*
+X137789537Y-84160019D01*
+X137732131Y-84207131D01*
+X137685019Y-84264537D01*
+X137650012Y-84330030D01*
+X137628455Y-84401095D01*
+X137621176Y-84475000D01*
+X137059936Y-84475000D01*
+X135761912Y-83176978D01*
+X140930633Y-83176978D01*
+X141991196Y-84237543D01*
+G36*
+X106099397Y-89013822D02*
+G01*
+X106090206Y-89036010D01*
+X106060347Y-89186125D01*
+X106060347Y-89339181D01*
+X106090206Y-89489296D01*
+X106148778Y-89630701D01*
+X106233811Y-89757962D01*
+X106342038Y-89866189D01*
+X106469299Y-89951222D01*
+X106610704Y-90009794D01*
+X106760819Y-90039653D01*
+X106913875Y-90039653D01*
+X107063990Y-90009794D01*
+X107205395Y-89951222D01*
+X107332656Y-89866189D01*
+X107440883Y-89757962D01*
+X107523853Y-89633788D01*
+X108123000Y-90232935D01*
+X108123000Y-90376528D01*
+X108152859Y-90526643D01*
+X108211431Y-90668048D01*
+X108263678Y-90746242D01*
+X108250000Y-90746176D01*
+X108176095Y-90753455D01*
+X108105030Y-90775012D01*
+X108039537Y-90810019D01*
+X107982131Y-90857131D01*
+X107935019Y-90914537D01*
+X107900012Y-90980030D01*
+X107878455Y-91051095D01*
+X107871176Y-91125000D01*
+X107872213Y-91212424D01*
+X107867869Y-91207131D01*
+X107810463Y-91160019D01*
+X107744970Y-91125012D01*
+X107673905Y-91103455D01*
+X107600000Y-91096176D01*
+X107296250Y-91098000D01*
+X107202000Y-91192250D01*
+X107202000Y-91823000D01*
+X107222000Y-91823000D01*
+X107222000Y-92077000D01*
+X107202000Y-92077000D01*
+X107202000Y-92707750D01*
+X107296250Y-92802000D01*
+X107600000Y-92803824D01*
+X107673905Y-92796545D01*
+X107744970Y-92774988D01*
+X107810463Y-92739981D01*
+X107867869Y-92692869D01*
+X107914981Y-92635463D01*
+X107949988Y-92569970D01*
+X107971545Y-92498905D01*
+X107978824Y-92425000D01*
+X107977000Y-92171250D01*
+X107882752Y-92077002D01*
+X107930496Y-92077002D01*
+X107935019Y-92085463D01*
+X107982131Y-92142869D01*
+X108039537Y-92189981D01*
+X108105030Y-92224988D01*
+X108176095Y-92246545D01*
+X108250000Y-92253824D01*
+X108628750Y-92252000D01*
+X108723000Y-92157750D01*
+X108723000Y-91627000D01*
+X108703000Y-91627000D01*
+X108703000Y-91373000D01*
+X108723000Y-91373000D01*
+X108723000Y-91353000D01*
+X108977000Y-91353000D01*
+X108977000Y-91373000D01*
+X108997000Y-91373000D01*
+X108997000Y-91627000D01*
+X108977000Y-91627000D01*
+X108977000Y-92157750D01*
+X109071250Y-92252000D01*
+X109450000Y-92253824D01*
+X109523905Y-92246545D01*
+X109594970Y-92224988D01*
+X109660463Y-92189981D01*
+X109717869Y-92142869D01*
+X109764981Y-92085463D01*
+X109773000Y-92070461D01*
+X109773000Y-92873000D01*
+X107500000Y-92873000D01*
+X107475224Y-92875440D01*
+X107451399Y-92882667D01*
+X107429443Y-92894403D01*
+X107410197Y-92910197D01*
+X106447394Y-93873000D01*
+X96502000Y-93873000D01*
+X96502000Y-93702000D01*
+X96825357Y-93702000D01*
+X96850000Y-93704427D01*
+X96874643Y-93702000D01*
+X96874653Y-93702000D01*
+X96948409Y-93694736D01*
+X97043036Y-93666031D01*
+X97130245Y-93619417D01*
+X97206684Y-93556684D01*
+X97222401Y-93537533D01*
+X98382935Y-92377000D01*
+X98686612Y-92377000D01*
+X98693091Y-92398357D01*
+X98750045Y-92504911D01*
+X98826693Y-92598307D01*
+X98920089Y-92674955D01*
+X99026643Y-92731909D01*
+X99142261Y-92766982D01*
+X99262500Y-92778824D01*
+X99737500Y-92778824D01*
+X99857739Y-92766982D01*
+X99973357Y-92731909D01*
+X100079911Y-92674955D01*
+X100173307Y-92598307D01*
+X100249955Y-92504911D01*
+X100306909Y-92398357D01*
+X100320485Y-92353603D01*
+X100339755Y-92369417D01*
+X100426964Y-92416031D01*
+X100521591Y-92444736D01*
+X100533898Y-92445948D01*
+X100555019Y-92485463D01*
+X100602131Y-92542869D01*
+X100659537Y-92589981D01*
+X100725030Y-92624988D01*
+X100796095Y-92646545D01*
+X100870000Y-92653824D01*
+X101930000Y-92653824D01*
+X102003905Y-92646545D01*
+X102074970Y-92624988D01*
+X102140463Y-92589981D01*
+X102197869Y-92542869D01*
+X102244981Y-92485463D01*
+X102279988Y-92419970D01*
+X102301545Y-92348905D01*
+X102308824Y-92275000D01*
+X102308824Y-91625000D01*
+X102301545Y-91551095D01*
+X102279988Y-91480030D01*
+X102277299Y-91475000D01*
+X102279988Y-91469970D01*
+X102301545Y-91398905D01*
+X102308824Y-91325000D01*
+X102307000Y-91221250D01*
+X102212750Y-91127000D01*
+X101527000Y-91127000D01*
+X101527000Y-91147000D01*
+X101273000Y-91147000D01*
+X101273000Y-91127000D01*
+X101253000Y-91127000D01*
+X101253000Y-90873000D01*
+X101273000Y-90873000D01*
+X101273000Y-90853000D01*
+X101527000Y-90853000D01*
+X101527000Y-90873000D01*
+X102212750Y-90873000D01*
+X102307000Y-90778750D01*
+X102308824Y-90675000D01*
+X102301545Y-90601095D01*
+X102279988Y-90530030D01*
+X102277299Y-90525000D01*
+X102279988Y-90519970D01*
+X102301545Y-90448905D01*
+X102308824Y-90375000D01*
+X102308824Y-89725000D01*
+X102301545Y-89651095D01*
+X102279988Y-89580030D01*
+X102244981Y-89514537D01*
+X102197869Y-89457131D01*
+X102140463Y-89410019D01*
+X102074970Y-89375012D01*
+X102003905Y-89353455D01*
+X101930000Y-89346176D01*
+X101902000Y-89346176D01*
+X101902000Y-88956827D01*
+X101973307Y-88898307D01*
+X102049955Y-88804911D01*
+X102051511Y-88802000D01*
+X102183401Y-88802000D01*
+X102225045Y-88879911D01*
+X102301693Y-88973307D01*
+X102395089Y-89049955D01*
+X102501643Y-89106909D01*
+X102617261Y-89141982D01*
+X102737500Y-89153824D01*
+X103214175Y-89153824D01*
+X103180584Y-89194755D01*
+X103133970Y-89281964D01*
+X103114491Y-89346176D01*
+X103070000Y-89346176D01*
+X102996095Y-89353455D01*
+X102925030Y-89375012D01*
+X102859537Y-89410019D01*
+X102802131Y-89457131D01*
+X102755019Y-89514537D01*
+X102720012Y-89580030D01*
+X102698455Y-89651095D01*
+X102691176Y-89725000D01*
+X102691176Y-90375000D01*
+X102698455Y-90448905D01*
+X102720012Y-90519970D01*
+X102755019Y-90585463D01*
+X102802131Y-90642869D01*
+X102859537Y-90689981D01*
+X102925030Y-90724988D01*
+X102996095Y-90746545D01*
+X103070000Y-90753824D01*
+X103511242Y-90753824D01*
+X103262463Y-91002603D01*
+X103243317Y-91018316D01*
+X103227604Y-91037462D01*
+X103227601Y-91037465D01*
+X103180584Y-91094755D01*
+X103133970Y-91181964D01*
+X103114491Y-91246176D01*
+X103070000Y-91246176D01*
+X102996095Y-91253455D01*
+X102925030Y-91275012D01*
+X102859537Y-91310019D01*
+X102802131Y-91357131D01*
+X102755019Y-91414537D01*
+X102720012Y-91480030D01*
+X102698455Y-91551095D01*
+X102691176Y-91625000D01*
+X102691176Y-92275000D01*
+X102698455Y-92348905D01*
+X102720012Y-92419970D01*
+X102755019Y-92485463D01*
+X102802131Y-92542869D01*
+X102859537Y-92589981D01*
+X102925030Y-92624988D01*
+X102996095Y-92646545D01*
+X103070000Y-92653824D01*
+X104130000Y-92653824D01*
+X104203905Y-92646545D01*
+X104274970Y-92624988D01*
+X104340463Y-92589981D01*
+X104397869Y-92542869D01*
+X104444981Y-92485463D01*
+X104462867Y-92452000D01*
+X104483401Y-92452000D01*
+X104525045Y-92529911D01*
+X104601693Y-92623307D01*
+X104695089Y-92699955D01*
+X104801643Y-92756909D01*
+X104917261Y-92791982D01*
+X105037500Y-92803824D01*
+X105612500Y-92803824D01*
+X105732739Y-92791982D01*
+X105848357Y-92756909D01*
+X105954911Y-92699955D01*
+X106048307Y-92623307D01*
+X106124955Y-92529911D01*
+X106172709Y-92440568D01*
+X106178455Y-92498905D01*
+X106200012Y-92569970D01*
+X106235019Y-92635463D01*
+X106282131Y-92692869D01*
+X106339537Y-92739981D01*
+X106405030Y-92774988D01*
+X106476095Y-92796545D01*
+X106550000Y-92803824D01*
+X106853750Y-92802000D01*
+X106948000Y-92707750D01*
+X106948000Y-92077000D01*
+X106928000Y-92077000D01*
+X106928000Y-91823000D01*
+X106948000Y-91823000D01*
+X106948000Y-91192250D01*
+X106853750Y-91098000D01*
+X106550000Y-91096176D01*
+X106476095Y-91103455D01*
+X106405030Y-91125012D01*
+X106339537Y-91160019D01*
+X106282131Y-91207131D01*
+X106235019Y-91264537D01*
+X106200012Y-91330030D01*
+X106178455Y-91401095D01*
+X106172709Y-91459432D01*
+X106124955Y-91370089D01*
+X106048307Y-91276693D01*
+X105954911Y-91200045D01*
+X105848357Y-91143091D01*
+X105732739Y-91108018D01*
+X105612500Y-91096176D01*
+X105037500Y-91096176D01*
+X104917261Y-91108018D01*
+X104801643Y-91143091D01*
+X104695089Y-91200045D01*
+X104601693Y-91276693D01*
+X104525045Y-91370089D01*
+X104483401Y-91448000D01*
+X104462867Y-91448000D01*
+X104444981Y-91414537D01*
+X104397869Y-91357131D01*
+X104359386Y-91325549D01*
+X105112538Y-90572397D01*
+X105131684Y-90556684D01*
+X105149465Y-90535019D01*
+X105183513Y-90493531D01*
+X105194417Y-90480245D01*
+X105241031Y-90393036D01*
+X105269736Y-90298409D01*
+X105277000Y-90224653D01*
+X105277000Y-90224643D01*
+X105279427Y-90200000D01*
+X105277000Y-90175357D01*
+X105277000Y-89113388D01*
+X105298357Y-89106909D01*
+X105404911Y-89049955D01*
+X105498307Y-88973307D01*
+X105574955Y-88879911D01*
+X105631909Y-88773357D01*
+X105666982Y-88657739D01*
+X105673826Y-88588250D01*
+X106099397Y-89013822D01*
+G37*
+X106099397Y-89013822D02*
+X106090206Y-89036010D01*
+X106060347Y-89186125D01*
+X106060347Y-89339181D01*
+X106090206Y-89489296D01*
+X106148778Y-89630701D01*
+X106233811Y-89757962D01*
+X106342038Y-89866189D01*
+X106469299Y-89951222D01*
+X106610704Y-90009794D01*
+X106760819Y-90039653D01*
+X106913875Y-90039653D01*
+X107063990Y-90009794D01*
+X107205395Y-89951222D01*
+X107332656Y-89866189D01*
+X107440883Y-89757962D01*
+X107523853Y-89633788D01*
+X108123000Y-90232935D01*
+X108123000Y-90376528D01*
+X108152859Y-90526643D01*
+X108211431Y-90668048D01*
+X108263678Y-90746242D01*
+X108250000Y-90746176D01*
+X108176095Y-90753455D01*
+X108105030Y-90775012D01*
+X108039537Y-90810019D01*
+X107982131Y-90857131D01*
+X107935019Y-90914537D01*
+X107900012Y-90980030D01*
+X107878455Y-91051095D01*
+X107871176Y-91125000D01*
+X107872213Y-91212424D01*
+X107867869Y-91207131D01*
+X107810463Y-91160019D01*
+X107744970Y-91125012D01*
+X107673905Y-91103455D01*
+X107600000Y-91096176D01*
+X107296250Y-91098000D01*
+X107202000Y-91192250D01*
+X107202000Y-91823000D01*
+X107222000Y-91823000D01*
+X107222000Y-92077000D01*
+X107202000Y-92077000D01*
+X107202000Y-92707750D01*
+X107296250Y-92802000D01*
+X107600000Y-92803824D01*
+X107673905Y-92796545D01*
+X107744970Y-92774988D01*
+X107810463Y-92739981D01*
+X107867869Y-92692869D01*
+X107914981Y-92635463D01*
+X107949988Y-92569970D01*
+X107971545Y-92498905D01*
+X107978824Y-92425000D01*
+X107977000Y-92171250D01*
+X107882752Y-92077002D01*
+X107930496Y-92077002D01*
+X107935019Y-92085463D01*
+X107982131Y-92142869D01*
+X108039537Y-92189981D01*
+X108105030Y-92224988D01*
+X108176095Y-92246545D01*
+X108250000Y-92253824D01*
+X108628750Y-92252000D01*
+X108723000Y-92157750D01*
+X108723000Y-91627000D01*
+X108703000Y-91627000D01*
+X108703000Y-91373000D01*
+X108723000Y-91373000D01*
+X108723000Y-91353000D01*
+X108977000Y-91353000D01*
+X108977000Y-91373000D01*
+X108997000Y-91373000D01*
+X108997000Y-91627000D01*
+X108977000Y-91627000D01*
+X108977000Y-92157750D01*
+X109071250Y-92252000D01*
+X109450000Y-92253824D01*
+X109523905Y-92246545D01*
+X109594970Y-92224988D01*
+X109660463Y-92189981D01*
+X109717869Y-92142869D01*
+X109764981Y-92085463D01*
+X109773000Y-92070461D01*
+X109773000Y-92873000D01*
+X107500000Y-92873000D01*
+X107475224Y-92875440D01*
+X107451399Y-92882667D01*
+X107429443Y-92894403D01*
+X107410197Y-92910197D01*
+X106447394Y-93873000D01*
+X96502000Y-93873000D01*
+X96502000Y-93702000D01*
+X96825357Y-93702000D01*
+X96850000Y-93704427D01*
+X96874643Y-93702000D01*
+X96874653Y-93702000D01*
+X96948409Y-93694736D01*
+X97043036Y-93666031D01*
+X97130245Y-93619417D01*
+X97206684Y-93556684D01*
+X97222401Y-93537533D01*
+X98382935Y-92377000D01*
+X98686612Y-92377000D01*
+X98693091Y-92398357D01*
+X98750045Y-92504911D01*
+X98826693Y-92598307D01*
+X98920089Y-92674955D01*
+X99026643Y-92731909D01*
+X99142261Y-92766982D01*
+X99262500Y-92778824D01*
+X99737500Y-92778824D01*
+X99857739Y-92766982D01*
+X99973357Y-92731909D01*
+X100079911Y-92674955D01*
+X100173307Y-92598307D01*
+X100249955Y-92504911D01*
+X100306909Y-92398357D01*
+X100320485Y-92353603D01*
+X100339755Y-92369417D01*
+X100426964Y-92416031D01*
+X100521591Y-92444736D01*
+X100533898Y-92445948D01*
+X100555019Y-92485463D01*
+X100602131Y-92542869D01*
+X100659537Y-92589981D01*
+X100725030Y-92624988D01*
+X100796095Y-92646545D01*
+X100870000Y-92653824D01*
+X101930000Y-92653824D01*
+X102003905Y-92646545D01*
+X102074970Y-92624988D01*
+X102140463Y-92589981D01*
+X102197869Y-92542869D01*
+X102244981Y-92485463D01*
+X102279988Y-92419970D01*
+X102301545Y-92348905D01*
+X102308824Y-92275000D01*
+X102308824Y-91625000D01*
+X102301545Y-91551095D01*
+X102279988Y-91480030D01*
+X102277299Y-91475000D01*
+X102279988Y-91469970D01*
+X102301545Y-91398905D01*
+X102308824Y-91325000D01*
+X102307000Y-91221250D01*
+X102212750Y-91127000D01*
+X101527000Y-91127000D01*
+X101527000Y-91147000D01*
+X101273000Y-91147000D01*
+X101273000Y-91127000D01*
+X101253000Y-91127000D01*
+X101253000Y-90873000D01*
+X101273000Y-90873000D01*
+X101273000Y-90853000D01*
+X101527000Y-90853000D01*
+X101527000Y-90873000D01*
+X102212750Y-90873000D01*
+X102307000Y-90778750D01*
+X102308824Y-90675000D01*
+X102301545Y-90601095D01*
+X102279988Y-90530030D01*
+X102277299Y-90525000D01*
+X102279988Y-90519970D01*
+X102301545Y-90448905D01*
+X102308824Y-90375000D01*
+X102308824Y-89725000D01*
+X102301545Y-89651095D01*
+X102279988Y-89580030D01*
+X102244981Y-89514537D01*
+X102197869Y-89457131D01*
+X102140463Y-89410019D01*
+X102074970Y-89375012D01*
+X102003905Y-89353455D01*
+X101930000Y-89346176D01*
+X101902000Y-89346176D01*
+X101902000Y-88956827D01*
+X101973307Y-88898307D01*
+X102049955Y-88804911D01*
+X102051511Y-88802000D01*
+X102183401Y-88802000D01*
+X102225045Y-88879911D01*
+X102301693Y-88973307D01*
+X102395089Y-89049955D01*
+X102501643Y-89106909D01*
+X102617261Y-89141982D01*
+X102737500Y-89153824D01*
+X103214175Y-89153824D01*
+X103180584Y-89194755D01*
+X103133970Y-89281964D01*
+X103114491Y-89346176D01*
+X103070000Y-89346176D01*
+X102996095Y-89353455D01*
+X102925030Y-89375012D01*
+X102859537Y-89410019D01*
+X102802131Y-89457131D01*
+X102755019Y-89514537D01*
+X102720012Y-89580030D01*
+X102698455Y-89651095D01*
+X102691176Y-89725000D01*
+X102691176Y-90375000D01*
+X102698455Y-90448905D01*
+X102720012Y-90519970D01*
+X102755019Y-90585463D01*
+X102802131Y-90642869D01*
+X102859537Y-90689981D01*
+X102925030Y-90724988D01*
+X102996095Y-90746545D01*
+X103070000Y-90753824D01*
+X103511242Y-90753824D01*
+X103262463Y-91002603D01*
+X103243317Y-91018316D01*
+X103227604Y-91037462D01*
+X103227601Y-91037465D01*
+X103180584Y-91094755D01*
+X103133970Y-91181964D01*
+X103114491Y-91246176D01*
+X103070000Y-91246176D01*
+X102996095Y-91253455D01*
+X102925030Y-91275012D01*
+X102859537Y-91310019D01*
+X102802131Y-91357131D01*
+X102755019Y-91414537D01*
+X102720012Y-91480030D01*
+X102698455Y-91551095D01*
+X102691176Y-91625000D01*
+X102691176Y-92275000D01*
+X102698455Y-92348905D01*
+X102720012Y-92419970D01*
+X102755019Y-92485463D01*
+X102802131Y-92542869D01*
+X102859537Y-92589981D01*
+X102925030Y-92624988D01*
+X102996095Y-92646545D01*
+X103070000Y-92653824D01*
+X104130000Y-92653824D01*
+X104203905Y-92646545D01*
+X104274970Y-92624988D01*
+X104340463Y-92589981D01*
+X104397869Y-92542869D01*
+X104444981Y-92485463D01*
+X104462867Y-92452000D01*
+X104483401Y-92452000D01*
+X104525045Y-92529911D01*
+X104601693Y-92623307D01*
+X104695089Y-92699955D01*
+X104801643Y-92756909D01*
+X104917261Y-92791982D01*
+X105037500Y-92803824D01*
+X105612500Y-92803824D01*
+X105732739Y-92791982D01*
+X105848357Y-92756909D01*
+X105954911Y-92699955D01*
+X106048307Y-92623307D01*
+X106124955Y-92529911D01*
+X106172709Y-92440568D01*
+X106178455Y-92498905D01*
+X106200012Y-92569970D01*
+X106235019Y-92635463D01*
+X106282131Y-92692869D01*
+X106339537Y-92739981D01*
+X106405030Y-92774988D01*
+X106476095Y-92796545D01*
+X106550000Y-92803824D01*
+X106853750Y-92802000D01*
+X106948000Y-92707750D01*
+X106948000Y-92077000D01*
+X106928000Y-92077000D01*
+X106928000Y-91823000D01*
+X106948000Y-91823000D01*
+X106948000Y-91192250D01*
+X106853750Y-91098000D01*
+X106550000Y-91096176D01*
+X106476095Y-91103455D01*
+X106405030Y-91125012D01*
+X106339537Y-91160019D01*
+X106282131Y-91207131D01*
+X106235019Y-91264537D01*
+X106200012Y-91330030D01*
+X106178455Y-91401095D01*
+X106172709Y-91459432D01*
+X106124955Y-91370089D01*
+X106048307Y-91276693D01*
+X105954911Y-91200045D01*
+X105848357Y-91143091D01*
+X105732739Y-91108018D01*
+X105612500Y-91096176D01*
+X105037500Y-91096176D01*
+X104917261Y-91108018D01*
+X104801643Y-91143091D01*
+X104695089Y-91200045D01*
+X104601693Y-91276693D01*
+X104525045Y-91370089D01*
+X104483401Y-91448000D01*
+X104462867Y-91448000D01*
+X104444981Y-91414537D01*
+X104397869Y-91357131D01*
+X104359386Y-91325549D01*
+X105112538Y-90572397D01*
+X105131684Y-90556684D01*
+X105149465Y-90535019D01*
+X105183513Y-90493531D01*
+X105194417Y-90480245D01*
+X105241031Y-90393036D01*
+X105269736Y-90298409D01*
+X105277000Y-90224653D01*
+X105277000Y-90224643D01*
+X105279427Y-90200000D01*
+X105277000Y-90175357D01*
+X105277000Y-89113388D01*
+X105298357Y-89106909D01*
+X105404911Y-89049955D01*
+X105498307Y-88973307D01*
+X105574955Y-88879911D01*
+X105631909Y-88773357D01*
+X105666982Y-88657739D01*
+X105673826Y-88588250D01*
+X106099397Y-89013822D01*
+G36*
+X161498000Y-92575357D02*
+G01*
+X161495573Y-92600000D01*
+X161498000Y-92624643D01*
+X161498000Y-92624652D01*
+X161505264Y-92698408D01*
+X161533969Y-92793035D01*
+X161580583Y-92880245D01*
+X161643316Y-92956684D01*
+X161662467Y-92972401D01*
+X161823000Y-93132934D01*
+X161823000Y-93276528D01*
+X161852859Y-93426643D01*
+X161911431Y-93568048D01*
+X161964846Y-93647990D01*
+X160707927Y-93647990D01*
+X160277001Y-93217065D01*
+X160277001Y-92174644D01*
+X160279428Y-92150001D01*
+X160277001Y-92125358D01*
+X160277001Y-92125348D01*
+X160269737Y-92051592D01*
+X160241032Y-91956965D01*
+X160194418Y-91869756D01*
+X160170843Y-91841030D01*
+X160147400Y-91812465D01*
+X160147398Y-91812463D01*
+X160131685Y-91793317D01*
+X160112539Y-91777604D01*
+X159828824Y-91493889D01*
+X159828824Y-91413025D01*
+X159900001Y-91420035D01*
+X160022913Y-91407929D01*
+X160141104Y-91372076D01*
+X160250028Y-91313854D01*
+X160321582Y-91255132D01*
+X161498000Y-90078715D01*
+X161498000Y-92575357D01*
+G37*
+X161498000Y-92575357D02*
+X161495573Y-92600000D01*
+X161498000Y-92624643D01*
+X161498000Y-92624652D01*
+X161505264Y-92698408D01*
+X161533969Y-92793035D01*
+X161580583Y-92880245D01*
+X161643316Y-92956684D01*
+X161662467Y-92972401D01*
+X161823000Y-93132934D01*
+X161823000Y-93276528D01*
+X161852859Y-93426643D01*
+X161911431Y-93568048D01*
+X161964846Y-93647990D01*
+X160707927Y-93647990D01*
+X160277001Y-93217065D01*
+X160277001Y-92174644D01*
+X160279428Y-92150001D01*
+X160277001Y-92125358D01*
+X160277001Y-92125348D01*
+X160269737Y-92051592D01*
+X160241032Y-91956965D01*
+X160194418Y-91869756D01*
+X160170843Y-91841030D01*
+X160147400Y-91812465D01*
+X160147398Y-91812463D01*
+X160131685Y-91793317D01*
+X160112539Y-91777604D01*
+X159828824Y-91493889D01*
+X159828824Y-91413025D01*
+X159900001Y-91420035D01*
+X160022913Y-91407929D01*
+X160141104Y-91372076D01*
+X160250028Y-91313854D01*
+X160321582Y-91255132D01*
+X161498000Y-90078715D01*
+X161498000Y-92575357D01*
+G36*
+X98350045Y-85682750D02*
+G01*
+X98316234Y-85700823D01*
+X98286598Y-85725144D01*
+X98254691Y-85746464D01*
+X98227558Y-85773597D01*
+X98197920Y-85797920D01*
+X98173597Y-85827558D01*
+X98146464Y-85854691D01*
+X98125144Y-85886598D01*
+X98100823Y-85916234D01*
+X98082750Y-85950045D01*
+X98061431Y-85981952D01*
+X98046747Y-86017402D01*
+X98028673Y-86051216D01*
+X98017543Y-86087908D01*
+X98002859Y-86123357D01*
+X97995374Y-86160987D01*
+X97984243Y-86197681D01*
+X97980484Y-86235846D01*
+X97973000Y-86273472D01*
+X97973000Y-86311834D01*
+X97969241Y-86350000D01*
+X97973000Y-86388166D01*
+X97973000Y-86426528D01*
+X97980484Y-86464154D01*
+X97984243Y-86502319D01*
+X97995374Y-86539013D01*
+X98002859Y-86576643D01*
+X98017543Y-86612092D01*
+X98028673Y-86648784D01*
+X98046747Y-86682598D01*
+X98061431Y-86718048D01*
+X98082750Y-86749955D01*
+X98100823Y-86783766D01*
+X98125144Y-86813402D01*
+X98146464Y-86845309D01*
+X98173597Y-86872442D01*
+X98197920Y-86902080D01*
+X98227558Y-86926403D01*
+X98254691Y-86953536D01*
+X98286598Y-86974856D01*
+X98316234Y-86999177D01*
+X98350045Y-87017250D01*
+X98381952Y-87038569D01*
+X98417402Y-87053253D01*
+X98451216Y-87071327D01*
+X98487908Y-87082457D01*
+X98523357Y-87097141D01*
+X98560987Y-87104626D01*
+X98597681Y-87115757D01*
+X98635846Y-87119516D01*
+X98673472Y-87127000D01*
+X98992133Y-87127000D01*
+X99010019Y-87160463D01*
+X99057131Y-87217869D01*
+X99114537Y-87264981D01*
+X99180030Y-87299988D01*
+X99180070Y-87300000D01*
+X99180030Y-87300012D01*
+X99114537Y-87335019D01*
+X99057131Y-87382131D01*
+X99010019Y-87439537D01*
+X98975012Y-87505030D01*
+X98953455Y-87576095D01*
+X98946176Y-87650000D01*
+X98948000Y-88028750D01*
+X99042250Y-88123000D01*
+X99573000Y-88123000D01*
+X99573000Y-88103000D01*
+X99827000Y-88103000D01*
+X99827000Y-88123000D01*
+X99847000Y-88123000D01*
+X99847000Y-88377000D01*
+X99827000Y-88377000D01*
+X99827000Y-88397000D01*
+X99573000Y-88397000D01*
+X99573000Y-88377000D01*
+X99042250Y-88377000D01*
+X98948000Y-88471250D01*
+X98946176Y-88850000D01*
+X98953455Y-88923905D01*
+X98975012Y-88994970D01*
+X99010019Y-89060463D01*
+X99057131Y-89117869D01*
+X99114537Y-89164981D01*
+X99180030Y-89199988D01*
+X99251095Y-89221545D01*
+X99254924Y-89221922D01*
+X99142261Y-89233018D01*
+X99026643Y-89268091D01*
+X98920089Y-89325045D01*
+X98826693Y-89401693D01*
+X98750045Y-89495089D01*
+X98693091Y-89601643D01*
+X98658018Y-89717261D01*
+X98646176Y-89837500D01*
+X98646176Y-90412500D01*
+X98658018Y-90532739D01*
+X98693091Y-90648357D01*
+X98750045Y-90754911D01*
+X98826693Y-90848307D01*
+X98920089Y-90924955D01*
+X99026643Y-90981909D01*
+X99086280Y-91000000D01*
+X99026643Y-91018091D01*
+X98920089Y-91075045D01*
+X98826693Y-91151693D01*
+X98750045Y-91245089D01*
+X98693091Y-91351643D01*
+X98686612Y-91373000D01*
+X98199643Y-91373000D01*
+X98175000Y-91370573D01*
+X98150357Y-91373000D01*
+X98150347Y-91373000D01*
+X98076591Y-91380264D01*
+X97981964Y-91408969D01*
+X97894755Y-91455583D01*
+X97894753Y-91455584D01*
+X97894754Y-91455584D01*
+X97840634Y-91500000D01*
+X97818316Y-91518316D01*
+X97802603Y-91537462D01*
+X96642066Y-92698000D01*
+X96502000Y-92698000D01*
+X96502000Y-92538337D01*
+X96521545Y-92473905D01*
+X96528824Y-92400000D01*
+X96528824Y-91000000D01*
+X96521545Y-90926095D01*
+X96499988Y-90855030D01*
+X96464981Y-90789537D01*
+X96417869Y-90732131D01*
+X96389500Y-90708849D01*
+X96389500Y-90507056D01*
+X96387284Y-90483433D01*
+X96380277Y-90459543D01*
+X96368745Y-90437479D01*
+X96353130Y-90418088D01*
+X96334032Y-90402117D01*
+X96312184Y-90390178D01*
+X96288428Y-90382731D01*
+X96263675Y-90380061D01*
+X95502000Y-90373013D01*
+X95502000Y-89759644D01*
+X95504427Y-89735001D01*
+X95502000Y-89710358D01*
+X95502000Y-89710349D01*
+X95494736Y-89636593D01*
+X95466031Y-89541966D01*
+X95419417Y-89454757D01*
+X95403739Y-89435654D01*
+X95372399Y-89397466D01*
+X95372397Y-89397464D01*
+X95356684Y-89378318D01*
+X95337538Y-89362605D01*
+X94353756Y-88378824D01*
+X94650000Y-88378824D01*
+X94723905Y-88371545D01*
+X94750000Y-88363629D01*
+X94776095Y-88371545D01*
+X94850000Y-88378824D01*
+X95150000Y-88378824D01*
+X95223905Y-88371545D01*
+X95250000Y-88363629D01*
+X95276095Y-88371545D01*
+X95350000Y-88378824D01*
+X95382750Y-88377000D01*
+X95477000Y-88282750D01*
+X95477000Y-88187977D01*
+X95499988Y-88144970D01*
+X95500000Y-88144930D01*
+X95500012Y-88144970D01*
+X95523000Y-88187977D01*
+X95523000Y-88282750D01*
+X95617250Y-88377000D01*
+X95650000Y-88378824D01*
+X95723905Y-88371545D01*
+X95750000Y-88363629D01*
+X95776095Y-88371545D01*
+X95850000Y-88378824D01*
+X95882750Y-88377000D01*
+X95977000Y-88282750D01*
+X95977000Y-88187977D01*
+X95999988Y-88144970D01*
+X96021545Y-88073905D01*
+X96023000Y-88059132D01*
+X96023000Y-88282750D01*
+X96117250Y-88377000D01*
+X96150000Y-88378824D01*
+X96223905Y-88371545D01*
+X96294970Y-88349988D01*
+X96360463Y-88314981D01*
+X96417869Y-88267869D01*
+X96464981Y-88210463D01*
+X96499988Y-88144970D01*
+X96521545Y-88073905D01*
+X96528824Y-88000000D01*
+X96527000Y-87521250D01*
+X96432750Y-87427000D01*
+X96023000Y-87427000D01*
+X96023000Y-87517250D01*
+X95977000Y-87471250D01*
+X95977000Y-87427000D01*
+X95853000Y-87427000D01*
+X95853000Y-87173000D01*
+X95977000Y-87173000D01*
+X95977000Y-87128750D01*
+X96023000Y-87082750D01*
+X96023000Y-87173000D01*
+X96432750Y-87173000D01*
+X96527000Y-87078750D01*
+X96528824Y-86600000D01*
+X96521545Y-86526095D01*
+X96499988Y-86455030D01*
+X96464981Y-86389537D01*
+X96417869Y-86332131D01*
+X96360463Y-86285019D01*
+X96294970Y-86250012D01*
+X96223905Y-86228455D01*
+X96150000Y-86221176D01*
+X96117250Y-86223000D01*
+X96023000Y-86317250D01*
+X96023000Y-86540868D01*
+X96021545Y-86526095D01*
+X95999988Y-86455030D01*
+X95977000Y-86412023D01*
+X95977000Y-86317250D01*
+X95882750Y-86223000D01*
+X95850000Y-86221176D01*
+X95776095Y-86228455D01*
+X95750000Y-86236371D01*
+X95723905Y-86228455D01*
+X95650000Y-86221176D01*
+X95617250Y-86223000D01*
+X95523000Y-86317250D01*
+X95523000Y-86412023D01*
+X95500012Y-86455030D01*
+X95500000Y-86455070D01*
+X95499988Y-86455030D01*
+X95477000Y-86412023D01*
+X95477000Y-86317250D01*
+X95382750Y-86223000D01*
+X95350000Y-86221176D01*
+X95337530Y-86222404D01*
+X95882936Y-85676999D01*
+X98358653Y-85676999D01*
+X98350045Y-85682750D01*
+G37*
+X98350045Y-85682750D02*
+X98316234Y-85700823D01*
+X98286598Y-85725144D01*
+X98254691Y-85746464D01*
+X98227558Y-85773597D01*
+X98197920Y-85797920D01*
+X98173597Y-85827558D01*
+X98146464Y-85854691D01*
+X98125144Y-85886598D01*
+X98100823Y-85916234D01*
+X98082750Y-85950045D01*
+X98061431Y-85981952D01*
+X98046747Y-86017402D01*
+X98028673Y-86051216D01*
+X98017543Y-86087908D01*
+X98002859Y-86123357D01*
+X97995374Y-86160987D01*
+X97984243Y-86197681D01*
+X97980484Y-86235846D01*
+X97973000Y-86273472D01*
+X97973000Y-86311834D01*
+X97969241Y-86350000D01*
+X97973000Y-86388166D01*
+X97973000Y-86426528D01*
+X97980484Y-86464154D01*
+X97984243Y-86502319D01*
+X97995374Y-86539013D01*
+X98002859Y-86576643D01*
+X98017543Y-86612092D01*
+X98028673Y-86648784D01*
+X98046747Y-86682598D01*
+X98061431Y-86718048D01*
+X98082750Y-86749955D01*
+X98100823Y-86783766D01*
+X98125144Y-86813402D01*
+X98146464Y-86845309D01*
+X98173597Y-86872442D01*
+X98197920Y-86902080D01*
+X98227558Y-86926403D01*
+X98254691Y-86953536D01*
+X98286598Y-86974856D01*
+X98316234Y-86999177D01*
+X98350045Y-87017250D01*
+X98381952Y-87038569D01*
+X98417402Y-87053253D01*
+X98451216Y-87071327D01*
+X98487908Y-87082457D01*
+X98523357Y-87097141D01*
+X98560987Y-87104626D01*
+X98597681Y-87115757D01*
+X98635846Y-87119516D01*
+X98673472Y-87127000D01*
+X98992133Y-87127000D01*
+X99010019Y-87160463D01*
+X99057131Y-87217869D01*
+X99114537Y-87264981D01*
+X99180030Y-87299988D01*
+X99180070Y-87300000D01*
+X99180030Y-87300012D01*
+X99114537Y-87335019D01*
+X99057131Y-87382131D01*
+X99010019Y-87439537D01*
+X98975012Y-87505030D01*
+X98953455Y-87576095D01*
+X98946176Y-87650000D01*
+X98948000Y-88028750D01*
+X99042250Y-88123000D01*
+X99573000Y-88123000D01*
+X99573000Y-88103000D01*
+X99827000Y-88103000D01*
+X99827000Y-88123000D01*
+X99847000Y-88123000D01*
+X99847000Y-88377000D01*
+X99827000Y-88377000D01*
+X99827000Y-88397000D01*
+X99573000Y-88397000D01*
+X99573000Y-88377000D01*
+X99042250Y-88377000D01*
+X98948000Y-88471250D01*
+X98946176Y-88850000D01*
+X98953455Y-88923905D01*
+X98975012Y-88994970D01*
+X99010019Y-89060463D01*
+X99057131Y-89117869D01*
+X99114537Y-89164981D01*
+X99180030Y-89199988D01*
+X99251095Y-89221545D01*
+X99254924Y-89221922D01*
+X99142261Y-89233018D01*
+X99026643Y-89268091D01*
+X98920089Y-89325045D01*
+X98826693Y-89401693D01*
+X98750045Y-89495089D01*
+X98693091Y-89601643D01*
+X98658018Y-89717261D01*
+X98646176Y-89837500D01*
+X98646176Y-90412500D01*
+X98658018Y-90532739D01*
+X98693091Y-90648357D01*
+X98750045Y-90754911D01*
+X98826693Y-90848307D01*
+X98920089Y-90924955D01*
+X99026643Y-90981909D01*
+X99086280Y-91000000D01*
+X99026643Y-91018091D01*
+X98920089Y-91075045D01*
+X98826693Y-91151693D01*
+X98750045Y-91245089D01*
+X98693091Y-91351643D01*
+X98686612Y-91373000D01*
+X98199643Y-91373000D01*
+X98175000Y-91370573D01*
+X98150357Y-91373000D01*
+X98150347Y-91373000D01*
+X98076591Y-91380264D01*
+X97981964Y-91408969D01*
+X97894755Y-91455583D01*
+X97894753Y-91455584D01*
+X97894754Y-91455584D01*
+X97840634Y-91500000D01*
+X97818316Y-91518316D01*
+X97802603Y-91537462D01*
+X96642066Y-92698000D01*
+X96502000Y-92698000D01*
+X96502000Y-92538337D01*
+X96521545Y-92473905D01*
+X96528824Y-92400000D01*
+X96528824Y-91000000D01*
+X96521545Y-90926095D01*
+X96499988Y-90855030D01*
+X96464981Y-90789537D01*
+X96417869Y-90732131D01*
+X96389500Y-90708849D01*
+X96389500Y-90507056D01*
+X96387284Y-90483433D01*
+X96380277Y-90459543D01*
+X96368745Y-90437479D01*
+X96353130Y-90418088D01*
+X96334032Y-90402117D01*
+X96312184Y-90390178D01*
+X96288428Y-90382731D01*
+X96263675Y-90380061D01*
+X95502000Y-90373013D01*
+X95502000Y-89759644D01*
+X95504427Y-89735001D01*
+X95502000Y-89710358D01*
+X95502000Y-89710349D01*
+X95494736Y-89636593D01*
+X95466031Y-89541966D01*
+X95419417Y-89454757D01*
+X95403739Y-89435654D01*
+X95372399Y-89397466D01*
+X95372397Y-89397464D01*
+X95356684Y-89378318D01*
+X95337538Y-89362605D01*
+X94353756Y-88378824D01*
+X94650000Y-88378824D01*
+X94723905Y-88371545D01*
+X94750000Y-88363629D01*
+X94776095Y-88371545D01*
+X94850000Y-88378824D01*
+X95150000Y-88378824D01*
+X95223905Y-88371545D01*
+X95250000Y-88363629D01*
+X95276095Y-88371545D01*
+X95350000Y-88378824D01*
+X95382750Y-88377000D01*
+X95477000Y-88282750D01*
+X95477000Y-88187977D01*
+X95499988Y-88144970D01*
+X95500000Y-88144930D01*
+X95500012Y-88144970D01*
+X95523000Y-88187977D01*
+X95523000Y-88282750D01*
+X95617250Y-88377000D01*
+X95650000Y-88378824D01*
+X95723905Y-88371545D01*
+X95750000Y-88363629D01*
+X95776095Y-88371545D01*
+X95850000Y-88378824D01*
+X95882750Y-88377000D01*
+X95977000Y-88282750D01*
+X95977000Y-88187977D01*
+X95999988Y-88144970D01*
+X96021545Y-88073905D01*
+X96023000Y-88059132D01*
+X96023000Y-88282750D01*
+X96117250Y-88377000D01*
+X96150000Y-88378824D01*
+X96223905Y-88371545D01*
+X96294970Y-88349988D01*
+X96360463Y-88314981D01*
+X96417869Y-88267869D01*
+X96464981Y-88210463D01*
+X96499988Y-88144970D01*
+X96521545Y-88073905D01*
+X96528824Y-88000000D01*
+X96527000Y-87521250D01*
+X96432750Y-87427000D01*
+X96023000Y-87427000D01*
+X96023000Y-87517250D01*
+X95977000Y-87471250D01*
+X95977000Y-87427000D01*
+X95853000Y-87427000D01*
+X95853000Y-87173000D01*
+X95977000Y-87173000D01*
+X95977000Y-87128750D01*
+X96023000Y-87082750D01*
+X96023000Y-87173000D01*
+X96432750Y-87173000D01*
+X96527000Y-87078750D01*
+X96528824Y-86600000D01*
+X96521545Y-86526095D01*
+X96499988Y-86455030D01*
+X96464981Y-86389537D01*
+X96417869Y-86332131D01*
+X96360463Y-86285019D01*
+X96294970Y-86250012D01*
+X96223905Y-86228455D01*
+X96150000Y-86221176D01*
+X96117250Y-86223000D01*
+X96023000Y-86317250D01*
+X96023000Y-86540868D01*
+X96021545Y-86526095D01*
+X95999988Y-86455030D01*
+X95977000Y-86412023D01*
+X95977000Y-86317250D01*
+X95882750Y-86223000D01*
+X95850000Y-86221176D01*
+X95776095Y-86228455D01*
+X95750000Y-86236371D01*
+X95723905Y-86228455D01*
+X95650000Y-86221176D01*
+X95617250Y-86223000D01*
+X95523000Y-86317250D01*
+X95523000Y-86412023D01*
+X95500012Y-86455030D01*
+X95500000Y-86455070D01*
+X95499988Y-86455030D01*
+X95477000Y-86412023D01*
+X95477000Y-86317250D01*
+X95382750Y-86223000D01*
+X95350000Y-86221176D01*
+X95337530Y-86222404D01*
+X95882936Y-85676999D01*
+X98358653Y-85676999D01*
+X98350045Y-85682750D01*
+G36*
+X119943091Y-85698357D02*
+G01*
+X120000045Y-85804911D01*
+X120076693Y-85898307D01*
+X120170089Y-85974955D01*
+X120259432Y-86022709D01*
+X120201095Y-86028455D01*
+X120130030Y-86050012D01*
+X120064537Y-86085019D01*
+X120007131Y-86132131D01*
+X119960019Y-86189537D01*
+X119925012Y-86255030D01*
+X119903455Y-86326095D01*
+X119896176Y-86400000D01*
+X119898000Y-86703750D01*
+X119992250Y-86798000D01*
+X120623000Y-86798000D01*
+X120623000Y-86778000D01*
+X120877000Y-86778000D01*
+X120877000Y-86798000D01*
+X121507750Y-86798000D01*
+X121597875Y-86707875D01*
+X121598000Y-86728750D01*
+X121692250Y-86823000D01*
+X122323000Y-86823000D01*
+X122323000Y-86803000D01*
+X122577000Y-86803000D01*
+X122577000Y-86823000D01*
+X122597000Y-86823000D01*
+X122597000Y-87077000D01*
+X122577000Y-87077000D01*
+X122577000Y-87757750D01*
+X122671250Y-87852000D01*
+X122925000Y-87853824D01*
+X122998905Y-87846545D01*
+X123069970Y-87824988D01*
+X123135463Y-87789981D01*
+X123192869Y-87742869D01*
+X123239981Y-87685463D01*
+X123274988Y-87619970D01*
+X123296545Y-87548905D01*
+X123303824Y-87475000D01*
+X123302000Y-87171250D01*
+X123207752Y-87077002D01*
+X123302000Y-87077002D01*
+X123302000Y-86978777D01*
+X123320142Y-86976990D01*
+X123516604Y-86976990D01*
+X123518000Y-87553750D01*
+X123612250Y-87648000D01*
+X124068000Y-87648000D01*
+X124068000Y-87628000D01*
+X124322000Y-87628000D01*
+X124322000Y-87648000D01*
+X124342000Y-87648000D01*
+X124342000Y-87902000D01*
+X124322000Y-87902000D01*
+X124322000Y-89032750D01*
+X124416250Y-89127000D01*
+X124495000Y-89128824D01*
+X124568905Y-89121545D01*
+X124639970Y-89099988D01*
+X124705463Y-89064981D01*
+X124762869Y-89017869D01*
+X124809981Y-88960463D01*
+X124844988Y-88894970D01*
+X124856189Y-88858046D01*
+X124875299Y-88893799D01*
+X124941065Y-88973935D01*
+X125021201Y-89039701D01*
+X125112628Y-89088570D01*
+X125211832Y-89118663D01*
+X125315000Y-89128824D01*
+X125615000Y-89128824D01*
+X125718168Y-89118663D01*
+X125817372Y-89088570D01*
+X125908799Y-89039701D01*
+X125988935Y-88973935D01*
+X126054701Y-88893799D01*
+X126100000Y-88809051D01*
+X126145299Y-88893799D01*
+X126211065Y-88973935D01*
+X126291201Y-89039701D01*
+X126382628Y-89088570D01*
+X126481832Y-89118663D01*
+X126585000Y-89128824D01*
+X126885000Y-89128824D01*
+X126988168Y-89118663D01*
+X127087372Y-89088570D01*
+X127178799Y-89039701D01*
+X127258935Y-88973935D01*
+X127324701Y-88893799D01*
+X127370000Y-88809051D01*
+X127415299Y-88893799D01*
+X127481065Y-88973935D01*
+X127561201Y-89039701D01*
+X127652628Y-89088570D01*
+X127751832Y-89118663D01*
+X127855000Y-89128824D01*
+X128155000Y-89128824D01*
+X128258168Y-89118663D01*
+X128357372Y-89088570D01*
+X128448799Y-89039701D01*
+X128528935Y-88973935D01*
+X128594701Y-88893799D01*
+X128643570Y-88802372D01*
+X128673663Y-88703168D01*
+X128683824Y-88600000D01*
+X128683824Y-86950000D01*
+X128673663Y-86846832D01*
+X128643570Y-86747628D01*
+X128632000Y-86725982D01*
+X128632000Y-86451843D01*
+X128706843Y-86377000D01*
+X129595687Y-86377000D01*
+X129651643Y-86406909D01*
+X129767261Y-86441982D01*
+X129887500Y-86453824D01*
+X130462500Y-86453824D01*
+X130582739Y-86441982D01*
+X130620686Y-86430471D01*
+X130577569Y-86473588D01*
+X130547920Y-86497920D01*
+X130499920Y-86556409D01*
+X130450823Y-86616234D01*
+X130421456Y-86671176D01*
+X130200000Y-86671176D01*
+X130126095Y-86678455D01*
+X130055030Y-86700012D01*
+X129989537Y-86735019D01*
+X129932131Y-86782131D01*
+X129885019Y-86839537D01*
+X129850012Y-86905030D01*
+X129828455Y-86976095D01*
+X129821176Y-87050000D01*
+X129821176Y-89550000D01*
+X129828455Y-89623905D01*
+X129850012Y-89694970D01*
+X129885019Y-89760463D01*
+X129932131Y-89817869D01*
+X129989537Y-89864981D01*
+X130004539Y-89873000D01*
+X128069237Y-89873000D01*
+X127990509Y-89808390D01*
+X127794723Y-89703740D01*
+X127582283Y-89639297D01*
+X127416717Y-89622990D01*
+X127416706Y-89622990D01*
+X127361352Y-89617538D01*
+X127305998Y-89622990D01*
+X123624002Y-89622990D01*
+X123568648Y-89617538D01*
+X123513293Y-89622990D01*
+X123513283Y-89622990D01*
+X123347717Y-89639297D01*
+X123164930Y-89694745D01*
+X123135277Y-89703740D01*
+X122939491Y-89808390D01*
+X122860763Y-89873000D01*
+X118500000Y-89873000D01*
+X118475224Y-89875440D01*
+X118451399Y-89882667D01*
+X118429443Y-89894403D01*
+X118410197Y-89910197D01*
+X118394403Y-89929443D01*
+X118382667Y-89951399D01*
+X118375440Y-89975224D01*
+X118373000Y-90000000D01*
+X118373000Y-91373000D01*
+X115927000Y-91373000D01*
+X115927000Y-91372998D01*
+X115832752Y-91372998D01*
+X115927000Y-91278750D01*
+X115928824Y-91025000D01*
+X115921545Y-90951095D01*
+X115899988Y-90880030D01*
+X115864981Y-90814537D01*
+X115817869Y-90757131D01*
+X115760463Y-90710019D01*
+X115694970Y-90675012D01*
+X115623905Y-90653455D01*
+X115550000Y-90646176D01*
+X115246250Y-90648000D01*
+X115152000Y-90742250D01*
+X115152000Y-91373000D01*
+X114898000Y-91373000D01*
+X114898000Y-90742250D01*
+X114803750Y-90648000D01*
+X114500000Y-90646176D01*
+X114426095Y-90653455D01*
+X114355030Y-90675012D01*
+X114289537Y-90710019D01*
+X114277000Y-90720308D01*
+X114277000Y-90377339D01*
+X114297856Y-90379393D01*
+X114322499Y-90376966D01*
+X114322509Y-90376966D01*
+X114396265Y-90369702D01*
+X114490892Y-90340997D01*
+X114578101Y-90294383D01*
+X114654540Y-90231650D01*
+X114670258Y-90212498D01*
+X116823000Y-88059756D01*
+X116823000Y-88161000D01*
+X116866310Y-88378734D01*
+X116951266Y-88583835D01*
+X117074602Y-88768421D01*
+X117231579Y-88925398D01*
+X117416165Y-89048734D01*
+X117621266Y-89133690D01*
+X117839000Y-89177000D01*
+X118061000Y-89177000D01*
+X118278734Y-89133690D01*
+X118483835Y-89048734D01*
+X118668421Y-88925398D01*
+X118825398Y-88768421D01*
+X118837706Y-88750000D01*
+X123516176Y-88750000D01*
+X123523455Y-88823905D01*
+X123545012Y-88894970D01*
+X123580019Y-88960463D01*
+X123627131Y-89017869D01*
+X123684537Y-89064981D01*
+X123750030Y-89099988D01*
+X123821095Y-89121545D01*
+X123895000Y-89128824D01*
+X123973750Y-89127000D01*
+X124068000Y-89032750D01*
+X124068000Y-87902000D01*
+X123612250Y-87902000D01*
+X123518000Y-87996250D01*
+X123516176Y-88750000D01*
+X118837706Y-88750000D01*
+X118948734Y-88583835D01*
+X119033690Y-88378734D01*
+X119077000Y-88161000D01*
+X119077000Y-87939000D01*
+X119033690Y-87721266D01*
+X118948734Y-87516165D01*
+X118904525Y-87450000D01*
+X119896176Y-87450000D01*
+X119903455Y-87523905D01*
+X119925012Y-87594970D01*
+X119960019Y-87660463D01*
+X120007131Y-87717869D01*
+X120064537Y-87764981D01*
+X120130030Y-87799988D01*
+X120201095Y-87821545D01*
+X120275000Y-87828824D01*
+X120528750Y-87827000D01*
+X120623000Y-87732750D01*
+X120623000Y-87052000D01*
+X120877000Y-87052000D01*
+X120877000Y-87732750D01*
+X120971250Y-87827000D01*
+X121225000Y-87828824D01*
+X121298905Y-87821545D01*
+X121369970Y-87799988D01*
+X121435463Y-87764981D01*
+X121492869Y-87717869D01*
+X121539981Y-87660463D01*
+X121574988Y-87594970D01*
+X121596545Y-87523905D01*
+X121598769Y-87501326D01*
+X121603455Y-87548905D01*
+X121625012Y-87619970D01*
+X121660019Y-87685463D01*
+X121707131Y-87742869D01*
+X121764537Y-87789981D01*
+X121830030Y-87824988D01*
+X121901095Y-87846545D01*
+X121975000Y-87853824D01*
+X122228750Y-87852000D01*
+X122323000Y-87757750D01*
+X122323000Y-87077000D01*
+X121692250Y-87077000D01*
+X121602125Y-87167125D01*
+X121602000Y-87146250D01*
+X121507750Y-87052000D01*
+X120877000Y-87052000D01*
+X120623000Y-87052000D01*
+X119992250Y-87052000D01*
+X119898000Y-87146250D01*
+X119896176Y-87450000D01*
+X118904525Y-87450000D01*
+X118825398Y-87331579D01*
+X118668421Y-87174602D01*
+X118483835Y-87051266D01*
+X118483717Y-87051217D01*
+X119857935Y-85677000D01*
+X119936612Y-85677000D01*
+X119943091Y-85698357D01*
+G37*
+X119943091Y-85698357D02*
+X120000045Y-85804911D01*
+X120076693Y-85898307D01*
+X120170089Y-85974955D01*
+X120259432Y-86022709D01*
+X120201095Y-86028455D01*
+X120130030Y-86050012D01*
+X120064537Y-86085019D01*
+X120007131Y-86132131D01*
+X119960019Y-86189537D01*
+X119925012Y-86255030D01*
+X119903455Y-86326095D01*
+X119896176Y-86400000D01*
+X119898000Y-86703750D01*
+X119992250Y-86798000D01*
+X120623000Y-86798000D01*
+X120623000Y-86778000D01*
+X120877000Y-86778000D01*
+X120877000Y-86798000D01*
+X121507750Y-86798000D01*
+X121597875Y-86707875D01*
+X121598000Y-86728750D01*
+X121692250Y-86823000D01*
+X122323000Y-86823000D01*
+X122323000Y-86803000D01*
+X122577000Y-86803000D01*
+X122577000Y-86823000D01*
+X122597000Y-86823000D01*
+X122597000Y-87077000D01*
+X122577000Y-87077000D01*
+X122577000Y-87757750D01*
+X122671250Y-87852000D01*
+X122925000Y-87853824D01*
+X122998905Y-87846545D01*
+X123069970Y-87824988D01*
+X123135463Y-87789981D01*
+X123192869Y-87742869D01*
+X123239981Y-87685463D01*
+X123274988Y-87619970D01*
+X123296545Y-87548905D01*
+X123303824Y-87475000D01*
+X123302000Y-87171250D01*
+X123207752Y-87077002D01*
+X123302000Y-87077002D01*
+X123302000Y-86978777D01*
+X123320142Y-86976990D01*
+X123516604Y-86976990D01*
+X123518000Y-87553750D01*
+X123612250Y-87648000D01*
+X124068000Y-87648000D01*
+X124068000Y-87628000D01*
+X124322000Y-87628000D01*
+X124322000Y-87648000D01*
+X124342000Y-87648000D01*
+X124342000Y-87902000D01*
+X124322000Y-87902000D01*
+X124322000Y-89032750D01*
+X124416250Y-89127000D01*
+X124495000Y-89128824D01*
+X124568905Y-89121545D01*
+X124639970Y-89099988D01*
+X124705463Y-89064981D01*
+X124762869Y-89017869D01*
+X124809981Y-88960463D01*
+X124844988Y-88894970D01*
+X124856189Y-88858046D01*
+X124875299Y-88893799D01*
+X124941065Y-88973935D01*
+X125021201Y-89039701D01*
+X125112628Y-89088570D01*
+X125211832Y-89118663D01*
+X125315000Y-89128824D01*
+X125615000Y-89128824D01*
+X125718168Y-89118663D01*
+X125817372Y-89088570D01*
+X125908799Y-89039701D01*
+X125988935Y-88973935D01*
+X126054701Y-88893799D01*
+X126100000Y-88809051D01*
+X126145299Y-88893799D01*
+X126211065Y-88973935D01*
+X126291201Y-89039701D01*
+X126382628Y-89088570D01*
+X126481832Y-89118663D01*
+X126585000Y-89128824D01*
+X126885000Y-89128824D01*
+X126988168Y-89118663D01*
+X127087372Y-89088570D01*
+X127178799Y-89039701D01*
+X127258935Y-88973935D01*
+X127324701Y-88893799D01*
+X127370000Y-88809051D01*
+X127415299Y-88893799D01*
+X127481065Y-88973935D01*
+X127561201Y-89039701D01*
+X127652628Y-89088570D01*
+X127751832Y-89118663D01*
+X127855000Y-89128824D01*
+X128155000Y-89128824D01*
+X128258168Y-89118663D01*
+X128357372Y-89088570D01*
+X128448799Y-89039701D01*
+X128528935Y-88973935D01*
+X128594701Y-88893799D01*
+X128643570Y-88802372D01*
+X128673663Y-88703168D01*
+X128683824Y-88600000D01*
+X128683824Y-86950000D01*
+X128673663Y-86846832D01*
+X128643570Y-86747628D01*
+X128632000Y-86725982D01*
+X128632000Y-86451843D01*
+X128706843Y-86377000D01*
+X129595687Y-86377000D01*
+X129651643Y-86406909D01*
+X129767261Y-86441982D01*
+X129887500Y-86453824D01*
+X130462500Y-86453824D01*
+X130582739Y-86441982D01*
+X130620686Y-86430471D01*
+X130577569Y-86473588D01*
+X130547920Y-86497920D01*
+X130499920Y-86556409D01*
+X130450823Y-86616234D01*
+X130421456Y-86671176D01*
+X130200000Y-86671176D01*
+X130126095Y-86678455D01*
+X130055030Y-86700012D01*
+X129989537Y-86735019D01*
+X129932131Y-86782131D01*
+X129885019Y-86839537D01*
+X129850012Y-86905030D01*
+X129828455Y-86976095D01*
+X129821176Y-87050000D01*
+X129821176Y-89550000D01*
+X129828455Y-89623905D01*
+X129850012Y-89694970D01*
+X129885019Y-89760463D01*
+X129932131Y-89817869D01*
+X129989537Y-89864981D01*
+X130004539Y-89873000D01*
+X128069237Y-89873000D01*
+X127990509Y-89808390D01*
+X127794723Y-89703740D01*
+X127582283Y-89639297D01*
+X127416717Y-89622990D01*
+X127416706Y-89622990D01*
+X127361352Y-89617538D01*
+X127305998Y-89622990D01*
+X123624002Y-89622990D01*
+X123568648Y-89617538D01*
+X123513293Y-89622990D01*
+X123513283Y-89622990D01*
+X123347717Y-89639297D01*
+X123164930Y-89694745D01*
+X123135277Y-89703740D01*
+X122939491Y-89808390D01*
+X122860763Y-89873000D01*
+X118500000Y-89873000D01*
+X118475224Y-89875440D01*
+X118451399Y-89882667D01*
+X118429443Y-89894403D01*
+X118410197Y-89910197D01*
+X118394403Y-89929443D01*
+X118382667Y-89951399D01*
+X118375440Y-89975224D01*
+X118373000Y-90000000D01*
+X118373000Y-91373000D01*
+X115927000Y-91373000D01*
+X115927000Y-91372998D01*
+X115832752Y-91372998D01*
+X115927000Y-91278750D01*
+X115928824Y-91025000D01*
+X115921545Y-90951095D01*
+X115899988Y-90880030D01*
+X115864981Y-90814537D01*
+X115817869Y-90757131D01*
+X115760463Y-90710019D01*
+X115694970Y-90675012D01*
+X115623905Y-90653455D01*
+X115550000Y-90646176D01*
+X115246250Y-90648000D01*
+X115152000Y-90742250D01*
+X115152000Y-91373000D01*
+X114898000Y-91373000D01*
+X114898000Y-90742250D01*
+X114803750Y-90648000D01*
+X114500000Y-90646176D01*
+X114426095Y-90653455D01*
+X114355030Y-90675012D01*
+X114289537Y-90710019D01*
+X114277000Y-90720308D01*
+X114277000Y-90377339D01*
+X114297856Y-90379393D01*
+X114322499Y-90376966D01*
+X114322509Y-90376966D01*
+X114396265Y-90369702D01*
+X114490892Y-90340997D01*
+X114578101Y-90294383D01*
+X114654540Y-90231650D01*
+X114670258Y-90212498D01*
+X116823000Y-88059756D01*
+X116823000Y-88161000D01*
+X116866310Y-88378734D01*
+X116951266Y-88583835D01*
+X117074602Y-88768421D01*
+X117231579Y-88925398D01*
+X117416165Y-89048734D01*
+X117621266Y-89133690D01*
+X117839000Y-89177000D01*
+X118061000Y-89177000D01*
+X118278734Y-89133690D01*
+X118483835Y-89048734D01*
+X118668421Y-88925398D01*
+X118825398Y-88768421D01*
+X118837706Y-88750000D01*
+X123516176Y-88750000D01*
+X123523455Y-88823905D01*
+X123545012Y-88894970D01*
+X123580019Y-88960463D01*
+X123627131Y-89017869D01*
+X123684537Y-89064981D01*
+X123750030Y-89099988D01*
+X123821095Y-89121545D01*
+X123895000Y-89128824D01*
+X123973750Y-89127000D01*
+X124068000Y-89032750D01*
+X124068000Y-87902000D01*
+X123612250Y-87902000D01*
+X123518000Y-87996250D01*
+X123516176Y-88750000D01*
+X118837706Y-88750000D01*
+X118948734Y-88583835D01*
+X119033690Y-88378734D01*
+X119077000Y-88161000D01*
+X119077000Y-87939000D01*
+X119033690Y-87721266D01*
+X118948734Y-87516165D01*
+X118904525Y-87450000D01*
+X119896176Y-87450000D01*
+X119903455Y-87523905D01*
+X119925012Y-87594970D01*
+X119960019Y-87660463D01*
+X120007131Y-87717869D01*
+X120064537Y-87764981D01*
+X120130030Y-87799988D01*
+X120201095Y-87821545D01*
+X120275000Y-87828824D01*
+X120528750Y-87827000D01*
+X120623000Y-87732750D01*
+X120623000Y-87052000D01*
+X120877000Y-87052000D01*
+X120877000Y-87732750D01*
+X120971250Y-87827000D01*
+X121225000Y-87828824D01*
+X121298905Y-87821545D01*
+X121369970Y-87799988D01*
+X121435463Y-87764981D01*
+X121492869Y-87717869D01*
+X121539981Y-87660463D01*
+X121574988Y-87594970D01*
+X121596545Y-87523905D01*
+X121598769Y-87501326D01*
+X121603455Y-87548905D01*
+X121625012Y-87619970D01*
+X121660019Y-87685463D01*
+X121707131Y-87742869D01*
+X121764537Y-87789981D01*
+X121830030Y-87824988D01*
+X121901095Y-87846545D01*
+X121975000Y-87853824D01*
+X122228750Y-87852000D01*
+X122323000Y-87757750D01*
+X122323000Y-87077000D01*
+X121692250Y-87077000D01*
+X121602125Y-87167125D01*
+X121602000Y-87146250D01*
+X121507750Y-87052000D01*
+X120877000Y-87052000D01*
+X120623000Y-87052000D01*
+X119992250Y-87052000D01*
+X119898000Y-87146250D01*
+X119896176Y-87450000D01*
+X118904525Y-87450000D01*
+X118825398Y-87331579D01*
+X118668421Y-87174602D01*
+X118483835Y-87051266D01*
+X118483717Y-87051217D01*
+X119857935Y-85677000D01*
+X119936612Y-85677000D01*
+X119943091Y-85698357D01*
+G36*
+X158847000Y-89112000D02*
+G01*
+X158827000Y-89112000D01*
+X158827000Y-89132000D01*
+X158573000Y-89132000D01*
+X158573000Y-89112000D01*
+X157667250Y-89112000D01*
+X157573000Y-89206250D01*
+X157571176Y-89285000D01*
+X157578455Y-89358905D01*
+X157600012Y-89429970D01*
+X157635019Y-89495463D01*
+X157682131Y-89552869D01*
+X157739537Y-89599981D01*
+X157776990Y-89620000D01*
+X157739537Y-89640019D01*
+X157682131Y-89687131D01*
+X157635019Y-89744537D01*
+X157600012Y-89810030D01*
+X157578455Y-89881095D01*
+X157571176Y-89955000D01*
+X157571176Y-90374515D01*
+X157525000Y-90369967D01*
+X157402087Y-90382073D01*
+X157283896Y-90417926D01*
+X157174972Y-90476147D01*
+X157103418Y-90534870D01*
+X156659519Y-90978769D01*
+X156657750Y-90977000D01*
+X156127000Y-90977000D01*
+X156127000Y-90997000D01*
+X155873000Y-90997000D01*
+X155873000Y-90977000D01*
+X155853000Y-90977000D01*
+X155853000Y-90723000D01*
+X155873000Y-90723000D01*
+X155873000Y-89967250D01*
+X156127000Y-89967250D01*
+X156127000Y-90723000D01*
+X156657750Y-90723000D01*
+X156752000Y-90628750D01*
+X156753824Y-90250000D01*
+X156746545Y-90176095D01*
+X156724988Y-90105030D01*
+X156689981Y-90039537D01*
+X156642869Y-89982131D01*
+X156585463Y-89935019D01*
+X156519970Y-89900012D01*
+X156448905Y-89878455D01*
+X156375000Y-89871176D01*
+X156221250Y-89873000D01*
+X156127000Y-89967250D01*
+X155873000Y-89967250D01*
+X155778750Y-89873000D01*
+X155625000Y-89871176D01*
+X155551095Y-89878455D01*
+X155480030Y-89900012D01*
+X155414537Y-89935019D01*
+X155402000Y-89945308D01*
+X155402000Y-89407934D01*
+X155947937Y-88861999D01*
+X158847000Y-88861999D01*
+X158847000Y-89112000D01*
+G37*
+X158847000Y-89112000D02*
+X158827000Y-89112000D01*
+X158827000Y-89132000D01*
+X158573000Y-89132000D01*
+X158573000Y-89112000D01*
+X157667250Y-89112000D01*
+X157573000Y-89206250D01*
+X157571176Y-89285000D01*
+X157578455Y-89358905D01*
+X157600012Y-89429970D01*
+X157635019Y-89495463D01*
+X157682131Y-89552869D01*
+X157739537Y-89599981D01*
+X157776990Y-89620000D01*
+X157739537Y-89640019D01*
+X157682131Y-89687131D01*
+X157635019Y-89744537D01*
+X157600012Y-89810030D01*
+X157578455Y-89881095D01*
+X157571176Y-89955000D01*
+X157571176Y-90374515D01*
+X157525000Y-90369967D01*
+X157402087Y-90382073D01*
+X157283896Y-90417926D01*
+X157174972Y-90476147D01*
+X157103418Y-90534870D01*
+X156659519Y-90978769D01*
+X156657750Y-90977000D01*
+X156127000Y-90977000D01*
+X156127000Y-90997000D01*
+X155873000Y-90997000D01*
+X155873000Y-90977000D01*
+X155853000Y-90977000D01*
+X155853000Y-90723000D01*
+X155873000Y-90723000D01*
+X155873000Y-89967250D01*
+X156127000Y-89967250D01*
+X156127000Y-90723000D01*
+X156657750Y-90723000D01*
+X156752000Y-90628750D01*
+X156753824Y-90250000D01*
+X156746545Y-90176095D01*
+X156724988Y-90105030D01*
+X156689981Y-90039537D01*
+X156642869Y-89982131D01*
+X156585463Y-89935019D01*
+X156519970Y-89900012D01*
+X156448905Y-89878455D01*
+X156375000Y-89871176D01*
+X156221250Y-89873000D01*
+X156127000Y-89967250D01*
+X155873000Y-89967250D01*
+X155778750Y-89873000D01*
+X155625000Y-89871176D01*
+X155551095Y-89878455D01*
+X155480030Y-89900012D01*
+X155414537Y-89935019D01*
+X155402000Y-89945308D01*
+X155402000Y-89407934D01*
+X155947937Y-88861999D01*
+X158847000Y-88861999D01*
+X158847000Y-89112000D01*
+G36*
+X100458018Y-88582739D02*
+G01*
+X100493091Y-88698357D01*
+X100550045Y-88804911D01*
+X100626693Y-88898307D01*
+X100720089Y-88974955D01*
+X100826643Y-89031909D01*
+X100898001Y-89053555D01*
+X100898000Y-89346176D01*
+X100870000Y-89346176D01*
+X100796095Y-89353455D01*
+X100725030Y-89375012D01*
+X100659537Y-89410019D01*
+X100602131Y-89457131D01*
+X100555019Y-89514537D01*
+X100520012Y-89580030D01*
+X100498455Y-89651095D01*
+X100491176Y-89725000D01*
+X100491176Y-90375000D01*
+X100494589Y-90409654D01*
+X100353824Y-90268889D01*
+X100353824Y-89837500D01*
+X100341982Y-89717261D01*
+X100306909Y-89601643D01*
+X100249955Y-89495089D01*
+X100173307Y-89401693D01*
+X100079911Y-89325045D01*
+X99973357Y-89268091D01*
+X99857739Y-89233018D01*
+X99796635Y-89227000D01*
+X99827002Y-89227000D01*
+X99827002Y-89132752D01*
+X99921250Y-89227000D01*
+X100075000Y-89228824D01*
+X100148905Y-89221545D01*
+X100219970Y-89199988D01*
+X100285463Y-89164981D01*
+X100342869Y-89117869D01*
+X100389981Y-89060463D01*
+X100424988Y-88994970D01*
+X100446545Y-88923905D01*
+X100453824Y-88850000D01*
+X100452255Y-88524225D01*
+X100458018Y-88582739D01*
+G37*
+X100458018Y-88582739D02*
+X100493091Y-88698357D01*
+X100550045Y-88804911D01*
+X100626693Y-88898307D01*
+X100720089Y-88974955D01*
+X100826643Y-89031909D01*
+X100898001Y-89053555D01*
+X100898000Y-89346176D01*
+X100870000Y-89346176D01*
+X100796095Y-89353455D01*
+X100725030Y-89375012D01*
+X100659537Y-89410019D01*
+X100602131Y-89457131D01*
+X100555019Y-89514537D01*
+X100520012Y-89580030D01*
+X100498455Y-89651095D01*
+X100491176Y-89725000D01*
+X100491176Y-90375000D01*
+X100494589Y-90409654D01*
+X100353824Y-90268889D01*
+X100353824Y-89837500D01*
+X100341982Y-89717261D01*
+X100306909Y-89601643D01*
+X100249955Y-89495089D01*
+X100173307Y-89401693D01*
+X100079911Y-89325045D01*
+X99973357Y-89268091D01*
+X99857739Y-89233018D01*
+X99796635Y-89227000D01*
+X99827002Y-89227000D01*
+X99827002Y-89132752D01*
+X99921250Y-89227000D01*
+X100075000Y-89228824D01*
+X100148905Y-89221545D01*
+X100219970Y-89199988D01*
+X100285463Y-89164981D01*
+X100342869Y-89117869D01*
+X100389981Y-89060463D01*
+X100424988Y-88994970D01*
+X100446545Y-88923905D01*
+X100453824Y-88850000D01*
+X100452255Y-88524225D01*
+X100458018Y-88582739D01*
+G36*
+X128279449Y-83912591D02*
+G01*
+X128295163Y-83931739D01*
+X128314309Y-83947452D01*
+X128314310Y-83947453D01*
+X128371600Y-83994471D01*
+X128458807Y-84041085D01*
+X128553434Y-84069790D01*
+X128553435Y-84069790D01*
+X128553438Y-84069791D01*
+X128619417Y-84076289D01*
+X128651844Y-84079483D01*
+X128676496Y-84077055D01*
+X130814410Y-84077058D01*
+X130839054Y-84079485D01*
+X130863697Y-84077058D01*
+X130863706Y-84077058D01*
+X130864295Y-84077000D01*
+X133767066Y-84077000D01*
+X133848001Y-84157935D01*
+X133848001Y-84388079D01*
+X133816165Y-84401266D01*
+X133631579Y-84524602D01*
+X133474602Y-84681579D01*
+X133351266Y-84866165D01*
+X133266310Y-85071266D01*
+X133223000Y-85289000D01*
+X133223000Y-85511000D01*
+X133266310Y-85728734D01*
+X133351266Y-85933835D01*
+X133474602Y-86118421D01*
+X133631579Y-86275398D01*
+X133816165Y-86398734D01*
+X134021266Y-86483690D01*
+X134239000Y-86527000D01*
+X134461000Y-86527000D01*
+X134678734Y-86483690D01*
+X134883835Y-86398734D01*
+X135068421Y-86275398D01*
+X135225398Y-86118421D01*
+X135348734Y-85933835D01*
+X135361921Y-85902000D01*
+X136392066Y-85902000D01*
+X137052368Y-86562304D01*
+X137030019Y-86589537D01*
+X136995012Y-86655030D01*
+X136973455Y-86726095D01*
+X136966176Y-86800000D01*
+X136968000Y-87553750D01*
+X137062250Y-87648000D01*
+X137518000Y-87648000D01*
+X137518000Y-87628000D01*
+X137772000Y-87628000D01*
+X137772000Y-87648000D01*
+X137792000Y-87648000D01*
+X137792000Y-87902000D01*
+X137772000Y-87902000D01*
+X137772000Y-89032750D01*
+X137866250Y-89127000D01*
+X137945000Y-89128824D01*
+X138018905Y-89121545D01*
+X138089970Y-89099988D01*
+X138155463Y-89064981D01*
+X138212869Y-89017869D01*
+X138259981Y-88960463D01*
+X138294988Y-88894970D01*
+X138306189Y-88858046D01*
+X138325299Y-88893799D01*
+X138391065Y-88973935D01*
+X138471201Y-89039701D01*
+X138562628Y-89088570D01*
+X138661832Y-89118663D01*
+X138765000Y-89128824D01*
+X139065000Y-89128824D01*
+X139168168Y-89118663D01*
+X139267372Y-89088570D01*
+X139358799Y-89039701D01*
+X139438935Y-88973935D01*
+X139504701Y-88893799D01*
+X139550000Y-88809051D01*
+X139595299Y-88893799D01*
+X139661065Y-88973935D01*
+X139741201Y-89039701D01*
+X139832628Y-89088570D01*
+X139931832Y-89118663D01*
+X140035000Y-89128824D01*
+X140335000Y-89128824D01*
+X140438168Y-89118663D01*
+X140537372Y-89088570D01*
+X140628799Y-89039701D01*
+X140708935Y-88973935D01*
+X140774701Y-88893799D01*
+X140820000Y-88809051D01*
+X140865299Y-88893799D01*
+X140931065Y-88973935D01*
+X141011201Y-89039701D01*
+X141102628Y-89088570D01*
+X141201832Y-89118663D01*
+X141305000Y-89128824D01*
+X141605000Y-89128824D01*
+X141708168Y-89118663D01*
+X141807372Y-89088570D01*
+X141898799Y-89039701D01*
+X141978935Y-88973935D01*
+X142044701Y-88893799D01*
+X142093570Y-88802372D01*
+X142123663Y-88703168D01*
+X142133824Y-88600000D01*
+X142133824Y-86950000D01*
+X142123663Y-86846832D01*
+X142093570Y-86747628D01*
+X142082000Y-86725982D01*
+X142082000Y-86559593D01*
+X142152080Y-86502080D01*
+X142176413Y-86472430D01*
+X142221843Y-86427000D01*
+X143195687Y-86427000D01*
+X143251643Y-86456909D01*
+X143367261Y-86491982D01*
+X143487500Y-86503824D01*
+X144062500Y-86503824D01*
+X144182739Y-86491982D01*
+X144298357Y-86456909D01*
+X144404911Y-86399955D01*
+X144498307Y-86323307D01*
+X144574955Y-86229911D01*
+X144631909Y-86123357D01*
+X144650000Y-86063720D01*
+X144668091Y-86123357D01*
+X144725045Y-86229911D01*
+X144748001Y-86257883D01*
+X144748000Y-86671176D01*
+X143750000Y-86671176D01*
+X143676095Y-86678455D01*
+X143605030Y-86700012D01*
+X143539537Y-86735019D01*
+X143482131Y-86782131D01*
+X143435019Y-86839537D01*
+X143400012Y-86905030D01*
+X143378455Y-86976095D01*
+X143371176Y-87050000D01*
+X143371176Y-89550000D01*
+X143378455Y-89623905D01*
+X143400012Y-89694970D01*
+X143435019Y-89760463D01*
+X143482131Y-89817869D01*
+X143539537Y-89864981D01*
+X143554539Y-89873000D01*
+X141519237Y-89873000D01*
+X141440509Y-89808390D01*
+X141244723Y-89703740D01*
+X141032283Y-89639297D01*
+X140866717Y-89622990D01*
+X140866706Y-89622990D01*
+X140811352Y-89617538D01*
+X140755998Y-89622990D01*
+X135017864Y-89622990D01*
+X134962509Y-89617538D01*
+X134907155Y-89622990D01*
+X134907145Y-89622990D01*
+X134741579Y-89639297D01*
+X134529139Y-89703740D01*
+X134333353Y-89808390D01*
+X134254625Y-89873000D01*
+X132195461Y-89873000D01*
+X132210463Y-89864981D01*
+X132267869Y-89817869D01*
+X132314981Y-89760463D01*
+X132349988Y-89694970D01*
+X132371545Y-89623905D01*
+X132378824Y-89550000D01*
+X132378824Y-88750000D01*
+X136966176Y-88750000D01*
+X136973455Y-88823905D01*
+X136995012Y-88894970D01*
+X137030019Y-88960463D01*
+X137077131Y-89017869D01*
+X137134537Y-89064981D01*
+X137200030Y-89099988D01*
+X137271095Y-89121545D01*
+X137345000Y-89128824D01*
+X137423750Y-89127000D01*
+X137518000Y-89032750D01*
+X137518000Y-87902000D01*
+X137062250Y-87902000D01*
+X136968000Y-87996250D01*
+X136966176Y-88750000D01*
+X132378824Y-88750000D01*
+X132378824Y-87050000D01*
+X132371545Y-86976095D01*
+X132349988Y-86905030D01*
+X132347837Y-86901006D01*
+X132447436Y-86801408D01*
+X132477080Y-86777080D01*
+X132503407Y-86745000D01*
+X132574177Y-86658767D01*
+X132646327Y-86523784D01*
+X132655412Y-86493835D01*
+X132690757Y-86377318D01*
+X132702000Y-86263165D01*
+X132702000Y-86263157D01*
+X132705758Y-86225001D01*
+X132703850Y-86205628D01*
+X132724955Y-86179911D01*
+X132781909Y-86073357D01*
+X132816982Y-85957739D01*
+X132828824Y-85837500D01*
+X132828824Y-85362500D01*
+X132816982Y-85242261D01*
+X132781909Y-85126643D01*
+X132724955Y-85020089D01*
+X132648307Y-84926693D01*
+X132554911Y-84850045D01*
+X132448357Y-84793091D01*
+X132332739Y-84758018D01*
+X132212500Y-84746176D01*
+X131637500Y-84746176D01*
+X131517261Y-84758018D01*
+X131401643Y-84793091D01*
+X131295089Y-84850045D01*
+X131201693Y-84926693D01*
+X131125045Y-85020089D01*
+X131068091Y-85126643D01*
+X131050000Y-85186280D01*
+X131031909Y-85126643D01*
+X130974955Y-85020089D01*
+X130898307Y-84926693D01*
+X130804911Y-84850045D01*
+X130698357Y-84793091D01*
+X130582739Y-84758018D01*
+X130462500Y-84746176D01*
+X129887500Y-84746176D01*
+X129767261Y-84758018D01*
+X129651643Y-84793091D01*
+X129595687Y-84823000D01*
+X129028824Y-84823000D01*
+X129028824Y-84762500D01*
+X129016982Y-84642261D01*
+X128981909Y-84526643D01*
+X128924955Y-84420089D01*
+X128848307Y-84326693D01*
+X128754911Y-84250045D01*
+X128648357Y-84193091D01*
+X128532739Y-84158018D01*
+X128412500Y-84146176D01*
+X127837500Y-84146176D01*
+X127717261Y-84158018D01*
+X127601643Y-84193091D01*
+X127495089Y-84250045D01*
+X127401693Y-84326693D01*
+X127325045Y-84420089D01*
+X127277291Y-84509432D01*
+X127271545Y-84451095D01*
+X127249988Y-84380030D01*
+X127214981Y-84314537D01*
+X127167869Y-84257131D01*
+X127110463Y-84210019D01*
+X127044970Y-84175012D01*
+X126973905Y-84153455D01*
+X126900000Y-84146176D01*
+X126596250Y-84148000D01*
+X126502000Y-84242250D01*
+X126502000Y-84873000D01*
+X126522000Y-84873000D01*
+X126522000Y-85127000D01*
+X126502000Y-85127000D01*
+X126502000Y-85757750D01*
+X126596250Y-85852000D01*
+X126900000Y-85853824D01*
+X126973905Y-85846545D01*
+X127044970Y-85824988D01*
+X127110463Y-85789981D01*
+X127128522Y-85775160D01*
+X127125374Y-85790987D01*
+X127114243Y-85827681D01*
+X127110484Y-85865846D01*
+X127103000Y-85903472D01*
+X127103000Y-85941834D01*
+X127099241Y-85980000D01*
+X127103000Y-86018166D01*
+X127103000Y-86056528D01*
+X127110484Y-86094154D01*
+X127114243Y-86132319D01*
+X127125374Y-86169013D01*
+X127132859Y-86206643D01*
+X127147543Y-86242092D01*
+X127149605Y-86248892D01*
+X127119710Y-86212465D01*
+X127107400Y-86197465D01*
+X127107397Y-86197462D01*
+X127091684Y-86178316D01*
+X127072537Y-86162602D01*
+X126972401Y-86062466D01*
+X126956684Y-86043316D01*
+X126880245Y-85980583D01*
+X126793036Y-85933969D01*
+X126698409Y-85905264D01*
+X126624653Y-85898000D01*
+X126624643Y-85898000D01*
+X126600000Y-85895573D01*
+X126575357Y-85898000D01*
+X125694346Y-85898000D01*
+X125483791Y-85687446D01*
+X125468074Y-85668295D01*
+X125391635Y-85605562D01*
+X125304426Y-85558948D01*
+X125209799Y-85530243D01*
+X125136043Y-85522979D01*
+X125136033Y-85522979D01*
+X125111390Y-85520552D01*
+X125086747Y-85522979D01*
+X123957914Y-85522979D01*
+X123909935Y-85475000D01*
+X125471176Y-85475000D01*
+X125478455Y-85548905D01*
+X125500012Y-85619970D01*
+X125535019Y-85685463D01*
+X125582131Y-85742869D01*
+X125639537Y-85789981D01*
+X125705030Y-85824988D01*
+X125776095Y-85846545D01*
+X125850000Y-85853824D01*
+X126153750Y-85852000D01*
+X126248000Y-85757750D01*
+X126248000Y-85127000D01*
+X125567250Y-85127000D01*
+X125473000Y-85221250D01*
+X125471176Y-85475000D01*
+X123909935Y-85475000D01*
+X123299060Y-84864126D01*
+X123291982Y-84792261D01*
+X123256909Y-84676643D01*
+X123199955Y-84570089D01*
+X123123307Y-84476693D01*
+X123029911Y-84400045D01*
+X122952000Y-84358401D01*
+X122952000Y-84289673D01*
+X125512684Y-84289673D01*
+X125537327Y-84292100D01*
+X125554849Y-84290374D01*
+X125535019Y-84314537D01*
+X125500012Y-84380030D01*
+X125478455Y-84451095D01*
+X125471176Y-84525000D01*
+X125473000Y-84778750D01*
+X125567250Y-84873000D01*
+X126248000Y-84873000D01*
+X126248000Y-84242250D01*
+X126153750Y-84148000D01*
+X125891491Y-84146425D01*
+X125894011Y-84144357D01*
+X125909728Y-84125206D01*
+X126157935Y-83877000D01*
+X128243858Y-83877000D01*
+X128279449Y-83912591D01*
+G37*
+X128279449Y-83912591D02*
+X128295163Y-83931739D01*
+X128314309Y-83947452D01*
+X128314310Y-83947453D01*
+X128371600Y-83994471D01*
+X128458807Y-84041085D01*
+X128553434Y-84069790D01*
+X128553435Y-84069790D01*
+X128553438Y-84069791D01*
+X128619417Y-84076289D01*
+X128651844Y-84079483D01*
+X128676496Y-84077055D01*
+X130814410Y-84077058D01*
+X130839054Y-84079485D01*
+X130863697Y-84077058D01*
+X130863706Y-84077058D01*
+X130864295Y-84077000D01*
+X133767066Y-84077000D01*
+X133848001Y-84157935D01*
+X133848001Y-84388079D01*
+X133816165Y-84401266D01*
+X133631579Y-84524602D01*
+X133474602Y-84681579D01*
+X133351266Y-84866165D01*
+X133266310Y-85071266D01*
+X133223000Y-85289000D01*
+X133223000Y-85511000D01*
+X133266310Y-85728734D01*
+X133351266Y-85933835D01*
+X133474602Y-86118421D01*
+X133631579Y-86275398D01*
+X133816165Y-86398734D01*
+X134021266Y-86483690D01*
+X134239000Y-86527000D01*
+X134461000Y-86527000D01*
+X134678734Y-86483690D01*
+X134883835Y-86398734D01*
+X135068421Y-86275398D01*
+X135225398Y-86118421D01*
+X135348734Y-85933835D01*
+X135361921Y-85902000D01*
+X136392066Y-85902000D01*
+X137052368Y-86562304D01*
+X137030019Y-86589537D01*
+X136995012Y-86655030D01*
+X136973455Y-86726095D01*
+X136966176Y-86800000D01*
+X136968000Y-87553750D01*
+X137062250Y-87648000D01*
+X137518000Y-87648000D01*
+X137518000Y-87628000D01*
+X137772000Y-87628000D01*
+X137772000Y-87648000D01*
+X137792000Y-87648000D01*
+X137792000Y-87902000D01*
+X137772000Y-87902000D01*
+X137772000Y-89032750D01*
+X137866250Y-89127000D01*
+X137945000Y-89128824D01*
+X138018905Y-89121545D01*
+X138089970Y-89099988D01*
+X138155463Y-89064981D01*
+X138212869Y-89017869D01*
+X138259981Y-88960463D01*
+X138294988Y-88894970D01*
+X138306189Y-88858046D01*
+X138325299Y-88893799D01*
+X138391065Y-88973935D01*
+X138471201Y-89039701D01*
+X138562628Y-89088570D01*
+X138661832Y-89118663D01*
+X138765000Y-89128824D01*
+X139065000Y-89128824D01*
+X139168168Y-89118663D01*
+X139267372Y-89088570D01*
+X139358799Y-89039701D01*
+X139438935Y-88973935D01*
+X139504701Y-88893799D01*
+X139550000Y-88809051D01*
+X139595299Y-88893799D01*
+X139661065Y-88973935D01*
+X139741201Y-89039701D01*
+X139832628Y-89088570D01*
+X139931832Y-89118663D01*
+X140035000Y-89128824D01*
+X140335000Y-89128824D01*
+X140438168Y-89118663D01*
+X140537372Y-89088570D01*
+X140628799Y-89039701D01*
+X140708935Y-88973935D01*
+X140774701Y-88893799D01*
+X140820000Y-88809051D01*
+X140865299Y-88893799D01*
+X140931065Y-88973935D01*
+X141011201Y-89039701D01*
+X141102628Y-89088570D01*
+X141201832Y-89118663D01*
+X141305000Y-89128824D01*
+X141605000Y-89128824D01*
+X141708168Y-89118663D01*
+X141807372Y-89088570D01*
+X141898799Y-89039701D01*
+X141978935Y-88973935D01*
+X142044701Y-88893799D01*
+X142093570Y-88802372D01*
+X142123663Y-88703168D01*
+X142133824Y-88600000D01*
+X142133824Y-86950000D01*
+X142123663Y-86846832D01*
+X142093570Y-86747628D01*
+X142082000Y-86725982D01*
+X142082000Y-86559593D01*
+X142152080Y-86502080D01*
+X142176413Y-86472430D01*
+X142221843Y-86427000D01*
+X143195687Y-86427000D01*
+X143251643Y-86456909D01*
+X143367261Y-86491982D01*
+X143487500Y-86503824D01*
+X144062500Y-86503824D01*
+X144182739Y-86491982D01*
+X144298357Y-86456909D01*
+X144404911Y-86399955D01*
+X144498307Y-86323307D01*
+X144574955Y-86229911D01*
+X144631909Y-86123357D01*
+X144650000Y-86063720D01*
+X144668091Y-86123357D01*
+X144725045Y-86229911D01*
+X144748001Y-86257883D01*
+X144748000Y-86671176D01*
+X143750000Y-86671176D01*
+X143676095Y-86678455D01*
+X143605030Y-86700012D01*
+X143539537Y-86735019D01*
+X143482131Y-86782131D01*
+X143435019Y-86839537D01*
+X143400012Y-86905030D01*
+X143378455Y-86976095D01*
+X143371176Y-87050000D01*
+X143371176Y-89550000D01*
+X143378455Y-89623905D01*
+X143400012Y-89694970D01*
+X143435019Y-89760463D01*
+X143482131Y-89817869D01*
+X143539537Y-89864981D01*
+X143554539Y-89873000D01*
+X141519237Y-89873000D01*
+X141440509Y-89808390D01*
+X141244723Y-89703740D01*
+X141032283Y-89639297D01*
+X140866717Y-89622990D01*
+X140866706Y-89622990D01*
+X140811352Y-89617538D01*
+X140755998Y-89622990D01*
+X135017864Y-89622990D01*
+X134962509Y-89617538D01*
+X134907155Y-89622990D01*
+X134907145Y-89622990D01*
+X134741579Y-89639297D01*
+X134529139Y-89703740D01*
+X134333353Y-89808390D01*
+X134254625Y-89873000D01*
+X132195461Y-89873000D01*
+X132210463Y-89864981D01*
+X132267869Y-89817869D01*
+X132314981Y-89760463D01*
+X132349988Y-89694970D01*
+X132371545Y-89623905D01*
+X132378824Y-89550000D01*
+X132378824Y-88750000D01*
+X136966176Y-88750000D01*
+X136973455Y-88823905D01*
+X136995012Y-88894970D01*
+X137030019Y-88960463D01*
+X137077131Y-89017869D01*
+X137134537Y-89064981D01*
+X137200030Y-89099988D01*
+X137271095Y-89121545D01*
+X137345000Y-89128824D01*
+X137423750Y-89127000D01*
+X137518000Y-89032750D01*
+X137518000Y-87902000D01*
+X137062250Y-87902000D01*
+X136968000Y-87996250D01*
+X136966176Y-88750000D01*
+X132378824Y-88750000D01*
+X132378824Y-87050000D01*
+X132371545Y-86976095D01*
+X132349988Y-86905030D01*
+X132347837Y-86901006D01*
+X132447436Y-86801408D01*
+X132477080Y-86777080D01*
+X132503407Y-86745000D01*
+X132574177Y-86658767D01*
+X132646327Y-86523784D01*
+X132655412Y-86493835D01*
+X132690757Y-86377318D01*
+X132702000Y-86263165D01*
+X132702000Y-86263157D01*
+X132705758Y-86225001D01*
+X132703850Y-86205628D01*
+X132724955Y-86179911D01*
+X132781909Y-86073357D01*
+X132816982Y-85957739D01*
+X132828824Y-85837500D01*
+X132828824Y-85362500D01*
+X132816982Y-85242261D01*
+X132781909Y-85126643D01*
+X132724955Y-85020089D01*
+X132648307Y-84926693D01*
+X132554911Y-84850045D01*
+X132448357Y-84793091D01*
+X132332739Y-84758018D01*
+X132212500Y-84746176D01*
+X131637500Y-84746176D01*
+X131517261Y-84758018D01*
+X131401643Y-84793091D01*
+X131295089Y-84850045D01*
+X131201693Y-84926693D01*
+X131125045Y-85020089D01*
+X131068091Y-85126643D01*
+X131050000Y-85186280D01*
+X131031909Y-85126643D01*
+X130974955Y-85020089D01*
+X130898307Y-84926693D01*
+X130804911Y-84850045D01*
+X130698357Y-84793091D01*
+X130582739Y-84758018D01*
+X130462500Y-84746176D01*
+X129887500Y-84746176D01*
+X129767261Y-84758018D01*
+X129651643Y-84793091D01*
+X129595687Y-84823000D01*
+X129028824Y-84823000D01*
+X129028824Y-84762500D01*
+X129016982Y-84642261D01*
+X128981909Y-84526643D01*
+X128924955Y-84420089D01*
+X128848307Y-84326693D01*
+X128754911Y-84250045D01*
+X128648357Y-84193091D01*
+X128532739Y-84158018D01*
+X128412500Y-84146176D01*
+X127837500Y-84146176D01*
+X127717261Y-84158018D01*
+X127601643Y-84193091D01*
+X127495089Y-84250045D01*
+X127401693Y-84326693D01*
+X127325045Y-84420089D01*
+X127277291Y-84509432D01*
+X127271545Y-84451095D01*
+X127249988Y-84380030D01*
+X127214981Y-84314537D01*
+X127167869Y-84257131D01*
+X127110463Y-84210019D01*
+X127044970Y-84175012D01*
+X126973905Y-84153455D01*
+X126900000Y-84146176D01*
+X126596250Y-84148000D01*
+X126502000Y-84242250D01*
+X126502000Y-84873000D01*
+X126522000Y-84873000D01*
+X126522000Y-85127000D01*
+X126502000Y-85127000D01*
+X126502000Y-85757750D01*
+X126596250Y-85852000D01*
+X126900000Y-85853824D01*
+X126973905Y-85846545D01*
+X127044970Y-85824988D01*
+X127110463Y-85789981D01*
+X127128522Y-85775160D01*
+X127125374Y-85790987D01*
+X127114243Y-85827681D01*
+X127110484Y-85865846D01*
+X127103000Y-85903472D01*
+X127103000Y-85941834D01*
+X127099241Y-85980000D01*
+X127103000Y-86018166D01*
+X127103000Y-86056528D01*
+X127110484Y-86094154D01*
+X127114243Y-86132319D01*
+X127125374Y-86169013D01*
+X127132859Y-86206643D01*
+X127147543Y-86242092D01*
+X127149605Y-86248892D01*
+X127119710Y-86212465D01*
+X127107400Y-86197465D01*
+X127107397Y-86197462D01*
+X127091684Y-86178316D01*
+X127072537Y-86162602D01*
+X126972401Y-86062466D01*
+X126956684Y-86043316D01*
+X126880245Y-85980583D01*
+X126793036Y-85933969D01*
+X126698409Y-85905264D01*
+X126624653Y-85898000D01*
+X126624643Y-85898000D01*
+X126600000Y-85895573D01*
+X126575357Y-85898000D01*
+X125694346Y-85898000D01*
+X125483791Y-85687446D01*
+X125468074Y-85668295D01*
+X125391635Y-85605562D01*
+X125304426Y-85558948D01*
+X125209799Y-85530243D01*
+X125136043Y-85522979D01*
+X125136033Y-85522979D01*
+X125111390Y-85520552D01*
+X125086747Y-85522979D01*
+X123957914Y-85522979D01*
+X123909935Y-85475000D01*
+X125471176Y-85475000D01*
+X125478455Y-85548905D01*
+X125500012Y-85619970D01*
+X125535019Y-85685463D01*
+X125582131Y-85742869D01*
+X125639537Y-85789981D01*
+X125705030Y-85824988D01*
+X125776095Y-85846545D01*
+X125850000Y-85853824D01*
+X126153750Y-85852000D01*
+X126248000Y-85757750D01*
+X126248000Y-85127000D01*
+X125567250Y-85127000D01*
+X125473000Y-85221250D01*
+X125471176Y-85475000D01*
+X123909935Y-85475000D01*
+X123299060Y-84864126D01*
+X123291982Y-84792261D01*
+X123256909Y-84676643D01*
+X123199955Y-84570089D01*
+X123123307Y-84476693D01*
+X123029911Y-84400045D01*
+X122952000Y-84358401D01*
+X122952000Y-84289673D01*
+X125512684Y-84289673D01*
+X125537327Y-84292100D01*
+X125554849Y-84290374D01*
+X125535019Y-84314537D01*
+X125500012Y-84380030D01*
+X125478455Y-84451095D01*
+X125471176Y-84525000D01*
+X125473000Y-84778750D01*
+X125567250Y-84873000D01*
+X126248000Y-84873000D01*
+X126248000Y-84242250D01*
+X126153750Y-84148000D01*
+X125891491Y-84146425D01*
+X125894011Y-84144357D01*
+X125909728Y-84125206D01*
+X126157935Y-83877000D01*
+X128243858Y-83877000D01*
+X128279449Y-83912591D01*
+G36*
+X159709527Y-78268720D02*
+G01*
+X159881603Y-78478396D01*
+X160091279Y-78650473D01*
+X160330495Y-78778337D01*
+X160359221Y-78787051D01*
+X160365264Y-78848408D01*
+X160393969Y-78943035D01*
+X160440583Y-79030245D01*
+X160503316Y-79106684D01*
+X160522467Y-79122401D01*
+X161498001Y-80097936D01*
+X161498001Y-85836289D01*
+X160822328Y-85160616D01*
+X160827001Y-85113165D01*
+X160827001Y-85113162D01*
+X160830760Y-85074999D01*
+X160827001Y-85036836D01*
+X160827001Y-82338164D01*
+X160830760Y-82300001D01*
+X160825746Y-82249095D01*
+X160815758Y-82147682D01*
+X160771328Y-82001217D01*
+X160699178Y-81866235D01*
+X160602081Y-81747921D01*
+X160577000Y-81727338D01*
+X160577000Y-81238795D01*
+X160580033Y-81208001D01*
+X160567927Y-81085089D01*
+X160552873Y-81035463D01*
+X160532075Y-80966899D01*
+X160473853Y-80857974D01*
+X160395501Y-80762501D01*
+X160371579Y-80742869D01*
+X158947000Y-79318291D01*
+X158947000Y-78726224D01*
+X159088720Y-78650473D01*
+X159298396Y-78478397D01*
+X159470473Y-78268721D01*
+X159590000Y-78045102D01*
+X159709527Y-78268720D01*
+G37*
+X159709527Y-78268720D02*
+X159881603Y-78478396D01*
+X160091279Y-78650473D01*
+X160330495Y-78778337D01*
+X160359221Y-78787051D01*
+X160365264Y-78848408D01*
+X160393969Y-78943035D01*
+X160440583Y-79030245D01*
+X160503316Y-79106684D01*
+X160522467Y-79122401D01*
+X161498001Y-80097936D01*
+X161498001Y-85836289D01*
+X160822328Y-85160616D01*
+X160827001Y-85113165D01*
+X160827001Y-85113162D01*
+X160830760Y-85074999D01*
+X160827001Y-85036836D01*
+X160827001Y-82338164D01*
+X160830760Y-82300001D01*
+X160825746Y-82249095D01*
+X160815758Y-82147682D01*
+X160771328Y-82001217D01*
+X160699178Y-81866235D01*
+X160602081Y-81747921D01*
+X160577000Y-81727338D01*
+X160577000Y-81238795D01*
+X160580033Y-81208001D01*
+X160567927Y-81085089D01*
+X160552873Y-81035463D01*
+X160532075Y-80966899D01*
+X160473853Y-80857974D01*
+X160395501Y-80762501D01*
+X160371579Y-80742869D01*
+X158947000Y-79318291D01*
+X158947000Y-78726224D01*
+X159088720Y-78650473D01*
+X159298396Y-78478397D01*
+X159470473Y-78268721D01*
+X159590000Y-78045102D01*
+X159709527Y-78268720D01*
+G36*
+X154949476Y-85223000D02*
+G01*
+X150259934Y-85223000D01*
+X148988921Y-83951989D01*
+X153678466Y-83951989D01*
+X154949476Y-85223000D01*
+G37*
+X154949476Y-85223000D02*
+X150259934Y-85223000D01*
+X148988921Y-83951989D01*
+X153678466Y-83951989D01*
+X154949476Y-85223000D01*
+G36*
+X140609865Y-68589473D02*
+G01*
+X140681588Y-68661196D01*
+X140514957Y-68741306D01*
+X140424889Y-68944213D01*
+X140376136Y-69160793D01*
+X140370572Y-69382723D01*
+X140408412Y-69601474D01*
+X140488202Y-69808640D01*
+X140514957Y-69858694D01*
+X140681590Y-69938805D01*
+X141320395Y-69300000D01*
+X141306253Y-69285858D01*
+X141485858Y-69106253D01*
+X141500000Y-69120395D01*
+X141514143Y-69106253D01*
+X141693748Y-69285858D01*
+X141679605Y-69300000D01*
+X142318410Y-69938805D01*
+X142485043Y-69858694D01*
+X142575111Y-69655787D01*
+X142623864Y-69439207D01*
+X142629428Y-69217277D01*
+X142591588Y-68998526D01*
+X142511798Y-68791360D01*
+X142485043Y-68741306D01*
+X142318412Y-68661196D01*
+X142390135Y-68589473D01*
+X142352662Y-68552000D01*
+X142944181Y-68552000D01*
+X142914602Y-68581579D01*
+X142791266Y-68766165D01*
+X142706310Y-68971266D01*
+X142663000Y-69189000D01*
+X142663000Y-69411000D01*
+X142706310Y-69628734D01*
+X142791266Y-69833835D01*
+X142914602Y-70018421D01*
+X143071579Y-70175398D01*
+X143256165Y-70298734D01*
+X143461266Y-70383690D01*
+X143679000Y-70427000D01*
+X143901000Y-70427000D01*
+X144118734Y-70383690D01*
+X144323835Y-70298734D01*
+X144508421Y-70175398D01*
+X144565409Y-70118410D01*
+X152291195Y-70118410D01*
+X152371306Y-70285043D01*
+X152574213Y-70375111D01*
+X152790793Y-70423864D01*
+X153012723Y-70429428D01*
+X153231474Y-70391588D01*
+X153438640Y-70311798D01*
+X153488694Y-70285043D01*
+X153568805Y-70118410D01*
+X152930000Y-69479605D01*
+X152291195Y-70118410D01*
+X144565409Y-70118410D01*
+X144665398Y-70018421D01*
+X144788734Y-69833835D01*
+X144873690Y-69628734D01*
+X144917000Y-69411000D01*
+X144917000Y-69189000D01*
+X144873690Y-68971266D01*
+X144860503Y-68939431D01*
+X145247914Y-68552021D01*
+X152077317Y-68552021D01*
+X152039865Y-68589473D01*
+X152111588Y-68661196D01*
+X151944957Y-68741306D01*
+X151854889Y-68944213D01*
+X151806136Y-69160793D01*
+X151800572Y-69382723D01*
+X151838412Y-69601474D01*
+X151918202Y-69808640D01*
+X151944957Y-69858694D01*
+X152111590Y-69938805D01*
+X152750395Y-69300000D01*
+X152736253Y-69285858D01*
+X152915858Y-69106253D01*
+X152930000Y-69120395D01*
+X152944143Y-69106253D01*
+X153123748Y-69285858D01*
+X153109605Y-69300000D01*
+X153748410Y-69938805D01*
+X153915043Y-69858694D01*
+X154005111Y-69655787D01*
+X154053864Y-69439207D01*
+X154059428Y-69217277D01*
+X154021588Y-68998526D01*
+X153941798Y-68791360D01*
+X153915043Y-68741306D01*
+X153748412Y-68661196D01*
+X153820135Y-68589473D01*
+X153782683Y-68552021D01*
+X154374160Y-68552021D01*
+X154344602Y-68581579D01*
+X154221266Y-68766165D01*
+X154136310Y-68971266D01*
+X154093000Y-69189000D01*
+X154093000Y-69411000D01*
+X154136310Y-69628734D01*
+X154221266Y-69833835D01*
+X154344602Y-70018421D01*
+X154501579Y-70175398D01*
+X154686165Y-70298734D01*
+X154891266Y-70383690D01*
+X155109000Y-70427000D01*
+X155331000Y-70427000D01*
+X155548734Y-70383690D01*
+X155753835Y-70298734D01*
+X155938421Y-70175398D01*
+X156095398Y-70018421D01*
+X156218734Y-69833835D01*
+X156303690Y-69628734D01*
+X156347000Y-69411000D01*
+X156347000Y-69189000D01*
+X156329695Y-69102000D01*
+X160371176Y-69102000D01*
+X160371176Y-69387500D01*
+X160383018Y-69507739D01*
+X160418091Y-69623357D01*
+X160475045Y-69729911D01*
+X160551693Y-69823307D01*
+X160645089Y-69899955D01*
+X160751643Y-69956909D01*
+X160867261Y-69991982D01*
+X160987500Y-70003824D01*
+X161562500Y-70003824D01*
+X161682739Y-69991982D01*
+X161798357Y-69956909D01*
+X161904911Y-69899955D01*
+X161998307Y-69823307D01*
+X162074955Y-69729911D01*
+X162131909Y-69623357D01*
+X162150000Y-69563720D01*
+X162168091Y-69623357D01*
+X162225045Y-69729911D01*
+X162301693Y-69823307D01*
+X162395089Y-69899955D01*
+X162501643Y-69956909D01*
+X162617261Y-69991982D01*
+X162737500Y-70003824D01*
+X163168889Y-70003824D01*
+X163186536Y-70021471D01*
+X163186542Y-70021476D01*
+X165847968Y-72682903D01*
+X165847967Y-83942098D01*
+X165147198Y-84642868D01*
+X165117869Y-84607131D01*
+X165060463Y-84560019D01*
+X165023010Y-84540000D01*
+X165060463Y-84519981D01*
+X165117869Y-84472869D01*
+X165164981Y-84415463D01*
+X165199988Y-84349970D01*
+X165221545Y-84278905D01*
+X165228824Y-84205000D01*
+X165227000Y-84126250D01*
+X165132750Y-84032000D01*
+X164227000Y-84032000D01*
+X164227000Y-84052000D01*
+X163973000Y-84052000D01*
+X163973000Y-84032000D01*
+X163953000Y-84032000D01*
+X163953000Y-83778000D01*
+X163973000Y-83778000D01*
+X163973000Y-83322250D01*
+X164227000Y-83322250D01*
+X164227000Y-83778000D01*
+X165132750Y-83778000D01*
+X165227000Y-83683750D01*
+X165228824Y-83605000D01*
+X165221545Y-83531095D01*
+X165199988Y-83460030D01*
+X165164981Y-83394537D01*
+X165117869Y-83337131D01*
+X165060463Y-83290019D01*
+X164994970Y-83255012D01*
+X164923905Y-83233455D01*
+X164850000Y-83226176D01*
+X164321250Y-83228000D01*
+X164227000Y-83322250D01*
+X163973000Y-83322250D01*
+X163878750Y-83228000D01*
+X163350000Y-83226176D01*
+X163276095Y-83233455D01*
+X163227010Y-83248345D01*
+X163227010Y-80132944D01*
+X163737538Y-79622417D01*
+X163756684Y-79606704D01*
+X163781450Y-79576528D01*
+X163816913Y-79533316D01*
+X163819417Y-79530265D01*
+X163866031Y-79443056D01*
+X163894736Y-79348429D01*
+X163902000Y-79274673D01*
+X163902000Y-79274664D01*
+X163904427Y-79250021D01*
+X163902000Y-79225378D01*
+X163902000Y-78878824D01*
+X164400000Y-78878824D01*
+X164473905Y-78871545D01*
+X164544970Y-78849988D01*
+X164610463Y-78814981D01*
+X164667869Y-78767869D01*
+X164714981Y-78710463D01*
+X164749988Y-78644970D01*
+X164771545Y-78573905D01*
+X164778824Y-78500000D01*
+X164778824Y-75900000D01*
+X164771545Y-75826095D01*
+X164749988Y-75755030D01*
+X164714981Y-75689537D01*
+X164667869Y-75632131D01*
+X164610463Y-75585019D01*
+X164544970Y-75550012D01*
+X164473905Y-75528455D01*
+X164400000Y-75521176D01*
+X162400000Y-75521176D01*
+X162326095Y-75528455D01*
+X162255030Y-75550012D01*
+X162189537Y-75585019D01*
+X162132131Y-75632131D01*
+X162085019Y-75689537D01*
+X162050012Y-75755030D01*
+X162028455Y-75826095D01*
+X162021176Y-75900000D01*
+X162021176Y-76151303D01*
+X162010473Y-76131279D01*
+X161838397Y-75921603D01*
+X161628721Y-75749527D01*
+X161389505Y-75621663D01*
+X161129939Y-75542925D01*
+X160860000Y-75516338D01*
+X160590062Y-75542925D01*
+X160330496Y-75621663D01*
+X160091280Y-75749527D01*
+X159881604Y-75921603D01*
+X159709527Y-76131279D01*
+X159590000Y-76354898D01*
+X159470473Y-76131279D01*
+X159298397Y-75921603D01*
+X159088721Y-75749527D01*
+X158849505Y-75621663D01*
+X158589939Y-75542925D01*
+X158320000Y-75516338D01*
+X158050062Y-75542925D01*
+X157790496Y-75621663D01*
+X157551280Y-75749527D01*
+X157341604Y-75921603D01*
+X157169527Y-76131279D01*
+X157046563Y-76361328D01*
+X157003581Y-76255712D01*
+X156854376Y-76029383D01*
+X156663883Y-75836511D01*
+X156439423Y-75684509D01*
+X156189622Y-75579217D01*
+X156119929Y-75565617D01*
+X155907000Y-75623552D01*
+X155907000Y-77073000D01*
+X155927000Y-77073000D01*
+X155927000Y-77327000D01*
+X155907000Y-77327000D01*
+X155907000Y-78776448D01*
+X156119929Y-78834383D01*
+X156189622Y-78820783D01*
+X156439423Y-78715491D01*
+X156663883Y-78563489D01*
+X156854376Y-78370617D01*
+X157003581Y-78144288D01*
+X157046563Y-78038671D01*
+X157169527Y-78268720D01*
+X157341603Y-78478396D01*
+X157551279Y-78650473D01*
+X157693001Y-78726225D01*
+X157693001Y-79547198D01*
+X157689967Y-79578002D01*
+X157702073Y-79700914D01*
+X157736910Y-79815756D01*
+X157737926Y-79819105D01*
+X157796148Y-79928030D01*
+X157874500Y-80023503D01*
+X157898422Y-80043136D01*
+X159278286Y-81423000D01*
+X151771844Y-81423000D01*
+X147675844Y-77327000D01*
+X154403000Y-77327000D01*
+X154403000Y-77627000D01*
+X154454235Y-77893199D01*
+X154556419Y-78144288D01*
+X154705624Y-78370617D01*
+X154896117Y-78563489D01*
+X155120577Y-78715491D01*
+X155370378Y-78820783D01*
+X155440071Y-78834383D01*
+X155653000Y-78776448D01*
+X155653000Y-77327000D01*
+X154403000Y-77327000D01*
+X147675844Y-77327000D01*
+X147121844Y-76773000D01*
+X154403000Y-76773000D01*
+X154403000Y-77073000D01*
+X155653000Y-77073000D01*
+X155653000Y-75623552D01*
+X155440071Y-75565617D01*
+X155370378Y-75579217D01*
+X155120577Y-75684509D01*
+X154896117Y-75836511D01*
+X154705624Y-76029383D01*
+X154556419Y-76255712D01*
+X154454235Y-76506801D01*
+X154403000Y-76773000D01*
+X147121844Y-76773000D01*
+X146726414Y-76377571D01*
+X146702081Y-76347921D01*
+X146583767Y-76250824D01*
+X146448785Y-76178674D01*
+X146302320Y-76134244D01*
+X146188167Y-76123001D01*
+X146188164Y-76123001D01*
+X146150001Y-76119242D01*
+X146111838Y-76123001D01*
+X139257868Y-76123001D01*
+X139239981Y-76089537D01*
+X139192869Y-76032131D01*
+X139135463Y-75985019D01*
+X139069970Y-75950012D01*
+X139069930Y-75950000D01*
+X139069970Y-75949988D01*
+X139135463Y-75914981D01*
+X139192869Y-75867869D01*
+X139239981Y-75810463D01*
+X139274988Y-75744970D01*
+X139296545Y-75673905D01*
+X139303824Y-75600000D01*
+X139302000Y-75221250D01*
+X139207750Y-75127000D01*
+X138677000Y-75127000D01*
+X138677000Y-75147000D01*
+X138423000Y-75147000D01*
+X138423000Y-75127000D01*
+X137892250Y-75127000D01*
+X137798000Y-75221250D01*
+X137796176Y-75600000D01*
+X137803455Y-75673905D01*
+X137825012Y-75744970D01*
+X137860019Y-75810463D01*
+X137907131Y-75867869D01*
+X137964537Y-75914981D01*
+X138030030Y-75949988D01*
+X138030070Y-75950000D01*
+X138030030Y-75950012D01*
+X137964537Y-75985019D01*
+X137907131Y-76032131D01*
+X137860019Y-76089537D01*
+X137842132Y-76123001D01*
+X130038162Y-76123001D01*
+X129999998Y-76119242D01*
+X129961835Y-76123001D01*
+X129961833Y-76123001D01*
+X129847680Y-76134244D01*
+X129701215Y-76178674D01*
+X129566233Y-76250824D01*
+X129502010Y-76303530D01*
+X129502010Y-75321843D01*
+X129722430Y-75101423D01*
+X129752080Y-75077090D01*
+X129776413Y-75047440D01*
+X129846853Y-74977000D01*
+X130292133Y-74977000D01*
+X130310019Y-75010463D01*
+X130357131Y-75067869D01*
+X130414537Y-75114981D01*
+X130480030Y-75149988D01*
+X130551095Y-75171545D01*
+X130625000Y-75178824D01*
+X131375000Y-75178824D01*
+X131448905Y-75171545D01*
+X131519970Y-75149988D01*
+X131585463Y-75114981D01*
+X131642869Y-75067869D01*
+X131689981Y-75010463D01*
+X131724988Y-74944970D01*
+X131746545Y-74873905D01*
+X131751165Y-74827000D01*
+X132609206Y-74827000D01*
+X132640000Y-74830033D01*
+X132670794Y-74827000D01*
+X132762913Y-74817927D01*
+X132881103Y-74782075D01*
+X132990028Y-74723853D01*
+X133085501Y-74645501D01*
+X133105138Y-74621573D01*
+X133266573Y-74460138D01*
+X133290501Y-74440501D01*
+X133323739Y-74400000D01*
+X137796176Y-74400000D01*
+X137798000Y-74778750D01*
+X137892250Y-74873000D01*
+X138423000Y-74873000D01*
+X138423000Y-74117250D01*
+X138677000Y-74117250D01*
+X138677000Y-74873000D01*
+X139207750Y-74873000D01*
+X139302000Y-74778750D01*
+X139303824Y-74400000D01*
+X139296545Y-74326095D01*
+X139274988Y-74255030D01*
+X139239981Y-74189537D01*
+X139192869Y-74132131D01*
+X139135463Y-74085019D01*
+X139069970Y-74050012D01*
+X138998905Y-74028455D01*
+X138925000Y-74021176D01*
+X138771250Y-74023000D01*
+X138677000Y-74117250D01*
+X138423000Y-74117250D01*
+X138328750Y-74023000D01*
+X138175000Y-74021176D01*
+X138101095Y-74028455D01*
+X138030030Y-74050012D01*
+X137964537Y-74085019D01*
+X137907131Y-74132131D01*
+X137860019Y-74189537D01*
+X137825012Y-74255030D01*
+X137803455Y-74326095D01*
+X137796176Y-74400000D01*
+X133323739Y-74400000D01*
+X133368853Y-74345028D01*
+X133427075Y-74236103D01*
+X133462927Y-74117913D01*
+X133472000Y-74025794D01*
+X133473548Y-74010082D01*
+X133480000Y-73998010D01*
+X133500019Y-74035463D01*
+X133547131Y-74092869D01*
+X133604537Y-74139981D01*
+X133670030Y-74174988D01*
+X133741095Y-74196545D01*
+X133815000Y-74203824D01*
+X134415000Y-74203824D01*
+X134488905Y-74196545D01*
+X134559970Y-74174988D01*
+X134625463Y-74139981D01*
+X134682869Y-74092869D01*
+X134729981Y-74035463D01*
+X134750000Y-73998010D01*
+X134770019Y-74035463D01*
+X134817131Y-74092869D01*
+X134874537Y-74139981D01*
+X134940030Y-74174988D01*
+X135011095Y-74196545D01*
+X135085000Y-74203824D01*
+X135685000Y-74203824D01*
+X135758905Y-74196545D01*
+X135829970Y-74174988D01*
+X135895463Y-74139981D01*
+X135952869Y-74092869D01*
+X135999981Y-74035463D01*
+X136020000Y-73998010D01*
+X136040019Y-74035463D01*
+X136087131Y-74092869D01*
+X136144537Y-74139981D01*
+X136210030Y-74174988D01*
+X136281095Y-74196545D01*
+X136355000Y-74203824D01*
+X136433750Y-74202000D01*
+X136528000Y-74107750D01*
+X136528000Y-73177000D01*
+X136782000Y-73177000D01*
+X136782000Y-74107750D01*
+X136876250Y-74202000D01*
+X136955000Y-74203824D01*
+X137028905Y-74196545D01*
+X137099970Y-74174988D01*
+X137165463Y-74139981D01*
+X137222869Y-74092869D01*
+X137269981Y-74035463D01*
+X137304988Y-73969970D01*
+X137326545Y-73898905D01*
+X137333824Y-73825000D01*
+X137332000Y-73271250D01*
+X137237750Y-73177000D01*
+X136782000Y-73177000D01*
+X136528000Y-73177000D01*
+X136508000Y-73177000D01*
+X136508000Y-72923000D01*
+X136528000Y-72923000D01*
+X136528000Y-72903000D01*
+X136782000Y-72903000D01*
+X136782000Y-72923000D01*
+X137237750Y-72923000D01*
+X137332000Y-72828750D01*
+X137333824Y-72275000D01*
+X137326545Y-72201095D01*
+X137304988Y-72130030D01*
+X137269981Y-72064537D01*
+X137222869Y-72007131D01*
+X137165463Y-71960019D01*
+X137117835Y-71934561D01*
+X138921574Y-70130822D01*
+X138936698Y-70118410D01*
+X140861195Y-70118410D01*
+X140941306Y-70285043D01*
+X141144213Y-70375111D01*
+X141360793Y-70423864D01*
+X141582723Y-70429428D01*
+X141801474Y-70391588D01*
+X142008640Y-70311798D01*
+X142058694Y-70285043D01*
+X142138805Y-70118410D01*
+X141500000Y-69479605D01*
+X140861195Y-70118410D01*
+X138936698Y-70118410D01*
+X138945501Y-70111186D01*
+X139023853Y-70015713D01*
+X139039411Y-69986607D01*
+X139082075Y-69906789D01*
+X139117927Y-69788598D01*
+X139130033Y-69665685D01*
+X139127000Y-69634891D01*
+X139127000Y-69560193D01*
+X139188569Y-69468048D01*
+X139247141Y-69326643D01*
+X139277000Y-69176528D01*
+X139277000Y-69023472D01*
+X139247141Y-68873357D01*
+X139188569Y-68731952D01*
+X139103536Y-68604691D01*
+X139050845Y-68552000D01*
+X140647338Y-68552000D01*
+X140609865Y-68589473D01*
+G37*
+X140609865Y-68589473D02*
+X140681588Y-68661196D01*
+X140514957Y-68741306D01*
+X140424889Y-68944213D01*
+X140376136Y-69160793D01*
+X140370572Y-69382723D01*
+X140408412Y-69601474D01*
+X140488202Y-69808640D01*
+X140514957Y-69858694D01*
+X140681590Y-69938805D01*
+X141320395Y-69300000D01*
+X141306253Y-69285858D01*
+X141485858Y-69106253D01*
+X141500000Y-69120395D01*
+X141514143Y-69106253D01*
+X141693748Y-69285858D01*
+X141679605Y-69300000D01*
+X142318410Y-69938805D01*
+X142485043Y-69858694D01*
+X142575111Y-69655787D01*
+X142623864Y-69439207D01*
+X142629428Y-69217277D01*
+X142591588Y-68998526D01*
+X142511798Y-68791360D01*
+X142485043Y-68741306D01*
+X142318412Y-68661196D01*
+X142390135Y-68589473D01*
+X142352662Y-68552000D01*
+X142944181Y-68552000D01*
+X142914602Y-68581579D01*
+X142791266Y-68766165D01*
+X142706310Y-68971266D01*
+X142663000Y-69189000D01*
+X142663000Y-69411000D01*
+X142706310Y-69628734D01*
+X142791266Y-69833835D01*
+X142914602Y-70018421D01*
+X143071579Y-70175398D01*
+X143256165Y-70298734D01*
+X143461266Y-70383690D01*
+X143679000Y-70427000D01*
+X143901000Y-70427000D01*
+X144118734Y-70383690D01*
+X144323835Y-70298734D01*
+X144508421Y-70175398D01*
+X144565409Y-70118410D01*
+X152291195Y-70118410D01*
+X152371306Y-70285043D01*
+X152574213Y-70375111D01*
+X152790793Y-70423864D01*
+X153012723Y-70429428D01*
+X153231474Y-70391588D01*
+X153438640Y-70311798D01*
+X153488694Y-70285043D01*
+X153568805Y-70118410D01*
+X152930000Y-69479605D01*
+X152291195Y-70118410D01*
+X144565409Y-70118410D01*
+X144665398Y-70018421D01*
+X144788734Y-69833835D01*
+X144873690Y-69628734D01*
+X144917000Y-69411000D01*
+X144917000Y-69189000D01*
+X144873690Y-68971266D01*
+X144860503Y-68939431D01*
+X145247914Y-68552021D01*
+X152077317Y-68552021D01*
+X152039865Y-68589473D01*
+X152111588Y-68661196D01*
+X151944957Y-68741306D01*
+X151854889Y-68944213D01*
+X151806136Y-69160793D01*
+X151800572Y-69382723D01*
+X151838412Y-69601474D01*
+X151918202Y-69808640D01*
+X151944957Y-69858694D01*
+X152111590Y-69938805D01*
+X152750395Y-69300000D01*
+X152736253Y-69285858D01*
+X152915858Y-69106253D01*
+X152930000Y-69120395D01*
+X152944143Y-69106253D01*
+X153123748Y-69285858D01*
+X153109605Y-69300000D01*
+X153748410Y-69938805D01*
+X153915043Y-69858694D01*
+X154005111Y-69655787D01*
+X154053864Y-69439207D01*
+X154059428Y-69217277D01*
+X154021588Y-68998526D01*
+X153941798Y-68791360D01*
+X153915043Y-68741306D01*
+X153748412Y-68661196D01*
+X153820135Y-68589473D01*
+X153782683Y-68552021D01*
+X154374160Y-68552021D01*
+X154344602Y-68581579D01*
+X154221266Y-68766165D01*
+X154136310Y-68971266D01*
+X154093000Y-69189000D01*
+X154093000Y-69411000D01*
+X154136310Y-69628734D01*
+X154221266Y-69833835D01*
+X154344602Y-70018421D01*
+X154501579Y-70175398D01*
+X154686165Y-70298734D01*
+X154891266Y-70383690D01*
+X155109000Y-70427000D01*
+X155331000Y-70427000D01*
+X155548734Y-70383690D01*
+X155753835Y-70298734D01*
+X155938421Y-70175398D01*
+X156095398Y-70018421D01*
+X156218734Y-69833835D01*
+X156303690Y-69628734D01*
+X156347000Y-69411000D01*
+X156347000Y-69189000D01*
+X156329695Y-69102000D01*
+X160371176Y-69102000D01*
+X160371176Y-69387500D01*
+X160383018Y-69507739D01*
+X160418091Y-69623357D01*
+X160475045Y-69729911D01*
+X160551693Y-69823307D01*
+X160645089Y-69899955D01*
+X160751643Y-69956909D01*
+X160867261Y-69991982D01*
+X160987500Y-70003824D01*
+X161562500Y-70003824D01*
+X161682739Y-69991982D01*
+X161798357Y-69956909D01*
+X161904911Y-69899955D01*
+X161998307Y-69823307D01*
+X162074955Y-69729911D01*
+X162131909Y-69623357D01*
+X162150000Y-69563720D01*
+X162168091Y-69623357D01*
+X162225045Y-69729911D01*
+X162301693Y-69823307D01*
+X162395089Y-69899955D01*
+X162501643Y-69956909D01*
+X162617261Y-69991982D01*
+X162737500Y-70003824D01*
+X163168889Y-70003824D01*
+X163186536Y-70021471D01*
+X163186542Y-70021476D01*
+X165847968Y-72682903D01*
+X165847967Y-83942098D01*
+X165147198Y-84642868D01*
+X165117869Y-84607131D01*
+X165060463Y-84560019D01*
+X165023010Y-84540000D01*
+X165060463Y-84519981D01*
+X165117869Y-84472869D01*
+X165164981Y-84415463D01*
+X165199988Y-84349970D01*
+X165221545Y-84278905D01*
+X165228824Y-84205000D01*
+X165227000Y-84126250D01*
+X165132750Y-84032000D01*
+X164227000Y-84032000D01*
+X164227000Y-84052000D01*
+X163973000Y-84052000D01*
+X163973000Y-84032000D01*
+X163953000Y-84032000D01*
+X163953000Y-83778000D01*
+X163973000Y-83778000D01*
+X163973000Y-83322250D01*
+X164227000Y-83322250D01*
+X164227000Y-83778000D01*
+X165132750Y-83778000D01*
+X165227000Y-83683750D01*
+X165228824Y-83605000D01*
+X165221545Y-83531095D01*
+X165199988Y-83460030D01*
+X165164981Y-83394537D01*
+X165117869Y-83337131D01*
+X165060463Y-83290019D01*
+X164994970Y-83255012D01*
+X164923905Y-83233455D01*
+X164850000Y-83226176D01*
+X164321250Y-83228000D01*
+X164227000Y-83322250D01*
+X163973000Y-83322250D01*
+X163878750Y-83228000D01*
+X163350000Y-83226176D01*
+X163276095Y-83233455D01*
+X163227010Y-83248345D01*
+X163227010Y-80132944D01*
+X163737538Y-79622417D01*
+X163756684Y-79606704D01*
+X163781450Y-79576528D01*
+X163816913Y-79533316D01*
+X163819417Y-79530265D01*
+X163866031Y-79443056D01*
+X163894736Y-79348429D01*
+X163902000Y-79274673D01*
+X163902000Y-79274664D01*
+X163904427Y-79250021D01*
+X163902000Y-79225378D01*
+X163902000Y-78878824D01*
+X164400000Y-78878824D01*
+X164473905Y-78871545D01*
+X164544970Y-78849988D01*
+X164610463Y-78814981D01*
+X164667869Y-78767869D01*
+X164714981Y-78710463D01*
+X164749988Y-78644970D01*
+X164771545Y-78573905D01*
+X164778824Y-78500000D01*
+X164778824Y-75900000D01*
+X164771545Y-75826095D01*
+X164749988Y-75755030D01*
+X164714981Y-75689537D01*
+X164667869Y-75632131D01*
+X164610463Y-75585019D01*
+X164544970Y-75550012D01*
+X164473905Y-75528455D01*
+X164400000Y-75521176D01*
+X162400000Y-75521176D01*
+X162326095Y-75528455D01*
+X162255030Y-75550012D01*
+X162189537Y-75585019D01*
+X162132131Y-75632131D01*
+X162085019Y-75689537D01*
+X162050012Y-75755030D01*
+X162028455Y-75826095D01*
+X162021176Y-75900000D01*
+X162021176Y-76151303D01*
+X162010473Y-76131279D01*
+X161838397Y-75921603D01*
+X161628721Y-75749527D01*
+X161389505Y-75621663D01*
+X161129939Y-75542925D01*
+X160860000Y-75516338D01*
+X160590062Y-75542925D01*
+X160330496Y-75621663D01*
+X160091280Y-75749527D01*
+X159881604Y-75921603D01*
+X159709527Y-76131279D01*
+X159590000Y-76354898D01*
+X159470473Y-76131279D01*
+X159298397Y-75921603D01*
+X159088721Y-75749527D01*
+X158849505Y-75621663D01*
+X158589939Y-75542925D01*
+X158320000Y-75516338D01*
+X158050062Y-75542925D01*
+X157790496Y-75621663D01*
+X157551280Y-75749527D01*
+X157341604Y-75921603D01*
+X157169527Y-76131279D01*
+X157046563Y-76361328D01*
+X157003581Y-76255712D01*
+X156854376Y-76029383D01*
+X156663883Y-75836511D01*
+X156439423Y-75684509D01*
+X156189622Y-75579217D01*
+X156119929Y-75565617D01*
+X155907000Y-75623552D01*
+X155907000Y-77073000D01*
+X155927000Y-77073000D01*
+X155927000Y-77327000D01*
+X155907000Y-77327000D01*
+X155907000Y-78776448D01*
+X156119929Y-78834383D01*
+X156189622Y-78820783D01*
+X156439423Y-78715491D01*
+X156663883Y-78563489D01*
+X156854376Y-78370617D01*
+X157003581Y-78144288D01*
+X157046563Y-78038671D01*
+X157169527Y-78268720D01*
+X157341603Y-78478396D01*
+X157551279Y-78650473D01*
+X157693001Y-78726225D01*
+X157693001Y-79547198D01*
+X157689967Y-79578002D01*
+X157702073Y-79700914D01*
+X157736910Y-79815756D01*
+X157737926Y-79819105D01*
+X157796148Y-79928030D01*
+X157874500Y-80023503D01*
+X157898422Y-80043136D01*
+X159278286Y-81423000D01*
+X151771844Y-81423000D01*
+X147675844Y-77327000D01*
+X154403000Y-77327000D01*
+X154403000Y-77627000D01*
+X154454235Y-77893199D01*
+X154556419Y-78144288D01*
+X154705624Y-78370617D01*
+X154896117Y-78563489D01*
+X155120577Y-78715491D01*
+X155370378Y-78820783D01*
+X155440071Y-78834383D01*
+X155653000Y-78776448D01*
+X155653000Y-77327000D01*
+X154403000Y-77327000D01*
+X147675844Y-77327000D01*
+X147121844Y-76773000D01*
+X154403000Y-76773000D01*
+X154403000Y-77073000D01*
+X155653000Y-77073000D01*
+X155653000Y-75623552D01*
+X155440071Y-75565617D01*
+X155370378Y-75579217D01*
+X155120577Y-75684509D01*
+X154896117Y-75836511D01*
+X154705624Y-76029383D01*
+X154556419Y-76255712D01*
+X154454235Y-76506801D01*
+X154403000Y-76773000D01*
+X147121844Y-76773000D01*
+X146726414Y-76377571D01*
+X146702081Y-76347921D01*
+X146583767Y-76250824D01*
+X146448785Y-76178674D01*
+X146302320Y-76134244D01*
+X146188167Y-76123001D01*
+X146188164Y-76123001D01*
+X146150001Y-76119242D01*
+X146111838Y-76123001D01*
+X139257868Y-76123001D01*
+X139239981Y-76089537D01*
+X139192869Y-76032131D01*
+X139135463Y-75985019D01*
+X139069970Y-75950012D01*
+X139069930Y-75950000D01*
+X139069970Y-75949988D01*
+X139135463Y-75914981D01*
+X139192869Y-75867869D01*
+X139239981Y-75810463D01*
+X139274988Y-75744970D01*
+X139296545Y-75673905D01*
+X139303824Y-75600000D01*
+X139302000Y-75221250D01*
+X139207750Y-75127000D01*
+X138677000Y-75127000D01*
+X138677000Y-75147000D01*
+X138423000Y-75147000D01*
+X138423000Y-75127000D01*
+X137892250Y-75127000D01*
+X137798000Y-75221250D01*
+X137796176Y-75600000D01*
+X137803455Y-75673905D01*
+X137825012Y-75744970D01*
+X137860019Y-75810463D01*
+X137907131Y-75867869D01*
+X137964537Y-75914981D01*
+X138030030Y-75949988D01*
+X138030070Y-75950000D01*
+X138030030Y-75950012D01*
+X137964537Y-75985019D01*
+X137907131Y-76032131D01*
+X137860019Y-76089537D01*
+X137842132Y-76123001D01*
+X130038162Y-76123001D01*
+X129999998Y-76119242D01*
+X129961835Y-76123001D01*
+X129961833Y-76123001D01*
+X129847680Y-76134244D01*
+X129701215Y-76178674D01*
+X129566233Y-76250824D01*
+X129502010Y-76303530D01*
+X129502010Y-75321843D01*
+X129722430Y-75101423D01*
+X129752080Y-75077090D01*
+X129776413Y-75047440D01*
+X129846853Y-74977000D01*
+X130292133Y-74977000D01*
+X130310019Y-75010463D01*
+X130357131Y-75067869D01*
+X130414537Y-75114981D01*
+X130480030Y-75149988D01*
+X130551095Y-75171545D01*
+X130625000Y-75178824D01*
+X131375000Y-75178824D01*
+X131448905Y-75171545D01*
+X131519970Y-75149988D01*
+X131585463Y-75114981D01*
+X131642869Y-75067869D01*
+X131689981Y-75010463D01*
+X131724988Y-74944970D01*
+X131746545Y-74873905D01*
+X131751165Y-74827000D01*
+X132609206Y-74827000D01*
+X132640000Y-74830033D01*
+X132670794Y-74827000D01*
+X132762913Y-74817927D01*
+X132881103Y-74782075D01*
+X132990028Y-74723853D01*
+X133085501Y-74645501D01*
+X133105138Y-74621573D01*
+X133266573Y-74460138D01*
+X133290501Y-74440501D01*
+X133323739Y-74400000D01*
+X137796176Y-74400000D01*
+X137798000Y-74778750D01*
+X137892250Y-74873000D01*
+X138423000Y-74873000D01*
+X138423000Y-74117250D01*
+X138677000Y-74117250D01*
+X138677000Y-74873000D01*
+X139207750Y-74873000D01*
+X139302000Y-74778750D01*
+X139303824Y-74400000D01*
+X139296545Y-74326095D01*
+X139274988Y-74255030D01*
+X139239981Y-74189537D01*
+X139192869Y-74132131D01*
+X139135463Y-74085019D01*
+X139069970Y-74050012D01*
+X138998905Y-74028455D01*
+X138925000Y-74021176D01*
+X138771250Y-74023000D01*
+X138677000Y-74117250D01*
+X138423000Y-74117250D01*
+X138328750Y-74023000D01*
+X138175000Y-74021176D01*
+X138101095Y-74028455D01*
+X138030030Y-74050012D01*
+X137964537Y-74085019D01*
+X137907131Y-74132131D01*
+X137860019Y-74189537D01*
+X137825012Y-74255030D01*
+X137803455Y-74326095D01*
+X137796176Y-74400000D01*
+X133323739Y-74400000D01*
+X133368853Y-74345028D01*
+X133427075Y-74236103D01*
+X133462927Y-74117913D01*
+X133472000Y-74025794D01*
+X133473548Y-74010082D01*
+X133480000Y-73998010D01*
+X133500019Y-74035463D01*
+X133547131Y-74092869D01*
+X133604537Y-74139981D01*
+X133670030Y-74174988D01*
+X133741095Y-74196545D01*
+X133815000Y-74203824D01*
+X134415000Y-74203824D01*
+X134488905Y-74196545D01*
+X134559970Y-74174988D01*
+X134625463Y-74139981D01*
+X134682869Y-74092869D01*
+X134729981Y-74035463D01*
+X134750000Y-73998010D01*
+X134770019Y-74035463D01*
+X134817131Y-74092869D01*
+X134874537Y-74139981D01*
+X134940030Y-74174988D01*
+X135011095Y-74196545D01*
+X135085000Y-74203824D01*
+X135685000Y-74203824D01*
+X135758905Y-74196545D01*
+X135829970Y-74174988D01*
+X135895463Y-74139981D01*
+X135952869Y-74092869D01*
+X135999981Y-74035463D01*
+X136020000Y-73998010D01*
+X136040019Y-74035463D01*
+X136087131Y-74092869D01*
+X136144537Y-74139981D01*
+X136210030Y-74174988D01*
+X136281095Y-74196545D01*
+X136355000Y-74203824D01*
+X136433750Y-74202000D01*
+X136528000Y-74107750D01*
+X136528000Y-73177000D01*
+X136782000Y-73177000D01*
+X136782000Y-74107750D01*
+X136876250Y-74202000D01*
+X136955000Y-74203824D01*
+X137028905Y-74196545D01*
+X137099970Y-74174988D01*
+X137165463Y-74139981D01*
+X137222869Y-74092869D01*
+X137269981Y-74035463D01*
+X137304988Y-73969970D01*
+X137326545Y-73898905D01*
+X137333824Y-73825000D01*
+X137332000Y-73271250D01*
+X137237750Y-73177000D01*
+X136782000Y-73177000D01*
+X136528000Y-73177000D01*
+X136508000Y-73177000D01*
+X136508000Y-72923000D01*
+X136528000Y-72923000D01*
+X136528000Y-72903000D01*
+X136782000Y-72903000D01*
+X136782000Y-72923000D01*
+X137237750Y-72923000D01*
+X137332000Y-72828750D01*
+X137333824Y-72275000D01*
+X137326545Y-72201095D01*
+X137304988Y-72130030D01*
+X137269981Y-72064537D01*
+X137222869Y-72007131D01*
+X137165463Y-71960019D01*
+X137117835Y-71934561D01*
+X138921574Y-70130822D01*
+X138936698Y-70118410D01*
+X140861195Y-70118410D01*
+X140941306Y-70285043D01*
+X141144213Y-70375111D01*
+X141360793Y-70423864D01*
+X141582723Y-70429428D01*
+X141801474Y-70391588D01*
+X142008640Y-70311798D01*
+X142058694Y-70285043D01*
+X142138805Y-70118410D01*
+X141500000Y-69479605D01*
+X140861195Y-70118410D01*
+X138936698Y-70118410D01*
+X138945501Y-70111186D01*
+X139023853Y-70015713D01*
+X139039411Y-69986607D01*
+X139082075Y-69906789D01*
+X139117927Y-69788598D01*
+X139130033Y-69665685D01*
+X139127000Y-69634891D01*
+X139127000Y-69560193D01*
+X139188569Y-69468048D01*
+X139247141Y-69326643D01*
+X139277000Y-69176528D01*
+X139277000Y-69023472D01*
+X139247141Y-68873357D01*
+X139188569Y-68731952D01*
+X139103536Y-68604691D01*
+X139050845Y-68552000D01*
+X140647338Y-68552000D01*
+X140609865Y-68589473D01*
+G36*
+X132166176Y-77948000D02*
+G01*
+X131162280Y-77948000D01*
+X131137637Y-77945573D01*
+X131112994Y-77948000D01*
+X131112985Y-77948000D01*
+X131039229Y-77955264D01*
+X130944602Y-77983969D01*
+X130857393Y-78030583D01*
+X130857391Y-78030584D01*
+X130857392Y-78030584D01*
+X130800835Y-78077000D01*
+X130780954Y-78093316D01*
+X130765241Y-78112462D01*
+X129947312Y-78930392D01*
+X129928161Y-78946109D01*
+X129865428Y-79022548D01*
+X129818814Y-79109758D01*
+X129790109Y-79204385D01*
+X129782845Y-79278141D01*
+X129782845Y-79278150D01*
+X129780418Y-79302793D01*
+X129782845Y-79327436D01*
+X129782846Y-80273014D01*
+X129668926Y-80273014D01*
+X129518811Y-80302873D01*
+X129377406Y-80361445D01*
+X129250145Y-80446478D01*
+X129141918Y-80554705D01*
+X129056885Y-80681966D01*
+X129044423Y-80712051D01*
+X128469061Y-80136689D01*
+X128473000Y-80132750D01*
+X128473000Y-79377000D01*
+X128727000Y-79377000D01*
+X128727000Y-80132750D01*
+X128821250Y-80227000D01*
+X128975000Y-80228824D01*
+X129048905Y-80221545D01*
+X129119970Y-80199988D01*
+X129185463Y-80164981D01*
+X129242869Y-80117869D01*
+X129289981Y-80060463D01*
+X129324988Y-79994970D01*
+X129346545Y-79923905D01*
+X129353824Y-79850000D01*
+X129352000Y-79471250D01*
+X129257750Y-79377000D01*
+X128727000Y-79377000D01*
+X128473000Y-79377000D01*
+X127942250Y-79377000D01*
+X127848000Y-79471250D01*
+X127847559Y-79562756D01*
+X127840474Y-79558969D01*
+X127745847Y-79530264D01*
+X127672091Y-79523000D01*
+X127672081Y-79523000D01*
+X127647438Y-79520573D01*
+X127622795Y-79523000D01*
+X126453824Y-79523000D01*
+X126453824Y-79150000D01*
+X126446545Y-79076095D01*
+X126438629Y-79050000D01*
+X126446545Y-79023905D01*
+X126453824Y-78950000D01*
+X126452000Y-78917250D01*
+X126357750Y-78823000D01*
+X126262977Y-78823000D01*
+X126219970Y-78800012D01*
+X126148905Y-78778455D01*
+X126075000Y-78771176D01*
+X124625000Y-78771176D01*
+X124551095Y-78778455D01*
+X124480030Y-78800012D01*
+X124437023Y-78823000D01*
+X124342250Y-78823000D01*
+X124292250Y-78873000D01*
+X124099642Y-78873000D01*
+X124074999Y-78870573D01*
+X124050356Y-78873000D01*
+X124050347Y-78873000D01*
+X123976591Y-78880264D01*
+X123881964Y-78908969D01*
+X123794755Y-78955583D01*
+X123789282Y-78960075D01*
+X123737466Y-79002600D01*
+X123718316Y-79018316D01*
+X123702603Y-79037462D01*
+X121662453Y-81077613D01*
+X121643307Y-81093326D01*
+X121627594Y-81112472D01*
+X121627591Y-81112475D01*
+X121580574Y-81169765D01*
+X121533960Y-81256974D01*
+X121505255Y-81351601D01*
+X121495563Y-81450010D01*
+X121497991Y-81474663D01*
+X121497990Y-83223000D01*
+X121454420Y-83223000D01*
+X121454439Y-83222811D01*
+X121452012Y-83198168D01*
+X121452012Y-80057922D01*
+X123582935Y-77927000D01*
+X124246176Y-77927000D01*
+X124246176Y-78300000D01*
+X124253455Y-78373905D01*
+X124261371Y-78400000D01*
+X124253455Y-78426095D01*
+X124246176Y-78500000D01*
+X124248000Y-78532750D01*
+X124342250Y-78627000D01*
+X124437023Y-78627000D01*
+X124480030Y-78649988D01*
+X124551095Y-78671545D01*
+X124625000Y-78678824D01*
+X126075000Y-78678824D01*
+X126148905Y-78671545D01*
+X126219970Y-78649988D01*
+X126262977Y-78627000D01*
+X126357750Y-78627000D01*
+X126407750Y-78577000D01*
+X127100357Y-78577000D01*
+X127125000Y-78579427D01*
+X127149643Y-78577000D01*
+X127149653Y-78577000D01*
+X127223409Y-78569736D01*
+X127318036Y-78541031D01*
+X127405245Y-78494417D01*
+X127481684Y-78431684D01*
+X127497401Y-78412533D01*
+X127861037Y-78048898D01*
+X127875012Y-78094970D01*
+X127910019Y-78160463D01*
+X127957131Y-78217869D01*
+X128014537Y-78264981D01*
+X128080030Y-78299988D01*
+X128080070Y-78300000D01*
+X128080030Y-78300012D01*
+X128014537Y-78335019D01*
+X127957131Y-78382131D01*
+X127910019Y-78439537D01*
+X127875012Y-78505030D01*
+X127853455Y-78576095D01*
+X127846176Y-78650000D01*
+X127848000Y-79028750D01*
+X127942250Y-79123000D01*
+X128473000Y-79123000D01*
+X128473000Y-79103000D01*
+X128727000Y-79103000D01*
+X128727000Y-79123000D01*
+X129257750Y-79123000D01*
+X129352000Y-79028750D01*
+X129353824Y-78650000D01*
+X129346545Y-78576095D01*
+X129324988Y-78505030D01*
+X129289981Y-78439537D01*
+X129242869Y-78382131D01*
+X129185463Y-78335019D01*
+X129119970Y-78300012D01*
+X129119930Y-78300000D01*
+X129119970Y-78299988D01*
+X129185463Y-78264981D01*
+X129242869Y-78217869D01*
+X129289981Y-78160463D01*
+X129324988Y-78094970D01*
+X129330439Y-78077000D01*
+X129561837Y-78077000D01*
+X129600000Y-78080759D01*
+X129638163Y-78077000D01*
+X129676528Y-78077000D01*
+X129714154Y-78069516D01*
+X129752319Y-78065757D01*
+X129789013Y-78054626D01*
+X129826643Y-78047141D01*
+X129862092Y-78032457D01*
+X129898784Y-78021327D01*
+X129932598Y-78003253D01*
+X129968048Y-77988569D01*
+X129999955Y-77967250D01*
+X130033766Y-77949177D01*
+X130063402Y-77924856D01*
+X130095309Y-77903536D01*
+X130122442Y-77876403D01*
+X130152080Y-77852080D01*
+X130176403Y-77822442D01*
+X130203536Y-77795309D01*
+X130203540Y-77795303D01*
+X130321842Y-77677001D01*
+X132166176Y-77677001D01*
+X132166176Y-77948000D01*
+G37*
+X132166176Y-77948000D02*
+X131162280Y-77948000D01*
+X131137637Y-77945573D01*
+X131112994Y-77948000D01*
+X131112985Y-77948000D01*
+X131039229Y-77955264D01*
+X130944602Y-77983969D01*
+X130857393Y-78030583D01*
+X130857391Y-78030584D01*
+X130857392Y-78030584D01*
+X130800835Y-78077000D01*
+X130780954Y-78093316D01*
+X130765241Y-78112462D01*
+X129947312Y-78930392D01*
+X129928161Y-78946109D01*
+X129865428Y-79022548D01*
+X129818814Y-79109758D01*
+X129790109Y-79204385D01*
+X129782845Y-79278141D01*
+X129782845Y-79278150D01*
+X129780418Y-79302793D01*
+X129782845Y-79327436D01*
+X129782846Y-80273014D01*
+X129668926Y-80273014D01*
+X129518811Y-80302873D01*
+X129377406Y-80361445D01*
+X129250145Y-80446478D01*
+X129141918Y-80554705D01*
+X129056885Y-80681966D01*
+X129044423Y-80712051D01*
+X128469061Y-80136689D01*
+X128473000Y-80132750D01*
+X128473000Y-79377000D01*
+X128727000Y-79377000D01*
+X128727000Y-80132750D01*
+X128821250Y-80227000D01*
+X128975000Y-80228824D01*
+X129048905Y-80221545D01*
+X129119970Y-80199988D01*
+X129185463Y-80164981D01*
+X129242869Y-80117869D01*
+X129289981Y-80060463D01*
+X129324988Y-79994970D01*
+X129346545Y-79923905D01*
+X129353824Y-79850000D01*
+X129352000Y-79471250D01*
+X129257750Y-79377000D01*
+X128727000Y-79377000D01*
+X128473000Y-79377000D01*
+X127942250Y-79377000D01*
+X127848000Y-79471250D01*
+X127847559Y-79562756D01*
+X127840474Y-79558969D01*
+X127745847Y-79530264D01*
+X127672091Y-79523000D01*
+X127672081Y-79523000D01*
+X127647438Y-79520573D01*
+X127622795Y-79523000D01*
+X126453824Y-79523000D01*
+X126453824Y-79150000D01*
+X126446545Y-79076095D01*
+X126438629Y-79050000D01*
+X126446545Y-79023905D01*
+X126453824Y-78950000D01*
+X126452000Y-78917250D01*
+X126357750Y-78823000D01*
+X126262977Y-78823000D01*
+X126219970Y-78800012D01*
+X126148905Y-78778455D01*
+X126075000Y-78771176D01*
+X124625000Y-78771176D01*
+X124551095Y-78778455D01*
+X124480030Y-78800012D01*
+X124437023Y-78823000D01*
+X124342250Y-78823000D01*
+X124292250Y-78873000D01*
+X124099642Y-78873000D01*
+X124074999Y-78870573D01*
+X124050356Y-78873000D01*
+X124050347Y-78873000D01*
+X123976591Y-78880264D01*
+X123881964Y-78908969D01*
+X123794755Y-78955583D01*
+X123789282Y-78960075D01*
+X123737466Y-79002600D01*
+X123718316Y-79018316D01*
+X123702603Y-79037462D01*
+X121662453Y-81077613D01*
+X121643307Y-81093326D01*
+X121627594Y-81112472D01*
+X121627591Y-81112475D01*
+X121580574Y-81169765D01*
+X121533960Y-81256974D01*
+X121505255Y-81351601D01*
+X121495563Y-81450010D01*
+X121497991Y-81474663D01*
+X121497990Y-83223000D01*
+X121454420Y-83223000D01*
+X121454439Y-83222811D01*
+X121452012Y-83198168D01*
+X121452012Y-80057922D01*
+X123582935Y-77927000D01*
+X124246176Y-77927000D01*
+X124246176Y-78300000D01*
+X124253455Y-78373905D01*
+X124261371Y-78400000D01*
+X124253455Y-78426095D01*
+X124246176Y-78500000D01*
+X124248000Y-78532750D01*
+X124342250Y-78627000D01*
+X124437023Y-78627000D01*
+X124480030Y-78649988D01*
+X124551095Y-78671545D01*
+X124625000Y-78678824D01*
+X126075000Y-78678824D01*
+X126148905Y-78671545D01*
+X126219970Y-78649988D01*
+X126262977Y-78627000D01*
+X126357750Y-78627000D01*
+X126407750Y-78577000D01*
+X127100357Y-78577000D01*
+X127125000Y-78579427D01*
+X127149643Y-78577000D01*
+X127149653Y-78577000D01*
+X127223409Y-78569736D01*
+X127318036Y-78541031D01*
+X127405245Y-78494417D01*
+X127481684Y-78431684D01*
+X127497401Y-78412533D01*
+X127861037Y-78048898D01*
+X127875012Y-78094970D01*
+X127910019Y-78160463D01*
+X127957131Y-78217869D01*
+X128014537Y-78264981D01*
+X128080030Y-78299988D01*
+X128080070Y-78300000D01*
+X128080030Y-78300012D01*
+X128014537Y-78335019D01*
+X127957131Y-78382131D01*
+X127910019Y-78439537D01*
+X127875012Y-78505030D01*
+X127853455Y-78576095D01*
+X127846176Y-78650000D01*
+X127848000Y-79028750D01*
+X127942250Y-79123000D01*
+X128473000Y-79123000D01*
+X128473000Y-79103000D01*
+X128727000Y-79103000D01*
+X128727000Y-79123000D01*
+X129257750Y-79123000D01*
+X129352000Y-79028750D01*
+X129353824Y-78650000D01*
+X129346545Y-78576095D01*
+X129324988Y-78505030D01*
+X129289981Y-78439537D01*
+X129242869Y-78382131D01*
+X129185463Y-78335019D01*
+X129119970Y-78300012D01*
+X129119930Y-78300000D01*
+X129119970Y-78299988D01*
+X129185463Y-78264981D01*
+X129242869Y-78217869D01*
+X129289981Y-78160463D01*
+X129324988Y-78094970D01*
+X129330439Y-78077000D01*
+X129561837Y-78077000D01*
+X129600000Y-78080759D01*
+X129638163Y-78077000D01*
+X129676528Y-78077000D01*
+X129714154Y-78069516D01*
+X129752319Y-78065757D01*
+X129789013Y-78054626D01*
+X129826643Y-78047141D01*
+X129862092Y-78032457D01*
+X129898784Y-78021327D01*
+X129932598Y-78003253D01*
+X129968048Y-77988569D01*
+X129999955Y-77967250D01*
+X130033766Y-77949177D01*
+X130063402Y-77924856D01*
+X130095309Y-77903536D01*
+X130122442Y-77876403D01*
+X130152080Y-77852080D01*
+X130176403Y-77822442D01*
+X130203536Y-77795309D01*
+X130203540Y-77795303D01*
+X130321842Y-77677001D01*
+X132166176Y-77677001D01*
+X132166176Y-77948000D01*
+G36*
+X137860019Y-77710463D02*
+G01*
+X137907131Y-77767869D01*
+X137964537Y-77814981D01*
+X138030030Y-77849988D01*
+X138101095Y-77871545D01*
+X138175000Y-77878824D01*
+X138925000Y-77878824D01*
+X138998905Y-77871545D01*
+X139069970Y-77849988D01*
+X139135463Y-77814981D01*
+X139192869Y-77767869D01*
+X139239981Y-77710463D01*
+X139257867Y-77677001D01*
+X145828158Y-77677001D01*
+X150649134Y-82497978D01*
+X143057914Y-82497978D01*
+X141997357Y-81437423D01*
+X141981640Y-81418272D01*
+X141905201Y-81355539D01*
+X141817992Y-81308925D01*
+X141723365Y-81280220D01*
+X141649609Y-81272956D01*
+X141649599Y-81272956D01*
+X141624956Y-81270529D01*
+X141600313Y-81272956D01*
+X136763976Y-81272956D01*
+X136984623Y-81052310D01*
+X137000000Y-81053824D01*
+X137303750Y-81052000D01*
+X137398000Y-80957750D01*
+X137398000Y-80327000D01*
+X137652000Y-80327000D01*
+X137652000Y-80957750D01*
+X137746250Y-81052000D01*
+X138050000Y-81053824D01*
+X138123905Y-81046545D01*
+X138194970Y-81024988D01*
+X138260463Y-80989981D01*
+X138317869Y-80942869D01*
+X138364981Y-80885463D01*
+X138399988Y-80819970D01*
+X138421545Y-80748905D01*
+X138428824Y-80675000D01*
+X138427000Y-80421250D01*
+X138332750Y-80327000D01*
+X137652000Y-80327000D01*
+X137398000Y-80327000D01*
+X137378000Y-80327000D01*
+X137378000Y-80073000D01*
+X137398000Y-80073000D01*
+X137398000Y-79442250D01*
+X137652000Y-79442250D01*
+X137652000Y-80073000D01*
+X138332750Y-80073000D01*
+X138427000Y-79978750D01*
+X138428824Y-79725000D01*
+X138421545Y-79651095D01*
+X138399988Y-79580030D01*
+X138364981Y-79514537D01*
+X138317869Y-79457131D01*
+X138260463Y-79410019D01*
+X138194970Y-79375012D01*
+X138123905Y-79353455D01*
+X138050000Y-79346176D01*
+X137746250Y-79348000D01*
+X137652000Y-79442250D01*
+X137398000Y-79442250D01*
+X137309813Y-79354063D01*
+X137326545Y-79298905D01*
+X137333824Y-79225000D01*
+X137333824Y-77677001D01*
+X137842133Y-77677001D01*
+X137860019Y-77710463D01*
+G37*
+X137860019Y-77710463D02*
+X137907131Y-77767869D01*
+X137964537Y-77814981D01*
+X138030030Y-77849988D01*
+X138101095Y-77871545D01*
+X138175000Y-77878824D01*
+X138925000Y-77878824D01*
+X138998905Y-77871545D01*
+X139069970Y-77849988D01*
+X139135463Y-77814981D01*
+X139192869Y-77767869D01*
+X139239981Y-77710463D01*
+X139257867Y-77677001D01*
+X145828158Y-77677001D01*
+X150649134Y-82497978D01*
+X143057914Y-82497978D01*
+X141997357Y-81437423D01*
+X141981640Y-81418272D01*
+X141905201Y-81355539D01*
+X141817992Y-81308925D01*
+X141723365Y-81280220D01*
+X141649609Y-81272956D01*
+X141649599Y-81272956D01*
+X141624956Y-81270529D01*
+X141600313Y-81272956D01*
+X136763976Y-81272956D01*
+X136984623Y-81052310D01*
+X137000000Y-81053824D01*
+X137303750Y-81052000D01*
+X137398000Y-80957750D01*
+X137398000Y-80327000D01*
+X137652000Y-80327000D01*
+X137652000Y-80957750D01*
+X137746250Y-81052000D01*
+X138050000Y-81053824D01*
+X138123905Y-81046545D01*
+X138194970Y-81024988D01*
+X138260463Y-80989981D01*
+X138317869Y-80942869D01*
+X138364981Y-80885463D01*
+X138399988Y-80819970D01*
+X138421545Y-80748905D01*
+X138428824Y-80675000D01*
+X138427000Y-80421250D01*
+X138332750Y-80327000D01*
+X137652000Y-80327000D01*
+X137398000Y-80327000D01*
+X137378000Y-80327000D01*
+X137378000Y-80073000D01*
+X137398000Y-80073000D01*
+X137398000Y-79442250D01*
+X137652000Y-79442250D01*
+X137652000Y-80073000D01*
+X138332750Y-80073000D01*
+X138427000Y-79978750D01*
+X138428824Y-79725000D01*
+X138421545Y-79651095D01*
+X138399988Y-79580030D01*
+X138364981Y-79514537D01*
+X138317869Y-79457131D01*
+X138260463Y-79410019D01*
+X138194970Y-79375012D01*
+X138123905Y-79353455D01*
+X138050000Y-79346176D01*
+X137746250Y-79348000D01*
+X137652000Y-79442250D01*
+X137398000Y-79442250D01*
+X137309813Y-79354063D01*
+X137326545Y-79298905D01*
+X137333824Y-79225000D01*
+X137333824Y-77677001D01*
+X137842133Y-77677001D01*
+X137860019Y-77710463D01*
+G36*
+X125720089Y-72624955D02*
+G01*
+X125826643Y-72681909D01*
+X125886280Y-72700000D01*
+X125826643Y-72718091D01*
+X125720089Y-72775045D01*
+X125626693Y-72851693D01*
+X125550045Y-72945089D01*
+X125493091Y-73051643D01*
+X125458018Y-73167261D01*
+X125446176Y-73287500D01*
+X125446176Y-73862500D01*
+X125458018Y-73982739D01*
+X125493091Y-74098357D01*
+X125550045Y-74204911D01*
+X125626693Y-74298307D01*
+X125720089Y-74374955D01*
+X125826643Y-74431909D01*
+X125942261Y-74466982D01*
+X126062500Y-74478824D01*
+X126468890Y-74478824D01*
+X126998000Y-75007935D01*
+X126998001Y-75992064D01*
+X126727067Y-76262999D01*
+X126453824Y-76262999D01*
+X126453824Y-75900000D01*
+X126446545Y-75826095D01*
+X126438629Y-75800000D01*
+X126446545Y-75773905D01*
+X126453824Y-75700000D01*
+X126453824Y-75250000D01*
+X126446545Y-75176095D01*
+X126424988Y-75105030D01*
+X126389981Y-75039537D01*
+X126342869Y-74982131D01*
+X126285463Y-74935019D01*
+X126219970Y-74900012D01*
+X126148905Y-74878455D01*
+X126075000Y-74871176D01*
+X124625000Y-74871176D01*
+X124551095Y-74878455D01*
+X124480030Y-74900012D01*
+X124414537Y-74935019D01*
+X124368257Y-74973000D01*
+X123357934Y-74973000D01*
+X120986933Y-72602000D01*
+X125692118Y-72602000D01*
+X125720089Y-72624955D01*
+G37*
+X125720089Y-72624955D02*
+X125826643Y-72681909D01*
+X125886280Y-72700000D01*
+X125826643Y-72718091D01*
+X125720089Y-72775045D01*
+X125626693Y-72851693D01*
+X125550045Y-72945089D01*
+X125493091Y-73051643D01*
+X125458018Y-73167261D01*
+X125446176Y-73287500D01*
+X125446176Y-73862500D01*
+X125458018Y-73982739D01*
+X125493091Y-74098357D01*
+X125550045Y-74204911D01*
+X125626693Y-74298307D01*
+X125720089Y-74374955D01*
+X125826643Y-74431909D01*
+X125942261Y-74466982D01*
+X126062500Y-74478824D01*
+X126468890Y-74478824D01*
+X126998000Y-75007935D01*
+X126998001Y-75992064D01*
+X126727067Y-76262999D01*
+X126453824Y-76262999D01*
+X126453824Y-75900000D01*
+X126446545Y-75826095D01*
+X126438629Y-75800000D01*
+X126446545Y-75773905D01*
+X126453824Y-75700000D01*
+X126453824Y-75250000D01*
+X126446545Y-75176095D01*
+X126424988Y-75105030D01*
+X126389981Y-75039537D01*
+X126342869Y-74982131D01*
+X126285463Y-74935019D01*
+X126219970Y-74900012D01*
+X126148905Y-74878455D01*
+X126075000Y-74871176D01*
+X124625000Y-74871176D01*
+X124551095Y-74878455D01*
+X124480030Y-74900012D01*
+X124414537Y-74935019D01*
+X124368257Y-74973000D01*
+X123357934Y-74973000D01*
+X120986933Y-72602000D01*
+X125692118Y-72602000D01*
+X125720089Y-72624955D01*
+G36*
+X159873000Y-154548000D02*
+G01*
+X146526770Y-154548000D01*
+X146580245Y-154519417D01*
+X146656684Y-154456684D01*
+X146672402Y-154437532D01*
+X147800191Y-153309743D01*
+X147819337Y-153294030D01*
+X147882070Y-153217591D01*
+X147928684Y-153130382D01*
+X147957389Y-153035755D01*
+X147964653Y-152961999D01*
+X147964653Y-152961990D01*
+X147967080Y-152937347D01*
+X147964653Y-152912704D01*
+X147964653Y-148959498D01*
+X148066189Y-148857962D01*
+X148151222Y-148730701D01*
+X148209794Y-148589296D01*
+X148239653Y-148439181D01*
+X148239653Y-148286125D01*
+X148209794Y-148136010D01*
+X148151222Y-147994605D01*
+X148066189Y-147867344D01*
+X147957962Y-147759117D01*
+X147909895Y-147727000D01*
+X148873000Y-147727000D01*
+X148873000Y-152600000D01*
+X148875440Y-152624776D01*
+X148882667Y-152648601D01*
+X148894403Y-152670557D01*
+X148910197Y-152689803D01*
+X150410197Y-154189803D01*
+X150429443Y-154205597D01*
+X150451399Y-154217333D01*
+X150475224Y-154224560D01*
+X150500000Y-154227000D01*
+X155500000Y-154227000D01*
+X155524776Y-154224560D01*
+X155548601Y-154217333D01*
+X155570557Y-154205597D01*
+X155589803Y-154189803D01*
+X157089803Y-152689803D01*
+X157105597Y-152670557D01*
+X157117333Y-152648601D01*
+X157124560Y-152624776D01*
+X157127000Y-152600000D01*
+X157127000Y-147600000D01*
+X157124560Y-147575224D01*
+X157117333Y-147551399D01*
+X157105597Y-147529443D01*
+X157089803Y-147510197D01*
+X155881606Y-146302000D01*
+X158715721Y-146302000D01*
+X158740364Y-146304427D01*
+X158765007Y-146302000D01*
+X158765017Y-146302000D01*
+X158838773Y-146294736D01*
+X158933400Y-146266031D01*
+X159020609Y-146219417D01*
+X159097048Y-146156684D01*
+X159112765Y-146137533D01*
+X159873000Y-145377299D01*
+X159873000Y-154548000D01*
+G37*
+X159873000Y-154548000D02*
+X146526770Y-154548000D01*
+X146580245Y-154519417D01*
+X146656684Y-154456684D01*
+X146672402Y-154437532D01*
+X147800191Y-153309743D01*
+X147819337Y-153294030D01*
+X147882070Y-153217591D01*
+X147928684Y-153130382D01*
+X147957389Y-153035755D01*
+X147964653Y-152961999D01*
+X147964653Y-152961990D01*
+X147967080Y-152937347D01*
+X147964653Y-152912704D01*
+X147964653Y-148959498D01*
+X148066189Y-148857962D01*
+X148151222Y-148730701D01*
+X148209794Y-148589296D01*
+X148239653Y-148439181D01*
+X148239653Y-148286125D01*
+X148209794Y-148136010D01*
+X148151222Y-147994605D01*
+X148066189Y-147867344D01*
+X147957962Y-147759117D01*
+X147909895Y-147727000D01*
+X148873000Y-147727000D01*
+X148873000Y-152600000D01*
+X148875440Y-152624776D01*
+X148882667Y-152648601D01*
+X148894403Y-152670557D01*
+X148910197Y-152689803D01*
+X150410197Y-154189803D01*
+X150429443Y-154205597D01*
+X150451399Y-154217333D01*
+X150475224Y-154224560D01*
+X150500000Y-154227000D01*
+X155500000Y-154227000D01*
+X155524776Y-154224560D01*
+X155548601Y-154217333D01*
+X155570557Y-154205597D01*
+X155589803Y-154189803D01*
+X157089803Y-152689803D01*
+X157105597Y-152670557D01*
+X157117333Y-152648601D01*
+X157124560Y-152624776D01*
+X157127000Y-152600000D01*
+X157127000Y-147600000D01*
+X157124560Y-147575224D01*
+X157117333Y-147551399D01*
+X157105597Y-147529443D01*
+X157089803Y-147510197D01*
+X155881606Y-146302000D01*
+X158715721Y-146302000D01*
+X158740364Y-146304427D01*
+X158765007Y-146302000D01*
+X158765017Y-146302000D01*
+X158838773Y-146294736D01*
+X158933400Y-146266031D01*
+X159020609Y-146219417D01*
+X159097048Y-146156684D01*
+X159112765Y-146137533D01*
+X159873000Y-145377299D01*
+X159873000Y-154548000D01*
+G36*
+X98485933Y-149514067D02*
+G01*
+X98596100Y-149604478D01*
+X98721789Y-149671660D01*
+X98858169Y-149713031D01*
+X99000000Y-149727000D01*
+X105873000Y-149727000D01*
+X105873000Y-152500000D01*
+X105875440Y-152524776D01*
+X105882667Y-152548601D01*
+X105894403Y-152570557D01*
+X105910197Y-152589803D01*
+X107410197Y-154089803D01*
+X107429443Y-154105597D01*
+X107451399Y-154117333D01*
+X107475224Y-154124560D01*
+X107500000Y-154127000D01*
+X112500000Y-154127000D01*
+X112524776Y-154124560D01*
+X112548601Y-154117333D01*
+X112570557Y-154105597D01*
+X112589803Y-154089803D01*
+X114089803Y-152589803D01*
+X114105597Y-152570557D01*
+X114117333Y-152548601D01*
+X114124560Y-152524776D01*
+X114127000Y-152500000D01*
+X114127000Y-149727000D01*
+X127556936Y-149727000D01*
+X127533962Y-149733969D01*
+X127446753Y-149780583D01*
+X127370314Y-149843316D01*
+X127354601Y-149862462D01*
+X126462467Y-150754597D01*
+X126443316Y-150770314D01*
+X126380583Y-150846753D01*
+X126333969Y-150933963D01*
+X126305264Y-151028590D01*
+X126298000Y-151102346D01*
+X126298000Y-151102355D01*
+X126295573Y-151126998D01*
+X126298000Y-151151641D01*
+X126298000Y-151603155D01*
+X126196464Y-151704691D01*
+X126111431Y-151831952D01*
+X126052859Y-151973357D01*
+X126023000Y-152123472D01*
+X126023000Y-152276528D01*
+X126052859Y-152426643D01*
+X126111431Y-152568048D01*
+X126196464Y-152695309D01*
+X126304691Y-152803536D01*
+X126431952Y-152888569D01*
+X126573357Y-152947141D01*
+X126723472Y-152977000D01*
+X126876528Y-152977000D01*
+X127026643Y-152947141D01*
+X127168048Y-152888569D01*
+X127295309Y-152803536D01*
+X127403536Y-152695309D01*
+X127488569Y-152568048D01*
+X127547141Y-152426643D01*
+X127577000Y-152276528D01*
+X127577000Y-152177000D01*
+X127676528Y-152177000D01*
+X127826643Y-152147141D01*
+X127968048Y-152088569D01*
+X128021176Y-152053070D01*
+X128021176Y-152437500D01*
+X128033018Y-152557739D01*
+X128068091Y-152673357D01*
+X128125045Y-152779911D01*
+X128201693Y-152873307D01*
+X128295089Y-152949955D01*
+X128401643Y-153006909D01*
+X128517261Y-153041982D01*
+X128637500Y-153053824D01*
+X129212500Y-153053824D01*
+X129332739Y-153041982D01*
+X129448357Y-153006909D01*
+X129554911Y-152949955D01*
+X129648307Y-152873307D01*
+X129724955Y-152779911D01*
+X129781909Y-152673357D01*
+X129800000Y-152613720D01*
+X129818091Y-152673357D01*
+X129875045Y-152779911D01*
+X129951693Y-152873307D01*
+X130045089Y-152949955D01*
+X130151643Y-153006909D01*
+X130267261Y-153041982D01*
+X130387500Y-153053824D01*
+X130962500Y-153053824D01*
+X131082739Y-153041982D01*
+X131198357Y-153006909D01*
+X131304911Y-152949955D01*
+X131398307Y-152873307D01*
+X131474955Y-152779911D01*
+X131531909Y-152673357D01*
+X131566982Y-152557739D01*
+X131572472Y-152502000D01*
+X131841176Y-152502000D01*
+X131841176Y-153050000D01*
+X131848455Y-153123905D01*
+X131870012Y-153194970D01*
+X131905019Y-153260463D01*
+X131952131Y-153317869D01*
+X132009537Y-153364981D01*
+X132075030Y-153399988D01*
+X132146095Y-153421545D01*
+X132220000Y-153428824D01*
+X134720000Y-153428824D01*
+X134793905Y-153421545D01*
+X134864970Y-153399988D01*
+X134930463Y-153364981D01*
+X134987869Y-153317869D01*
+X135034981Y-153260463D01*
+X135050000Y-153232365D01*
+X135065019Y-153260463D01*
+X135112131Y-153317869D01*
+X135169537Y-153364981D01*
+X135235030Y-153399988D01*
+X135306095Y-153421545D01*
+X135380000Y-153428824D01*
+X135628000Y-153428824D01*
+X135628000Y-153605357D01*
+X135625573Y-153630000D01*
+X135628000Y-153654643D01*
+X135628000Y-153654652D01*
+X135635264Y-153728408D01*
+X135663969Y-153823035D01*
+X135710583Y-153910245D01*
+X135773316Y-153986684D01*
+X135792467Y-154002401D01*
+X136227603Y-154437538D01*
+X136243316Y-154456684D01*
+X136319755Y-154519417D01*
+X136373230Y-154548000D01*
+X80127000Y-154548000D01*
+X80127000Y-150837500D01*
+X80196176Y-150837500D01*
+X80196176Y-151412500D01*
+X80208018Y-151532739D01*
+X80243091Y-151648357D01*
+X80300045Y-151754911D01*
+X80376693Y-151848307D01*
+X80470089Y-151924955D01*
+X80576643Y-151981909D01*
+X80636280Y-152000000D01*
+X80576643Y-152018091D01*
+X80470089Y-152075045D01*
+X80376693Y-152151693D01*
+X80300045Y-152245089D01*
+X80243091Y-152351643D01*
+X80208018Y-152467261D01*
+X80196176Y-152587500D01*
+X80196176Y-153162500D01*
+X80208018Y-153282739D01*
+X80243091Y-153398357D01*
+X80300045Y-153504911D01*
+X80376693Y-153598307D01*
+X80470089Y-153674955D01*
+X80576643Y-153731909D01*
+X80692261Y-153766982D01*
+X80812500Y-153778824D01*
+X81250755Y-153778824D01*
+X81269756Y-153794418D01*
+X81356965Y-153841032D01*
+X81451592Y-153869737D01*
+X81525348Y-153877001D01*
+X81525358Y-153877001D01*
+X81550001Y-153879428D01*
+X81574644Y-153877001D01*
+X87315358Y-153877001D01*
+X87340001Y-153879428D01*
+X87364644Y-153877001D01*
+X87364654Y-153877001D01*
+X87438410Y-153869737D01*
+X87533037Y-153841032D01*
+X87620246Y-153794418D01*
+X87696685Y-153731685D01*
+X87712402Y-153712534D01*
+X88237538Y-153187399D01*
+X88256684Y-153171686D01*
+X88286575Y-153135265D01*
+X88319416Y-153095248D01*
+X88320176Y-153093827D01*
+X88366031Y-153008038D01*
+X88394736Y-152913411D01*
+X88395752Y-152903093D01*
+X88503536Y-152795309D01*
+X88588569Y-152668048D01*
+X88647141Y-152526643D01*
+X88677000Y-152376528D01*
+X88677000Y-152223472D01*
+X88647141Y-152073357D01*
+X88588569Y-151931952D01*
+X88503536Y-151804691D01*
+X88395309Y-151696464D01*
+X88268048Y-151611431D01*
+X88126643Y-151552859D01*
+X87976528Y-151523000D01*
+X87823472Y-151523000D01*
+X87673357Y-151552859D01*
+X87531952Y-151611431D01*
+X87458824Y-151660294D01*
+X87458824Y-150950000D01*
+X87451545Y-150876095D01*
+X87429988Y-150805030D01*
+X87394981Y-150739537D01*
+X87347869Y-150682131D01*
+X87290463Y-150635019D01*
+X87252372Y-150614659D01*
+X87490494Y-150614659D01*
+X87592030Y-150716195D01*
+X87719291Y-150801228D01*
+X87860696Y-150859800D01*
+X88010811Y-150889659D01*
+X88163867Y-150889659D01*
+X88313982Y-150859800D01*
+X88455387Y-150801228D01*
+X88582648Y-150716195D01*
+X88690875Y-150607968D01*
+X88775908Y-150480707D01*
+X88834480Y-150339302D01*
+X88864339Y-150189187D01*
+X88864339Y-150036131D01*
+X88834480Y-149886016D01*
+X88775908Y-149744611D01*
+X88690875Y-149617350D01*
+X88582648Y-149509123D01*
+X88455387Y-149424090D01*
+X88313982Y-149365518D01*
+X88163867Y-149335659D01*
+X88010811Y-149335659D01*
+X87860696Y-149365518D01*
+X87719291Y-149424090D01*
+X87592030Y-149509123D01*
+X87490494Y-149610659D01*
+X86832000Y-149610659D01*
+X86832000Y-148428824D01*
+X87080000Y-148428824D01*
+X87153905Y-148421545D01*
+X87224970Y-148399988D01*
+X87290463Y-148364981D01*
+X87347869Y-148317869D01*
+X87394981Y-148260463D01*
+X87429988Y-148194970D01*
+X87451545Y-148123905D01*
+X87458824Y-148050000D01*
+X87458824Y-145950000D01*
+X87451545Y-145876095D01*
+X87429988Y-145805030D01*
+X87394981Y-145739537D01*
+X87347869Y-145682131D01*
+X87290463Y-145635019D01*
+X87224970Y-145600012D01*
+X87153905Y-145578455D01*
+X87080000Y-145571176D01*
+X85580000Y-145571176D01*
+X85506095Y-145578455D01*
+X85435030Y-145600012D01*
+X85369537Y-145635019D01*
+X85312131Y-145682131D01*
+X85265019Y-145739537D01*
+X85250000Y-145767635D01*
+X85234981Y-145739537D01*
+X85187869Y-145682131D01*
+X85130463Y-145635019D01*
+X85064970Y-145600012D01*
+X84993905Y-145578455D01*
+X84920000Y-145571176D01*
+X82420000Y-145571176D01*
+X82346095Y-145578455D01*
+X82275030Y-145600012D01*
+X82209537Y-145635019D01*
+X82152131Y-145682131D01*
+X82105019Y-145739537D01*
+X82070012Y-145805030D01*
+X82048455Y-145876095D01*
+X82041176Y-145950000D01*
+X82041176Y-146356241D01*
+X81953824Y-146268889D01*
+X81953824Y-145837500D01*
+X81941982Y-145717261D01*
+X81906909Y-145601643D01*
+X81849955Y-145495089D01*
+X81773307Y-145401693D01*
+X81679911Y-145325045D01*
+X81573357Y-145268091D01*
+X81457739Y-145233018D01*
+X81337500Y-145221176D01*
+X80862500Y-145221176D01*
+X80742261Y-145233018D01*
+X80626643Y-145268091D01*
+X80520089Y-145325045D01*
+X80426693Y-145401693D01*
+X80350045Y-145495089D01*
+X80293091Y-145601643D01*
+X80258018Y-145717261D01*
+X80246176Y-145837500D01*
+X80246176Y-146412500D01*
+X80258018Y-146532739D01*
+X80293091Y-146648357D01*
+X80350045Y-146754911D01*
+X80426693Y-146848307D01*
+X80520089Y-146924955D01*
+X80626643Y-146981909D01*
+X80686280Y-147000000D01*
+X80626643Y-147018091D01*
+X80520089Y-147075045D01*
+X80426693Y-147151693D01*
+X80350045Y-147245089D01*
+X80293091Y-147351643D01*
+X80258018Y-147467261D01*
+X80246176Y-147587500D01*
+X80246176Y-148162500D01*
+X80258018Y-148282739D01*
+X80293091Y-148398357D01*
+X80350045Y-148504911D01*
+X80426693Y-148598307D01*
+X80520089Y-148674955D01*
+X80626643Y-148731909D01*
+X80742261Y-148766982D01*
+X80862500Y-148778824D01*
+X81293890Y-148778824D01*
+X81523000Y-149007935D01*
+X81523000Y-149151528D01*
+X81552859Y-149301643D01*
+X81611431Y-149443048D01*
+X81696464Y-149570309D01*
+X81804691Y-149678536D01*
+X81931952Y-149763569D01*
+X82073357Y-149822141D01*
+X82223472Y-149852000D01*
+X82376528Y-149852000D01*
+X82526643Y-149822141D01*
+X82668048Y-149763569D01*
+X82795309Y-149678536D01*
+X82903536Y-149570309D01*
+X82988569Y-149443048D01*
+X83047141Y-149301643D01*
+X83077000Y-149151528D01*
+X83077000Y-148998472D01*
+X83047141Y-148848357D01*
+X82988569Y-148706952D01*
+X82903536Y-148579691D01*
+X82795309Y-148471464D01*
+X82731494Y-148428824D01*
+X84920000Y-148428824D01*
+X84993905Y-148421545D01*
+X85064970Y-148399988D01*
+X85130463Y-148364981D01*
+X85187869Y-148317869D01*
+X85234981Y-148260463D01*
+X85250000Y-148232365D01*
+X85265019Y-148260463D01*
+X85312131Y-148317869D01*
+X85369537Y-148364981D01*
+X85435030Y-148399988D01*
+X85506095Y-148421545D01*
+X85580000Y-148428824D01*
+X85828000Y-148428824D01*
+X85828001Y-150088000D01*
+X85825572Y-150112659D01*
+X85828001Y-150137318D01*
+X85828001Y-150571176D01*
+X85580000Y-150571176D01*
+X85506095Y-150578455D01*
+X85435030Y-150600012D01*
+X85369537Y-150635019D01*
+X85312131Y-150682131D01*
+X85265019Y-150739537D01*
+X85250000Y-150767635D01*
+X85234981Y-150739537D01*
+X85187869Y-150682131D01*
+X85130463Y-150635019D01*
+X85064970Y-150600012D01*
+X84993905Y-150578455D01*
+X84920000Y-150571176D01*
+X82420000Y-150571176D01*
+X82346095Y-150578455D01*
+X82275030Y-150600012D01*
+X82232023Y-150623000D01*
+X81863388Y-150623000D01*
+X81856909Y-150601643D01*
+X81799955Y-150495089D01*
+X81723307Y-150401693D01*
+X81629911Y-150325045D01*
+X81523357Y-150268091D01*
+X81407739Y-150233018D01*
+X81287500Y-150221176D01*
+X80812500Y-150221176D01*
+X80692261Y-150233018D01*
+X80576643Y-150268091D01*
+X80470089Y-150325045D01*
+X80376693Y-150401693D01*
+X80300045Y-150495089D01*
+X80243091Y-150601643D01*
+X80208018Y-150717261D01*
+X80196176Y-150837500D01*
+X80127000Y-150837500D01*
+X80127000Y-145000000D01*
+X80124560Y-144975224D01*
+X80117333Y-144951399D01*
+X80105597Y-144929443D01*
+X80089803Y-144910197D01*
+X80070557Y-144894403D01*
+X80048601Y-144882667D01*
+X80024776Y-144875440D01*
+X80000000Y-144873000D01*
+X70452000Y-144873000D01*
+X70452000Y-143727000D01*
+X92698866Y-143727000D01*
+X98485933Y-149514067D01*
+G37*
+X98485933Y-149514067D02*
+X98596100Y-149604478D01*
+X98721789Y-149671660D01*
+X98858169Y-149713031D01*
+X99000000Y-149727000D01*
+X105873000Y-149727000D01*
+X105873000Y-152500000D01*
+X105875440Y-152524776D01*
+X105882667Y-152548601D01*
+X105894403Y-152570557D01*
+X105910197Y-152589803D01*
+X107410197Y-154089803D01*
+X107429443Y-154105597D01*
+X107451399Y-154117333D01*
+X107475224Y-154124560D01*
+X107500000Y-154127000D01*
+X112500000Y-154127000D01*
+X112524776Y-154124560D01*
+X112548601Y-154117333D01*
+X112570557Y-154105597D01*
+X112589803Y-154089803D01*
+X114089803Y-152589803D01*
+X114105597Y-152570557D01*
+X114117333Y-152548601D01*
+X114124560Y-152524776D01*
+X114127000Y-152500000D01*
+X114127000Y-149727000D01*
+X127556936Y-149727000D01*
+X127533962Y-149733969D01*
+X127446753Y-149780583D01*
+X127370314Y-149843316D01*
+X127354601Y-149862462D01*
+X126462467Y-150754597D01*
+X126443316Y-150770314D01*
+X126380583Y-150846753D01*
+X126333969Y-150933963D01*
+X126305264Y-151028590D01*
+X126298000Y-151102346D01*
+X126298000Y-151102355D01*
+X126295573Y-151126998D01*
+X126298000Y-151151641D01*
+X126298000Y-151603155D01*
+X126196464Y-151704691D01*
+X126111431Y-151831952D01*
+X126052859Y-151973357D01*
+X126023000Y-152123472D01*
+X126023000Y-152276528D01*
+X126052859Y-152426643D01*
+X126111431Y-152568048D01*
+X126196464Y-152695309D01*
+X126304691Y-152803536D01*
+X126431952Y-152888569D01*
+X126573357Y-152947141D01*
+X126723472Y-152977000D01*
+X126876528Y-152977000D01*
+X127026643Y-152947141D01*
+X127168048Y-152888569D01*
+X127295309Y-152803536D01*
+X127403536Y-152695309D01*
+X127488569Y-152568048D01*
+X127547141Y-152426643D01*
+X127577000Y-152276528D01*
+X127577000Y-152177000D01*
+X127676528Y-152177000D01*
+X127826643Y-152147141D01*
+X127968048Y-152088569D01*
+X128021176Y-152053070D01*
+X128021176Y-152437500D01*
+X128033018Y-152557739D01*
+X128068091Y-152673357D01*
+X128125045Y-152779911D01*
+X128201693Y-152873307D01*
+X128295089Y-152949955D01*
+X128401643Y-153006909D01*
+X128517261Y-153041982D01*
+X128637500Y-153053824D01*
+X129212500Y-153053824D01*
+X129332739Y-153041982D01*
+X129448357Y-153006909D01*
+X129554911Y-152949955D01*
+X129648307Y-152873307D01*
+X129724955Y-152779911D01*
+X129781909Y-152673357D01*
+X129800000Y-152613720D01*
+X129818091Y-152673357D01*
+X129875045Y-152779911D01*
+X129951693Y-152873307D01*
+X130045089Y-152949955D01*
+X130151643Y-153006909D01*
+X130267261Y-153041982D01*
+X130387500Y-153053824D01*
+X130962500Y-153053824D01*
+X131082739Y-153041982D01*
+X131198357Y-153006909D01*
+X131304911Y-152949955D01*
+X131398307Y-152873307D01*
+X131474955Y-152779911D01*
+X131531909Y-152673357D01*
+X131566982Y-152557739D01*
+X131572472Y-152502000D01*
+X131841176Y-152502000D01*
+X131841176Y-153050000D01*
+X131848455Y-153123905D01*
+X131870012Y-153194970D01*
+X131905019Y-153260463D01*
+X131952131Y-153317869D01*
+X132009537Y-153364981D01*
+X132075030Y-153399988D01*
+X132146095Y-153421545D01*
+X132220000Y-153428824D01*
+X134720000Y-153428824D01*
+X134793905Y-153421545D01*
+X134864970Y-153399988D01*
+X134930463Y-153364981D01*
+X134987869Y-153317869D01*
+X135034981Y-153260463D01*
+X135050000Y-153232365D01*
+X135065019Y-153260463D01*
+X135112131Y-153317869D01*
+X135169537Y-153364981D01*
+X135235030Y-153399988D01*
+X135306095Y-153421545D01*
+X135380000Y-153428824D01*
+X135628000Y-153428824D01*
+X135628000Y-153605357D01*
+X135625573Y-153630000D01*
+X135628000Y-153654643D01*
+X135628000Y-153654652D01*
+X135635264Y-153728408D01*
+X135663969Y-153823035D01*
+X135710583Y-153910245D01*
+X135773316Y-153986684D01*
+X135792467Y-154002401D01*
+X136227603Y-154437538D01*
+X136243316Y-154456684D01*
+X136319755Y-154519417D01*
+X136373230Y-154548000D01*
+X80127000Y-154548000D01*
+X80127000Y-150837500D01*
+X80196176Y-150837500D01*
+X80196176Y-151412500D01*
+X80208018Y-151532739D01*
+X80243091Y-151648357D01*
+X80300045Y-151754911D01*
+X80376693Y-151848307D01*
+X80470089Y-151924955D01*
+X80576643Y-151981909D01*
+X80636280Y-152000000D01*
+X80576643Y-152018091D01*
+X80470089Y-152075045D01*
+X80376693Y-152151693D01*
+X80300045Y-152245089D01*
+X80243091Y-152351643D01*
+X80208018Y-152467261D01*
+X80196176Y-152587500D01*
+X80196176Y-153162500D01*
+X80208018Y-153282739D01*
+X80243091Y-153398357D01*
+X80300045Y-153504911D01*
+X80376693Y-153598307D01*
+X80470089Y-153674955D01*
+X80576643Y-153731909D01*
+X80692261Y-153766982D01*
+X80812500Y-153778824D01*
+X81250755Y-153778824D01*
+X81269756Y-153794418D01*
+X81356965Y-153841032D01*
+X81451592Y-153869737D01*
+X81525348Y-153877001D01*
+X81525358Y-153877001D01*
+X81550001Y-153879428D01*
+X81574644Y-153877001D01*
+X87315358Y-153877001D01*
+X87340001Y-153879428D01*
+X87364644Y-153877001D01*
+X87364654Y-153877001D01*
+X87438410Y-153869737D01*
+X87533037Y-153841032D01*
+X87620246Y-153794418D01*
+X87696685Y-153731685D01*
+X87712402Y-153712534D01*
+X88237538Y-153187399D01*
+X88256684Y-153171686D01*
+X88286575Y-153135265D01*
+X88319416Y-153095248D01*
+X88320176Y-153093827D01*
+X88366031Y-153008038D01*
+X88394736Y-152913411D01*
+X88395752Y-152903093D01*
+X88503536Y-152795309D01*
+X88588569Y-152668048D01*
+X88647141Y-152526643D01*
+X88677000Y-152376528D01*
+X88677000Y-152223472D01*
+X88647141Y-152073357D01*
+X88588569Y-151931952D01*
+X88503536Y-151804691D01*
+X88395309Y-151696464D01*
+X88268048Y-151611431D01*
+X88126643Y-151552859D01*
+X87976528Y-151523000D01*
+X87823472Y-151523000D01*
+X87673357Y-151552859D01*
+X87531952Y-151611431D01*
+X87458824Y-151660294D01*
+X87458824Y-150950000D01*
+X87451545Y-150876095D01*
+X87429988Y-150805030D01*
+X87394981Y-150739537D01*
+X87347869Y-150682131D01*
+X87290463Y-150635019D01*
+X87252372Y-150614659D01*
+X87490494Y-150614659D01*
+X87592030Y-150716195D01*
+X87719291Y-150801228D01*
+X87860696Y-150859800D01*
+X88010811Y-150889659D01*
+X88163867Y-150889659D01*
+X88313982Y-150859800D01*
+X88455387Y-150801228D01*
+X88582648Y-150716195D01*
+X88690875Y-150607968D01*
+X88775908Y-150480707D01*
+X88834480Y-150339302D01*
+X88864339Y-150189187D01*
+X88864339Y-150036131D01*
+X88834480Y-149886016D01*
+X88775908Y-149744611D01*
+X88690875Y-149617350D01*
+X88582648Y-149509123D01*
+X88455387Y-149424090D01*
+X88313982Y-149365518D01*
+X88163867Y-149335659D01*
+X88010811Y-149335659D01*
+X87860696Y-149365518D01*
+X87719291Y-149424090D01*
+X87592030Y-149509123D01*
+X87490494Y-149610659D01*
+X86832000Y-149610659D01*
+X86832000Y-148428824D01*
+X87080000Y-148428824D01*
+X87153905Y-148421545D01*
+X87224970Y-148399988D01*
+X87290463Y-148364981D01*
+X87347869Y-148317869D01*
+X87394981Y-148260463D01*
+X87429988Y-148194970D01*
+X87451545Y-148123905D01*
+X87458824Y-148050000D01*
+X87458824Y-145950000D01*
+X87451545Y-145876095D01*
+X87429988Y-145805030D01*
+X87394981Y-145739537D01*
+X87347869Y-145682131D01*
+X87290463Y-145635019D01*
+X87224970Y-145600012D01*
+X87153905Y-145578455D01*
+X87080000Y-145571176D01*
+X85580000Y-145571176D01*
+X85506095Y-145578455D01*
+X85435030Y-145600012D01*
+X85369537Y-145635019D01*
+X85312131Y-145682131D01*
+X85265019Y-145739537D01*
+X85250000Y-145767635D01*
+X85234981Y-145739537D01*
+X85187869Y-145682131D01*
+X85130463Y-145635019D01*
+X85064970Y-145600012D01*
+X84993905Y-145578455D01*
+X84920000Y-145571176D01*
+X82420000Y-145571176D01*
+X82346095Y-145578455D01*
+X82275030Y-145600012D01*
+X82209537Y-145635019D01*
+X82152131Y-145682131D01*
+X82105019Y-145739537D01*
+X82070012Y-145805030D01*
+X82048455Y-145876095D01*
+X82041176Y-145950000D01*
+X82041176Y-146356241D01*
+X81953824Y-146268889D01*
+X81953824Y-145837500D01*
+X81941982Y-145717261D01*
+X81906909Y-145601643D01*
+X81849955Y-145495089D01*
+X81773307Y-145401693D01*
+X81679911Y-145325045D01*
+X81573357Y-145268091D01*
+X81457739Y-145233018D01*
+X81337500Y-145221176D01*
+X80862500Y-145221176D01*
+X80742261Y-145233018D01*
+X80626643Y-145268091D01*
+X80520089Y-145325045D01*
+X80426693Y-145401693D01*
+X80350045Y-145495089D01*
+X80293091Y-145601643D01*
+X80258018Y-145717261D01*
+X80246176Y-145837500D01*
+X80246176Y-146412500D01*
+X80258018Y-146532739D01*
+X80293091Y-146648357D01*
+X80350045Y-146754911D01*
+X80426693Y-146848307D01*
+X80520089Y-146924955D01*
+X80626643Y-146981909D01*
+X80686280Y-147000000D01*
+X80626643Y-147018091D01*
+X80520089Y-147075045D01*
+X80426693Y-147151693D01*
+X80350045Y-147245089D01*
+X80293091Y-147351643D01*
+X80258018Y-147467261D01*
+X80246176Y-147587500D01*
+X80246176Y-148162500D01*
+X80258018Y-148282739D01*
+X80293091Y-148398357D01*
+X80350045Y-148504911D01*
+X80426693Y-148598307D01*
+X80520089Y-148674955D01*
+X80626643Y-148731909D01*
+X80742261Y-148766982D01*
+X80862500Y-148778824D01*
+X81293890Y-148778824D01*
+X81523000Y-149007935D01*
+X81523000Y-149151528D01*
+X81552859Y-149301643D01*
+X81611431Y-149443048D01*
+X81696464Y-149570309D01*
+X81804691Y-149678536D01*
+X81931952Y-149763569D01*
+X82073357Y-149822141D01*
+X82223472Y-149852000D01*
+X82376528Y-149852000D01*
+X82526643Y-149822141D01*
+X82668048Y-149763569D01*
+X82795309Y-149678536D01*
+X82903536Y-149570309D01*
+X82988569Y-149443048D01*
+X83047141Y-149301643D01*
+X83077000Y-149151528D01*
+X83077000Y-148998472D01*
+X83047141Y-148848357D01*
+X82988569Y-148706952D01*
+X82903536Y-148579691D01*
+X82795309Y-148471464D01*
+X82731494Y-148428824D01*
+X84920000Y-148428824D01*
+X84993905Y-148421545D01*
+X85064970Y-148399988D01*
+X85130463Y-148364981D01*
+X85187869Y-148317869D01*
+X85234981Y-148260463D01*
+X85250000Y-148232365D01*
+X85265019Y-148260463D01*
+X85312131Y-148317869D01*
+X85369537Y-148364981D01*
+X85435030Y-148399988D01*
+X85506095Y-148421545D01*
+X85580000Y-148428824D01*
+X85828000Y-148428824D01*
+X85828001Y-150088000D01*
+X85825572Y-150112659D01*
+X85828001Y-150137318D01*
+X85828001Y-150571176D01*
+X85580000Y-150571176D01*
+X85506095Y-150578455D01*
+X85435030Y-150600012D01*
+X85369537Y-150635019D01*
+X85312131Y-150682131D01*
+X85265019Y-150739537D01*
+X85250000Y-150767635D01*
+X85234981Y-150739537D01*
+X85187869Y-150682131D01*
+X85130463Y-150635019D01*
+X85064970Y-150600012D01*
+X84993905Y-150578455D01*
+X84920000Y-150571176D01*
+X82420000Y-150571176D01*
+X82346095Y-150578455D01*
+X82275030Y-150600012D01*
+X82232023Y-150623000D01*
+X81863388Y-150623000D01*
+X81856909Y-150601643D01*
+X81799955Y-150495089D01*
+X81723307Y-150401693D01*
+X81629911Y-150325045D01*
+X81523357Y-150268091D01*
+X81407739Y-150233018D01*
+X81287500Y-150221176D01*
+X80812500Y-150221176D01*
+X80692261Y-150233018D01*
+X80576643Y-150268091D01*
+X80470089Y-150325045D01*
+X80376693Y-150401693D01*
+X80300045Y-150495089D01*
+X80243091Y-150601643D01*
+X80208018Y-150717261D01*
+X80196176Y-150837500D01*
+X80127000Y-150837500D01*
+X80127000Y-145000000D01*
+X80124560Y-144975224D01*
+X80117333Y-144951399D01*
+X80105597Y-144929443D01*
+X80089803Y-144910197D01*
+X80070557Y-144894403D01*
+X80048601Y-144882667D01*
+X80024776Y-144875440D01*
+X80000000Y-144873000D01*
+X70452000Y-144873000D01*
+X70452000Y-143727000D01*
+X92698866Y-143727000D01*
+X98485933Y-149514067D01*
+G36*
+X144493332Y-148960323D02*
+G01*
+X144474180Y-148976041D01*
+X144411447Y-149052480D01*
+X144364833Y-149139690D01*
+X144336128Y-149234317D01*
+X144328864Y-149308073D01*
+X144328864Y-149308082D01*
+X144326437Y-149332725D01*
+X144328864Y-149357368D01*
+X144328865Y-150234019D01*
+X144227328Y-150335556D01*
+X144142295Y-150462817D01*
+X144083723Y-150604222D01*
+X144053864Y-150754337D01*
+X144053864Y-150907393D01*
+X144083723Y-151057508D01*
+X144142295Y-151198913D01*
+X144227328Y-151326174D01*
+X144335555Y-151434401D01*
+X144462816Y-151519434D01*
+X144604221Y-151578006D01*
+X144754336Y-151607865D01*
+X144907392Y-151607865D01*
+X145057507Y-151578006D01*
+X145198912Y-151519434D01*
+X145326173Y-151434401D01*
+X145434400Y-151326174D01*
+X145519433Y-151198913D01*
+X145578005Y-151057508D01*
+X145583211Y-151031334D01*
+X145592306Y-151053290D01*
+X145677339Y-151180551D01*
+X145785566Y-151288778D01*
+X145912827Y-151373811D01*
+X146054232Y-151432383D01*
+X146204347Y-151462242D01*
+X146357403Y-151462242D01*
+X146507518Y-151432383D01*
+X146648923Y-151373811D01*
+X146776184Y-151288778D01*
+X146884411Y-151180551D01*
+X146960654Y-151066446D01*
+X146960654Y-152729410D01*
+X146092065Y-153598000D01*
+X136807935Y-153598000D01*
+X136638758Y-153428824D01*
+X136880000Y-153428824D01*
+X136953905Y-153421545D01*
+X137024970Y-153399988D01*
+X137090463Y-153364981D01*
+X137147869Y-153317869D01*
+X137194981Y-153260463D01*
+X137229988Y-153194970D01*
+X137251545Y-153123905D01*
+X137258824Y-153050000D01*
+X137258824Y-150950000D01*
+X137251545Y-150876095D01*
+X137229988Y-150805030D01*
+X137194981Y-150739537D01*
+X137147869Y-150682131D01*
+X137090463Y-150635019D01*
+X137024970Y-150600012D01*
+X136953905Y-150578455D01*
+X136880000Y-150571176D01*
+X136632000Y-150571176D01*
+X136632000Y-148428824D01*
+X136880000Y-148428824D01*
+X136953905Y-148421545D01*
+X137024970Y-148399988D01*
+X137090463Y-148364981D01*
+X137147869Y-148317869D01*
+X137194981Y-148260463D01*
+X137229988Y-148194970D01*
+X137251545Y-148123905D01*
+X137258824Y-148050000D01*
+X137258824Y-147727000D01*
+X145726655Y-147727000D01*
+X144493332Y-148960323D01*
+G37*
+X144493332Y-148960323D02*
+X144474180Y-148976041D01*
+X144411447Y-149052480D01*
+X144364833Y-149139690D01*
+X144336128Y-149234317D01*
+X144328864Y-149308073D01*
+X144328864Y-149308082D01*
+X144326437Y-149332725D01*
+X144328864Y-149357368D01*
+X144328865Y-150234019D01*
+X144227328Y-150335556D01*
+X144142295Y-150462817D01*
+X144083723Y-150604222D01*
+X144053864Y-150754337D01*
+X144053864Y-150907393D01*
+X144083723Y-151057508D01*
+X144142295Y-151198913D01*
+X144227328Y-151326174D01*
+X144335555Y-151434401D01*
+X144462816Y-151519434D01*
+X144604221Y-151578006D01*
+X144754336Y-151607865D01*
+X144907392Y-151607865D01*
+X145057507Y-151578006D01*
+X145198912Y-151519434D01*
+X145326173Y-151434401D01*
+X145434400Y-151326174D01*
+X145519433Y-151198913D01*
+X145578005Y-151057508D01*
+X145583211Y-151031334D01*
+X145592306Y-151053290D01*
+X145677339Y-151180551D01*
+X145785566Y-151288778D01*
+X145912827Y-151373811D01*
+X146054232Y-151432383D01*
+X146204347Y-151462242D01*
+X146357403Y-151462242D01*
+X146507518Y-151432383D01*
+X146648923Y-151373811D01*
+X146776184Y-151288778D01*
+X146884411Y-151180551D01*
+X146960654Y-151066446D01*
+X146960654Y-152729410D01*
+X146092065Y-153598000D01*
+X136807935Y-153598000D01*
+X136638758Y-153428824D01*
+X136880000Y-153428824D01*
+X136953905Y-153421545D01*
+X137024970Y-153399988D01*
+X137090463Y-153364981D01*
+X137147869Y-153317869D01*
+X137194981Y-153260463D01*
+X137229988Y-153194970D01*
+X137251545Y-153123905D01*
+X137258824Y-153050000D01*
+X137258824Y-150950000D01*
+X137251545Y-150876095D01*
+X137229988Y-150805030D01*
+X137194981Y-150739537D01*
+X137147869Y-150682131D01*
+X137090463Y-150635019D01*
+X137024970Y-150600012D01*
+X136953905Y-150578455D01*
+X136880000Y-150571176D01*
+X136632000Y-150571176D01*
+X136632000Y-148428824D01*
+X136880000Y-148428824D01*
+X136953905Y-148421545D01*
+X137024970Y-148399988D01*
+X137090463Y-148364981D01*
+X137147869Y-148317869D01*
+X137194981Y-148260463D01*
+X137229988Y-148194970D01*
+X137251545Y-148123905D01*
+X137258824Y-148050000D01*
+X137258824Y-147727000D01*
+X145726655Y-147727000D01*
+X144493332Y-148960323D01*
+G36*
+X135065019Y-148260463D02*
+G01*
+X135112131Y-148317869D01*
+X135169537Y-148364981D01*
+X135235030Y-148399988D01*
+X135306095Y-148421545D01*
+X135380000Y-148428824D01*
+X135628000Y-148428824D01*
+X135628001Y-150571176D01*
+X135380000Y-150571176D01*
+X135306095Y-150578455D01*
+X135235030Y-150600012D01*
+X135169537Y-150635019D01*
+X135112131Y-150682131D01*
+X135065019Y-150739537D01*
+X135050000Y-150767635D01*
+X135034981Y-150739537D01*
+X134987869Y-150682131D01*
+X134930463Y-150635019D01*
+X134864970Y-150600012D01*
+X134793905Y-150578455D01*
+X134720000Y-150571176D01*
+X132220000Y-150571176D01*
+X132146095Y-150578455D01*
+X132075030Y-150600012D01*
+X132009537Y-150635019D01*
+X131952131Y-150682131D01*
+X131905019Y-150739537D01*
+X131870012Y-150805030D01*
+X131848455Y-150876095D01*
+X131841176Y-150950000D01*
+X131841176Y-151498000D01*
+X131363344Y-151498000D01*
+X131304911Y-151450045D01*
+X131198357Y-151393091D01*
+X131082739Y-151358018D01*
+X130962500Y-151346176D01*
+X130387500Y-151346176D01*
+X130267261Y-151358018D01*
+X130151643Y-151393091D01*
+X130045089Y-151450045D01*
+X129951693Y-151526693D01*
+X129875045Y-151620089D01*
+X129818091Y-151726643D01*
+X129800000Y-151786280D01*
+X129781909Y-151726643D01*
+X129724955Y-151620089D01*
+X129648307Y-151526693D01*
+X129554911Y-151450045D01*
+X129448357Y-151393091D01*
+X129332739Y-151358018D01*
+X129212500Y-151346176D01*
+X128781111Y-151346176D01*
+X128497401Y-151062467D01*
+X128481684Y-151043316D01*
+X128458244Y-151024079D01*
+X128517261Y-151041982D01*
+X128637500Y-151053824D01*
+X129212500Y-151053824D01*
+X129332739Y-151041982D01*
+X129448357Y-151006909D01*
+X129554911Y-150949955D01*
+X129648307Y-150873307D01*
+X129724955Y-150779911D01*
+X129781909Y-150673357D01*
+X129800000Y-150613720D01*
+X129818091Y-150673357D01*
+X129875045Y-150779911D01*
+X129951693Y-150873307D01*
+X130045089Y-150949955D01*
+X130151643Y-151006909D01*
+X130267261Y-151041982D01*
+X130387500Y-151053824D01*
+X130962500Y-151053824D01*
+X131082739Y-151041982D01*
+X131198357Y-151006909D01*
+X131304911Y-150949955D01*
+X131398307Y-150873307D01*
+X131474955Y-150779911D01*
+X131531909Y-150673357D01*
+X131566982Y-150557739D01*
+X131578824Y-150437500D01*
+X131578824Y-150006110D01*
+X133156111Y-148428824D01*
+X134720000Y-148428824D01*
+X134793905Y-148421545D01*
+X134864970Y-148399988D01*
+X134930463Y-148364981D01*
+X134987869Y-148317869D01*
+X135034981Y-148260463D01*
+X135050000Y-148232365D01*
+X135065019Y-148260463D01*
+G37*
+X135065019Y-148260463D02*
+X135112131Y-148317869D01*
+X135169537Y-148364981D01*
+X135235030Y-148399988D01*
+X135306095Y-148421545D01*
+X135380000Y-148428824D01*
+X135628000Y-148428824D01*
+X135628001Y-150571176D01*
+X135380000Y-150571176D01*
+X135306095Y-150578455D01*
+X135235030Y-150600012D01*
+X135169537Y-150635019D01*
+X135112131Y-150682131D01*
+X135065019Y-150739537D01*
+X135050000Y-150767635D01*
+X135034981Y-150739537D01*
+X134987869Y-150682131D01*
+X134930463Y-150635019D01*
+X134864970Y-150600012D01*
+X134793905Y-150578455D01*
+X134720000Y-150571176D01*
+X132220000Y-150571176D01*
+X132146095Y-150578455D01*
+X132075030Y-150600012D01*
+X132009537Y-150635019D01*
+X131952131Y-150682131D01*
+X131905019Y-150739537D01*
+X131870012Y-150805030D01*
+X131848455Y-150876095D01*
+X131841176Y-150950000D01*
+X131841176Y-151498000D01*
+X131363344Y-151498000D01*
+X131304911Y-151450045D01*
+X131198357Y-151393091D01*
+X131082739Y-151358018D01*
+X130962500Y-151346176D01*
+X130387500Y-151346176D01*
+X130267261Y-151358018D01*
+X130151643Y-151393091D01*
+X130045089Y-151450045D01*
+X129951693Y-151526693D01*
+X129875045Y-151620089D01*
+X129818091Y-151726643D01*
+X129800000Y-151786280D01*
+X129781909Y-151726643D01*
+X129724955Y-151620089D01*
+X129648307Y-151526693D01*
+X129554911Y-151450045D01*
+X129448357Y-151393091D01*
+X129332739Y-151358018D01*
+X129212500Y-151346176D01*
+X128781111Y-151346176D01*
+X128497401Y-151062467D01*
+X128481684Y-151043316D01*
+X128458244Y-151024079D01*
+X128517261Y-151041982D01*
+X128637500Y-151053824D01*
+X129212500Y-151053824D01*
+X129332739Y-151041982D01*
+X129448357Y-151006909D01*
+X129554911Y-150949955D01*
+X129648307Y-150873307D01*
+X129724955Y-150779911D01*
+X129781909Y-150673357D01*
+X129800000Y-150613720D01*
+X129818091Y-150673357D01*
+X129875045Y-150779911D01*
+X129951693Y-150873307D01*
+X130045089Y-150949955D01*
+X130151643Y-151006909D01*
+X130267261Y-151041982D01*
+X130387500Y-151053824D01*
+X130962500Y-151053824D01*
+X131082739Y-151041982D01*
+X131198357Y-151006909D01*
+X131304911Y-150949955D01*
+X131398307Y-150873307D01*
+X131474955Y-150779911D01*
+X131531909Y-150673357D01*
+X131566982Y-150557739D01*
+X131578824Y-150437500D01*
+X131578824Y-150006110D01*
+X133156111Y-148428824D01*
+X134720000Y-148428824D01*
+X134793905Y-148421545D01*
+X134864970Y-148399988D01*
+X134930463Y-148364981D01*
+X134987869Y-148317869D01*
+X135034981Y-148260463D01*
+X135050000Y-148232365D01*
+X135065019Y-148260463D01*
+G36*
+X157873000Y-65373000D02*
+G01*
+X152632078Y-65373000D01*
+X152658421Y-65355398D01*
+X152815398Y-65198421D01*
+X152938734Y-65013835D01*
+X153023690Y-64808734D01*
+X153067000Y-64591000D01*
+X153067000Y-64587122D01*
+X155672483Y-64587122D01*
+X155782881Y-64779330D01*
+X156028495Y-64894430D01*
+X156291845Y-64959400D01*
+X156562809Y-64971745D01*
+X156830975Y-64930991D01*
+X157086038Y-64838703D01*
+X157197119Y-64779330D01*
+X157307517Y-64587122D01*
+X156490000Y-63769605D01*
+X155672483Y-64587122D01*
+X153067000Y-64587122D01*
+X153067000Y-64369000D01*
+X153023690Y-64151266D01*
+X152938734Y-63946165D01*
+X152815398Y-63761579D01*
+X152716628Y-63662809D01*
+X155108255Y-63662809D01*
+X155149009Y-63930975D01*
+X155241297Y-64186038D01*
+X155300670Y-64297119D01*
+X155492878Y-64407517D01*
+X156310395Y-63590000D01*
+X156669605Y-63590000D01*
+X157487122Y-64407517D01*
+X157679330Y-64297119D01*
+X157794430Y-64051505D01*
+X157859400Y-63788155D01*
+X157871745Y-63517191D01*
+X157830991Y-63249025D01*
+X157738703Y-62993962D01*
+X157679330Y-62882881D01*
+X157487122Y-62772483D01*
+X156669605Y-63590000D01*
+X156310395Y-63590000D01*
+X155492878Y-62772483D01*
+X155300670Y-62882881D01*
+X155185570Y-63128495D01*
+X155120600Y-63391845D01*
+X155108255Y-63662809D01*
+X152716628Y-63662809D01*
+X152658421Y-63604602D01*
+X152473835Y-63481266D01*
+X152268734Y-63396310D01*
+X152051000Y-63353000D01*
+X151839111Y-63353000D01*
+X151918734Y-63233835D01*
+X152003690Y-63028734D01*
+X152047000Y-62811000D01*
+X152047000Y-62592878D01*
+X155672483Y-62592878D01*
+X156490000Y-63410395D01*
+X157307517Y-62592878D01*
+X157197119Y-62400670D01*
+X156951505Y-62285570D01*
+X156688155Y-62220600D01*
+X156417191Y-62208255D01*
+X156149025Y-62249009D01*
+X155893962Y-62341297D01*
+X155782881Y-62400670D01*
+X155672483Y-62592878D01*
+X152047000Y-62592878D01*
+X152047000Y-62589000D01*
+X152003690Y-62371266D01*
+X151918734Y-62166165D01*
+X151795398Y-61981579D01*
+X151638421Y-61824602D01*
+X151453835Y-61701266D01*
+X151248734Y-61616310D01*
+X151031000Y-61573000D01*
+X150809000Y-61573000D01*
+X150591266Y-61616310D01*
+X150386165Y-61701266D01*
+X150201579Y-61824602D01*
+X150044602Y-61981579D01*
+X149921266Y-62166165D01*
+X149900000Y-62217505D01*
+X149878734Y-62166165D01*
+X149755398Y-61981579D01*
+X149598421Y-61824602D01*
+X149507000Y-61763517D01*
+X149507000Y-59962820D01*
+X152708000Y-59962820D01*
+X152708000Y-60357180D01*
+X152784936Y-60743962D01*
+X152935850Y-61108303D01*
+X153154945Y-61436201D01*
+X153433799Y-61715055D01*
+X153761697Y-61934150D01*
+X154126038Y-62085064D01*
+X154512820Y-62162000D01*
+X154907180Y-62162000D01*
+X155293962Y-62085064D01*
+X155658303Y-61934150D01*
+X155986201Y-61715055D01*
+X156265055Y-61436201D01*
+X156484150Y-61108303D01*
+X156635064Y-60743962D01*
+X156712000Y-60357180D01*
+X156712000Y-59962820D01*
+X156635064Y-59576038D01*
+X156484150Y-59211697D01*
+X156265055Y-58883799D01*
+X155986201Y-58604945D01*
+X155658303Y-58385850D01*
+X155293962Y-58234936D01*
+X154907180Y-58158000D01*
+X154512820Y-58158000D01*
+X154126038Y-58234936D01*
+X153761697Y-58385850D01*
+X153433799Y-58604945D01*
+X153154945Y-58883799D01*
+X152935850Y-59211697D01*
+X152784936Y-59576038D01*
+X152708000Y-59962820D01*
+X149507000Y-59962820D01*
+X149507000Y-59810791D01*
+X149510033Y-59779999D01*
+X149507000Y-59749206D01*
+X149497927Y-59657087D01*
+X149462075Y-59538897D01*
+X149403853Y-59429972D01*
+X149325501Y-59334499D01*
+X149301578Y-59314866D01*
+X146365138Y-56378427D01*
+X146345501Y-56354499D01*
+X146250028Y-56276147D01*
+X146141103Y-56217925D01*
+X146022913Y-56182073D01*
+X145930794Y-56173000D01*
+X145900000Y-56169967D01*
+X145869206Y-56173000D01*
+X130930791Y-56173000D01*
+X130899999Y-56169967D01*
+X130869207Y-56173000D01*
+X130869206Y-56173000D01*
+X130777087Y-56182073D01*
+X130658897Y-56217925D01*
+X130549972Y-56276147D01*
+X130454499Y-56354499D01*
+X130434866Y-56378422D01*
+X128608427Y-58204862D01*
+X128584499Y-58224499D01*
+X128506147Y-58319973D01*
+X128447925Y-58428898D01*
+X128412073Y-58547087D01*
+X128412073Y-58547088D01*
+X128399967Y-58670000D01*
+X128403000Y-58700794D01*
+X128403001Y-60499196D01*
+X128399967Y-60530000D01*
+X128403000Y-60560794D01*
+X128403001Y-61763516D01*
+X128311579Y-61824602D01*
+X128154602Y-61981579D01*
+X128031266Y-62166165D01*
+X128010968Y-62215169D01*
+X128001798Y-62191360D01*
+X127975043Y-62141306D01*
+X127808410Y-62061195D01*
+X127169605Y-62700000D01*
+X127183748Y-62714143D01*
+X127004143Y-62893748D01*
+X126990000Y-62879605D01*
+X126975858Y-62893748D01*
+X126796253Y-62714143D01*
+X126810395Y-62700000D01*
+X126171590Y-62061195D01*
+X126078824Y-62105793D01*
+X126078824Y-61950000D01*
+X126072087Y-61881590D01*
+X126351195Y-61881590D01*
+X126990000Y-62520395D01*
+X127628805Y-61881590D01*
+X127548694Y-61714957D01*
+X127345787Y-61624889D01*
+X127129207Y-61576136D01*
+X126907277Y-61570572D01*
+X126688526Y-61608412D01*
+X126481360Y-61688202D01*
+X126431306Y-61714957D01*
+X126351195Y-61881590D01*
+X126072087Y-61881590D01*
+X126071545Y-61876095D01*
+X126049988Y-61805030D01*
+X126014981Y-61739537D01*
+X125967869Y-61682131D01*
+X125910463Y-61635019D01*
+X125844970Y-61600012D01*
+X125773905Y-61578455D01*
+X125700000Y-61571176D01*
+X124200000Y-61571176D01*
+X124126095Y-61578455D01*
+X124055030Y-61600012D01*
+X123989537Y-61635019D01*
+X123932131Y-61682131D01*
+X123885019Y-61739537D01*
+X123850012Y-61805030D01*
+X123828455Y-61876095D01*
+X123821176Y-61950000D01*
+X123821176Y-63450000D01*
+X123828455Y-63523905D01*
+X123850012Y-63594970D01*
+X123885019Y-63660463D01*
+X123932131Y-63717869D01*
+X123989537Y-63764981D01*
+X124055030Y-63799988D01*
+X124126095Y-63821545D01*
+X124200000Y-63828824D01*
+X125049670Y-63828824D01*
+X124971266Y-63946165D01*
+X124886310Y-64151266D01*
+X124843000Y-64369000D01*
+X124843000Y-64591000D01*
+X124886310Y-64808734D01*
+X124971266Y-65013835D01*
+X125094602Y-65198421D01*
+X125251579Y-65355398D01*
+X125277922Y-65373000D01*
+X119127000Y-65373000D01*
+X119127000Y-64587122D01*
+X119562483Y-64587122D01*
+X119672881Y-64779330D01*
+X119918495Y-64894430D01*
+X120181845Y-64959400D01*
+X120452809Y-64971745D01*
+X120720975Y-64930991D01*
+X120976038Y-64838703D01*
+X121087119Y-64779330D01*
+X121197517Y-64587122D01*
+X120380000Y-63769605D01*
+X119562483Y-64587122D01*
+X119127000Y-64587122D01*
+X119127000Y-64174162D01*
+X119131297Y-64186038D01*
+X119190670Y-64297119D01*
+X119382878Y-64407517D01*
+X120200395Y-63590000D01*
+X120559605Y-63590000D01*
+X121377122Y-64407517D01*
+X121569330Y-64297119D01*
+X121684430Y-64051505D01*
+X121749400Y-63788155D01*
+X121761745Y-63517191D01*
+X121720991Y-63249025D01*
+X121628703Y-62993962D01*
+X121569330Y-62882881D01*
+X121377122Y-62772483D01*
+X120559605Y-63590000D01*
+X120200395Y-63590000D01*
+X119382878Y-62772483D01*
+X119190670Y-62882881D01*
+X119127000Y-63018748D01*
+X119127000Y-62592878D01*
+X119562483Y-62592878D01*
+X120380000Y-63410395D01*
+X121197517Y-62592878D01*
+X121087119Y-62400670D01*
+X120841505Y-62285570D01*
+X120578155Y-62220600D01*
+X120307191Y-62208255D01*
+X120039025Y-62249009D01*
+X119783962Y-62341297D01*
+X119672881Y-62400670D01*
+X119562483Y-62592878D01*
+X119127000Y-62592878D01*
+X119127000Y-59962820D01*
+X120158000Y-59962820D01*
+X120158000Y-60357180D01*
+X120234936Y-60743962D01*
+X120385850Y-61108303D01*
+X120604945Y-61436201D01*
+X120883799Y-61715055D01*
+X121211697Y-61934150D01*
+X121576038Y-62085064D01*
+X121962820Y-62162000D01*
+X122357180Y-62162000D01*
+X122743962Y-62085064D01*
+X123108303Y-61934150D01*
+X123436201Y-61715055D01*
+X123715055Y-61436201D01*
+X123934150Y-61108303D01*
+X124085064Y-60743962D01*
+X124162000Y-60357180D01*
+X124162000Y-59962820D01*
+X124085064Y-59576038D01*
+X123934150Y-59211697D01*
+X123715055Y-58883799D01*
+X123436201Y-58604945D01*
+X123108303Y-58385850D01*
+X122743962Y-58234936D01*
+X122357180Y-58158000D01*
+X121962820Y-58158000D01*
+X121576038Y-58234936D01*
+X121211697Y-58385850D01*
+X120883799Y-58604945D01*
+X120604945Y-58883799D01*
+X120385850Y-59211697D01*
+X120234936Y-59576038D01*
+X120158000Y-59962820D01*
+X119127000Y-59962820D01*
+X119127000Y-55452000D01*
+X157873000Y-55452000D01*
+X157873000Y-65373000D01*
+G37*
+X157873000Y-65373000D02*
+X152632078Y-65373000D01*
+X152658421Y-65355398D01*
+X152815398Y-65198421D01*
+X152938734Y-65013835D01*
+X153023690Y-64808734D01*
+X153067000Y-64591000D01*
+X153067000Y-64587122D01*
+X155672483Y-64587122D01*
+X155782881Y-64779330D01*
+X156028495Y-64894430D01*
+X156291845Y-64959400D01*
+X156562809Y-64971745D01*
+X156830975Y-64930991D01*
+X157086038Y-64838703D01*
+X157197119Y-64779330D01*
+X157307517Y-64587122D01*
+X156490000Y-63769605D01*
+X155672483Y-64587122D01*
+X153067000Y-64587122D01*
+X153067000Y-64369000D01*
+X153023690Y-64151266D01*
+X152938734Y-63946165D01*
+X152815398Y-63761579D01*
+X152716628Y-63662809D01*
+X155108255Y-63662809D01*
+X155149009Y-63930975D01*
+X155241297Y-64186038D01*
+X155300670Y-64297119D01*
+X155492878Y-64407517D01*
+X156310395Y-63590000D01*
+X156669605Y-63590000D01*
+X157487122Y-64407517D01*
+X157679330Y-64297119D01*
+X157794430Y-64051505D01*
+X157859400Y-63788155D01*
+X157871745Y-63517191D01*
+X157830991Y-63249025D01*
+X157738703Y-62993962D01*
+X157679330Y-62882881D01*
+X157487122Y-62772483D01*
+X156669605Y-63590000D01*
+X156310395Y-63590000D01*
+X155492878Y-62772483D01*
+X155300670Y-62882881D01*
+X155185570Y-63128495D01*
+X155120600Y-63391845D01*
+X155108255Y-63662809D01*
+X152716628Y-63662809D01*
+X152658421Y-63604602D01*
+X152473835Y-63481266D01*
+X152268734Y-63396310D01*
+X152051000Y-63353000D01*
+X151839111Y-63353000D01*
+X151918734Y-63233835D01*
+X152003690Y-63028734D01*
+X152047000Y-62811000D01*
+X152047000Y-62592878D01*
+X155672483Y-62592878D01*
+X156490000Y-63410395D01*
+X157307517Y-62592878D01*
+X157197119Y-62400670D01*
+X156951505Y-62285570D01*
+X156688155Y-62220600D01*
+X156417191Y-62208255D01*
+X156149025Y-62249009D01*
+X155893962Y-62341297D01*
+X155782881Y-62400670D01*
+X155672483Y-62592878D01*
+X152047000Y-62592878D01*
+X152047000Y-62589000D01*
+X152003690Y-62371266D01*
+X151918734Y-62166165D01*
+X151795398Y-61981579D01*
+X151638421Y-61824602D01*
+X151453835Y-61701266D01*
+X151248734Y-61616310D01*
+X151031000Y-61573000D01*
+X150809000Y-61573000D01*
+X150591266Y-61616310D01*
+X150386165Y-61701266D01*
+X150201579Y-61824602D01*
+X150044602Y-61981579D01*
+X149921266Y-62166165D01*
+X149900000Y-62217505D01*
+X149878734Y-62166165D01*
+X149755398Y-61981579D01*
+X149598421Y-61824602D01*
+X149507000Y-61763517D01*
+X149507000Y-59962820D01*
+X152708000Y-59962820D01*
+X152708000Y-60357180D01*
+X152784936Y-60743962D01*
+X152935850Y-61108303D01*
+X153154945Y-61436201D01*
+X153433799Y-61715055D01*
+X153761697Y-61934150D01*
+X154126038Y-62085064D01*
+X154512820Y-62162000D01*
+X154907180Y-62162000D01*
+X155293962Y-62085064D01*
+X155658303Y-61934150D01*
+X155986201Y-61715055D01*
+X156265055Y-61436201D01*
+X156484150Y-61108303D01*
+X156635064Y-60743962D01*
+X156712000Y-60357180D01*
+X156712000Y-59962820D01*
+X156635064Y-59576038D01*
+X156484150Y-59211697D01*
+X156265055Y-58883799D01*
+X155986201Y-58604945D01*
+X155658303Y-58385850D01*
+X155293962Y-58234936D01*
+X154907180Y-58158000D01*
+X154512820Y-58158000D01*
+X154126038Y-58234936D01*
+X153761697Y-58385850D01*
+X153433799Y-58604945D01*
+X153154945Y-58883799D01*
+X152935850Y-59211697D01*
+X152784936Y-59576038D01*
+X152708000Y-59962820D01*
+X149507000Y-59962820D01*
+X149507000Y-59810791D01*
+X149510033Y-59779999D01*
+X149507000Y-59749206D01*
+X149497927Y-59657087D01*
+X149462075Y-59538897D01*
+X149403853Y-59429972D01*
+X149325501Y-59334499D01*
+X149301578Y-59314866D01*
+X146365138Y-56378427D01*
+X146345501Y-56354499D01*
+X146250028Y-56276147D01*
+X146141103Y-56217925D01*
+X146022913Y-56182073D01*
+X145930794Y-56173000D01*
+X145900000Y-56169967D01*
+X145869206Y-56173000D01*
+X130930791Y-56173000D01*
+X130899999Y-56169967D01*
+X130869207Y-56173000D01*
+X130869206Y-56173000D01*
+X130777087Y-56182073D01*
+X130658897Y-56217925D01*
+X130549972Y-56276147D01*
+X130454499Y-56354499D01*
+X130434866Y-56378422D01*
+X128608427Y-58204862D01*
+X128584499Y-58224499D01*
+X128506147Y-58319973D01*
+X128447925Y-58428898D01*
+X128412073Y-58547087D01*
+X128412073Y-58547088D01*
+X128399967Y-58670000D01*
+X128403000Y-58700794D01*
+X128403001Y-60499196D01*
+X128399967Y-60530000D01*
+X128403000Y-60560794D01*
+X128403001Y-61763516D01*
+X128311579Y-61824602D01*
+X128154602Y-61981579D01*
+X128031266Y-62166165D01*
+X128010968Y-62215169D01*
+X128001798Y-62191360D01*
+X127975043Y-62141306D01*
+X127808410Y-62061195D01*
+X127169605Y-62700000D01*
+X127183748Y-62714143D01*
+X127004143Y-62893748D01*
+X126990000Y-62879605D01*
+X126975858Y-62893748D01*
+X126796253Y-62714143D01*
+X126810395Y-62700000D01*
+X126171590Y-62061195D01*
+X126078824Y-62105793D01*
+X126078824Y-61950000D01*
+X126072087Y-61881590D01*
+X126351195Y-61881590D01*
+X126990000Y-62520395D01*
+X127628805Y-61881590D01*
+X127548694Y-61714957D01*
+X127345787Y-61624889D01*
+X127129207Y-61576136D01*
+X126907277Y-61570572D01*
+X126688526Y-61608412D01*
+X126481360Y-61688202D01*
+X126431306Y-61714957D01*
+X126351195Y-61881590D01*
+X126072087Y-61881590D01*
+X126071545Y-61876095D01*
+X126049988Y-61805030D01*
+X126014981Y-61739537D01*
+X125967869Y-61682131D01*
+X125910463Y-61635019D01*
+X125844970Y-61600012D01*
+X125773905Y-61578455D01*
+X125700000Y-61571176D01*
+X124200000Y-61571176D01*
+X124126095Y-61578455D01*
+X124055030Y-61600012D01*
+X123989537Y-61635019D01*
+X123932131Y-61682131D01*
+X123885019Y-61739537D01*
+X123850012Y-61805030D01*
+X123828455Y-61876095D01*
+X123821176Y-61950000D01*
+X123821176Y-63450000D01*
+X123828455Y-63523905D01*
+X123850012Y-63594970D01*
+X123885019Y-63660463D01*
+X123932131Y-63717869D01*
+X123989537Y-63764981D01*
+X124055030Y-63799988D01*
+X124126095Y-63821545D01*
+X124200000Y-63828824D01*
+X125049670Y-63828824D01*
+X124971266Y-63946165D01*
+X124886310Y-64151266D01*
+X124843000Y-64369000D01*
+X124843000Y-64591000D01*
+X124886310Y-64808734D01*
+X124971266Y-65013835D01*
+X125094602Y-65198421D01*
+X125251579Y-65355398D01*
+X125277922Y-65373000D01*
+X119127000Y-65373000D01*
+X119127000Y-64587122D01*
+X119562483Y-64587122D01*
+X119672881Y-64779330D01*
+X119918495Y-64894430D01*
+X120181845Y-64959400D01*
+X120452809Y-64971745D01*
+X120720975Y-64930991D01*
+X120976038Y-64838703D01*
+X121087119Y-64779330D01*
+X121197517Y-64587122D01*
+X120380000Y-63769605D01*
+X119562483Y-64587122D01*
+X119127000Y-64587122D01*
+X119127000Y-64174162D01*
+X119131297Y-64186038D01*
+X119190670Y-64297119D01*
+X119382878Y-64407517D01*
+X120200395Y-63590000D01*
+X120559605Y-63590000D01*
+X121377122Y-64407517D01*
+X121569330Y-64297119D01*
+X121684430Y-64051505D01*
+X121749400Y-63788155D01*
+X121761745Y-63517191D01*
+X121720991Y-63249025D01*
+X121628703Y-62993962D01*
+X121569330Y-62882881D01*
+X121377122Y-62772483D01*
+X120559605Y-63590000D01*
+X120200395Y-63590000D01*
+X119382878Y-62772483D01*
+X119190670Y-62882881D01*
+X119127000Y-63018748D01*
+X119127000Y-62592878D01*
+X119562483Y-62592878D01*
+X120380000Y-63410395D01*
+X121197517Y-62592878D01*
+X121087119Y-62400670D01*
+X120841505Y-62285570D01*
+X120578155Y-62220600D01*
+X120307191Y-62208255D01*
+X120039025Y-62249009D01*
+X119783962Y-62341297D01*
+X119672881Y-62400670D01*
+X119562483Y-62592878D01*
+X119127000Y-62592878D01*
+X119127000Y-59962820D01*
+X120158000Y-59962820D01*
+X120158000Y-60357180D01*
+X120234936Y-60743962D01*
+X120385850Y-61108303D01*
+X120604945Y-61436201D01*
+X120883799Y-61715055D01*
+X121211697Y-61934150D01*
+X121576038Y-62085064D01*
+X121962820Y-62162000D01*
+X122357180Y-62162000D01*
+X122743962Y-62085064D01*
+X123108303Y-61934150D01*
+X123436201Y-61715055D01*
+X123715055Y-61436201D01*
+X123934150Y-61108303D01*
+X124085064Y-60743962D01*
+X124162000Y-60357180D01*
+X124162000Y-59962820D01*
+X124085064Y-59576038D01*
+X123934150Y-59211697D01*
+X123715055Y-58883799D01*
+X123436201Y-58604945D01*
+X123108303Y-58385850D01*
+X122743962Y-58234936D01*
+X122357180Y-58158000D01*
+X121962820Y-58158000D01*
+X121576038Y-58234936D01*
+X121211697Y-58385850D01*
+X120883799Y-58604945D01*
+X120604945Y-58883799D01*
+X120385850Y-59211697D01*
+X120234936Y-59576038D01*
+X120158000Y-59962820D01*
+X119127000Y-59962820D01*
+X119127000Y-55452000D01*
+X157873000Y-55452000D01*
+X157873000Y-65373000D01*
+G36*
+X148253001Y-60039713D02*
+G01*
+X148253000Y-61763516D01*
+X148161579Y-61824602D01*
+X148004602Y-61981579D01*
+X147881266Y-62166165D01*
+X147860968Y-62215169D01*
+X147851798Y-62191360D01*
+X147825043Y-62141306D01*
+X147658410Y-62061195D01*
+X147019605Y-62700000D01*
+X147033748Y-62714143D01*
+X146854143Y-62893748D01*
+X146840000Y-62879605D01*
+X146825858Y-62893748D01*
+X146646253Y-62714143D01*
+X146660395Y-62700000D01*
+X146021590Y-62061195D01*
+X145928824Y-62105793D01*
+X145928824Y-61950000D01*
+X145922087Y-61881590D01*
+X146201195Y-61881590D01*
+X146840000Y-62520395D01*
+X147478805Y-61881590D01*
+X147398694Y-61714957D01*
+X147195787Y-61624889D01*
+X146979207Y-61576136D01*
+X146757277Y-61570572D01*
+X146538526Y-61608412D01*
+X146331360Y-61688202D01*
+X146281306Y-61714957D01*
+X146201195Y-61881590D01*
+X145922087Y-61881590D01*
+X145921545Y-61876095D01*
+X145899988Y-61805030D01*
+X145864981Y-61739537D01*
+X145817869Y-61682131D01*
+X145760463Y-61635019D01*
+X145694970Y-61600012D01*
+X145623905Y-61578455D01*
+X145550000Y-61571176D01*
+X144050000Y-61571176D01*
+X143976095Y-61578455D01*
+X143905030Y-61600012D01*
+X143839537Y-61635019D01*
+X143782131Y-61682131D01*
+X143735019Y-61739537D01*
+X143700012Y-61805030D01*
+X143678455Y-61876095D01*
+X143671176Y-61950000D01*
+X143671176Y-63450000D01*
+X143678455Y-63523905D01*
+X143700012Y-63594970D01*
+X143735019Y-63660463D01*
+X143782131Y-63717869D01*
+X143839537Y-63764981D01*
+X143905030Y-63799988D01*
+X143976095Y-63821545D01*
+X144050000Y-63828824D01*
+X144899670Y-63828824D01*
+X144821266Y-63946165D01*
+X144736310Y-64151266D01*
+X144693000Y-64369000D01*
+X144693000Y-64591000D01*
+X144736310Y-64808734D01*
+X144821266Y-65013835D01*
+X144944602Y-65198421D01*
+X145101579Y-65355398D01*
+X145127922Y-65373000D01*
+X136128356Y-65373000D01*
+X136153280Y-65312829D01*
+X136183139Y-65162714D01*
+X136183139Y-65009658D01*
+X136158341Y-64884985D01*
+X136178495Y-64894430D01*
+X136441845Y-64959400D01*
+X136712809Y-64971745D01*
+X136980975Y-64930991D01*
+X137236038Y-64838703D01*
+X137347119Y-64779330D01*
+X137457517Y-64587122D01*
+X139412483Y-64587122D01*
+X139522881Y-64779330D01*
+X139768495Y-64894430D01*
+X140031845Y-64959400D01*
+X140302809Y-64971745D01*
+X140570975Y-64930991D01*
+X140826038Y-64838703D01*
+X140937119Y-64779330D01*
+X141047517Y-64587122D01*
+X140230000Y-63769605D01*
+X139412483Y-64587122D01*
+X137457517Y-64587122D01*
+X136640000Y-63769605D01*
+X136625858Y-63783748D01*
+X136446253Y-63604143D01*
+X136460395Y-63590000D01*
+X136819605Y-63590000D01*
+X137637122Y-64407517D01*
+X137829330Y-64297119D01*
+X137944430Y-64051505D01*
+X138009400Y-63788155D01*
+X138015110Y-63662809D01*
+X138848255Y-63662809D01*
+X138889009Y-63930975D01*
+X138981297Y-64186038D01*
+X139040670Y-64297119D01*
+X139232878Y-64407517D01*
+X140050395Y-63590000D01*
+X140409605Y-63590000D01*
+X141227122Y-64407517D01*
+X141419330Y-64297119D01*
+X141534430Y-64051505D01*
+X141599400Y-63788155D01*
+X141611745Y-63517191D01*
+X141570991Y-63249025D01*
+X141478703Y-62993962D01*
+X141419330Y-62882881D01*
+X141227122Y-62772483D01*
+X140409605Y-63590000D01*
+X140050395Y-63590000D01*
+X139232878Y-62772483D01*
+X139040670Y-62882881D01*
+X138925570Y-63128495D01*
+X138860600Y-63391845D01*
+X138848255Y-63662809D01*
+X138015110Y-63662809D01*
+X138021745Y-63517191D01*
+X137980991Y-63249025D01*
+X137888703Y-62993962D01*
+X137829330Y-62882881D01*
+X137637122Y-62772483D01*
+X136819605Y-63590000D01*
+X136460395Y-63590000D01*
+X135642878Y-62772483D01*
+X135450670Y-62882881D01*
+X135335570Y-63128495D01*
+X135270600Y-63391845D01*
+X135258255Y-63662809D01*
+X135299009Y-63930975D01*
+X135390500Y-64183836D01*
+X134427000Y-63220336D01*
+X134427000Y-63030791D01*
+X134430033Y-62999999D01*
+X134424232Y-62941102D01*
+X134417927Y-62877087D01*
+X134382075Y-62758897D01*
+X134323853Y-62649972D01*
+X134276998Y-62592878D01*
+X135822483Y-62592878D01*
+X136640000Y-63410395D01*
+X137457517Y-62592878D01*
+X139412483Y-62592878D01*
+X140230000Y-63410395D01*
+X141047517Y-62592878D01*
+X140937119Y-62400670D01*
+X140691505Y-62285570D01*
+X140428155Y-62220600D01*
+X140157191Y-62208255D01*
+X139889025Y-62249009D01*
+X139633962Y-62341297D01*
+X139522881Y-62400670D01*
+X139412483Y-62592878D01*
+X137457517Y-62592878D01*
+X137347119Y-62400670D01*
+X137101505Y-62285570D01*
+X136838155Y-62220600D01*
+X136567191Y-62208255D01*
+X136299025Y-62249009D01*
+X136043962Y-62341297D01*
+X135932881Y-62400670D01*
+X135822483Y-62592878D01*
+X134276998Y-62592878D01*
+X134245501Y-62554499D01*
+X134221578Y-62534866D01*
+X131795138Y-60108427D01*
+X131775501Y-60084499D01*
+X131680028Y-60006147D01*
+X131598970Y-59962820D01*
+X132858000Y-59962820D01*
+X132858000Y-60357180D01*
+X132934936Y-60743962D01*
+X133085850Y-61108303D01*
+X133304945Y-61436201D01*
+X133583799Y-61715055D01*
+X133911697Y-61934150D01*
+X134276038Y-62085064D01*
+X134662820Y-62162000D01*
+X135057180Y-62162000D01*
+X135443962Y-62085064D01*
+X135808303Y-61934150D01*
+X136136201Y-61715055D01*
+X136415055Y-61436201D01*
+X136634150Y-61108303D01*
+X136785064Y-60743962D01*
+X136862000Y-60357180D01*
+X136862000Y-59962820D01*
+X140008000Y-59962820D01*
+X140008000Y-60357180D01*
+X140084936Y-60743962D01*
+X140235850Y-61108303D01*
+X140454945Y-61436201D01*
+X140733799Y-61715055D01*
+X141061697Y-61934150D01*
+X141426038Y-62085064D01*
+X141812820Y-62162000D01*
+X142207180Y-62162000D01*
+X142593962Y-62085064D01*
+X142958303Y-61934150D01*
+X143286201Y-61715055D01*
+X143565055Y-61436201D01*
+X143784150Y-61108303D01*
+X143935064Y-60743962D01*
+X144012000Y-60357180D01*
+X144012000Y-59962820D01*
+X143935064Y-59576038D01*
+X143784150Y-59211697D01*
+X143565055Y-58883799D01*
+X143286201Y-58604945D01*
+X142958303Y-58385850D01*
+X142593962Y-58234936D01*
+X142207180Y-58158000D01*
+X141812820Y-58158000D01*
+X141426038Y-58234936D01*
+X141061697Y-58385850D01*
+X140733799Y-58604945D01*
+X140454945Y-58883799D01*
+X140235850Y-59211697D01*
+X140084936Y-59576038D01*
+X140008000Y-59962820D01*
+X136862000Y-59962820D01*
+X136785064Y-59576038D01*
+X136634150Y-59211697D01*
+X136415055Y-58883799D01*
+X136136201Y-58604945D01*
+X135808303Y-58385850D01*
+X135443962Y-58234936D01*
+X135057180Y-58158000D01*
+X134662820Y-58158000D01*
+X134276038Y-58234936D01*
+X133911697Y-58385850D01*
+X133583799Y-58604945D01*
+X133304945Y-58883799D01*
+X133085850Y-59211697D01*
+X132934936Y-59576038D01*
+X132858000Y-59962820D01*
+X131598970Y-59962820D01*
+X131571103Y-59947925D01*
+X131452913Y-59912073D01*
+X131360794Y-59903000D01*
+X131330000Y-59899967D01*
+X131299206Y-59903000D01*
+X129657000Y-59903000D01*
+X129657000Y-58929711D01*
+X131159712Y-57427000D01*
+X145640289Y-57427000D01*
+X148253001Y-60039713D01*
+G37*
+X148253001Y-60039713D02*
+X148253000Y-61763516D01*
+X148161579Y-61824602D01*
+X148004602Y-61981579D01*
+X147881266Y-62166165D01*
+X147860968Y-62215169D01*
+X147851798Y-62191360D01*
+X147825043Y-62141306D01*
+X147658410Y-62061195D01*
+X147019605Y-62700000D01*
+X147033748Y-62714143D01*
+X146854143Y-62893748D01*
+X146840000Y-62879605D01*
+X146825858Y-62893748D01*
+X146646253Y-62714143D01*
+X146660395Y-62700000D01*
+X146021590Y-62061195D01*
+X145928824Y-62105793D01*
+X145928824Y-61950000D01*
+X145922087Y-61881590D01*
+X146201195Y-61881590D01*
+X146840000Y-62520395D01*
+X147478805Y-61881590D01*
+X147398694Y-61714957D01*
+X147195787Y-61624889D01*
+X146979207Y-61576136D01*
+X146757277Y-61570572D01*
+X146538526Y-61608412D01*
+X146331360Y-61688202D01*
+X146281306Y-61714957D01*
+X146201195Y-61881590D01*
+X145922087Y-61881590D01*
+X145921545Y-61876095D01*
+X145899988Y-61805030D01*
+X145864981Y-61739537D01*
+X145817869Y-61682131D01*
+X145760463Y-61635019D01*
+X145694970Y-61600012D01*
+X145623905Y-61578455D01*
+X145550000Y-61571176D01*
+X144050000Y-61571176D01*
+X143976095Y-61578455D01*
+X143905030Y-61600012D01*
+X143839537Y-61635019D01*
+X143782131Y-61682131D01*
+X143735019Y-61739537D01*
+X143700012Y-61805030D01*
+X143678455Y-61876095D01*
+X143671176Y-61950000D01*
+X143671176Y-63450000D01*
+X143678455Y-63523905D01*
+X143700012Y-63594970D01*
+X143735019Y-63660463D01*
+X143782131Y-63717869D01*
+X143839537Y-63764981D01*
+X143905030Y-63799988D01*
+X143976095Y-63821545D01*
+X144050000Y-63828824D01*
+X144899670Y-63828824D01*
+X144821266Y-63946165D01*
+X144736310Y-64151266D01*
+X144693000Y-64369000D01*
+X144693000Y-64591000D01*
+X144736310Y-64808734D01*
+X144821266Y-65013835D01*
+X144944602Y-65198421D01*
+X145101579Y-65355398D01*
+X145127922Y-65373000D01*
+X136128356Y-65373000D01*
+X136153280Y-65312829D01*
+X136183139Y-65162714D01*
+X136183139Y-65009658D01*
+X136158341Y-64884985D01*
+X136178495Y-64894430D01*
+X136441845Y-64959400D01*
+X136712809Y-64971745D01*
+X136980975Y-64930991D01*
+X137236038Y-64838703D01*
+X137347119Y-64779330D01*
+X137457517Y-64587122D01*
+X139412483Y-64587122D01*
+X139522881Y-64779330D01*
+X139768495Y-64894430D01*
+X140031845Y-64959400D01*
+X140302809Y-64971745D01*
+X140570975Y-64930991D01*
+X140826038Y-64838703D01*
+X140937119Y-64779330D01*
+X141047517Y-64587122D01*
+X140230000Y-63769605D01*
+X139412483Y-64587122D01*
+X137457517Y-64587122D01*
+X136640000Y-63769605D01*
+X136625858Y-63783748D01*
+X136446253Y-63604143D01*
+X136460395Y-63590000D01*
+X136819605Y-63590000D01*
+X137637122Y-64407517D01*
+X137829330Y-64297119D01*
+X137944430Y-64051505D01*
+X138009400Y-63788155D01*
+X138015110Y-63662809D01*
+X138848255Y-63662809D01*
+X138889009Y-63930975D01*
+X138981297Y-64186038D01*
+X139040670Y-64297119D01*
+X139232878Y-64407517D01*
+X140050395Y-63590000D01*
+X140409605Y-63590000D01*
+X141227122Y-64407517D01*
+X141419330Y-64297119D01*
+X141534430Y-64051505D01*
+X141599400Y-63788155D01*
+X141611745Y-63517191D01*
+X141570991Y-63249025D01*
+X141478703Y-62993962D01*
+X141419330Y-62882881D01*
+X141227122Y-62772483D01*
+X140409605Y-63590000D01*
+X140050395Y-63590000D01*
+X139232878Y-62772483D01*
+X139040670Y-62882881D01*
+X138925570Y-63128495D01*
+X138860600Y-63391845D01*
+X138848255Y-63662809D01*
+X138015110Y-63662809D01*
+X138021745Y-63517191D01*
+X137980991Y-63249025D01*
+X137888703Y-62993962D01*
+X137829330Y-62882881D01*
+X137637122Y-62772483D01*
+X136819605Y-63590000D01*
+X136460395Y-63590000D01*
+X135642878Y-62772483D01*
+X135450670Y-62882881D01*
+X135335570Y-63128495D01*
+X135270600Y-63391845D01*
+X135258255Y-63662809D01*
+X135299009Y-63930975D01*
+X135390500Y-64183836D01*
+X134427000Y-63220336D01*
+X134427000Y-63030791D01*
+X134430033Y-62999999D01*
+X134424232Y-62941102D01*
+X134417927Y-62877087D01*
+X134382075Y-62758897D01*
+X134323853Y-62649972D01*
+X134276998Y-62592878D01*
+X135822483Y-62592878D01*
+X136640000Y-63410395D01*
+X137457517Y-62592878D01*
+X139412483Y-62592878D01*
+X140230000Y-63410395D01*
+X141047517Y-62592878D01*
+X140937119Y-62400670D01*
+X140691505Y-62285570D01*
+X140428155Y-62220600D01*
+X140157191Y-62208255D01*
+X139889025Y-62249009D01*
+X139633962Y-62341297D01*
+X139522881Y-62400670D01*
+X139412483Y-62592878D01*
+X137457517Y-62592878D01*
+X137347119Y-62400670D01*
+X137101505Y-62285570D01*
+X136838155Y-62220600D01*
+X136567191Y-62208255D01*
+X136299025Y-62249009D01*
+X136043962Y-62341297D01*
+X135932881Y-62400670D01*
+X135822483Y-62592878D01*
+X134276998Y-62592878D01*
+X134245501Y-62554499D01*
+X134221578Y-62534866D01*
+X131795138Y-60108427D01*
+X131775501Y-60084499D01*
+X131680028Y-60006147D01*
+X131598970Y-59962820D01*
+X132858000Y-59962820D01*
+X132858000Y-60357180D01*
+X132934936Y-60743962D01*
+X133085850Y-61108303D01*
+X133304945Y-61436201D01*
+X133583799Y-61715055D01*
+X133911697Y-61934150D01*
+X134276038Y-62085064D01*
+X134662820Y-62162000D01*
+X135057180Y-62162000D01*
+X135443962Y-62085064D01*
+X135808303Y-61934150D01*
+X136136201Y-61715055D01*
+X136415055Y-61436201D01*
+X136634150Y-61108303D01*
+X136785064Y-60743962D01*
+X136862000Y-60357180D01*
+X136862000Y-59962820D01*
+X140008000Y-59962820D01*
+X140008000Y-60357180D01*
+X140084936Y-60743962D01*
+X140235850Y-61108303D01*
+X140454945Y-61436201D01*
+X140733799Y-61715055D01*
+X141061697Y-61934150D01*
+X141426038Y-62085064D01*
+X141812820Y-62162000D01*
+X142207180Y-62162000D01*
+X142593962Y-62085064D01*
+X142958303Y-61934150D01*
+X143286201Y-61715055D01*
+X143565055Y-61436201D01*
+X143784150Y-61108303D01*
+X143935064Y-60743962D01*
+X144012000Y-60357180D01*
+X144012000Y-59962820D01*
+X143935064Y-59576038D01*
+X143784150Y-59211697D01*
+X143565055Y-58883799D01*
+X143286201Y-58604945D01*
+X142958303Y-58385850D01*
+X142593962Y-58234936D01*
+X142207180Y-58158000D01*
+X141812820Y-58158000D01*
+X141426038Y-58234936D01*
+X141061697Y-58385850D01*
+X140733799Y-58604945D01*
+X140454945Y-58883799D01*
+X140235850Y-59211697D01*
+X140084936Y-59576038D01*
+X140008000Y-59962820D01*
+X136862000Y-59962820D01*
+X136785064Y-59576038D01*
+X136634150Y-59211697D01*
+X136415055Y-58883799D01*
+X136136201Y-58604945D01*
+X135808303Y-58385850D01*
+X135443962Y-58234936D01*
+X135057180Y-58158000D01*
+X134662820Y-58158000D01*
+X134276038Y-58234936D01*
+X133911697Y-58385850D01*
+X133583799Y-58604945D01*
+X133304945Y-58883799D01*
+X133085850Y-59211697D01*
+X132934936Y-59576038D01*
+X132858000Y-59962820D01*
+X131598970Y-59962820D01*
+X131571103Y-59947925D01*
+X131452913Y-59912073D01*
+X131360794Y-59903000D01*
+X131330000Y-59899967D01*
+X131299206Y-59903000D01*
+X129657000Y-59903000D01*
+X129657000Y-58929711D01*
+X131159712Y-57427000D01*
+X145640289Y-57427000D01*
+X148253001Y-60039713D01*
+G36*
+X150093748Y-64465858D02*
+G01*
+X150079605Y-64480000D01*
+X150718410Y-65118805D01*
+X150885043Y-65038694D01*
+X150919453Y-64961174D01*
+X150941266Y-65013835D01*
+X151064602Y-65198421D01*
+X151221579Y-65355398D01*
+X151247922Y-65373000D01*
+X150502945Y-65373000D01*
+X150538805Y-65298410D01*
+X149900000Y-64659605D01*
+X149261195Y-65298410D01*
+X149297055Y-65373000D01*
+X148552078Y-65373000D01*
+X148578421Y-65355398D01*
+X148735398Y-65198421D01*
+X148858734Y-65013835D01*
+X148879032Y-64964831D01*
+X148888202Y-64988640D01*
+X148914957Y-65038694D01*
+X149081590Y-65118805D01*
+X149720395Y-64480000D01*
+X149706253Y-64465858D01*
+X149885858Y-64286253D01*
+X149900000Y-64300395D01*
+X149914143Y-64286253D01*
+X150093748Y-64465858D01*
+G37*
+X150093748Y-64465858D02*
+X150079605Y-64480000D01*
+X150718410Y-65118805D01*
+X150885043Y-65038694D01*
+X150919453Y-64961174D01*
+X150941266Y-65013835D01*
+X151064602Y-65198421D01*
+X151221579Y-65355398D01*
+X151247922Y-65373000D01*
+X150502945Y-65373000D01*
+X150538805Y-65298410D01*
+X149900000Y-64659605D01*
+X149261195Y-65298410D01*
+X149297055Y-65373000D01*
+X148552078Y-65373000D01*
+X148578421Y-65355398D01*
+X148735398Y-65198421D01*
+X148858734Y-65013835D01*
+X148879032Y-64964831D01*
+X148888202Y-64988640D01*
+X148914957Y-65038694D01*
+X149081590Y-65118805D01*
+X149720395Y-64480000D01*
+X149706253Y-64465858D01*
+X149885858Y-64286253D01*
+X149900000Y-64300395D01*
+X149914143Y-64286253D01*
+X150093748Y-64465858D01*
+G36*
+X130243748Y-64465858D02*
+G01*
+X130229605Y-64480000D01*
+X130868410Y-65118805D01*
+X131035043Y-65038694D01*
+X131069453Y-64961174D01*
+X131091266Y-65013835D01*
+X131214602Y-65198421D01*
+X131371579Y-65355398D01*
+X131397922Y-65373000D01*
+X130652945Y-65373000D01*
+X130688805Y-65298410D01*
+X130050000Y-64659605D01*
+X129411195Y-65298410D01*
+X129447055Y-65373000D01*
+X128729052Y-65373000D01*
+X128718247Y-65362196D01*
+X128728421Y-65355398D01*
+X128885398Y-65198421D01*
+X129008734Y-65013835D01*
+X129029032Y-64964831D01*
+X129038202Y-64988640D01*
+X129064957Y-65038694D01*
+X129231590Y-65118805D01*
+X129870395Y-64480000D01*
+X129856253Y-64465858D01*
+X130035858Y-64286253D01*
+X130050000Y-64300395D01*
+X130064143Y-64286253D01*
+X130243748Y-64465858D01*
+G37*
+X130243748Y-64465858D02*
+X130229605Y-64480000D01*
+X130868410Y-65118805D01*
+X131035043Y-65038694D01*
+X131069453Y-64961174D01*
+X131091266Y-65013835D01*
+X131214602Y-65198421D01*
+X131371579Y-65355398D01*
+X131397922Y-65373000D01*
+X130652945Y-65373000D01*
+X130688805Y-65298410D01*
+X130050000Y-64659605D01*
+X129411195Y-65298410D01*
+X129447055Y-65373000D01*
+X128729052Y-65373000D01*
+X128718247Y-65362196D01*
+X128728421Y-65355398D01*
+X128885398Y-65198421D01*
+X129008734Y-65013835D01*
+X129029032Y-64964831D01*
+X129038202Y-64988640D01*
+X129064957Y-65038694D01*
+X129231590Y-65118805D01*
+X129870395Y-64480000D01*
+X129856253Y-64465858D01*
+X130035858Y-64286253D01*
+X130050000Y-64300395D01*
+X130064143Y-64286253D01*
+X130243748Y-64465858D01*
+M02*
diff --git a/driver/gerber/driver-F_Mask.gbr b/driver/gerber/driver-F_Mask.gbr
index 2a513e7..64678c0 100644
--- a/driver/gerber/driver-F_Mask.gbr
+++ b/driver/gerber/driver-F_Mask.gbr
@@ -1,8265 +1,8727 @@
-G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.0-344-gd281f051e)*
-G04 #@! TF.CreationDate,2019-04-25T22:00:11+09:00*
-G04 #@! TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,rev?*
-G04 #@! TF.SameCoordinates,Original*
-G04 #@! TF.FileFunction,Soldermask,Top*
-G04 #@! TF.FilePolarity,Negative*
-%FSLAX46Y46*%
-G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW (5.1.0-344-gd281f051e)) date 2019-04-25 22:00:11*
-%MOMM*%
-%LPD*%
-G04 APERTURE LIST*
-%ADD10C,0.100000*%
-G04 APERTURE END LIST*
-D10*
-G36*
-X166181632Y-146104677D02*
-G01*
-X166487005Y-146231167D01*
-X166918868Y-146410050D01*
-X167582362Y-146853383D01*
-X168146617Y-147417638D01*
-X168589950Y-148081132D01*
-X168718652Y-148391847D01*
-X168895323Y-148818368D01*
-X169051000Y-149601010D01*
-X169051000Y-150398990D01*
-X168895323Y-151181632D01*
-X168789132Y-151438000D01*
-X168589950Y-151918868D01*
-X168146617Y-152582362D01*
-X167582362Y-153146617D01*
-X166918868Y-153589950D01*
-X166487005Y-153768833D01*
-X166181632Y-153895323D01*
-X165398990Y-154051000D01*
-X164601010Y-154051000D01*
-X163818368Y-153895323D01*
-X163512995Y-153768833D01*
-X163081132Y-153589950D01*
-X162417638Y-153146617D01*
-X161853383Y-152582362D01*
-X161410050Y-151918868D01*
-X161210868Y-151438000D01*
-X161104677Y-151181632D01*
-X160949000Y-150398990D01*
-X160949000Y-149601010D01*
-X161104677Y-148818368D01*
-X161281348Y-148391847D01*
-X161410050Y-148081132D01*
-X161853383Y-147417638D01*
-X162417638Y-146853383D01*
-X163081132Y-146410050D01*
-X163512995Y-146231167D01*
-X163818368Y-146104677D01*
-X164601010Y-145949000D01*
-X165398990Y-145949000D01*
-X166181632Y-146104677D01*
-X166181632Y-146104677D01*
-G37*
-G36*
-X76181632Y-146104677D02*
-G01*
-X76487005Y-146231167D01*
-X76918868Y-146410050D01*
-X77582362Y-146853383D01*
-X78146617Y-147417638D01*
-X78589950Y-148081132D01*
-X78718652Y-148391847D01*
-X78895323Y-148818368D01*
-X79051000Y-149601010D01*
-X79051000Y-150398990D01*
-X78895323Y-151181632D01*
-X78789132Y-151438000D01*
-X78589950Y-151918868D01*
-X78146617Y-152582362D01*
-X77582362Y-153146617D01*
-X76918868Y-153589950D01*
-X76487005Y-153768833D01*
-X76181632Y-153895323D01*
-X75398990Y-154051000D01*
-X74601010Y-154051000D01*
-X73818368Y-153895323D01*
-X73512995Y-153768833D01*
-X73081132Y-153589950D01*
-X72417638Y-153146617D01*
-X71853383Y-152582362D01*
-X71410050Y-151918868D01*
-X71210868Y-151438000D01*
-X71104677Y-151181632D01*
-X70949000Y-150398990D01*
-X70949000Y-149601010D01*
-X71104677Y-148818368D01*
-X71281348Y-148391847D01*
-X71410050Y-148081132D01*
-X71853383Y-147417638D01*
-X72417638Y-146853383D01*
-X73081132Y-146410050D01*
-X73512995Y-146231167D01*
-X73818368Y-146104677D01*
-X74601010Y-145949000D01*
-X75398990Y-145949000D01*
-X76181632Y-146104677D01*
-X76181632Y-146104677D01*
-G37*
-G36*
-X81414499Y-152303445D02*
-G01*
-X81451995Y-152314820D01*
-X81486554Y-152333292D01*
-X81516847Y-152358153D01*
-X81541708Y-152388446D01*
-X81560180Y-152423005D01*
-X81571555Y-152460501D01*
-X81576000Y-152505638D01*
-X81576000Y-153244362D01*
-X81571555Y-153289499D01*
-X81560180Y-153326995D01*
-X81541708Y-153361554D01*
-X81516847Y-153391847D01*
-X81486554Y-153416708D01*
-X81451995Y-153435180D01*
-X81414499Y-153446555D01*
-X81369362Y-153451000D01*
-X80730638Y-153451000D01*
-X80685501Y-153446555D01*
-X80648005Y-153435180D01*
-X80613446Y-153416708D01*
-X80583153Y-153391847D01*
-X80558292Y-153361554D01*
-X80539820Y-153326995D01*
-X80528445Y-153289499D01*
-X80524000Y-153244362D01*
-X80524000Y-152505638D01*
-X80528445Y-152460501D01*
-X80539820Y-152423005D01*
-X80558292Y-152388446D01*
-X80583153Y-152358153D01*
-X80613446Y-152333292D01*
-X80648005Y-152314820D01*
-X80685501Y-152303445D01*
-X80730638Y-152299000D01*
-X81369362Y-152299000D01*
-X81414499Y-152303445D01*
-X81414499Y-152303445D01*
-G37*
-G36*
-X136931000Y-153101000D02*
-G01*
-X135329000Y-153101000D01*
-X135329000Y-150899000D01*
-X136931000Y-150899000D01*
-X136931000Y-153101000D01*
-X136931000Y-153101000D01*
-G37*
-G36*
-X84971000Y-153101000D02*
-G01*
-X82369000Y-153101000D01*
-X82369000Y-150899000D01*
-X84971000Y-150899000D01*
-X84971000Y-153101000D01*
-X84971000Y-153101000D01*
-G37*
-G36*
-X87131000Y-153101000D02*
-G01*
-X85529000Y-153101000D01*
-X85529000Y-150899000D01*
-X87131000Y-150899000D01*
-X87131000Y-153101000D01*
-X87131000Y-153101000D01*
-G37*
-G36*
-X134771000Y-153101000D02*
-G01*
-X132169000Y-153101000D01*
-X132169000Y-150899000D01*
-X134771000Y-150899000D01*
-X134771000Y-153101000D01*
-X134771000Y-153101000D01*
-G37*
-G36*
-X131089499Y-151678445D02*
-G01*
-X131126995Y-151689820D01*
-X131161554Y-151708292D01*
-X131191847Y-151733153D01*
-X131216708Y-151763446D01*
-X131235180Y-151798005D01*
-X131246555Y-151835501D01*
-X131251000Y-151880638D01*
-X131251000Y-152519362D01*
-X131246555Y-152564499D01*
-X131235180Y-152601995D01*
-X131216708Y-152636554D01*
-X131191847Y-152666847D01*
-X131161554Y-152691708D01*
-X131126995Y-152710180D01*
-X131089499Y-152721555D01*
-X131044362Y-152726000D01*
-X130305638Y-152726000D01*
-X130260501Y-152721555D01*
-X130223005Y-152710180D01*
-X130188446Y-152691708D01*
-X130158153Y-152666847D01*
-X130133292Y-152636554D01*
-X130114820Y-152601995D01*
-X130103445Y-152564499D01*
-X130099000Y-152519362D01*
-X130099000Y-151880638D01*
-X130103445Y-151835501D01*
-X130114820Y-151798005D01*
-X130133292Y-151763446D01*
-X130158153Y-151733153D01*
-X130188446Y-151708292D01*
-X130223005Y-151689820D01*
-X130260501Y-151678445D01*
-X130305638Y-151674000D01*
-X131044362Y-151674000D01*
-X131089499Y-151678445D01*
-X131089499Y-151678445D01*
-G37*
-G36*
-X129339499Y-151678445D02*
-G01*
-X129376995Y-151689820D01*
-X129411554Y-151708292D01*
-X129441847Y-151733153D01*
-X129466708Y-151763446D01*
-X129485180Y-151798005D01*
-X129496555Y-151835501D01*
-X129501000Y-151880638D01*
-X129501000Y-152519362D01*
-X129496555Y-152564499D01*
-X129485180Y-152601995D01*
-X129466708Y-152636554D01*
-X129441847Y-152666847D01*
-X129411554Y-152691708D01*
-X129376995Y-152710180D01*
-X129339499Y-152721555D01*
-X129294362Y-152726000D01*
-X128555638Y-152726000D01*
-X128510501Y-152721555D01*
-X128473005Y-152710180D01*
-X128438446Y-152691708D01*
-X128408153Y-152666847D01*
-X128383292Y-152636554D01*
-X128364820Y-152601995D01*
-X128353445Y-152564499D01*
-X128349000Y-152519362D01*
-X128349000Y-151880638D01*
-X128353445Y-151835501D01*
-X128364820Y-151798005D01*
-X128383292Y-151763446D01*
-X128408153Y-151733153D01*
-X128438446Y-151708292D01*
-X128473005Y-151689820D01*
-X128510501Y-151678445D01*
-X128555638Y-151674000D01*
-X129294362Y-151674000D01*
-X129339499Y-151678445D01*
-X129339499Y-151678445D01*
-G37*
-G36*
-X81414499Y-150553445D02*
-G01*
-X81451995Y-150564820D01*
-X81486554Y-150583292D01*
-X81516847Y-150608153D01*
-X81541708Y-150638446D01*
-X81560180Y-150673005D01*
-X81571555Y-150710501D01*
-X81576000Y-150755638D01*
-X81576000Y-151494362D01*
-X81571555Y-151539499D01*
-X81560180Y-151576995D01*
-X81541708Y-151611554D01*
-X81516847Y-151641847D01*
-X81486554Y-151666708D01*
-X81451995Y-151685180D01*
-X81414499Y-151696555D01*
-X81369362Y-151701000D01*
-X80730638Y-151701000D01*
-X80685501Y-151696555D01*
-X80648005Y-151685180D01*
-X80613446Y-151666708D01*
-X80583153Y-151641847D01*
-X80558292Y-151611554D01*
-X80539820Y-151576995D01*
-X80528445Y-151539499D01*
-X80524000Y-151494362D01*
-X80524000Y-150755638D01*
-X80528445Y-150710501D01*
-X80539820Y-150673005D01*
-X80558292Y-150638446D01*
-X80583153Y-150608153D01*
-X80613446Y-150583292D01*
-X80648005Y-150564820D01*
-X80685501Y-150553445D01*
-X80730638Y-150549000D01*
-X81369362Y-150549000D01*
-X81414499Y-150553445D01*
-X81414499Y-150553445D01*
-G37*
-G36*
-X110375256Y-148391298D02*
-G01*
-X110481579Y-148412447D01*
-X110782042Y-148536903D01*
-X111052451Y-148717585D01*
-X111282415Y-148947549D01*
-X111282416Y-148947551D01*
-X111463098Y-149217960D01*
-X111587553Y-149518422D01*
-X111641750Y-149790885D01*
-X111651000Y-149837391D01*
-X111651000Y-150162609D01*
-X111587553Y-150481579D01*
-X111463097Y-150782042D01*
-X111282415Y-151052451D01*
-X111052451Y-151282415D01*
-X110782042Y-151463097D01*
-X110481579Y-151587553D01*
-X110375256Y-151608702D01*
-X110162611Y-151651000D01*
-X109837389Y-151651000D01*
-X109624744Y-151608702D01*
-X109518421Y-151587553D01*
-X109217958Y-151463097D01*
-X108947549Y-151282415D01*
-X108717585Y-151052451D01*
-X108536903Y-150782042D01*
-X108412447Y-150481579D01*
-X108349000Y-150162609D01*
-X108349000Y-149837391D01*
-X108358251Y-149790885D01*
-X108412447Y-149518422D01*
-X108536902Y-149217960D01*
-X108717584Y-148947551D01*
-X108717585Y-148947549D01*
-X108947549Y-148717585D01*
-X109217958Y-148536903D01*
-X109518421Y-148412447D01*
-X109624744Y-148391298D01*
-X109837389Y-148349000D01*
-X110162611Y-148349000D01*
-X110375256Y-148391298D01*
-X110375256Y-148391298D01*
-G37*
-G36*
-X153375256Y-148391298D02*
-G01*
-X153481579Y-148412447D01*
-X153782042Y-148536903D01*
-X154052451Y-148717585D01*
-X154282415Y-148947549D01*
-X154282416Y-148947551D01*
-X154463098Y-149217960D01*
-X154587553Y-149518422D01*
-X154641750Y-149790885D01*
-X154651000Y-149837391D01*
-X154651000Y-150162609D01*
-X154587553Y-150481579D01*
-X154463097Y-150782042D01*
-X154282415Y-151052451D01*
-X154052451Y-151282415D01*
-X153782042Y-151463097D01*
-X153481579Y-151587553D01*
-X153375256Y-151608702D01*
-X153162611Y-151651000D01*
-X152837389Y-151651000D01*
-X152624744Y-151608702D01*
-X152518421Y-151587553D01*
-X152217958Y-151463097D01*
-X151947549Y-151282415D01*
-X151717585Y-151052451D01*
-X151536903Y-150782042D01*
-X151412447Y-150481579D01*
-X151349000Y-150162609D01*
-X151349000Y-149837391D01*
-X151358251Y-149790885D01*
-X151412447Y-149518422D01*
-X151536902Y-149217960D01*
-X151717584Y-148947551D01*
-X151717585Y-148947549D01*
-X151947549Y-148717585D01*
-X152217958Y-148536903D01*
-X152518421Y-148412447D01*
-X152624744Y-148391298D01*
-X152837389Y-148349000D01*
-X153162611Y-148349000D01*
-X153375256Y-148391298D01*
-X153375256Y-148391298D01*
-G37*
-G36*
-X129339499Y-149678445D02*
-G01*
-X129376995Y-149689820D01*
-X129411554Y-149708292D01*
-X129441847Y-149733153D01*
-X129466708Y-149763446D01*
-X129485180Y-149798005D01*
-X129496555Y-149835501D01*
-X129501000Y-149880638D01*
-X129501000Y-150519362D01*
-X129496555Y-150564499D01*
-X129485180Y-150601995D01*
-X129466708Y-150636554D01*
-X129441847Y-150666847D01*
-X129411554Y-150691708D01*
-X129376995Y-150710180D01*
-X129339499Y-150721555D01*
-X129294362Y-150726000D01*
-X128555638Y-150726000D01*
-X128510501Y-150721555D01*
-X128473005Y-150710180D01*
-X128438446Y-150691708D01*
-X128408153Y-150666847D01*
-X128383292Y-150636554D01*
-X128364820Y-150601995D01*
-X128353445Y-150564499D01*
-X128349000Y-150519362D01*
-X128349000Y-149880638D01*
-X128353445Y-149835501D01*
-X128364820Y-149798005D01*
-X128383292Y-149763446D01*
-X128408153Y-149733153D01*
-X128438446Y-149708292D01*
-X128473005Y-149689820D01*
-X128510501Y-149678445D01*
-X128555638Y-149674000D01*
-X129294362Y-149674000D01*
-X129339499Y-149678445D01*
-X129339499Y-149678445D01*
-G37*
-G36*
-X131089499Y-149678445D02*
-G01*
-X131126995Y-149689820D01*
-X131161554Y-149708292D01*
-X131191847Y-149733153D01*
-X131216708Y-149763446D01*
-X131235180Y-149798005D01*
-X131246555Y-149835501D01*
-X131251000Y-149880638D01*
-X131251000Y-150519362D01*
-X131246555Y-150564499D01*
-X131235180Y-150601995D01*
-X131216708Y-150636554D01*
-X131191847Y-150666847D01*
-X131161554Y-150691708D01*
-X131126995Y-150710180D01*
-X131089499Y-150721555D01*
-X131044362Y-150726000D01*
-X130305638Y-150726000D01*
-X130260501Y-150721555D01*
-X130223005Y-150710180D01*
-X130188446Y-150691708D01*
-X130158153Y-150666847D01*
-X130133292Y-150636554D01*
-X130114820Y-150601995D01*
-X130103445Y-150564499D01*
-X130099000Y-150519362D01*
-X130099000Y-149880638D01*
-X130103445Y-149835501D01*
-X130114820Y-149798005D01*
-X130133292Y-149763446D01*
-X130158153Y-149733153D01*
-X130188446Y-149708292D01*
-X130223005Y-149689820D01*
-X130260501Y-149678445D01*
-X130305638Y-149674000D01*
-X131044362Y-149674000D01*
-X131089499Y-149678445D01*
-X131089499Y-149678445D01*
-G37*
-G36*
-X81464499Y-147303445D02*
-G01*
-X81501995Y-147314820D01*
-X81536554Y-147333292D01*
-X81566847Y-147358153D01*
-X81591708Y-147388446D01*
-X81610180Y-147423005D01*
-X81621555Y-147460501D01*
-X81626000Y-147505638D01*
-X81626000Y-148244362D01*
-X81621555Y-148289499D01*
-X81610180Y-148326995D01*
-X81591708Y-148361554D01*
-X81566847Y-148391847D01*
-X81536554Y-148416708D01*
-X81501995Y-148435180D01*
-X81464499Y-148446555D01*
-X81419362Y-148451000D01*
-X80780638Y-148451000D01*
-X80735501Y-148446555D01*
-X80698005Y-148435180D01*
-X80663446Y-148416708D01*
-X80633153Y-148391847D01*
-X80608292Y-148361554D01*
-X80589820Y-148326995D01*
-X80578445Y-148289499D01*
-X80574000Y-148244362D01*
-X80574000Y-147505638D01*
-X80578445Y-147460501D01*
-X80589820Y-147423005D01*
-X80608292Y-147388446D01*
-X80633153Y-147358153D01*
-X80663446Y-147333292D01*
-X80698005Y-147314820D01*
-X80735501Y-147303445D01*
-X80780638Y-147299000D01*
-X81419362Y-147299000D01*
-X81464499Y-147303445D01*
-X81464499Y-147303445D01*
-G37*
-G36*
-X134771000Y-148101000D02*
-G01*
-X132169000Y-148101000D01*
-X132169000Y-145899000D01*
-X134771000Y-145899000D01*
-X134771000Y-148101000D01*
-X134771000Y-148101000D01*
-G37*
-G36*
-X84971000Y-148101000D02*
-G01*
-X82369000Y-148101000D01*
-X82369000Y-145899000D01*
-X84971000Y-145899000D01*
-X84971000Y-148101000D01*
-X84971000Y-148101000D01*
-G37*
-G36*
-X87131000Y-148101000D02*
-G01*
-X85529000Y-148101000D01*
-X85529000Y-145899000D01*
-X87131000Y-145899000D01*
-X87131000Y-148101000D01*
-X87131000Y-148101000D01*
-G37*
-G36*
-X136931000Y-148101000D02*
-G01*
-X135329000Y-148101000D01*
-X135329000Y-145899000D01*
-X136931000Y-145899000D01*
-X136931000Y-148101000D01*
-X136931000Y-148101000D01*
-G37*
-G36*
-X81464499Y-145553445D02*
-G01*
-X81501995Y-145564820D01*
-X81536554Y-145583292D01*
-X81566847Y-145608153D01*
-X81591708Y-145638446D01*
-X81610180Y-145673005D01*
-X81621555Y-145710501D01*
-X81626000Y-145755638D01*
-X81626000Y-146494362D01*
-X81621555Y-146539499D01*
-X81610180Y-146576995D01*
-X81591708Y-146611554D01*
-X81566847Y-146641847D01*
-X81536554Y-146666708D01*
-X81501995Y-146685180D01*
-X81464499Y-146696555D01*
-X81419362Y-146701000D01*
-X80780638Y-146701000D01*
-X80735501Y-146696555D01*
-X80698005Y-146685180D01*
-X80663446Y-146666708D01*
-X80633153Y-146641847D01*
-X80608292Y-146611554D01*
-X80589820Y-146576995D01*
-X80578445Y-146539499D01*
-X80574000Y-146494362D01*
-X80574000Y-145755638D01*
-X80578445Y-145710501D01*
-X80589820Y-145673005D01*
-X80608292Y-145638446D01*
-X80633153Y-145608153D01*
-X80663446Y-145583292D01*
-X80698005Y-145564820D01*
-X80735501Y-145553445D01*
-X80780638Y-145549000D01*
-X81419362Y-145549000D01*
-X81464499Y-145553445D01*
-X81464499Y-145553445D01*
-G37*
-G36*
-X131376000Y-142401000D02*
-G01*
-X127466453Y-142401000D01*
-X127446836Y-142390515D01*
-X127423387Y-142383402D01*
-X127399001Y-142381000D01*
-X124974000Y-142381000D01*
-X124974000Y-141429000D01*
-X127399001Y-141429000D01*
-X127423387Y-141426598D01*
-X127446836Y-141419485D01*
-X127468447Y-141407934D01*
-X127487389Y-141392389D01*
-X127502934Y-141373447D01*
-X127514485Y-141351836D01*
-X127521598Y-141328387D01*
-X127524000Y-141304001D01*
-X127524000Y-141235999D01*
-X127521598Y-141211613D01*
-X127514485Y-141188164D01*
-X127502934Y-141166553D01*
-X127487389Y-141147611D01*
-X127468447Y-141132066D01*
-X127446836Y-141120515D01*
-X127423387Y-141113402D01*
-X127399001Y-141111000D01*
-X124974000Y-141111000D01*
-X124974000Y-140159000D01*
-X127399001Y-140159000D01*
-X127423387Y-140156598D01*
-X127446836Y-140149485D01*
-X127468447Y-140137934D01*
-X127487389Y-140122389D01*
-X127502934Y-140103447D01*
-X127514485Y-140081836D01*
-X127521598Y-140058387D01*
-X127524000Y-140034001D01*
-X127524000Y-139965999D01*
-X127521598Y-139941613D01*
-X127514485Y-139918164D01*
-X127502934Y-139896553D01*
-X127487389Y-139877611D01*
-X127468447Y-139862066D01*
-X127446836Y-139850515D01*
-X127423387Y-139843402D01*
-X127399001Y-139841000D01*
-X124974000Y-139841000D01*
-X124974000Y-138889000D01*
-X127399001Y-138889000D01*
-X127423387Y-138886598D01*
-X127446836Y-138879485D01*
-X127468447Y-138867934D01*
-X127487389Y-138852389D01*
-X127502934Y-138833447D01*
-X127514485Y-138811836D01*
-X127521598Y-138788387D01*
-X127524000Y-138764001D01*
-X127524000Y-138695999D01*
-X127521598Y-138671613D01*
-X127514485Y-138648164D01*
-X127502934Y-138626553D01*
-X127487389Y-138607611D01*
-X127468447Y-138592066D01*
-X127446836Y-138580515D01*
-X127423387Y-138573402D01*
-X127399001Y-138571000D01*
-X124974000Y-138571000D01*
-X124974000Y-137619000D01*
-X127399001Y-137619000D01*
-X127423387Y-137616598D01*
-X127446836Y-137609485D01*
-X127466453Y-137599000D01*
-X131376000Y-137599000D01*
-X131376000Y-142401000D01*
-X131376000Y-142401000D01*
-G37*
-G36*
-X135026000Y-142381000D02*
-G01*
-X131974000Y-142381000D01*
-X131974000Y-141429000D01*
-X135026000Y-141429000D01*
-X135026000Y-142381000D01*
-X135026000Y-142381000D01*
-G37*
-G36*
-X135026000Y-141111000D02*
-G01*
-X131974000Y-141111000D01*
-X131974000Y-140159000D01*
-X135026000Y-140159000D01*
-X135026000Y-141111000D01*
-X135026000Y-141111000D01*
-G37*
-G36*
-X135026000Y-139841000D02*
-G01*
-X131974000Y-139841000D01*
-X131974000Y-138889000D01*
-X135026000Y-138889000D01*
-X135026000Y-139841000D01*
-X135026000Y-139841000D01*
-G37*
-G36*
-X135026000Y-138571000D02*
-G01*
-X131974000Y-138571000D01*
-X131974000Y-137619000D01*
-X135026000Y-137619000D01*
-X135026000Y-138571000D01*
-X135026000Y-138571000D01*
-G37*
-G36*
-X76351000Y-136431000D02*
-G01*
-X73649000Y-136431000D01*
-X73649000Y-133729000D01*
-X76351000Y-133729000D01*
-X76351000Y-136431000D01*
-X76351000Y-136431000D01*
-G37*
-G36*
-X165394072Y-133780918D02*
-G01*
-X165639939Y-133882759D01*
-X165861212Y-134030610D01*
-X166049390Y-134218788D01*
-X166197241Y-134440061D01*
-X166299082Y-134685928D01*
-X166351000Y-134946938D01*
-X166351000Y-135213062D01*
-X166299082Y-135474072D01*
-X166197241Y-135719939D01*
-X166049390Y-135941212D01*
-X165861212Y-136129390D01*
-X165639939Y-136277241D01*
-X165639938Y-136277242D01*
-X165639937Y-136277242D01*
-X165394072Y-136379082D01*
-X165133063Y-136431000D01*
-X164866937Y-136431000D01*
-X164605928Y-136379082D01*
-X164360063Y-136277242D01*
-X164360062Y-136277242D01*
-X164360061Y-136277241D01*
-X164138788Y-136129390D01*
-X163950610Y-135941212D01*
-X163802759Y-135719939D01*
-X163700918Y-135474072D01*
-X163649000Y-135213062D01*
-X163649000Y-134946938D01*
-X163700918Y-134685928D01*
-X163802759Y-134440061D01*
-X163950610Y-134218788D01*
-X164138788Y-134030610D01*
-X164360061Y-133882759D01*
-X164605928Y-133780918D01*
-X164866937Y-133729000D01*
-X165133063Y-133729000D01*
-X165394072Y-133780918D01*
-X165394072Y-133780918D01*
-G37*
-G36*
-X165394072Y-128700918D02*
-G01*
-X165639939Y-128802759D01*
-X165861212Y-128950610D01*
-X166049390Y-129138788D01*
-X166197241Y-129360061D01*
-X166299082Y-129605928D01*
-X166351000Y-129866938D01*
-X166351000Y-130133062D01*
-X166299082Y-130394072D01*
-X166197241Y-130639939D01*
-X166049390Y-130861212D01*
-X165861212Y-131049390D01*
-X165639939Y-131197241D01*
-X165639938Y-131197242D01*
-X165639937Y-131197242D01*
-X165394072Y-131299082D01*
-X165133063Y-131351000D01*
-X164866937Y-131351000D01*
-X164605928Y-131299082D01*
-X164360063Y-131197242D01*
-X164360062Y-131197242D01*
-X164360061Y-131197241D01*
-X164138788Y-131049390D01*
-X163950610Y-130861212D01*
-X163802759Y-130639939D01*
-X163700918Y-130394072D01*
-X163649000Y-130133062D01*
-X163649000Y-129866938D01*
-X163700918Y-129605928D01*
-X163802759Y-129360061D01*
-X163950610Y-129138788D01*
-X164138788Y-128950610D01*
-X164360061Y-128802759D01*
-X164605928Y-128700918D01*
-X164866937Y-128649000D01*
-X165133063Y-128649000D01*
-X165394072Y-128700918D01*
-X165394072Y-128700918D01*
-G37*
-G36*
-X75394072Y-128700918D02*
-G01*
-X75639939Y-128802759D01*
-X75861212Y-128950610D01*
-X76049390Y-129138788D01*
-X76197241Y-129360061D01*
-X76299082Y-129605928D01*
-X76351000Y-129866938D01*
-X76351000Y-130133062D01*
-X76299082Y-130394072D01*
-X76197241Y-130639939D01*
-X76049390Y-130861212D01*
-X75861212Y-131049390D01*
-X75639939Y-131197241D01*
-X75639938Y-131197242D01*
-X75639937Y-131197242D01*
-X75394072Y-131299082D01*
-X75133063Y-131351000D01*
-X74866937Y-131351000D01*
-X74605928Y-131299082D01*
-X74360063Y-131197242D01*
-X74360062Y-131197242D01*
-X74360061Y-131197241D01*
-X74138788Y-131049390D01*
-X73950610Y-130861212D01*
-X73802759Y-130639939D01*
-X73700918Y-130394072D01*
-X73649000Y-130133062D01*
-X73649000Y-129866938D01*
-X73700918Y-129605928D01*
-X73802759Y-129360061D01*
-X73950610Y-129138788D01*
-X74138788Y-128950610D01*
-X74360061Y-128802759D01*
-X74605928Y-128700918D01*
-X74866937Y-128649000D01*
-X75133063Y-128649000D01*
-X75394072Y-128700918D01*
-X75394072Y-128700918D01*
-G37*
-G36*
-X132553164Y-124276185D02*
-G01*
-X132576613Y-124283298D01*
-X132600999Y-124285700D01*
-X135026000Y-124285700D01*
-X135026000Y-125237700D01*
-X132600999Y-125237700D01*
-X132576613Y-125240102D01*
-X132553164Y-125247215D01*
-X132531553Y-125258766D01*
-X132512611Y-125274311D01*
-X132497066Y-125293253D01*
-X132485515Y-125314864D01*
-X132478402Y-125338313D01*
-X132476000Y-125362699D01*
-X132476000Y-125430701D01*
-X132478402Y-125455087D01*
-X132485515Y-125478536D01*
-X132497066Y-125500147D01*
-X132512611Y-125519089D01*
-X132531553Y-125534634D01*
-X132553164Y-125546185D01*
-X132576613Y-125553298D01*
-X132600999Y-125555700D01*
-X135026000Y-125555700D01*
-X135026000Y-126507700D01*
-X132600999Y-126507700D01*
-X132576613Y-126510102D01*
-X132553164Y-126517215D01*
-X132531553Y-126528766D01*
-X132512611Y-126544311D01*
-X132497066Y-126563253D01*
-X132485515Y-126584864D01*
-X132478402Y-126608313D01*
-X132476000Y-126632699D01*
-X132476000Y-126700701D01*
-X132478402Y-126725087D01*
-X132485515Y-126748536D01*
-X132497066Y-126770147D01*
-X132512611Y-126789089D01*
-X132531553Y-126804634D01*
-X132553164Y-126816185D01*
-X132576613Y-126823298D01*
-X132600999Y-126825700D01*
-X135026000Y-126825700D01*
-X135026000Y-127777700D01*
-X132600999Y-127777700D01*
-X132576613Y-127780102D01*
-X132553164Y-127787215D01*
-X132531553Y-127798766D01*
-X132512611Y-127814311D01*
-X132497066Y-127833253D01*
-X132485515Y-127854864D01*
-X132478402Y-127878313D01*
-X132476000Y-127902699D01*
-X132476000Y-127970701D01*
-X132478402Y-127995087D01*
-X132485515Y-128018536D01*
-X132497066Y-128040147D01*
-X132512611Y-128059089D01*
-X132531553Y-128074634D01*
-X132553164Y-128086185D01*
-X132576613Y-128093298D01*
-X132600999Y-128095700D01*
-X135026000Y-128095700D01*
-X135026000Y-129047700D01*
-X132600999Y-129047700D01*
-X132576613Y-129050102D01*
-X132553164Y-129057215D01*
-X132533547Y-129067700D01*
-X128624000Y-129067700D01*
-X128624000Y-124265700D01*
-X132533547Y-124265700D01*
-X132553164Y-124276185D01*
-X132553164Y-124276185D01*
-G37*
-G36*
-X128026000Y-129047700D02*
-G01*
-X124974000Y-129047700D01*
-X124974000Y-128095700D01*
-X128026000Y-128095700D01*
-X128026000Y-129047700D01*
-X128026000Y-129047700D01*
-G37*
-G36*
-X128026000Y-127777700D02*
-G01*
-X124974000Y-127777700D01*
-X124974000Y-126825700D01*
-X128026000Y-126825700D01*
-X128026000Y-127777700D01*
-X128026000Y-127777700D01*
-G37*
-G36*
-X128026000Y-126507700D02*
-G01*
-X124974000Y-126507700D01*
-X124974000Y-125555700D01*
-X128026000Y-125555700D01*
-X128026000Y-126507700D01*
-X128026000Y-126507700D01*
-G37*
-G36*
-X75394072Y-123620918D02*
-G01*
-X75639939Y-123722759D01*
-X75861212Y-123870610D01*
-X76049390Y-124058788D01*
-X76197241Y-124280061D01*
-X76299082Y-124525928D01*
-X76351000Y-124786938D01*
-X76351000Y-125053062D01*
-X76299082Y-125314072D01*
-X76197241Y-125559939D01*
-X76049390Y-125781212D01*
-X75861212Y-125969390D01*
-X75639939Y-126117241D01*
-X75639938Y-126117242D01*
-X75639937Y-126117242D01*
-X75394072Y-126219082D01*
-X75133063Y-126271000D01*
-X74866937Y-126271000D01*
-X74605928Y-126219082D01*
-X74360063Y-126117242D01*
-X74360062Y-126117242D01*
-X74360061Y-126117241D01*
-X74138788Y-125969390D01*
-X73950610Y-125781212D01*
-X73802759Y-125559939D01*
-X73700918Y-125314072D01*
-X73649000Y-125053062D01*
-X73649000Y-124786938D01*
-X73700918Y-124525928D01*
-X73802759Y-124280061D01*
-X73950610Y-124058788D01*
-X74138788Y-123870610D01*
-X74360061Y-123722759D01*
-X74605928Y-123620918D01*
-X74866937Y-123569000D01*
-X75133063Y-123569000D01*
-X75394072Y-123620918D01*
-X75394072Y-123620918D01*
-G37*
-G36*
-X166351000Y-126271000D02*
-G01*
-X163649000Y-126271000D01*
-X163649000Y-123569000D01*
-X166351000Y-123569000D01*
-X166351000Y-126271000D01*
-X166351000Y-126271000D01*
-G37*
-G36*
-X128026000Y-125237700D02*
-G01*
-X124974000Y-125237700D01*
-X124974000Y-124285700D01*
-X128026000Y-124285700D01*
-X128026000Y-125237700D01*
-X128026000Y-125237700D01*
-G37*
-G36*
-X99153820Y-119278103D02*
-G01*
-X99187985Y-119288467D01*
-X99219464Y-119305293D01*
-X99247060Y-119327940D01*
-X99269707Y-119355536D01*
-X99286533Y-119387015D01*
-X99296897Y-119421180D01*
-X99301000Y-119462842D01*
-X99301000Y-122537158D01*
-X99296897Y-122578820D01*
-X99286533Y-122612985D01*
-X99269707Y-122644464D01*
-X99247060Y-122672060D01*
-X99219464Y-122694707D01*
-X99187985Y-122711533D01*
-X99153820Y-122721897D01*
-X99112158Y-122726000D01*
-X97862842Y-122726000D01*
-X97821180Y-122721897D01*
-X97787015Y-122711533D01*
-X97755536Y-122694707D01*
-X97727940Y-122672060D01*
-X97705293Y-122644464D01*
-X97688467Y-122612985D01*
-X97678103Y-122578820D01*
-X97674000Y-122537158D01*
-X97674000Y-119462842D01*
-X97678103Y-119421180D01*
-X97688467Y-119387015D01*
-X97705293Y-119355536D01*
-X97727940Y-119327940D01*
-X97755536Y-119305293D01*
-X97787015Y-119288467D01*
-X97821180Y-119278103D01*
-X97862842Y-119274000D01*
-X99112158Y-119274000D01*
-X99153820Y-119278103D01*
-X99153820Y-119278103D01*
-G37*
-G36*
-X93178820Y-119278103D02*
-G01*
-X93212985Y-119288467D01*
-X93244464Y-119305293D01*
-X93272060Y-119327940D01*
-X93294707Y-119355536D01*
-X93311533Y-119387015D01*
-X93321897Y-119421180D01*
-X93326000Y-119462842D01*
-X93326000Y-122537158D01*
-X93321897Y-122578820D01*
-X93311533Y-122612985D01*
-X93294707Y-122644464D01*
-X93272060Y-122672060D01*
-X93244464Y-122694707D01*
-X93212985Y-122711533D01*
-X93178820Y-122721897D01*
-X93137158Y-122726000D01*
-X91887842Y-122726000D01*
-X91846180Y-122721897D01*
-X91812015Y-122711533D01*
-X91780536Y-122694707D01*
-X91752940Y-122672060D01*
-X91730293Y-122644464D01*
-X91713467Y-122612985D01*
-X91703103Y-122578820D01*
-X91699000Y-122537158D01*
-X91699000Y-119462842D01*
-X91703103Y-119421180D01*
-X91713467Y-119387015D01*
-X91730293Y-119355536D01*
-X91752940Y-119327940D01*
-X91780536Y-119305293D01*
-X91812015Y-119288467D01*
-X91846180Y-119278103D01*
-X91887842Y-119274000D01*
-X93137158Y-119274000D01*
-X93178820Y-119278103D01*
-X93178820Y-119278103D01*
-G37*
-G36*
-X122626000Y-121601000D02*
-G01*
-X121774000Y-121601000D01*
-X121774000Y-120299000D01*
-X122626000Y-120299000D01*
-X122626000Y-121601000D01*
-X122626000Y-121601000D01*
-G37*
-G36*
-X129576000Y-121250950D02*
-G01*
-X128024000Y-121250950D01*
-X128024000Y-118748950D01*
-X129576000Y-118748950D01*
-X129576000Y-121250950D01*
-X129576000Y-121250950D01*
-G37*
-G36*
-X125176000Y-121250950D02*
-G01*
-X123624000Y-121250950D01*
-X123624000Y-118748950D01*
-X125176000Y-118748950D01*
-X125176000Y-121250950D01*
-X125176000Y-121250950D01*
-G37*
-G36*
-X122626000Y-119701000D02*
-G01*
-X121774000Y-119701000D01*
-X121774000Y-118399000D01*
-X122626000Y-118399000D01*
-X122626000Y-119701000D01*
-X122626000Y-119701000D01*
-G37*
-G36*
-X99153820Y-113778103D02*
-G01*
-X99187985Y-113788467D01*
-X99219464Y-113805293D01*
-X99247060Y-113827940D01*
-X99269707Y-113855536D01*
-X99286533Y-113887015D01*
-X99296897Y-113921180D01*
-X99301000Y-113962842D01*
-X99301000Y-117037158D01*
-X99296897Y-117078820D01*
-X99286533Y-117112985D01*
-X99269707Y-117144464D01*
-X99247060Y-117172060D01*
-X99219464Y-117194707D01*
-X99187985Y-117211533D01*
-X99153820Y-117221897D01*
-X99112158Y-117226000D01*
-X97862842Y-117226000D01*
-X97821180Y-117221897D01*
-X97787015Y-117211533D01*
-X97755536Y-117194707D01*
-X97727940Y-117172060D01*
-X97705293Y-117144464D01*
-X97688467Y-117112985D01*
-X97678103Y-117078820D01*
-X97674000Y-117037158D01*
-X97674000Y-113962842D01*
-X97678103Y-113921180D01*
-X97688467Y-113887015D01*
-X97705293Y-113855536D01*
-X97727940Y-113827940D01*
-X97755536Y-113805293D01*
-X97787015Y-113788467D01*
-X97821180Y-113778103D01*
-X97862842Y-113774000D01*
-X99112158Y-113774000D01*
-X99153820Y-113778103D01*
-X99153820Y-113778103D01*
-G37*
-G36*
-X93178820Y-113778103D02*
-G01*
-X93212985Y-113788467D01*
-X93244464Y-113805293D01*
-X93272060Y-113827940D01*
-X93294707Y-113855536D01*
-X93311533Y-113887015D01*
-X93321897Y-113921180D01*
-X93326000Y-113962842D01*
-X93326000Y-117037158D01*
-X93321897Y-117078820D01*
-X93311533Y-117112985D01*
-X93294707Y-117144464D01*
-X93272060Y-117172060D01*
-X93244464Y-117194707D01*
-X93212985Y-117211533D01*
-X93178820Y-117221897D01*
-X93137158Y-117226000D01*
-X91887842Y-117226000D01*
-X91846180Y-117221897D01*
-X91812015Y-117211533D01*
-X91780536Y-117194707D01*
-X91752940Y-117172060D01*
-X91730293Y-117144464D01*
-X91713467Y-117112985D01*
-X91703103Y-117078820D01*
-X91699000Y-117037158D01*
-X91699000Y-113962842D01*
-X91703103Y-113921180D01*
-X91713467Y-113887015D01*
-X91730293Y-113855536D01*
-X91752940Y-113827940D01*
-X91780536Y-113805293D01*
-X91812015Y-113788467D01*
-X91846180Y-113778103D01*
-X91887842Y-113774000D01*
-X93137158Y-113774000D01*
-X93178820Y-113778103D01*
-X93178820Y-113778103D01*
-G37*
-G36*
-X76351000Y-116431000D02*
-G01*
-X73649000Y-116431000D01*
-X73649000Y-113729000D01*
-X76351000Y-113729000D01*
-X76351000Y-116431000D01*
-X76351000Y-116431000D01*
-G37*
-G36*
-X165394072Y-113700918D02*
-G01*
-X165619525Y-113794303D01*
-X165639939Y-113802759D01*
-X165713812Y-113852120D01*
-X165861211Y-113950609D01*
-X166049391Y-114138789D01*
-X166197242Y-114360063D01*
-X166299082Y-114605928D01*
-X166329709Y-114759898D01*
-X166351000Y-114866938D01*
-X166351000Y-115133062D01*
-X166299082Y-115394072D01*
-X166197241Y-115639939D01*
-X166049390Y-115861212D01*
-X165861212Y-116049390D01*
-X165639939Y-116197241D01*
-X165639938Y-116197242D01*
-X165639937Y-116197242D01*
-X165394072Y-116299082D01*
-X165133063Y-116351000D01*
-X164866937Y-116351000D01*
-X164605928Y-116299082D01*
-X164360063Y-116197242D01*
-X164360062Y-116197242D01*
-X164360061Y-116197241D01*
-X164138788Y-116049390D01*
-X163950610Y-115861212D01*
-X163802759Y-115639939D01*
-X163700918Y-115394072D01*
-X163649000Y-115133062D01*
-X163649000Y-114866938D01*
-X163670292Y-114759898D01*
-X163700918Y-114605928D01*
-X163802758Y-114360063D01*
-X163950609Y-114138789D01*
-X164138789Y-113950609D01*
-X164286188Y-113852120D01*
-X164360061Y-113802759D01*
-X164380476Y-113794303D01*
-X164605928Y-113700918D01*
-X164866937Y-113649000D01*
-X165133063Y-113649000D01*
-X165394072Y-113700918D01*
-X165394072Y-113700918D01*
-G37*
-G36*
-X132553164Y-110942785D02*
-G01*
-X132576613Y-110949898D01*
-X132600999Y-110952300D01*
-X135026000Y-110952300D01*
-X135026000Y-111904300D01*
-X132600999Y-111904300D01*
-X132576613Y-111906702D01*
-X132553164Y-111913815D01*
-X132531553Y-111925366D01*
-X132512611Y-111940911D01*
-X132497066Y-111959853D01*
-X132485515Y-111981464D01*
-X132478402Y-112004913D01*
-X132476000Y-112029299D01*
-X132476000Y-112097301D01*
-X132478402Y-112121687D01*
-X132485515Y-112145136D01*
-X132497066Y-112166747D01*
-X132512611Y-112185689D01*
-X132531553Y-112201234D01*
-X132553164Y-112212785D01*
-X132576613Y-112219898D01*
-X132600999Y-112222300D01*
-X135026000Y-112222300D01*
-X135026000Y-113174300D01*
-X132600999Y-113174300D01*
-X132576613Y-113176702D01*
-X132553164Y-113183815D01*
-X132531553Y-113195366D01*
-X132512611Y-113210911D01*
-X132497066Y-113229853D01*
-X132485515Y-113251464D01*
-X132478402Y-113274913D01*
-X132476000Y-113299299D01*
-X132476000Y-113367301D01*
-X132478402Y-113391687D01*
-X132485515Y-113415136D01*
-X132497066Y-113436747D01*
-X132512611Y-113455689D01*
-X132531553Y-113471234D01*
-X132553164Y-113482785D01*
-X132576613Y-113489898D01*
-X132600999Y-113492300D01*
-X135026000Y-113492300D01*
-X135026000Y-114444300D01*
-X132600999Y-114444300D01*
-X132576613Y-114446702D01*
-X132553164Y-114453815D01*
-X132531553Y-114465366D01*
-X132512611Y-114480911D01*
-X132497066Y-114499853D01*
-X132485515Y-114521464D01*
-X132478402Y-114544913D01*
-X132476000Y-114569299D01*
-X132476000Y-114637301D01*
-X132478402Y-114661687D01*
-X132485515Y-114685136D01*
-X132497066Y-114706747D01*
-X132512611Y-114725689D01*
-X132531553Y-114741234D01*
-X132553164Y-114752785D01*
-X132576613Y-114759898D01*
-X132600999Y-114762300D01*
-X135026000Y-114762300D01*
-X135026000Y-115714300D01*
-X132600999Y-115714300D01*
-X132576613Y-115716702D01*
-X132553164Y-115723815D01*
-X132533547Y-115734300D01*
-X128624000Y-115734300D01*
-X128624000Y-110932300D01*
-X132533547Y-110932300D01*
-X132553164Y-110942785D01*
-X132553164Y-110942785D01*
-G37*
-G36*
-X128026000Y-115714300D02*
-G01*
-X124974000Y-115714300D01*
-X124974000Y-114762300D01*
-X128026000Y-114762300D01*
-X128026000Y-115714300D01*
-X128026000Y-115714300D01*
-G37*
-G36*
-X128026000Y-114444300D02*
-G01*
-X124974000Y-114444300D01*
-X124974000Y-113492300D01*
-X128026000Y-113492300D01*
-X128026000Y-114444300D01*
-X128026000Y-114444300D01*
-G37*
-G36*
-X128026000Y-113174300D02*
-G01*
-X124974000Y-113174300D01*
-X124974000Y-112222300D01*
-X128026000Y-112222300D01*
-X128026000Y-113174300D01*
-X128026000Y-113174300D01*
-G37*
-G36*
-X128026000Y-111904300D02*
-G01*
-X124974000Y-111904300D01*
-X124974000Y-110952300D01*
-X128026000Y-110952300D01*
-X128026000Y-111904300D01*
-X128026000Y-111904300D01*
-G37*
-G36*
-X75394072Y-108700918D02*
-G01*
-X75639939Y-108802759D01*
-X75741483Y-108870609D01*
-X75861211Y-108950609D01*
-X76049391Y-109138789D01*
-X76197242Y-109360063D01*
-X76299082Y-109605928D01*
-X76351000Y-109866937D01*
-X76351000Y-110133063D01*
-X76299082Y-110394072D01*
-X76197242Y-110639937D01*
-X76049391Y-110861211D01*
-X75861211Y-111049391D01*
-X75774302Y-111107462D01*
-X75639939Y-111197241D01*
-X75639938Y-111197242D01*
-X75639937Y-111197242D01*
-X75394072Y-111299082D01*
-X75133063Y-111351000D01*
-X74866937Y-111351000D01*
-X74605928Y-111299082D01*
-X74360063Y-111197242D01*
-X74360062Y-111197242D01*
-X74360061Y-111197241D01*
-X74225698Y-111107462D01*
-X74138789Y-111049391D01*
-X73950609Y-110861211D01*
-X73802758Y-110639937D01*
-X73700918Y-110394072D01*
-X73649000Y-110133063D01*
-X73649000Y-109866937D01*
-X73700918Y-109605928D01*
-X73802758Y-109360063D01*
-X73950609Y-109138789D01*
-X74138789Y-108950609D01*
-X74258517Y-108870609D01*
-X74360061Y-108802759D01*
-X74605928Y-108700918D01*
-X74866937Y-108649000D01*
-X75133063Y-108649000D01*
-X75394072Y-108700918D01*
-X75394072Y-108700918D01*
-G37*
-G36*
-X165394072Y-108620918D02*
-G01*
-X165639939Y-108722759D01*
-X165861212Y-108870610D01*
-X166049390Y-109058788D01*
-X166197241Y-109280061D01*
-X166299082Y-109525928D01*
-X166351000Y-109786938D01*
-X166351000Y-110053062D01*
-X166299082Y-110314072D01*
-X166197241Y-110559939D01*
-X166049390Y-110781212D01*
-X165861212Y-110969390D01*
-X165639939Y-111117241D01*
-X165639938Y-111117242D01*
-X165639937Y-111117242D01*
-X165394072Y-111219082D01*
-X165133063Y-111271000D01*
-X164866937Y-111271000D01*
-X164605928Y-111219082D01*
-X164360063Y-111117242D01*
-X164360062Y-111117242D01*
-X164360061Y-111117241D01*
-X164138788Y-110969390D01*
-X163950610Y-110781212D01*
-X163802759Y-110559939D01*
-X163700918Y-110314072D01*
-X163649000Y-110053062D01*
-X163649000Y-109786938D01*
-X163700918Y-109525928D01*
-X163802759Y-109280061D01*
-X163950610Y-109058788D01*
-X164138788Y-108870610D01*
-X164360061Y-108722759D01*
-X164605928Y-108620918D01*
-X164866937Y-108569000D01*
-X165133063Y-108569000D01*
-X165394072Y-108620918D01*
-X165394072Y-108620918D01*
-G37*
-G36*
-X99153820Y-107778103D02*
-G01*
-X99187985Y-107788467D01*
-X99219464Y-107805293D01*
-X99247060Y-107827940D01*
-X99269707Y-107855536D01*
-X99286533Y-107887015D01*
-X99296897Y-107921180D01*
-X99301000Y-107962842D01*
-X99301000Y-111037158D01*
-X99296897Y-111078820D01*
-X99286533Y-111112985D01*
-X99269707Y-111144464D01*
-X99247060Y-111172060D01*
-X99219464Y-111194707D01*
-X99187985Y-111211533D01*
-X99153820Y-111221897D01*
-X99112158Y-111226000D01*
-X97862842Y-111226000D01*
-X97821180Y-111221897D01*
-X97787015Y-111211533D01*
-X97755536Y-111194707D01*
-X97727940Y-111172060D01*
-X97705293Y-111144464D01*
-X97688467Y-111112985D01*
-X97678103Y-111078820D01*
-X97674000Y-111037158D01*
-X97674000Y-107962842D01*
-X97678103Y-107921180D01*
-X97688467Y-107887015D01*
-X97705293Y-107855536D01*
-X97727940Y-107827940D01*
-X97755536Y-107805293D01*
-X97787015Y-107788467D01*
-X97821180Y-107778103D01*
-X97862842Y-107774000D01*
-X99112158Y-107774000D01*
-X99153820Y-107778103D01*
-X99153820Y-107778103D01*
-G37*
-G36*
-X93178820Y-107778103D02*
-G01*
-X93212985Y-107788467D01*
-X93244464Y-107805293D01*
-X93272060Y-107827940D01*
-X93294707Y-107855536D01*
-X93311533Y-107887015D01*
-X93321897Y-107921180D01*
-X93326000Y-107962842D01*
-X93326000Y-111037158D01*
-X93321897Y-111078820D01*
-X93311533Y-111112985D01*
-X93294707Y-111144464D01*
-X93272060Y-111172060D01*
-X93244464Y-111194707D01*
-X93212985Y-111211533D01*
-X93178820Y-111221897D01*
-X93137158Y-111226000D01*
-X91887842Y-111226000D01*
-X91846180Y-111221897D01*
-X91812015Y-111211533D01*
-X91780536Y-111194707D01*
-X91752940Y-111172060D01*
-X91730293Y-111144464D01*
-X91713467Y-111112985D01*
-X91703103Y-111078820D01*
-X91699000Y-111037158D01*
-X91699000Y-107962842D01*
-X91703103Y-107921180D01*
-X91713467Y-107887015D01*
-X91730293Y-107855536D01*
-X91752940Y-107827940D01*
-X91780536Y-107805293D01*
-X91812015Y-107788467D01*
-X91846180Y-107778103D01*
-X91887842Y-107774000D01*
-X93137158Y-107774000D01*
-X93178820Y-107778103D01*
-X93178820Y-107778103D01*
-G37*
-G36*
-X75394072Y-103620918D02*
-G01*
-X75639939Y-103722759D01*
-X75751328Y-103797187D01*
-X75861211Y-103870609D01*
-X76049391Y-104058789D01*
-X76197242Y-104280063D01*
-X76299082Y-104525928D01*
-X76351000Y-104786937D01*
-X76351000Y-105053063D01*
-X76340179Y-105107462D01*
-X76299082Y-105314072D01*
-X76197241Y-105559939D01*
-X76049390Y-105781212D01*
-X75861212Y-105969390D01*
-X75639939Y-106117241D01*
-X75639938Y-106117242D01*
-X75639937Y-106117242D01*
-X75394072Y-106219082D01*
-X75133063Y-106271000D01*
-X74866937Y-106271000D01*
-X74605928Y-106219082D01*
-X74360063Y-106117242D01*
-X74360062Y-106117242D01*
-X74360061Y-106117241D01*
-X74138788Y-105969390D01*
-X73950610Y-105781212D01*
-X73802759Y-105559939D01*
-X73700918Y-105314072D01*
-X73659821Y-105107462D01*
-X73649000Y-105053063D01*
-X73649000Y-104786937D01*
-X73700918Y-104525928D01*
-X73802758Y-104280063D01*
-X73950609Y-104058789D01*
-X74138789Y-103870609D01*
-X74248672Y-103797187D01*
-X74360061Y-103722759D01*
-X74605928Y-103620918D01*
-X74866937Y-103569000D01*
-X75133063Y-103569000D01*
-X75394072Y-103620918D01*
-X75394072Y-103620918D01*
-G37*
-G36*
-X166351000Y-106191000D02*
-G01*
-X163649000Y-106191000D01*
-X163649000Y-103489000D01*
-X166351000Y-103489000D01*
-X166351000Y-106191000D01*
-X166351000Y-106191000D01*
-G37*
-G36*
-X99153820Y-101778103D02*
-G01*
-X99187985Y-101788467D01*
-X99219464Y-101805293D01*
-X99247060Y-101827940D01*
-X99269707Y-101855536D01*
-X99286533Y-101887015D01*
-X99296897Y-101921180D01*
-X99301000Y-101962842D01*
-X99301000Y-105037158D01*
-X99296897Y-105078820D01*
-X99286533Y-105112985D01*
-X99269707Y-105144464D01*
-X99247060Y-105172060D01*
-X99219464Y-105194707D01*
-X99187985Y-105211533D01*
-X99153820Y-105221897D01*
-X99112158Y-105226000D01*
-X97862842Y-105226000D01*
-X97821180Y-105221897D01*
-X97787015Y-105211533D01*
-X97755536Y-105194707D01*
-X97727940Y-105172060D01*
-X97705293Y-105144464D01*
-X97688467Y-105112985D01*
-X97678103Y-105078820D01*
-X97674000Y-105037158D01*
-X97674000Y-101962842D01*
-X97678103Y-101921180D01*
-X97688467Y-101887015D01*
-X97705293Y-101855536D01*
-X97727940Y-101827940D01*
-X97755536Y-101805293D01*
-X97787015Y-101788467D01*
-X97821180Y-101778103D01*
-X97862842Y-101774000D01*
-X99112158Y-101774000D01*
-X99153820Y-101778103D01*
-X99153820Y-101778103D01*
-G37*
-G36*
-X93178820Y-101778103D02*
-G01*
-X93212985Y-101788467D01*
-X93244464Y-101805293D01*
-X93272060Y-101827940D01*
-X93294707Y-101855536D01*
-X93311533Y-101887015D01*
-X93321897Y-101921180D01*
-X93326000Y-101962842D01*
-X93326000Y-105037158D01*
-X93321897Y-105078820D01*
-X93311533Y-105112985D01*
-X93294707Y-105144464D01*
-X93272060Y-105172060D01*
-X93244464Y-105194707D01*
-X93212985Y-105211533D01*
-X93178820Y-105221897D01*
-X93137158Y-105226000D01*
-X91887842Y-105226000D01*
-X91846180Y-105221897D01*
-X91812015Y-105211533D01*
-X91780536Y-105194707D01*
-X91752940Y-105172060D01*
-X91730293Y-105144464D01*
-X91713467Y-105112985D01*
-X91703103Y-105078820D01*
-X91699000Y-105037158D01*
-X91699000Y-101962842D01*
-X91703103Y-101921180D01*
-X91713467Y-101887015D01*
-X91730293Y-101855536D01*
-X91752940Y-101827940D01*
-X91780536Y-101805293D01*
-X91812015Y-101788467D01*
-X91846180Y-101778103D01*
-X91887842Y-101774000D01*
-X93137158Y-101774000D01*
-X93178820Y-101778103D01*
-X93178820Y-101778103D01*
-G37*
-G36*
-X131376000Y-102401000D02*
-G01*
-X127466453Y-102401000D01*
-X127446836Y-102390515D01*
-X127423387Y-102383402D01*
-X127399001Y-102381000D01*
-X124974000Y-102381000D01*
-X124974000Y-101429000D01*
-X127399001Y-101429000D01*
-X127423387Y-101426598D01*
-X127446836Y-101419485D01*
-X127468447Y-101407934D01*
-X127487389Y-101392389D01*
-X127502934Y-101373447D01*
-X127514485Y-101351836D01*
-X127521598Y-101328387D01*
-X127524000Y-101304001D01*
-X127524000Y-101235999D01*
-X127521598Y-101211613D01*
-X127514485Y-101188164D01*
-X127502934Y-101166553D01*
-X127487389Y-101147611D01*
-X127468447Y-101132066D01*
-X127446836Y-101120515D01*
-X127423387Y-101113402D01*
-X127399001Y-101111000D01*
-X124974000Y-101111000D01*
-X124974000Y-100159000D01*
-X127399001Y-100159000D01*
-X127423387Y-100156598D01*
-X127446836Y-100149485D01*
-X127468447Y-100137934D01*
-X127487389Y-100122389D01*
-X127502934Y-100103447D01*
-X127514485Y-100081836D01*
-X127521598Y-100058387D01*
-X127524000Y-100034001D01*
-X127524000Y-99965999D01*
-X127521598Y-99941613D01*
-X127514485Y-99918164D01*
-X127502934Y-99896553D01*
-X127487389Y-99877611D01*
-X127468447Y-99862066D01*
-X127446836Y-99850515D01*
-X127423387Y-99843402D01*
-X127399001Y-99841000D01*
-X124974000Y-99841000D01*
-X124974000Y-98889000D01*
-X127399001Y-98889000D01*
-X127423387Y-98886598D01*
-X127446836Y-98879485D01*
-X127468447Y-98867934D01*
-X127487389Y-98852389D01*
-X127502934Y-98833447D01*
-X127514485Y-98811836D01*
-X127521598Y-98788387D01*
-X127524000Y-98764001D01*
-X127524000Y-98695999D01*
-X127521598Y-98671613D01*
-X127514485Y-98648164D01*
-X127502934Y-98626553D01*
-X127487389Y-98607611D01*
-X127468447Y-98592066D01*
-X127446836Y-98580515D01*
-X127423387Y-98573402D01*
-X127399001Y-98571000D01*
-X124974000Y-98571000D01*
-X124974000Y-97619000D01*
-X127399001Y-97619000D01*
-X127423387Y-97616598D01*
-X127446836Y-97609485D01*
-X127466453Y-97599000D01*
-X131376000Y-97599000D01*
-X131376000Y-102401000D01*
-X131376000Y-102401000D01*
-G37*
-G36*
-X135026000Y-102381000D02*
-G01*
-X131974000Y-102381000D01*
-X131974000Y-101429000D01*
-X135026000Y-101429000D01*
-X135026000Y-102381000D01*
-X135026000Y-102381000D01*
-G37*
-G36*
-X135026000Y-101111000D02*
-G01*
-X131974000Y-101111000D01*
-X131974000Y-100159000D01*
-X135026000Y-100159000D01*
-X135026000Y-101111000D01*
-X135026000Y-101111000D01*
-G37*
-G36*
-X135026000Y-99841000D02*
-G01*
-X131974000Y-99841000D01*
-X131974000Y-98889000D01*
-X135026000Y-98889000D01*
-X135026000Y-99841000D01*
-X135026000Y-99841000D01*
-G37*
-G36*
-X93178820Y-96278103D02*
-G01*
-X93212985Y-96288467D01*
-X93244464Y-96305293D01*
-X93272060Y-96327940D01*
-X93294707Y-96355536D01*
-X93311533Y-96387015D01*
-X93321897Y-96421180D01*
-X93326000Y-96462842D01*
-X93326000Y-99537158D01*
-X93321897Y-99578820D01*
-X93311533Y-99612985D01*
-X93294707Y-99644464D01*
-X93272060Y-99672060D01*
-X93244464Y-99694707D01*
-X93212985Y-99711533D01*
-X93178820Y-99721897D01*
-X93137158Y-99726000D01*
-X91887842Y-99726000D01*
-X91846180Y-99721897D01*
-X91812015Y-99711533D01*
-X91780536Y-99694707D01*
-X91752940Y-99672060D01*
-X91730293Y-99644464D01*
-X91713467Y-99612985D01*
-X91703103Y-99578820D01*
-X91699000Y-99537158D01*
-X91699000Y-96462842D01*
-X91703103Y-96421180D01*
-X91713467Y-96387015D01*
-X91730293Y-96355536D01*
-X91752940Y-96327940D01*
-X91780536Y-96305293D01*
-X91812015Y-96288467D01*
-X91846180Y-96278103D01*
-X91887842Y-96274000D01*
-X93137158Y-96274000D01*
-X93178820Y-96278103D01*
-X93178820Y-96278103D01*
-G37*
-G36*
-X99153820Y-96278103D02*
-G01*
-X99187985Y-96288467D01*
-X99219464Y-96305293D01*
-X99247060Y-96327940D01*
-X99269707Y-96355536D01*
-X99286533Y-96387015D01*
-X99296897Y-96421180D01*
-X99301000Y-96462842D01*
-X99301000Y-99537158D01*
-X99296897Y-99578820D01*
-X99286533Y-99612985D01*
-X99269707Y-99644464D01*
-X99247060Y-99672060D01*
-X99219464Y-99694707D01*
-X99187985Y-99711533D01*
-X99153820Y-99721897D01*
-X99112158Y-99726000D01*
-X97862842Y-99726000D01*
-X97821180Y-99721897D01*
-X97787015Y-99711533D01*
-X97755536Y-99694707D01*
-X97727940Y-99672060D01*
-X97705293Y-99644464D01*
-X97688467Y-99612985D01*
-X97678103Y-99578820D01*
-X97674000Y-99537158D01*
-X97674000Y-96462842D01*
-X97678103Y-96421180D01*
-X97688467Y-96387015D01*
-X97705293Y-96355536D01*
-X97727940Y-96327940D01*
-X97755536Y-96305293D01*
-X97787015Y-96288467D01*
-X97821180Y-96278103D01*
-X97862842Y-96274000D01*
-X99112158Y-96274000D01*
-X99153820Y-96278103D01*
-X99153820Y-96278103D01*
-G37*
-G36*
-X153375256Y-95391298D02*
-G01*
-X153481579Y-95412447D01*
-X153782042Y-95536903D01*
-X154052451Y-95717585D01*
-X154282415Y-95947549D01*
-X154463097Y-96217958D01*
-X154587553Y-96518421D01*
-X154651000Y-96837391D01*
-X154651000Y-97162609D01*
-X154587553Y-97481579D01*
-X154463097Y-97782042D01*
-X154282415Y-98052451D01*
-X154052451Y-98282415D01*
-X153782042Y-98463097D01*
-X153481579Y-98587553D01*
-X153375256Y-98608702D01*
-X153162611Y-98651000D01*
-X152837389Y-98651000D01*
-X152624744Y-98608702D01*
-X152518421Y-98587553D01*
-X152217958Y-98463097D01*
-X151947549Y-98282415D01*
-X151717585Y-98052451D01*
-X151536903Y-97782042D01*
-X151412447Y-97481579D01*
-X151349000Y-97162609D01*
-X151349000Y-96837391D01*
-X151412447Y-96518421D01*
-X151536903Y-96217958D01*
-X151717585Y-95947549D01*
-X151947549Y-95717585D01*
-X152217958Y-95536903D01*
-X152518421Y-95412447D01*
-X152624744Y-95391298D01*
-X152837389Y-95349000D01*
-X153162611Y-95349000D01*
-X153375256Y-95391298D01*
-X153375256Y-95391298D01*
-G37*
-G36*
-X110375256Y-95391298D02*
-G01*
-X110481579Y-95412447D01*
-X110782042Y-95536903D01*
-X111052451Y-95717585D01*
-X111282415Y-95947549D01*
-X111463097Y-96217958D01*
-X111587553Y-96518421D01*
-X111651000Y-96837391D01*
-X111651000Y-97162609D01*
-X111587553Y-97481579D01*
-X111463097Y-97782042D01*
-X111282415Y-98052451D01*
-X111052451Y-98282415D01*
-X110782042Y-98463097D01*
-X110481579Y-98587553D01*
-X110375256Y-98608702D01*
-X110162611Y-98651000D01*
-X109837389Y-98651000D01*
-X109624744Y-98608702D01*
-X109518421Y-98587553D01*
-X109217958Y-98463097D01*
-X108947549Y-98282415D01*
-X108717585Y-98052451D01*
-X108536903Y-97782042D01*
-X108412447Y-97481579D01*
-X108349000Y-97162609D01*
-X108349000Y-96837391D01*
-X108412447Y-96518421D01*
-X108536903Y-96217958D01*
-X108717585Y-95947549D01*
-X108947549Y-95717585D01*
-X109217958Y-95536903D01*
-X109518421Y-95412447D01*
-X109624744Y-95391298D01*
-X109837389Y-95349000D01*
-X110162611Y-95349000D01*
-X110375256Y-95391298D01*
-X110375256Y-95391298D01*
-G37*
-G36*
-X135026000Y-98571000D02*
-G01*
-X131974000Y-98571000D01*
-X131974000Y-97619000D01*
-X135026000Y-97619000D01*
-X135026000Y-98571000D01*
-X135026000Y-98571000D01*
-G37*
-G36*
-X105289499Y-93978445D02*
-G01*
-X105326995Y-93989820D01*
-X105361554Y-94008292D01*
-X105391847Y-94033153D01*
-X105416708Y-94063446D01*
-X105435180Y-94098005D01*
-X105446555Y-94135501D01*
-X105451000Y-94180638D01*
-X105451000Y-94819362D01*
-X105446555Y-94864499D01*
-X105435180Y-94901995D01*
-X105416708Y-94936554D01*
-X105391847Y-94966847D01*
-X105361554Y-94991708D01*
-X105326995Y-95010180D01*
-X105289499Y-95021555D01*
-X105244362Y-95026000D01*
-X104505638Y-95026000D01*
-X104460501Y-95021555D01*
-X104423005Y-95010180D01*
-X104388446Y-94991708D01*
-X104358153Y-94966847D01*
-X104333292Y-94936554D01*
-X104314820Y-94901995D01*
-X104303445Y-94864499D01*
-X104299000Y-94819362D01*
-X104299000Y-94180638D01*
-X104303445Y-94135501D01*
-X104314820Y-94098005D01*
-X104333292Y-94063446D01*
-X104358153Y-94033153D01*
-X104388446Y-94008292D01*
-X104423005Y-93989820D01*
-X104460501Y-93978445D01*
-X104505638Y-93974000D01*
-X105244362Y-93974000D01*
-X105289499Y-93978445D01*
-X105289499Y-93978445D01*
-G37*
-G36*
-X103539499Y-93978445D02*
-G01*
-X103576995Y-93989820D01*
-X103611554Y-94008292D01*
-X103641847Y-94033153D01*
-X103666708Y-94063446D01*
-X103685180Y-94098005D01*
-X103696555Y-94135501D01*
-X103701000Y-94180638D01*
-X103701000Y-94819362D01*
-X103696555Y-94864499D01*
-X103685180Y-94901995D01*
-X103666708Y-94936554D01*
-X103641847Y-94966847D01*
-X103611554Y-94991708D01*
-X103576995Y-95010180D01*
-X103539499Y-95021555D01*
-X103494362Y-95026000D01*
-X102755638Y-95026000D01*
-X102710501Y-95021555D01*
-X102673005Y-95010180D01*
-X102638446Y-94991708D01*
-X102608153Y-94966847D01*
-X102583292Y-94936554D01*
-X102564820Y-94901995D01*
-X102553445Y-94864499D01*
-X102549000Y-94819362D01*
-X102549000Y-94180638D01*
-X102553445Y-94135501D01*
-X102564820Y-94098005D01*
-X102583292Y-94063446D01*
-X102608153Y-94033153D01*
-X102638446Y-94008292D01*
-X102673005Y-93989820D01*
-X102710501Y-93978445D01*
-X102755638Y-93974000D01*
-X103494362Y-93974000D01*
-X103539499Y-93978445D01*
-X103539499Y-93978445D01*
-G37*
-G36*
-X101789499Y-93978445D02*
-G01*
-X101826995Y-93989820D01*
-X101861554Y-94008292D01*
-X101891847Y-94033153D01*
-X101916708Y-94063446D01*
-X101935180Y-94098005D01*
-X101946555Y-94135501D01*
-X101951000Y-94180638D01*
-X101951000Y-94819362D01*
-X101946555Y-94864499D01*
-X101935180Y-94901995D01*
-X101916708Y-94936554D01*
-X101891847Y-94966847D01*
-X101861554Y-94991708D01*
-X101826995Y-95010180D01*
-X101789499Y-95021555D01*
-X101744362Y-95026000D01*
-X101005638Y-95026000D01*
-X100960501Y-95021555D01*
-X100923005Y-95010180D01*
-X100888446Y-94991708D01*
-X100858153Y-94966847D01*
-X100833292Y-94936554D01*
-X100814820Y-94901995D01*
-X100803445Y-94864499D01*
-X100799000Y-94819362D01*
-X100799000Y-94180638D01*
-X100803445Y-94135501D01*
-X100814820Y-94098005D01*
-X100833292Y-94063446D01*
-X100858153Y-94033153D01*
-X100888446Y-94008292D01*
-X100923005Y-93989820D01*
-X100960501Y-93978445D01*
-X101005638Y-93974000D01*
-X101744362Y-93974000D01*
-X101789499Y-93978445D01*
-X101789499Y-93978445D01*
-G37*
-G36*
-X100039499Y-93978445D02*
-G01*
-X100076995Y-93989820D01*
-X100111554Y-94008292D01*
-X100141847Y-94033153D01*
-X100166708Y-94063446D01*
-X100185180Y-94098005D01*
-X100196555Y-94135501D01*
-X100201000Y-94180638D01*
-X100201000Y-94819362D01*
-X100196555Y-94864499D01*
-X100185180Y-94901995D01*
-X100166708Y-94936554D01*
-X100141847Y-94966847D01*
-X100111554Y-94991708D01*
-X100076995Y-95010180D01*
-X100039499Y-95021555D01*
-X99994362Y-95026000D01*
-X99255638Y-95026000D01*
-X99210501Y-95021555D01*
-X99173005Y-95010180D01*
-X99138446Y-94991708D01*
-X99108153Y-94966847D01*
-X99083292Y-94936554D01*
-X99064820Y-94901995D01*
-X99053445Y-94864499D01*
-X99049000Y-94819362D01*
-X99049000Y-94180638D01*
-X99053445Y-94135501D01*
-X99064820Y-94098005D01*
-X99083292Y-94063446D01*
-X99108153Y-94033153D01*
-X99138446Y-94008292D01*
-X99173005Y-93989820D01*
-X99210501Y-93978445D01*
-X99255638Y-93974000D01*
-X99994362Y-93974000D01*
-X100039499Y-93978445D01*
-X100039499Y-93978445D01*
-G37*
-G36*
-X96539499Y-93978445D02*
-G01*
-X96576995Y-93989820D01*
-X96611554Y-94008292D01*
-X96641847Y-94033153D01*
-X96666708Y-94063446D01*
-X96685180Y-94098005D01*
-X96696555Y-94135501D01*
-X96701000Y-94180638D01*
-X96701000Y-94819362D01*
-X96696555Y-94864499D01*
-X96685180Y-94901995D01*
-X96666708Y-94936554D01*
-X96641847Y-94966847D01*
-X96611554Y-94991708D01*
-X96576995Y-95010180D01*
-X96539499Y-95021555D01*
-X96494362Y-95026000D01*
-X95755638Y-95026000D01*
-X95710501Y-95021555D01*
-X95673005Y-95010180D01*
-X95638446Y-94991708D01*
-X95608153Y-94966847D01*
-X95583292Y-94936554D01*
-X95564820Y-94901995D01*
-X95553445Y-94864499D01*
-X95549000Y-94819362D01*
-X95549000Y-94180638D01*
-X95553445Y-94135501D01*
-X95564820Y-94098005D01*
-X95583292Y-94063446D01*
-X95608153Y-94033153D01*
-X95638446Y-94008292D01*
-X95673005Y-93989820D01*
-X95710501Y-93978445D01*
-X95755638Y-93974000D01*
-X96494362Y-93974000D01*
-X96539499Y-93978445D01*
-X96539499Y-93978445D01*
-G37*
-G36*
-X98289499Y-93978445D02*
-G01*
-X98326995Y-93989820D01*
-X98361554Y-94008292D01*
-X98391847Y-94033153D01*
-X98416708Y-94063446D01*
-X98435180Y-94098005D01*
-X98446555Y-94135501D01*
-X98451000Y-94180638D01*
-X98451000Y-94819362D01*
-X98446555Y-94864499D01*
-X98435180Y-94901995D01*
-X98416708Y-94936554D01*
-X98391847Y-94966847D01*
-X98361554Y-94991708D01*
-X98326995Y-95010180D01*
-X98289499Y-95021555D01*
-X98244362Y-95026000D01*
-X97505638Y-95026000D01*
-X97460501Y-95021555D01*
-X97423005Y-95010180D01*
-X97388446Y-94991708D01*
-X97358153Y-94966847D01*
-X97333292Y-94936554D01*
-X97314820Y-94901995D01*
-X97303445Y-94864499D01*
-X97299000Y-94819362D01*
-X97299000Y-94180638D01*
-X97303445Y-94135501D01*
-X97314820Y-94098005D01*
-X97333292Y-94063446D01*
-X97358153Y-94033153D01*
-X97388446Y-94008292D01*
-X97423005Y-93989820D01*
-X97460501Y-93978445D01*
-X97505638Y-93974000D01*
-X98244362Y-93974000D01*
-X98289499Y-93978445D01*
-X98289499Y-93978445D01*
-G37*
-G36*
-X93039499Y-93978445D02*
-G01*
-X93076995Y-93989820D01*
-X93111554Y-94008292D01*
-X93141847Y-94033153D01*
-X93166708Y-94063446D01*
-X93185180Y-94098005D01*
-X93196555Y-94135501D01*
-X93201000Y-94180638D01*
-X93201000Y-94819362D01*
-X93196555Y-94864499D01*
-X93185180Y-94901995D01*
-X93166708Y-94936554D01*
-X93141847Y-94966847D01*
-X93111554Y-94991708D01*
-X93076995Y-95010180D01*
-X93039499Y-95021555D01*
-X92994362Y-95026000D01*
-X92255638Y-95026000D01*
-X92210501Y-95021555D01*
-X92173005Y-95010180D01*
-X92138446Y-94991708D01*
-X92108153Y-94966847D01*
-X92083292Y-94936554D01*
-X92064820Y-94901995D01*
-X92053445Y-94864499D01*
-X92049000Y-94819362D01*
-X92049000Y-94180638D01*
-X92053445Y-94135501D01*
-X92064820Y-94098005D01*
-X92083292Y-94063446D01*
-X92108153Y-94033153D01*
-X92138446Y-94008292D01*
-X92173005Y-93989820D01*
-X92210501Y-93978445D01*
-X92255638Y-93974000D01*
-X92994362Y-93974000D01*
-X93039499Y-93978445D01*
-X93039499Y-93978445D01*
-G37*
-G36*
-X94789499Y-93978445D02*
-G01*
-X94826995Y-93989820D01*
-X94861554Y-94008292D01*
-X94891847Y-94033153D01*
-X94916708Y-94063446D01*
-X94935180Y-94098005D01*
-X94946555Y-94135501D01*
-X94951000Y-94180638D01*
-X94951000Y-94819362D01*
-X94946555Y-94864499D01*
-X94935180Y-94901995D01*
-X94916708Y-94936554D01*
-X94891847Y-94966847D01*
-X94861554Y-94991708D01*
-X94826995Y-95010180D01*
-X94789499Y-95021555D01*
-X94744362Y-95026000D01*
-X94005638Y-95026000D01*
-X93960501Y-95021555D01*
-X93923005Y-95010180D01*
-X93888446Y-94991708D01*
-X93858153Y-94966847D01*
-X93833292Y-94936554D01*
-X93814820Y-94901995D01*
-X93803445Y-94864499D01*
-X93799000Y-94819362D01*
-X93799000Y-94180638D01*
-X93803445Y-94135501D01*
-X93814820Y-94098005D01*
-X93833292Y-94063446D01*
-X93858153Y-94033153D01*
-X93888446Y-94008292D01*
-X93923005Y-93989820D01*
-X93960501Y-93978445D01*
-X94005638Y-93974000D01*
-X94744362Y-93974000D01*
-X94789499Y-93978445D01*
-X94789499Y-93978445D01*
-G37*
-G36*
-X141101000Y-94676000D02*
-G01*
-X139799000Y-94676000D01*
-X139799000Y-93824000D01*
-X141101000Y-93824000D01*
-X141101000Y-94676000D01*
-X141101000Y-94676000D01*
-G37*
-G36*
-X139201000Y-94676000D02*
-G01*
-X137899000Y-94676000D01*
-X137899000Y-93824000D01*
-X139201000Y-93824000D01*
-X139201000Y-94676000D01*
-X139201000Y-94676000D01*
-G37*
-G36*
-X135433642Y-92729781D02*
-G01*
-X135579414Y-92790162D01*
-X135579416Y-92790163D01*
-X135710608Y-92877822D01*
-X135822178Y-92989392D01*
-X135909837Y-93120584D01*
-X135909838Y-93120586D01*
-X135970219Y-93266358D01*
-X136001000Y-93421107D01*
-X136001000Y-93578893D01*
-X135970219Y-93733642D01*
-X135932791Y-93824000D01*
-X135909837Y-93879416D01*
-X135822178Y-94010608D01*
-X135710608Y-94122178D01*
-X135579416Y-94209837D01*
-X135579415Y-94209838D01*
-X135579414Y-94209838D01*
-X135433642Y-94270219D01*
-X135278893Y-94301000D01*
-X135121107Y-94301000D01*
-X134966358Y-94270219D01*
-X134820586Y-94209838D01*
-X134820585Y-94209838D01*
-X134820584Y-94209837D01*
-X134689392Y-94122178D01*
-X134577822Y-94010608D01*
-X134490163Y-93879416D01*
-X134467209Y-93824000D01*
-X134429781Y-93733642D01*
-X134399000Y-93578893D01*
-X134399000Y-93421107D01*
-X134429781Y-93266358D01*
-X134490162Y-93120586D01*
-X134490163Y-93120584D01*
-X134577822Y-92989392D01*
-X134689392Y-92877822D01*
-X134820584Y-92790163D01*
-X134820586Y-92790162D01*
-X134966358Y-92729781D01*
-X135121107Y-92699000D01*
-X135278893Y-92699000D01*
-X135433642Y-92729781D01*
-X135433642Y-92729781D01*
-G37*
-G36*
-X132551000Y-93726000D02*
-G01*
-X131249000Y-93726000D01*
-X131249000Y-92874000D01*
-X132551000Y-92874000D01*
-X132551000Y-93726000D01*
-X132551000Y-93726000D01*
-G37*
-G36*
-X147601000Y-93726000D02*
-G01*
-X146299000Y-93726000D01*
-X146299000Y-92874000D01*
-X147601000Y-92874000D01*
-X147601000Y-93726000D01*
-X147601000Y-93726000D01*
-G37*
-G36*
-X130651000Y-93726000D02*
-G01*
-X129349000Y-93726000D01*
-X129349000Y-92874000D01*
-X130651000Y-92874000D01*
-X130651000Y-93726000D01*
-X130651000Y-93726000D01*
-G37*
-G36*
-X145701000Y-93726000D02*
-G01*
-X144399000Y-93726000D01*
-X144399000Y-92874000D01*
-X145701000Y-92874000D01*
-X145701000Y-93726000D01*
-X145701000Y-93726000D01*
-G37*
-G36*
-X116339499Y-92628445D02*
-G01*
-X116376995Y-92639820D01*
-X116411554Y-92658292D01*
-X116441847Y-92683153D01*
-X116466708Y-92713446D01*
-X116485180Y-92748005D01*
-X116496555Y-92785501D01*
-X116501000Y-92830638D01*
-X116501000Y-93469362D01*
-X116496555Y-93514499D01*
-X116485180Y-93551995D01*
-X116466708Y-93586554D01*
-X116441847Y-93616847D01*
-X116411554Y-93641708D01*
-X116376995Y-93660180D01*
-X116339499Y-93671555D01*
-X116294362Y-93676000D01*
-X115555638Y-93676000D01*
-X115510501Y-93671555D01*
-X115473005Y-93660180D01*
-X115438446Y-93641708D01*
-X115408153Y-93616847D01*
-X115383292Y-93586554D01*
-X115364820Y-93551995D01*
-X115353445Y-93514499D01*
-X115349000Y-93469362D01*
-X115349000Y-92830638D01*
-X115353445Y-92785501D01*
-X115364820Y-92748005D01*
-X115383292Y-92713446D01*
-X115408153Y-92683153D01*
-X115438446Y-92658292D01*
-X115473005Y-92639820D01*
-X115510501Y-92628445D01*
-X115555638Y-92624000D01*
-X116294362Y-92624000D01*
-X116339499Y-92628445D01*
-X116339499Y-92628445D01*
-G37*
-G36*
-X118089499Y-92628445D02*
-G01*
-X118126995Y-92639820D01*
-X118161554Y-92658292D01*
-X118191847Y-92683153D01*
-X118216708Y-92713446D01*
-X118235180Y-92748005D01*
-X118246555Y-92785501D01*
-X118251000Y-92830638D01*
-X118251000Y-93469362D01*
-X118246555Y-93514499D01*
-X118235180Y-93551995D01*
-X118216708Y-93586554D01*
-X118191847Y-93616847D01*
-X118161554Y-93641708D01*
-X118126995Y-93660180D01*
-X118089499Y-93671555D01*
-X118044362Y-93676000D01*
-X117305638Y-93676000D01*
-X117260501Y-93671555D01*
-X117223005Y-93660180D01*
-X117188446Y-93641708D01*
-X117158153Y-93616847D01*
-X117133292Y-93586554D01*
-X117114820Y-93551995D01*
-X117103445Y-93514499D01*
-X117099000Y-93469362D01*
-X117099000Y-92830638D01*
-X117103445Y-92785501D01*
-X117114820Y-92748005D01*
-X117133292Y-92713446D01*
-X117158153Y-92683153D01*
-X117188446Y-92658292D01*
-X117223005Y-92639820D01*
-X117260501Y-92628445D01*
-X117305638Y-92624000D01*
-X118044362Y-92624000D01*
-X118089499Y-92628445D01*
-X118089499Y-92628445D01*
-G37*
-G36*
-X156426000Y-93401000D02*
-G01*
-X155574000Y-93401000D01*
-X155574000Y-92099000D01*
-X156426000Y-92099000D01*
-X156426000Y-93401000D01*
-X156426000Y-93401000D01*
-G37*
-G36*
-X159501000Y-93146000D02*
-G01*
-X157899000Y-93146000D01*
-X157899000Y-92444000D01*
-X159501000Y-92444000D01*
-X159501000Y-93146000D01*
-X159501000Y-93146000D01*
-G37*
-G36*
-X164901000Y-93146000D02*
-G01*
-X163299000Y-93146000D01*
-X163299000Y-92444000D01*
-X164901000Y-92444000D01*
-X164901000Y-93146000D01*
-X164901000Y-93146000D01*
-G37*
-G36*
-X107601000Y-92701000D02*
-G01*
-X106599000Y-92701000D01*
-X106599000Y-91799000D01*
-X107601000Y-91799000D01*
-X107601000Y-92701000D01*
-X107601000Y-92701000D01*
-G37*
-G36*
-X134364499Y-91503445D02*
-G01*
-X134401995Y-91514820D01*
-X134436554Y-91533292D01*
-X134466847Y-91558153D01*
-X134491708Y-91588446D01*
-X134510180Y-91623005D01*
-X134521555Y-91660501D01*
-X134526000Y-91705638D01*
-X134526000Y-92444362D01*
-X134521555Y-92489499D01*
-X134510180Y-92526995D01*
-X134491708Y-92561554D01*
-X134466847Y-92591847D01*
-X134436554Y-92616708D01*
-X134401995Y-92635180D01*
-X134364499Y-92646555D01*
-X134319362Y-92651000D01*
-X133680638Y-92651000D01*
-X133635501Y-92646555D01*
-X133598005Y-92635180D01*
-X133563446Y-92616708D01*
-X133533153Y-92591847D01*
-X133508292Y-92561554D01*
-X133489820Y-92526995D01*
-X133478445Y-92489499D01*
-X133474000Y-92444362D01*
-X133474000Y-91705638D01*
-X133478445Y-91660501D01*
-X133489820Y-91623005D01*
-X133508292Y-91588446D01*
-X133533153Y-91558153D01*
-X133563446Y-91533292D01*
-X133598005Y-91514820D01*
-X133635501Y-91503445D01*
-X133680638Y-91499000D01*
-X134319362Y-91499000D01*
-X134364499Y-91503445D01*
-X134364499Y-91503445D01*
-G37*
-G36*
-X128126000Y-92456000D02*
-G01*
-X126474000Y-92456000D01*
-X126474000Y-91754000D01*
-X128126000Y-91754000D01*
-X128126000Y-92456000D01*
-X128126000Y-92456000D01*
-G37*
-G36*
-X137726000Y-92456000D02*
-G01*
-X136074000Y-92456000D01*
-X136074000Y-91754000D01*
-X137726000Y-91754000D01*
-X137726000Y-92456000D01*
-X137726000Y-92456000D01*
-G37*
-G36*
-X122726000Y-92456000D02*
-G01*
-X121074000Y-92456000D01*
-X121074000Y-91754000D01*
-X122726000Y-91754000D01*
-X122726000Y-92456000D01*
-X122726000Y-92456000D01*
-G37*
-G36*
-X143126000Y-92456000D02*
-G01*
-X141474000Y-92456000D01*
-X141474000Y-91754000D01*
-X143126000Y-91754000D01*
-X143126000Y-92456000D01*
-X143126000Y-92456000D01*
-G37*
-G36*
-X96201000Y-92451000D02*
-G01*
-X93799000Y-92451000D01*
-X93799000Y-90949000D01*
-X96201000Y-90949000D01*
-X96201000Y-92451000D01*
-X96201000Y-92451000D01*
-G37*
-G36*
-X99864499Y-91303445D02*
-G01*
-X99901995Y-91314820D01*
-X99936554Y-91333292D01*
-X99966847Y-91358153D01*
-X99991708Y-91388446D01*
-X100010180Y-91423005D01*
-X100021555Y-91460501D01*
-X100026000Y-91505638D01*
-X100026000Y-92244362D01*
-X100021555Y-92289499D01*
-X100010180Y-92326995D01*
-X99991708Y-92361554D01*
-X99966847Y-92391847D01*
-X99936554Y-92416708D01*
-X99901995Y-92435180D01*
-X99864499Y-92446555D01*
-X99819362Y-92451000D01*
-X99180638Y-92451000D01*
-X99135501Y-92446555D01*
-X99098005Y-92435180D01*
-X99063446Y-92416708D01*
-X99033153Y-92391847D01*
-X99008292Y-92361554D01*
-X98989820Y-92326995D01*
-X98978445Y-92289499D01*
-X98974000Y-92244362D01*
-X98974000Y-91505638D01*
-X98978445Y-91460501D01*
-X98989820Y-91423005D01*
-X99008292Y-91388446D01*
-X99033153Y-91358153D01*
-X99063446Y-91333292D01*
-X99098005Y-91314820D01*
-X99135501Y-91303445D01*
-X99180638Y-91299000D01*
-X99819362Y-91299000D01*
-X99864499Y-91303445D01*
-X99864499Y-91303445D01*
-G37*
-G36*
-X98026000Y-92401000D02*
-G01*
-X97174000Y-92401000D01*
-X97174000Y-91099000D01*
-X98026000Y-91099000D01*
-X98026000Y-92401000D01*
-X98026000Y-92401000D01*
-G37*
-G36*
-X101981000Y-92326000D02*
-G01*
-X100819000Y-92326000D01*
-X100819000Y-89674000D01*
-X101981000Y-89674000D01*
-X101981000Y-92326000D01*
-X101981000Y-92326000D01*
-G37*
-G36*
-X104181000Y-92326000D02*
-G01*
-X103019000Y-92326000D01*
-X103019000Y-91574000D01*
-X104181000Y-91574000D01*
-X104181000Y-92326000D01*
-X104181000Y-92326000D01*
-G37*
-G36*
-X92426000Y-92101000D02*
-G01*
-X91574000Y-92101000D01*
-X91574000Y-90799000D01*
-X92426000Y-90799000D01*
-X92426000Y-92101000D01*
-X92426000Y-92101000D01*
-G37*
-G36*
-X113689499Y-90978445D02*
-G01*
-X113726995Y-90989820D01*
-X113761554Y-91008292D01*
-X113791847Y-91033153D01*
-X113816708Y-91063446D01*
-X113835180Y-91098005D01*
-X113846555Y-91135501D01*
-X113851000Y-91180638D01*
-X113851000Y-91819362D01*
-X113846555Y-91864499D01*
-X113835180Y-91901995D01*
-X113816708Y-91936554D01*
-X113791847Y-91966847D01*
-X113761554Y-91991708D01*
-X113726995Y-92010180D01*
-X113689499Y-92021555D01*
-X113644362Y-92026000D01*
-X112905638Y-92026000D01*
-X112860501Y-92021555D01*
-X112823005Y-92010180D01*
-X112788446Y-91991708D01*
-X112758153Y-91966847D01*
-X112733292Y-91936554D01*
-X112714820Y-91901995D01*
-X112703445Y-91864499D01*
-X112699000Y-91819362D01*
-X112699000Y-91180638D01*
-X112703445Y-91135501D01*
-X112714820Y-91098005D01*
-X112733292Y-91063446D01*
-X112758153Y-91033153D01*
-X112788446Y-91008292D01*
-X112823005Y-90989820D01*
-X112860501Y-90978445D01*
-X112905638Y-90974000D01*
-X113644362Y-90974000D01*
-X113689499Y-90978445D01*
-X113689499Y-90978445D01*
-G37*
-G36*
-X147289499Y-90978445D02*
-G01*
-X147326995Y-90989820D01*
-X147361554Y-91008292D01*
-X147391847Y-91033153D01*
-X147416708Y-91063446D01*
-X147435180Y-91098005D01*
-X147446555Y-91135501D01*
-X147451000Y-91180638D01*
-X147451000Y-91819362D01*
-X147446555Y-91864499D01*
-X147435180Y-91901995D01*
-X147416708Y-91936554D01*
-X147391847Y-91966847D01*
-X147361554Y-91991708D01*
-X147326995Y-92010180D01*
-X147289499Y-92021555D01*
-X147244362Y-92026000D01*
-X146505638Y-92026000D01*
-X146460501Y-92021555D01*
-X146423005Y-92010180D01*
-X146388446Y-91991708D01*
-X146358153Y-91966847D01*
-X146333292Y-91936554D01*
-X146314820Y-91901995D01*
-X146303445Y-91864499D01*
-X146299000Y-91819362D01*
-X146299000Y-91180638D01*
-X146303445Y-91135501D01*
-X146314820Y-91098005D01*
-X146333292Y-91063446D01*
-X146358153Y-91033153D01*
-X146388446Y-91008292D01*
-X146423005Y-90989820D01*
-X146460501Y-90978445D01*
-X146505638Y-90974000D01*
-X147244362Y-90974000D01*
-X147289499Y-90978445D01*
-X147289499Y-90978445D01*
-G37*
-G36*
-X130439499Y-90978445D02*
-G01*
-X130476995Y-90989820D01*
-X130511554Y-91008292D01*
-X130541847Y-91033153D01*
-X130566708Y-91063446D01*
-X130585180Y-91098005D01*
-X130596555Y-91135501D01*
-X130601000Y-91180638D01*
-X130601000Y-91819362D01*
-X130596555Y-91864499D01*
-X130585180Y-91901995D01*
-X130566708Y-91936554D01*
-X130541847Y-91966847D01*
-X130511554Y-91991708D01*
-X130476995Y-92010180D01*
-X130439499Y-92021555D01*
-X130394362Y-92026000D01*
-X129655638Y-92026000D01*
-X129610501Y-92021555D01*
-X129573005Y-92010180D01*
-X129538446Y-91991708D01*
-X129508153Y-91966847D01*
-X129483292Y-91936554D01*
-X129464820Y-91901995D01*
-X129453445Y-91864499D01*
-X129449000Y-91819362D01*
-X129449000Y-91180638D01*
-X129453445Y-91135501D01*
-X129464820Y-91098005D01*
-X129483292Y-91063446D01*
-X129508153Y-91033153D01*
-X129538446Y-91008292D01*
-X129573005Y-90989820D01*
-X129610501Y-90978445D01*
-X129655638Y-90974000D01*
-X130394362Y-90974000D01*
-X130439499Y-90978445D01*
-X130439499Y-90978445D01*
-G37*
-G36*
-X115439499Y-90978445D02*
-G01*
-X115476995Y-90989820D01*
-X115511554Y-91008292D01*
-X115541847Y-91033153D01*
-X115566708Y-91063446D01*
-X115585180Y-91098005D01*
-X115596555Y-91135501D01*
-X115601000Y-91180638D01*
-X115601000Y-91819362D01*
-X115596555Y-91864499D01*
-X115585180Y-91901995D01*
-X115566708Y-91936554D01*
-X115541847Y-91966847D01*
-X115511554Y-91991708D01*
-X115476995Y-92010180D01*
-X115439499Y-92021555D01*
-X115394362Y-92026000D01*
-X114655638Y-92026000D01*
-X114610501Y-92021555D01*
-X114573005Y-92010180D01*
-X114538446Y-91991708D01*
-X114508153Y-91966847D01*
-X114483292Y-91936554D01*
-X114464820Y-91901995D01*
-X114453445Y-91864499D01*
-X114449000Y-91819362D01*
-X114449000Y-91180638D01*
-X114453445Y-91135501D01*
-X114464820Y-91098005D01*
-X114483292Y-91063446D01*
-X114508153Y-91033153D01*
-X114538446Y-91008292D01*
-X114573005Y-90989820D01*
-X114610501Y-90978445D01*
-X114655638Y-90974000D01*
-X115394362Y-90974000D01*
-X115439499Y-90978445D01*
-X115439499Y-90978445D01*
-G37*
-G36*
-X132189499Y-90978445D02*
-G01*
-X132226995Y-90989820D01*
-X132261554Y-91008292D01*
-X132291847Y-91033153D01*
-X132316708Y-91063446D01*
-X132335180Y-91098005D01*
-X132346555Y-91135501D01*
-X132351000Y-91180638D01*
-X132351000Y-91819362D01*
-X132346555Y-91864499D01*
-X132335180Y-91901995D01*
-X132316708Y-91936554D01*
-X132291847Y-91966847D01*
-X132261554Y-91991708D01*
-X132226995Y-92010180D01*
-X132189499Y-92021555D01*
-X132144362Y-92026000D01*
-X131405638Y-92026000D01*
-X131360501Y-92021555D01*
-X131323005Y-92010180D01*
-X131288446Y-91991708D01*
-X131258153Y-91966847D01*
-X131233292Y-91936554D01*
-X131214820Y-91901995D01*
-X131203445Y-91864499D01*
-X131199000Y-91819362D01*
-X131199000Y-91180638D01*
-X131203445Y-91135501D01*
-X131214820Y-91098005D01*
-X131233292Y-91063446D01*
-X131258153Y-91033153D01*
-X131288446Y-91008292D01*
-X131323005Y-90989820D01*
-X131360501Y-90978445D01*
-X131405638Y-90974000D01*
-X132144362Y-90974000D01*
-X132189499Y-90978445D01*
-X132189499Y-90978445D01*
-G37*
-G36*
-X145539499Y-90978445D02*
-G01*
-X145576995Y-90989820D01*
-X145611554Y-91008292D01*
-X145641847Y-91033153D01*
-X145666708Y-91063446D01*
-X145685180Y-91098005D01*
-X145696555Y-91135501D01*
-X145701000Y-91180638D01*
-X145701000Y-91819362D01*
-X145696555Y-91864499D01*
-X145685180Y-91901995D01*
-X145666708Y-91936554D01*
-X145641847Y-91966847D01*
-X145611554Y-91991708D01*
-X145576995Y-92010180D01*
-X145539499Y-92021555D01*
-X145494362Y-92026000D01*
-X144755638Y-92026000D01*
-X144710501Y-92021555D01*
-X144673005Y-92010180D01*
-X144638446Y-91991708D01*
-X144608153Y-91966847D01*
-X144583292Y-91936554D01*
-X144564820Y-91901995D01*
-X144553445Y-91864499D01*
-X144549000Y-91819362D01*
-X144549000Y-91180638D01*
-X144553445Y-91135501D01*
-X144564820Y-91098005D01*
-X144583292Y-91063446D01*
-X144608153Y-91033153D01*
-X144638446Y-91008292D01*
-X144673005Y-90989820D01*
-X144710501Y-90978445D01*
-X144755638Y-90974000D01*
-X145494362Y-90974000D01*
-X145539499Y-90978445D01*
-X145539499Y-90978445D01*
-G37*
-G36*
-X109501000Y-91926000D02*
-G01*
-X108199000Y-91926000D01*
-X108199000Y-91074000D01*
-X109501000Y-91074000D01*
-X109501000Y-91926000D01*
-X109501000Y-91926000D01*
-G37*
-G36*
-X111401000Y-91926000D02*
-G01*
-X110099000Y-91926000D01*
-X110099000Y-91074000D01*
-X111401000Y-91074000D01*
-X111401000Y-91926000D01*
-X111401000Y-91926000D01*
-G37*
-G36*
-X164901000Y-91876000D02*
-G01*
-X163299000Y-91876000D01*
-X163299000Y-91174000D01*
-X164901000Y-91174000D01*
-X164901000Y-91876000D01*
-X164901000Y-91876000D01*
-G37*
-G36*
-X159501000Y-91876000D02*
-G01*
-X157899000Y-91876000D01*
-X157899000Y-91174000D01*
-X159501000Y-91174000D01*
-X159501000Y-91876000D01*
-X159501000Y-91876000D01*
-G37*
-G36*
-X105601000Y-91751000D02*
-G01*
-X104599000Y-91751000D01*
-X104599000Y-90849000D01*
-X105601000Y-90849000D01*
-X105601000Y-91751000D01*
-X105601000Y-91751000D01*
-G37*
-G36*
-X156426000Y-91501000D02*
-G01*
-X155574000Y-91501000D01*
-X155574000Y-90199000D01*
-X156426000Y-90199000D01*
-X156426000Y-91501000D01*
-X156426000Y-91501000D01*
-G37*
-G36*
-X143126000Y-91186000D02*
-G01*
-X141474000Y-91186000D01*
-X141474000Y-90484000D01*
-X143126000Y-90484000D01*
-X143126000Y-91186000D01*
-X143126000Y-91186000D01*
-G37*
-G36*
-X137726000Y-91186000D02*
-G01*
-X136074000Y-91186000D01*
-X136074000Y-90484000D01*
-X137726000Y-90484000D01*
-X137726000Y-91186000D01*
-X137726000Y-91186000D01*
-G37*
-G36*
-X128126000Y-91186000D02*
-G01*
-X126474000Y-91186000D01*
-X126474000Y-90484000D01*
-X128126000Y-90484000D01*
-X128126000Y-91186000D01*
-X128126000Y-91186000D01*
-G37*
-G36*
-X122726000Y-91186000D02*
-G01*
-X121074000Y-91186000D01*
-X121074000Y-90484000D01*
-X122726000Y-90484000D01*
-X122726000Y-91186000D01*
-X122726000Y-91186000D01*
-G37*
-G36*
-X134364499Y-89753445D02*
-G01*
-X134401995Y-89764820D01*
-X134436554Y-89783292D01*
-X134466847Y-89808153D01*
-X134491708Y-89838446D01*
-X134510180Y-89873005D01*
-X134521555Y-89910501D01*
-X134526000Y-89955638D01*
-X134526000Y-90694362D01*
-X134521555Y-90739499D01*
-X134510180Y-90776995D01*
-X134491708Y-90811554D01*
-X134466847Y-90841847D01*
-X134436554Y-90866708D01*
-X134401995Y-90885180D01*
-X134364499Y-90896555D01*
-X134319362Y-90901000D01*
-X133680638Y-90901000D01*
-X133635501Y-90896555D01*
-X133598005Y-90885180D01*
-X133563446Y-90866708D01*
-X133533153Y-90841847D01*
-X133508292Y-90811554D01*
-X133489820Y-90776995D01*
-X133478445Y-90739499D01*
-X133474000Y-90694362D01*
-X133474000Y-89955638D01*
-X133478445Y-89910501D01*
-X133489820Y-89873005D01*
-X133508292Y-89838446D01*
-X133533153Y-89808153D01*
-X133563446Y-89783292D01*
-X133598005Y-89764820D01*
-X133635501Y-89753445D01*
-X133680638Y-89749000D01*
-X134319362Y-89749000D01*
-X134364499Y-89753445D01*
-X134364499Y-89753445D01*
-G37*
-G36*
-X107601000Y-90801000D02*
-G01*
-X106599000Y-90801000D01*
-X106599000Y-89899000D01*
-X107601000Y-89899000D01*
-X107601000Y-90801000D01*
-X107601000Y-90801000D01*
-G37*
-G36*
-X99864499Y-89553445D02*
-G01*
-X99901995Y-89564820D01*
-X99936554Y-89583292D01*
-X99966847Y-89608153D01*
-X99991708Y-89638446D01*
-X100010180Y-89673005D01*
-X100021555Y-89710501D01*
-X100026000Y-89755638D01*
-X100026000Y-90494362D01*
-X100021555Y-90539499D01*
-X100010180Y-90576995D01*
-X99991708Y-90611554D01*
-X99966847Y-90641847D01*
-X99936554Y-90666708D01*
-X99901995Y-90685180D01*
-X99864499Y-90696555D01*
-X99819362Y-90701000D01*
-X99180638Y-90701000D01*
-X99135501Y-90696555D01*
-X99098005Y-90685180D01*
-X99063446Y-90666708D01*
-X99033153Y-90641847D01*
-X99008292Y-90611554D01*
-X98989820Y-90576995D01*
-X98978445Y-90539499D01*
-X98974000Y-90494362D01*
-X98974000Y-89755638D01*
-X98978445Y-89710501D01*
-X98989820Y-89673005D01*
-X99008292Y-89638446D01*
-X99033153Y-89608153D01*
-X99063446Y-89583292D01*
-X99098005Y-89564820D01*
-X99135501Y-89553445D01*
-X99180638Y-89549000D01*
-X99819362Y-89549000D01*
-X99864499Y-89553445D01*
-X99864499Y-89553445D01*
-G37*
-G36*
-X164901000Y-90606000D02*
-G01*
-X163299000Y-90606000D01*
-X163299000Y-89904000D01*
-X164901000Y-89904000D01*
-X164901000Y-90606000D01*
-X164901000Y-90606000D01*
-G37*
-G36*
-X159501000Y-90606000D02*
-G01*
-X157899000Y-90606000D01*
-X157899000Y-89904000D01*
-X159501000Y-89904000D01*
-X159501000Y-90606000D01*
-X159501000Y-90606000D01*
-G37*
-G36*
-X98026000Y-90501000D02*
-G01*
-X97174000Y-90501000D01*
-X97174000Y-89199000D01*
-X98026000Y-89199000D01*
-X98026000Y-90501000D01*
-X98026000Y-90501000D01*
-G37*
-G36*
-X104181000Y-90426000D02*
-G01*
-X103019000Y-90426000D01*
-X103019000Y-89674000D01*
-X104181000Y-89674000D01*
-X104181000Y-90426000D01*
-X104181000Y-90426000D01*
-G37*
-G36*
-X145539499Y-89178445D02*
-G01*
-X145576995Y-89189820D01*
-X145611554Y-89208292D01*
-X145641847Y-89233153D01*
-X145666708Y-89263446D01*
-X145685180Y-89298005D01*
-X145696555Y-89335501D01*
-X145701000Y-89380638D01*
-X145701000Y-90019362D01*
-X145696555Y-90064499D01*
-X145685180Y-90101995D01*
-X145666708Y-90136554D01*
-X145641847Y-90166847D01*
-X145611554Y-90191708D01*
-X145576995Y-90210180D01*
-X145539499Y-90221555D01*
-X145494362Y-90226000D01*
-X144755638Y-90226000D01*
-X144710501Y-90221555D01*
-X144673005Y-90210180D01*
-X144638446Y-90191708D01*
-X144608153Y-90166847D01*
-X144583292Y-90136554D01*
-X144564820Y-90101995D01*
-X144553445Y-90064499D01*
-X144549000Y-90019362D01*
-X144549000Y-89380638D01*
-X144553445Y-89335501D01*
-X144564820Y-89298005D01*
-X144583292Y-89263446D01*
-X144608153Y-89233153D01*
-X144638446Y-89208292D01*
-X144673005Y-89189820D01*
-X144710501Y-89178445D01*
-X144755638Y-89174000D01*
-X145494362Y-89174000D01*
-X145539499Y-89178445D01*
-X145539499Y-89178445D01*
-G37*
-G36*
-X132189499Y-89178445D02*
-G01*
-X132226995Y-89189820D01*
-X132261554Y-89208292D01*
-X132291847Y-89233153D01*
-X132316708Y-89263446D01*
-X132335180Y-89298005D01*
-X132346555Y-89335501D01*
-X132351000Y-89380638D01*
-X132351000Y-90019362D01*
-X132346555Y-90064499D01*
-X132335180Y-90101995D01*
-X132316708Y-90136554D01*
-X132291847Y-90166847D01*
-X132261554Y-90191708D01*
-X132226995Y-90210180D01*
-X132189499Y-90221555D01*
-X132144362Y-90226000D01*
-X131405638Y-90226000D01*
-X131360501Y-90221555D01*
-X131323005Y-90210180D01*
-X131288446Y-90191708D01*
-X131258153Y-90166847D01*
-X131233292Y-90136554D01*
-X131214820Y-90101995D01*
-X131203445Y-90064499D01*
-X131199000Y-90019362D01*
-X131199000Y-89380638D01*
-X131203445Y-89335501D01*
-X131214820Y-89298005D01*
-X131233292Y-89263446D01*
-X131258153Y-89233153D01*
-X131288446Y-89208292D01*
-X131323005Y-89189820D01*
-X131360501Y-89178445D01*
-X131405638Y-89174000D01*
-X132144362Y-89174000D01*
-X132189499Y-89178445D01*
-X132189499Y-89178445D01*
-G37*
-G36*
-X130439499Y-89178445D02*
-G01*
-X130476995Y-89189820D01*
-X130511554Y-89208292D01*
-X130541847Y-89233153D01*
-X130566708Y-89263446D01*
-X130585180Y-89298005D01*
-X130596555Y-89335501D01*
-X130601000Y-89380638D01*
-X130601000Y-90019362D01*
-X130596555Y-90064499D01*
-X130585180Y-90101995D01*
-X130566708Y-90136554D01*
-X130541847Y-90166847D01*
-X130511554Y-90191708D01*
-X130476995Y-90210180D01*
-X130439499Y-90221555D01*
-X130394362Y-90226000D01*
-X129655638Y-90226000D01*
-X129610501Y-90221555D01*
-X129573005Y-90210180D01*
-X129538446Y-90191708D01*
-X129508153Y-90166847D01*
-X129483292Y-90136554D01*
-X129464820Y-90101995D01*
-X129453445Y-90064499D01*
-X129449000Y-90019362D01*
-X129449000Y-89380638D01*
-X129453445Y-89335501D01*
-X129464820Y-89298005D01*
-X129483292Y-89263446D01*
-X129508153Y-89233153D01*
-X129538446Y-89208292D01*
-X129573005Y-89189820D01*
-X129610501Y-89178445D01*
-X129655638Y-89174000D01*
-X130394362Y-89174000D01*
-X130439499Y-89178445D01*
-X130439499Y-89178445D01*
-G37*
-G36*
-X147289499Y-89178445D02*
-G01*
-X147326995Y-89189820D01*
-X147361554Y-89208292D01*
-X147391847Y-89233153D01*
-X147416708Y-89263446D01*
-X147435180Y-89298005D01*
-X147446555Y-89335501D01*
-X147451000Y-89380638D01*
-X147451000Y-90019362D01*
-X147446555Y-90064499D01*
-X147435180Y-90101995D01*
-X147416708Y-90136554D01*
-X147391847Y-90166847D01*
-X147361554Y-90191708D01*
-X147326995Y-90210180D01*
-X147289499Y-90221555D01*
-X147244362Y-90226000D01*
-X146505638Y-90226000D01*
-X146460501Y-90221555D01*
-X146423005Y-90210180D01*
-X146388446Y-90191708D01*
-X146358153Y-90166847D01*
-X146333292Y-90136554D01*
-X146314820Y-90101995D01*
-X146303445Y-90064499D01*
-X146299000Y-90019362D01*
-X146299000Y-89380638D01*
-X146303445Y-89335501D01*
-X146314820Y-89298005D01*
-X146333292Y-89263446D01*
-X146358153Y-89233153D01*
-X146388446Y-89208292D01*
-X146423005Y-89189820D01*
-X146460501Y-89178445D01*
-X146505638Y-89174000D01*
-X147244362Y-89174000D01*
-X147289499Y-89178445D01*
-X147289499Y-89178445D01*
-G37*
-G36*
-X92426000Y-90201000D02*
-G01*
-X91574000Y-90201000D01*
-X91574000Y-88899000D01*
-X92426000Y-88899000D01*
-X92426000Y-90201000D01*
-X92426000Y-90201000D01*
-G37*
-G36*
-X122726000Y-89916000D02*
-G01*
-X121074000Y-89916000D01*
-X121074000Y-89214000D01*
-X122726000Y-89214000D01*
-X122726000Y-89916000D01*
-X122726000Y-89916000D01*
-G37*
-G36*
-X128126000Y-89916000D02*
-G01*
-X126474000Y-89916000D01*
-X126474000Y-89214000D01*
-X128126000Y-89214000D01*
-X128126000Y-89916000D01*
-X128126000Y-89916000D01*
-G37*
-G36*
-X143126000Y-89916000D02*
-G01*
-X141474000Y-89916000D01*
-X141474000Y-89214000D01*
-X143126000Y-89214000D01*
-X143126000Y-89916000D01*
-X143126000Y-89916000D01*
-G37*
-G36*
-X137726000Y-89916000D02*
-G01*
-X136074000Y-89916000D01*
-X136074000Y-89214000D01*
-X137726000Y-89214000D01*
-X137726000Y-89916000D01*
-X137726000Y-89916000D01*
-G37*
-G36*
-X153983642Y-88229781D02*
-G01*
-X154129414Y-88290162D01*
-X154129416Y-88290163D01*
-X154260608Y-88377822D01*
-X154372178Y-88489392D01*
-X154455644Y-88614309D01*
-X154459838Y-88620586D01*
-X154520219Y-88766358D01*
-X154551000Y-88921107D01*
-X154551000Y-89078893D01*
-X154520219Y-89233642D01*
-X154477674Y-89336354D01*
-X154459837Y-89379416D01*
-X154372178Y-89510608D01*
-X154260608Y-89622178D01*
-X154129416Y-89709837D01*
-X154129415Y-89709838D01*
-X154129414Y-89709838D01*
-X153983642Y-89770219D01*
-X153828893Y-89801000D01*
-X153671107Y-89801000D01*
-X153516358Y-89770219D01*
-X153370586Y-89709838D01*
-X153370585Y-89709838D01*
-X153370584Y-89709837D01*
-X153239392Y-89622178D01*
-X153127822Y-89510608D01*
-X153040163Y-89379416D01*
-X153022326Y-89336354D01*
-X152979781Y-89233642D01*
-X152949000Y-89078893D01*
-X152949000Y-88921107D01*
-X152979781Y-88766358D01*
-X153040162Y-88620586D01*
-X153044356Y-88614309D01*
-X153127822Y-88489392D01*
-X153239392Y-88377822D01*
-X153370584Y-88290163D01*
-X153370586Y-88290162D01*
-X153516358Y-88229781D01*
-X153671107Y-88199000D01*
-X153828893Y-88199000D01*
-X153983642Y-88229781D01*
-X153983642Y-88229781D01*
-G37*
-G36*
-X110433642Y-87929781D02*
-G01*
-X110556421Y-87980638D01*
-X110579416Y-87990163D01*
-X110710608Y-88077822D01*
-X110822178Y-88189392D01*
-X110909837Y-88320584D01*
-X110909838Y-88320586D01*
-X110970219Y-88466358D01*
-X111001000Y-88621107D01*
-X111001000Y-88778893D01*
-X110970219Y-88933642D01*
-X110929732Y-89031385D01*
-X110909837Y-89079416D01*
-X110822178Y-89210608D01*
-X110710608Y-89322178D01*
-X110579416Y-89409837D01*
-X110579415Y-89409838D01*
-X110579414Y-89409838D01*
-X110433642Y-89470219D01*
-X110278893Y-89501000D01*
-X110121107Y-89501000D01*
-X109966358Y-89470219D01*
-X109820586Y-89409838D01*
-X109820585Y-89409838D01*
-X109820584Y-89409837D01*
-X109689392Y-89322178D01*
-X109577822Y-89210608D01*
-X109490163Y-89079416D01*
-X109470268Y-89031385D01*
-X109429781Y-88933642D01*
-X109399000Y-88778893D01*
-X109399000Y-88621107D01*
-X109429781Y-88466358D01*
-X109490162Y-88320586D01*
-X109490163Y-88320584D01*
-X109577822Y-88189392D01*
-X109689392Y-88077822D01*
-X109820584Y-87990163D01*
-X109843579Y-87980638D01*
-X109966358Y-87929781D01*
-X110121107Y-87899000D01*
-X110278893Y-87899000D01*
-X110433642Y-87929781D01*
-X110433642Y-87929781D01*
-G37*
-G36*
-X119433642Y-87829781D02*
-G01*
-X119579414Y-87890162D01*
-X119579416Y-87890163D01*
-X119710608Y-87977822D01*
-X119822178Y-88089392D01*
-X119903171Y-88210608D01*
-X119909838Y-88220586D01*
-X119970219Y-88366358D01*
-X120001000Y-88521107D01*
-X120001000Y-88678893D01*
-X119970219Y-88833642D01*
-X119933989Y-88921108D01*
-X119909837Y-88979416D01*
-X119822178Y-89110608D01*
-X119710608Y-89222178D01*
-X119579416Y-89309837D01*
-X119579415Y-89309838D01*
-X119579414Y-89309838D01*
-X119433642Y-89370219D01*
-X119278893Y-89401000D01*
-X119121107Y-89401000D01*
-X118966358Y-89370219D01*
-X118820586Y-89309838D01*
-X118820585Y-89309838D01*
-X118820584Y-89309837D01*
-X118689392Y-89222178D01*
-X118577822Y-89110608D01*
-X118490163Y-88979416D01*
-X118466011Y-88921108D01*
-X118429781Y-88833642D01*
-X118399000Y-88678893D01*
-X118399000Y-88521107D01*
-X118429781Y-88366358D01*
-X118490162Y-88220586D01*
-X118496829Y-88210608D01*
-X118577822Y-88089392D01*
-X118689392Y-87977822D01*
-X118820584Y-87890163D01*
-X118820586Y-87890162D01*
-X118966358Y-87829781D01*
-X119121107Y-87799000D01*
-X119278893Y-87799000D01*
-X119433642Y-87829781D01*
-X119433642Y-87829781D01*
-G37*
-G36*
-X164901000Y-89336000D02*
-G01*
-X163299000Y-89336000D01*
-X163299000Y-88634000D01*
-X164901000Y-88634000D01*
-X164901000Y-89336000D01*
-X164901000Y-89336000D01*
-G37*
-G36*
-X159501000Y-89336000D02*
-G01*
-X157899000Y-89336000D01*
-X157899000Y-88634000D01*
-X159501000Y-88634000D01*
-X159501000Y-89336000D01*
-X159501000Y-89336000D01*
-G37*
-G36*
-X74106564Y-87089389D02*
-G01*
-X74297833Y-87168615D01*
-X74297835Y-87168616D01*
-X74376393Y-87221107D01*
-X74469973Y-87283635D01*
-X74616365Y-87430027D01*
-X74731385Y-87602167D01*
-X74810611Y-87793436D01*
-X74851000Y-87996484D01*
-X74851000Y-88203516D01*
-X74810611Y-88406564D01*
-X74776302Y-88489393D01*
-X74731384Y-88597835D01*
-X74616365Y-88769973D01*
-X74469973Y-88916365D01*
-X74297835Y-89031384D01*
-X74297834Y-89031385D01*
-X74297833Y-89031385D01*
-X74106564Y-89110611D01*
-X73903516Y-89151000D01*
-X73696484Y-89151000D01*
-X73493436Y-89110611D01*
-X73302167Y-89031385D01*
-X73302166Y-89031385D01*
-X73302165Y-89031384D01*
-X73130027Y-88916365D01*
-X72983635Y-88769973D01*
-X72868616Y-88597835D01*
-X72823698Y-88489393D01*
-X72789389Y-88406564D01*
-X72749000Y-88203516D01*
-X72749000Y-87996484D01*
-X72789389Y-87793436D01*
-X72868615Y-87602167D01*
-X72983635Y-87430027D01*
-X73130027Y-87283635D01*
-X73223607Y-87221107D01*
-X73302165Y-87168616D01*
-X73302167Y-87168615D01*
-X73493436Y-87089389D01*
-X73696484Y-87049000D01*
-X73903516Y-87049000D01*
-X74106564Y-87089389D01*
-X74106564Y-87089389D01*
-G37*
-G36*
-X79851000Y-89151000D02*
-G01*
-X77749000Y-89151000D01*
-X77749000Y-87049000D01*
-X79851000Y-87049000D01*
-X79851000Y-89151000D01*
-X79851000Y-89151000D01*
-G37*
-G36*
-X100126000Y-88901000D02*
-G01*
-X99274000Y-88901000D01*
-X99274000Y-87599000D01*
-X100126000Y-87599000D01*
-X100126000Y-88901000D01*
-X100126000Y-88901000D01*
-G37*
-G36*
-X103439499Y-87778445D02*
-G01*
-X103476995Y-87789820D01*
-X103511554Y-87808292D01*
-X103541847Y-87833153D01*
-X103566708Y-87863446D01*
-X103585180Y-87898005D01*
-X103596555Y-87935501D01*
-X103601000Y-87980638D01*
-X103601000Y-88619362D01*
-X103596555Y-88664499D01*
-X103585180Y-88701995D01*
-X103566708Y-88736554D01*
-X103541847Y-88766847D01*
-X103511554Y-88791708D01*
-X103476995Y-88810180D01*
-X103439499Y-88821555D01*
-X103394362Y-88826000D01*
-X102655638Y-88826000D01*
-X102610501Y-88821555D01*
-X102573005Y-88810180D01*
-X102538446Y-88791708D01*
-X102508153Y-88766847D01*
-X102483292Y-88736554D01*
-X102464820Y-88701995D01*
-X102453445Y-88664499D01*
-X102449000Y-88619362D01*
-X102449000Y-87980638D01*
-X102453445Y-87935501D01*
-X102464820Y-87898005D01*
-X102483292Y-87863446D01*
-X102508153Y-87833153D01*
-X102538446Y-87808292D01*
-X102573005Y-87789820D01*
-X102610501Y-87778445D01*
-X102655638Y-87774000D01*
-X103394362Y-87774000D01*
-X103439499Y-87778445D01*
-X103439499Y-87778445D01*
-G37*
-G36*
-X105189499Y-87778445D02*
-G01*
-X105226995Y-87789820D01*
-X105261554Y-87808292D01*
-X105291847Y-87833153D01*
-X105316708Y-87863446D01*
-X105335180Y-87898005D01*
-X105346555Y-87935501D01*
-X105351000Y-87980638D01*
-X105351000Y-88619362D01*
-X105346555Y-88664499D01*
-X105335180Y-88701995D01*
-X105316708Y-88736554D01*
-X105291847Y-88766847D01*
-X105261554Y-88791708D01*
-X105226995Y-88810180D01*
-X105189499Y-88821555D01*
-X105144362Y-88826000D01*
-X104405638Y-88826000D01*
-X104360501Y-88821555D01*
-X104323005Y-88810180D01*
-X104288446Y-88791708D01*
-X104258153Y-88766847D01*
-X104233292Y-88736554D01*
-X104214820Y-88701995D01*
-X104203445Y-88664499D01*
-X104199000Y-88619362D01*
-X104199000Y-87980638D01*
-X104203445Y-87935501D01*
-X104214820Y-87898005D01*
-X104233292Y-87863446D01*
-X104258153Y-87833153D01*
-X104288446Y-87808292D01*
-X104323005Y-87789820D01*
-X104360501Y-87778445D01*
-X104405638Y-87774000D01*
-X105144362Y-87774000D01*
-X105189499Y-87778445D01*
-X105189499Y-87778445D01*
-G37*
-G36*
-X101664499Y-87603445D02*
-G01*
-X101701995Y-87614820D01*
-X101736554Y-87633292D01*
-X101766847Y-87658153D01*
-X101791708Y-87688446D01*
-X101810180Y-87723005D01*
-X101821555Y-87760501D01*
-X101826000Y-87805638D01*
-X101826000Y-88544362D01*
-X101821555Y-88589499D01*
-X101810180Y-88626995D01*
-X101791708Y-88661554D01*
-X101766847Y-88691847D01*
-X101736554Y-88716708D01*
-X101701995Y-88735180D01*
-X101664499Y-88746555D01*
-X101619362Y-88751000D01*
-X100980638Y-88751000D01*
-X100935501Y-88746555D01*
-X100898005Y-88735180D01*
-X100863446Y-88716708D01*
-X100833153Y-88691847D01*
-X100808292Y-88661554D01*
-X100789820Y-88626995D01*
-X100778445Y-88589499D01*
-X100774000Y-88544362D01*
-X100774000Y-87805638D01*
-X100778445Y-87760501D01*
-X100789820Y-87723005D01*
-X100808292Y-87688446D01*
-X100833153Y-87658153D01*
-X100863446Y-87633292D01*
-X100898005Y-87614820D01*
-X100935501Y-87603445D01*
-X100980638Y-87599000D01*
-X101619362Y-87599000D01*
-X101664499Y-87603445D01*
-X101664499Y-87603445D01*
-G37*
-G36*
-X122726000Y-88646000D02*
-G01*
-X121074000Y-88646000D01*
-X121074000Y-87944000D01*
-X122726000Y-87944000D01*
-X122726000Y-88646000D01*
-X122726000Y-88646000D01*
-G37*
-G36*
-X137726000Y-88646000D02*
-G01*
-X136074000Y-88646000D01*
-X136074000Y-87944000D01*
-X137726000Y-87944000D01*
-X137726000Y-88646000D01*
-X137726000Y-88646000D01*
-G37*
-G36*
-X128126000Y-88646000D02*
-G01*
-X126474000Y-88646000D01*
-X126474000Y-87944000D01*
-X128126000Y-87944000D01*
-X128126000Y-88646000D01*
-X128126000Y-88646000D01*
-G37*
-G36*
-X143126000Y-88646000D02*
-G01*
-X141474000Y-88646000D01*
-X141474000Y-87944000D01*
-X143126000Y-87944000D01*
-X143126000Y-88646000D01*
-X143126000Y-88646000D01*
-G37*
-G36*
-X108433642Y-86929781D02*
-G01*
-X108567386Y-86985180D01*
-X108579416Y-86990163D01*
-X108710608Y-87077822D01*
-X108822178Y-87189392D01*
-X108909592Y-87320218D01*
-X108909838Y-87320586D01*
-X108970219Y-87466358D01*
-X109001000Y-87621107D01*
-X109001000Y-87778893D01*
-X108970219Y-87933642D01*
-X108915394Y-88066000D01*
-X108909837Y-88079416D01*
-X108822178Y-88210608D01*
-X108710608Y-88322178D01*
-X108579416Y-88409837D01*
-X108579415Y-88409838D01*
-X108579414Y-88409838D01*
-X108433642Y-88470219D01*
-X108278893Y-88501000D01*
-X108121107Y-88501000D01*
-X107966358Y-88470219D01*
-X107820586Y-88409838D01*
-X107820585Y-88409838D01*
-X107820584Y-88409837D01*
-X107689392Y-88322178D01*
-X107577822Y-88210608D01*
-X107490163Y-88079416D01*
-X107484606Y-88066000D01*
-X107429781Y-87933642D01*
-X107399000Y-87778893D01*
-X107399000Y-87621107D01*
-X107429781Y-87466358D01*
-X107490162Y-87320586D01*
-X107490408Y-87320218D01*
-X107577822Y-87189392D01*
-X107689392Y-87077822D01*
-X107820584Y-86990163D01*
-X107832614Y-86985180D01*
-X107966358Y-86929781D01*
-X108121107Y-86899000D01*
-X108278893Y-86899000D01*
-X108433642Y-86929781D01*
-X108433642Y-86929781D01*
-G37*
-G36*
-X86833642Y-86829781D02*
-G01*
-X86947626Y-86876995D01*
-X86979416Y-86890163D01*
-X87110608Y-86977822D01*
-X87222178Y-87089392D01*
-X87275113Y-87168616D01*
-X87309838Y-87220586D01*
-X87370219Y-87366358D01*
-X87401000Y-87521107D01*
-X87401000Y-87678893D01*
-X87370219Y-87833642D01*
-X87330396Y-87929782D01*
-X87309837Y-87979416D01*
-X87222178Y-88110608D01*
-X87110608Y-88222178D01*
-X86979416Y-88309837D01*
-X86979415Y-88309838D01*
-X86979414Y-88309838D01*
-X86833642Y-88370219D01*
-X86678893Y-88401000D01*
-X86521107Y-88401000D01*
-X86366358Y-88370219D01*
-X86220586Y-88309838D01*
-X86220585Y-88309838D01*
-X86220584Y-88309837D01*
-X86089392Y-88222178D01*
-X85977822Y-88110608D01*
-X85890163Y-87979416D01*
-X85869604Y-87929782D01*
-X85829781Y-87833642D01*
-X85799000Y-87678893D01*
-X85799000Y-87521107D01*
-X85829781Y-87366358D01*
-X85890162Y-87220586D01*
-X85924887Y-87168616D01*
-X85977822Y-87089392D01*
-X86089392Y-86977822D01*
-X86220584Y-86890163D01*
-X86252374Y-86876995D01*
-X86366358Y-86829781D01*
-X86521107Y-86799000D01*
-X86678893Y-86799000D01*
-X86833642Y-86829781D01*
-X86833642Y-86829781D01*
-G37*
-G36*
-X132501000Y-88326000D02*
-G01*
-X131199000Y-88326000D01*
-X131199000Y-87474000D01*
-X132501000Y-87474000D01*
-X132501000Y-88326000D01*
-X132501000Y-88326000D01*
-G37*
-G36*
-X130601000Y-88326000D02*
-G01*
-X129299000Y-88326000D01*
-X129299000Y-87474000D01*
-X130601000Y-87474000D01*
-X130601000Y-88326000D01*
-X130601000Y-88326000D01*
-G37*
-G36*
-X147601000Y-88326000D02*
-G01*
-X146299000Y-88326000D01*
-X146299000Y-87474000D01*
-X147601000Y-87474000D01*
-X147601000Y-88326000D01*
-X147601000Y-88326000D01*
-G37*
-G36*
-X145701000Y-88326000D02*
-G01*
-X144399000Y-88326000D01*
-X144399000Y-87474000D01*
-X145701000Y-87474000D01*
-X145701000Y-88326000D01*
-X145701000Y-88326000D01*
-G37*
-G36*
-X113333642Y-86529781D02*
-G01*
-X113479414Y-86590162D01*
-X113479416Y-86590163D01*
-X113610608Y-86677822D01*
-X113722178Y-86789392D01*
-X113795415Y-86899000D01*
-X113809838Y-86920586D01*
-X113870219Y-87066358D01*
-X113901000Y-87221107D01*
-X113901000Y-87378893D01*
-X113870219Y-87533642D01*
-X113811239Y-87676031D01*
-X113809837Y-87679416D01*
-X113722178Y-87810608D01*
-X113610608Y-87922178D01*
-X113479416Y-88009837D01*
-X113479415Y-88009838D01*
-X113479414Y-88009838D01*
-X113333642Y-88070219D01*
-X113178893Y-88101000D01*
-X113021107Y-88101000D01*
-X112866358Y-88070219D01*
-X112720586Y-88009838D01*
-X112720585Y-88009838D01*
-X112720584Y-88009837D01*
-X112589392Y-87922178D01*
-X112477822Y-87810608D01*
-X112390163Y-87679416D01*
-X112388761Y-87676031D01*
-X112329781Y-87533642D01*
-X112299000Y-87378893D01*
-X112299000Y-87221107D01*
-X112329781Y-87066358D01*
-X112390162Y-86920586D01*
-X112404585Y-86899000D01*
-X112477822Y-86789392D01*
-X112589392Y-86677822D01*
-X112720584Y-86590163D01*
-X112720586Y-86590162D01*
-X112866358Y-86529781D01*
-X113021107Y-86499000D01*
-X113178893Y-86499000D01*
-X113333642Y-86529781D01*
-X113333642Y-86529781D01*
-G37*
-G36*
-X164901000Y-88066000D02*
-G01*
-X163299000Y-88066000D01*
-X163299000Y-87364000D01*
-X164901000Y-87364000D01*
-X164901000Y-88066000D01*
-X164901000Y-88066000D01*
-G37*
-G36*
-X159501000Y-88066000D02*
-G01*
-X157899000Y-88066000D01*
-X157899000Y-87364000D01*
-X159501000Y-87364000D01*
-X159501000Y-88066000D01*
-X159501000Y-88066000D01*
-G37*
-G36*
-X96201000Y-88051000D02*
-G01*
-X93799000Y-88051000D01*
-X93799000Y-86549000D01*
-X96201000Y-86549000D01*
-X96201000Y-88051000D01*
-X96201000Y-88051000D01*
-G37*
-G36*
-X136533642Y-85829781D02*
-G01*
-X136662828Y-85883292D01*
-X136679416Y-85890163D01*
-X136810608Y-85977822D01*
-X136922178Y-86089392D01*
-X137009837Y-86220584D01*
-X137009838Y-86220586D01*
-X137070219Y-86366358D01*
-X137101000Y-86521107D01*
-X137101000Y-86678893D01*
-X137070219Y-86833642D01*
-X137030396Y-86929782D01*
-X137009837Y-86979416D01*
-X136922178Y-87110608D01*
-X136810608Y-87222178D01*
-X136679416Y-87309837D01*
-X136679415Y-87309838D01*
-X136679414Y-87309838D01*
-X136533642Y-87370219D01*
-X136378893Y-87401000D01*
-X136221107Y-87401000D01*
-X136066358Y-87370219D01*
-X135920586Y-87309838D01*
-X135920585Y-87309838D01*
-X135920584Y-87309837D01*
-X135789392Y-87222178D01*
-X135677822Y-87110608D01*
-X135590163Y-86979416D01*
-X135569604Y-86929782D01*
-X135529781Y-86833642D01*
-X135499000Y-86678893D01*
-X135499000Y-86521107D01*
-X135529781Y-86366358D01*
-X135590162Y-86220586D01*
-X135590163Y-86220584D01*
-X135677822Y-86089392D01*
-X135789392Y-85977822D01*
-X135920584Y-85890163D01*
-X135937172Y-85883292D01*
-X136066358Y-85829781D01*
-X136221107Y-85799000D01*
-X136378893Y-85799000D01*
-X136533642Y-85829781D01*
-X136533642Y-85829781D01*
-G37*
-G36*
-X154933642Y-85779781D02*
-G01*
-X155079414Y-85840162D01*
-X155079416Y-85840163D01*
-X155210608Y-85927822D01*
-X155322178Y-86039392D01*
-X155387397Y-86137000D01*
-X155409838Y-86170586D01*
-X155470219Y-86316358D01*
-X155501000Y-86471107D01*
-X155501000Y-86628893D01*
-X155470219Y-86783642D01*
-X155413345Y-86920947D01*
-X155409837Y-86929416D01*
-X155322178Y-87060608D01*
-X155210608Y-87172178D01*
-X155079416Y-87259837D01*
-X155079415Y-87259838D01*
-X155079414Y-87259838D01*
-X154933642Y-87320219D01*
-X154778893Y-87351000D01*
-X154621107Y-87351000D01*
-X154466358Y-87320219D01*
-X154320586Y-87259838D01*
-X154320585Y-87259838D01*
-X154320584Y-87259837D01*
-X154189392Y-87172178D01*
-X154077822Y-87060608D01*
-X153990163Y-86929416D01*
-X153986655Y-86920947D01*
-X153929781Y-86783642D01*
-X153899000Y-86628893D01*
-X153899000Y-86471107D01*
-X153929781Y-86316358D01*
-X153990162Y-86170586D01*
-X154012603Y-86137000D01*
-X154077822Y-86039392D01*
-X154189392Y-85927822D01*
-X154320584Y-85840163D01*
-X154320586Y-85840162D01*
-X154466358Y-85779781D01*
-X154621107Y-85749000D01*
-X154778893Y-85749000D01*
-X154933642Y-85779781D01*
-X154933642Y-85779781D01*
-G37*
-G36*
-X101664499Y-85853445D02*
-G01*
-X101701995Y-85864820D01*
-X101736554Y-85883292D01*
-X101766847Y-85908153D01*
-X101791708Y-85938446D01*
-X101810180Y-85973005D01*
-X101821555Y-86010501D01*
-X101826000Y-86055638D01*
-X101826000Y-86794362D01*
-X101821555Y-86839499D01*
-X101810180Y-86876995D01*
-X101791708Y-86911554D01*
-X101766847Y-86941847D01*
-X101736554Y-86966708D01*
-X101701995Y-86985180D01*
-X101664499Y-86996555D01*
-X101619362Y-87001000D01*
-X100980638Y-87001000D01*
-X100935501Y-86996555D01*
-X100898005Y-86985180D01*
-X100863446Y-86966708D01*
-X100833153Y-86941847D01*
-X100808292Y-86911554D01*
-X100789820Y-86876995D01*
-X100778445Y-86839499D01*
-X100774000Y-86794362D01*
-X100774000Y-86055638D01*
-X100778445Y-86010501D01*
-X100789820Y-85973005D01*
-X100808292Y-85938446D01*
-X100833153Y-85908153D01*
-X100863446Y-85883292D01*
-X100898005Y-85864820D01*
-X100935501Y-85853445D01*
-X100980638Y-85849000D01*
-X101619362Y-85849000D01*
-X101664499Y-85853445D01*
-X101664499Y-85853445D01*
-G37*
-G36*
-X100126000Y-87001000D02*
-G01*
-X99274000Y-87001000D01*
-X99274000Y-85699000D01*
-X100126000Y-85699000D01*
-X100126000Y-87001000D01*
-X100126000Y-87001000D01*
-G37*
-G36*
-X138939499Y-85878445D02*
-G01*
-X138976995Y-85889820D01*
-X139011554Y-85908292D01*
-X139041847Y-85933153D01*
-X139066708Y-85963446D01*
-X139085180Y-85998005D01*
-X139096555Y-86035501D01*
-X139101000Y-86080638D01*
-X139101000Y-86719362D01*
-X139096555Y-86764499D01*
-X139085180Y-86801995D01*
-X139066708Y-86836554D01*
-X139041847Y-86866847D01*
-X139011554Y-86891708D01*
-X138976995Y-86910180D01*
-X138939499Y-86921555D01*
-X138894362Y-86926000D01*
-X138155638Y-86926000D01*
-X138110501Y-86921555D01*
-X138073005Y-86910180D01*
-X138038446Y-86891708D01*
-X138008153Y-86866847D01*
-X137983292Y-86836554D01*
-X137964820Y-86801995D01*
-X137953445Y-86764499D01*
-X137949000Y-86719362D01*
-X137949000Y-86080638D01*
-X137953445Y-86035501D01*
-X137964820Y-85998005D01*
-X137983292Y-85963446D01*
-X138008153Y-85933153D01*
-X138038446Y-85908292D01*
-X138073005Y-85889820D01*
-X138110501Y-85878445D01*
-X138155638Y-85874000D01*
-X138894362Y-85874000D01*
-X138939499Y-85878445D01*
-X138939499Y-85878445D01*
-G37*
-G36*
-X140689499Y-85878445D02*
-G01*
-X140726995Y-85889820D01*
-X140761554Y-85908292D01*
-X140791847Y-85933153D01*
-X140816708Y-85963446D01*
-X140835180Y-85998005D01*
-X140846555Y-86035501D01*
-X140851000Y-86080638D01*
-X140851000Y-86719362D01*
-X140846555Y-86764499D01*
-X140835180Y-86801995D01*
-X140816708Y-86836554D01*
-X140791847Y-86866847D01*
-X140761554Y-86891708D01*
-X140726995Y-86910180D01*
-X140689499Y-86921555D01*
-X140644362Y-86926000D01*
-X139905638Y-86926000D01*
-X139860501Y-86921555D01*
-X139823005Y-86910180D01*
-X139788446Y-86891708D01*
-X139758153Y-86866847D01*
-X139733292Y-86836554D01*
-X139714820Y-86801995D01*
-X139703445Y-86764499D01*
-X139699000Y-86719362D01*
-X139699000Y-86080638D01*
-X139703445Y-86035501D01*
-X139714820Y-85998005D01*
-X139733292Y-85963446D01*
-X139758153Y-85933153D01*
-X139788446Y-85908292D01*
-X139823005Y-85889820D01*
-X139860501Y-85878445D01*
-X139905638Y-85874000D01*
-X140644362Y-85874000D01*
-X140689499Y-85878445D01*
-X140689499Y-85878445D01*
-G37*
-G36*
-X164901000Y-86796000D02*
-G01*
-X163299000Y-86796000D01*
-X163299000Y-86094000D01*
-X164901000Y-86094000D01*
-X164901000Y-86796000D01*
-X164901000Y-86796000D01*
-G37*
-G36*
-X159501000Y-86796000D02*
-G01*
-X157899000Y-86796000D01*
-X157899000Y-86094000D01*
-X159501000Y-86094000D01*
-X159501000Y-86796000D01*
-X159501000Y-86796000D01*
-G37*
-G36*
-X126201000Y-86326000D02*
-G01*
-X124899000Y-86326000D01*
-X124899000Y-85474000D01*
-X126201000Y-85474000D01*
-X126201000Y-86326000D01*
-X126201000Y-86326000D01*
-G37*
-G36*
-X124301000Y-86326000D02*
-G01*
-X122999000Y-86326000D01*
-X122999000Y-85474000D01*
-X124301000Y-85474000D01*
-X124301000Y-86326000D01*
-X124301000Y-86326000D01*
-G37*
-G36*
-X164901000Y-85526000D02*
-G01*
-X163299000Y-85526000D01*
-X163299000Y-84824000D01*
-X164901000Y-84824000D01*
-X164901000Y-85526000D01*
-X164901000Y-85526000D01*
-G37*
-G36*
-X159501000Y-85526000D02*
-G01*
-X157899000Y-85526000D01*
-X157899000Y-84824000D01*
-X159501000Y-84824000D01*
-X159501000Y-85526000D01*
-X159501000Y-85526000D01*
-G37*
-G36*
-X156833642Y-83829781D02*
-G01*
-X156977555Y-83889392D01*
-X156979416Y-83890163D01*
-X157110608Y-83977822D01*
-X157222178Y-84089392D01*
-X157309837Y-84220584D01*
-X157309838Y-84220586D01*
-X157370219Y-84366358D01*
-X157401000Y-84521107D01*
-X157401000Y-84678893D01*
-X157370219Y-84833642D01*
-X157309838Y-84979414D01*
-X157309837Y-84979416D01*
-X157222178Y-85110608D01*
-X157110608Y-85222178D01*
-X156979416Y-85309837D01*
-X156979415Y-85309838D01*
-X156979414Y-85309838D01*
-X156833642Y-85370219D01*
-X156678893Y-85401000D01*
-X156521107Y-85401000D01*
-X156366358Y-85370219D01*
-X156220586Y-85309838D01*
-X156220585Y-85309838D01*
-X156220584Y-85309837D01*
-X156089392Y-85222178D01*
-X155977822Y-85110608D01*
-X155890163Y-84979416D01*
-X155890162Y-84979414D01*
-X155829781Y-84833642D01*
-X155799000Y-84678893D01*
-X155799000Y-84521107D01*
-X155829781Y-84366358D01*
-X155890162Y-84220586D01*
-X155890163Y-84220584D01*
-X155977822Y-84089392D01*
-X156089392Y-83977822D01*
-X156220584Y-83890163D01*
-X156222445Y-83889392D01*
-X156366358Y-83829781D01*
-X156521107Y-83799000D01*
-X156678893Y-83799000D01*
-X156833642Y-83829781D01*
-X156833642Y-83829781D01*
-G37*
-G36*
-X82333642Y-83629781D02*
-G01*
-X82479414Y-83690162D01*
-X82479416Y-83690163D01*
-X82610608Y-83777822D01*
-X82722178Y-83889392D01*
-X82809837Y-84020584D01*
-X82809838Y-84020586D01*
-X82870219Y-84166358D01*
-X82901000Y-84321107D01*
-X82901000Y-84478893D01*
-X82870219Y-84633642D01*
-X82851475Y-84678893D01*
-X82809837Y-84779416D01*
-X82722178Y-84910608D01*
-X82610608Y-85022178D01*
-X82479416Y-85109837D01*
-X82479415Y-85109838D01*
-X82479414Y-85109838D01*
-X82333642Y-85170219D01*
-X82178893Y-85201000D01*
-X82021107Y-85201000D01*
-X81866358Y-85170219D01*
-X81720586Y-85109838D01*
-X81720585Y-85109838D01*
-X81720584Y-85109837D01*
-X81589392Y-85022178D01*
-X81477822Y-84910608D01*
-X81390163Y-84779416D01*
-X81348525Y-84678893D01*
-X81329781Y-84633642D01*
-X81299000Y-84478893D01*
-X81299000Y-84321107D01*
-X81329781Y-84166358D01*
-X81390162Y-84020586D01*
-X81390163Y-84020584D01*
-X81477822Y-83889392D01*
-X81589392Y-83777822D01*
-X81720584Y-83690163D01*
-X81720586Y-83690162D01*
-X81866358Y-83629781D01*
-X82021107Y-83599000D01*
-X82178893Y-83599000D01*
-X82333642Y-83629781D01*
-X82333642Y-83629781D01*
-G37*
-G36*
-X164901000Y-84256000D02*
-G01*
-X163299000Y-84256000D01*
-X163299000Y-83554000D01*
-X164901000Y-83554000D01*
-X164901000Y-84256000D01*
-X164901000Y-84256000D01*
-G37*
-G36*
-X159501000Y-84256000D02*
-G01*
-X157899000Y-84256000D01*
-X157899000Y-83554000D01*
-X159501000Y-83554000D01*
-X159501000Y-84256000D01*
-X159501000Y-84256000D01*
-G37*
-G36*
-X81176000Y-83351000D02*
-G01*
-X80324000Y-83351000D01*
-X80324000Y-82049000D01*
-X81176000Y-82049000D01*
-X81176000Y-83351000D01*
-X81176000Y-83351000D01*
-G37*
-G36*
-X75300562Y-81078181D02*
-G01*
-X75335481Y-81088774D01*
-X75367663Y-81105976D01*
-X75395873Y-81129127D01*
-X75419024Y-81157337D01*
-X75436226Y-81189519D01*
-X75446819Y-81224438D01*
-X75451000Y-81266895D01*
-X75451000Y-82733105D01*
-X75446819Y-82775562D01*
-X75436226Y-82810481D01*
-X75419024Y-82842663D01*
-X75395873Y-82870873D01*
-X75367663Y-82894024D01*
-X75335481Y-82911226D01*
-X75300562Y-82921819D01*
-X75258105Y-82926000D01*
-X74116895Y-82926000D01*
-X74074438Y-82921819D01*
-X74039519Y-82911226D01*
-X74007337Y-82894024D01*
-X73979127Y-82870873D01*
-X73955976Y-82842663D01*
-X73938774Y-82810481D01*
-X73928181Y-82775562D01*
-X73924000Y-82733105D01*
-X73924000Y-81266895D01*
-X73928181Y-81224438D01*
-X73938774Y-81189519D01*
-X73955976Y-81157337D01*
-X73979127Y-81129127D01*
-X74007337Y-81105976D01*
-X74039519Y-81088774D01*
-X74074438Y-81078181D01*
-X74116895Y-81074000D01*
-X75258105Y-81074000D01*
-X75300562Y-81078181D01*
-X75300562Y-81078181D01*
-G37*
-G36*
-X72325562Y-81078181D02*
-G01*
-X72360481Y-81088774D01*
-X72392663Y-81105976D01*
-X72420873Y-81129127D01*
-X72444024Y-81157337D01*
-X72461226Y-81189519D01*
-X72471819Y-81224438D01*
-X72476000Y-81266895D01*
-X72476000Y-82733105D01*
-X72471819Y-82775562D01*
-X72461226Y-82810481D01*
-X72444024Y-82842663D01*
-X72420873Y-82870873D01*
-X72392663Y-82894024D01*
-X72360481Y-82911226D01*
-X72325562Y-82921819D01*
-X72283105Y-82926000D01*
-X71141895Y-82926000D01*
-X71099438Y-82921819D01*
-X71064519Y-82911226D01*
-X71032337Y-82894024D01*
-X71004127Y-82870873D01*
-X70980976Y-82842663D01*
-X70963774Y-82810481D01*
-X70953181Y-82775562D01*
-X70949000Y-82733105D01*
-X70949000Y-81266895D01*
-X70953181Y-81224438D01*
-X70963774Y-81189519D01*
-X70980976Y-81157337D01*
-X71004127Y-81129127D01*
-X71032337Y-81105976D01*
-X71064519Y-81088774D01*
-X71099438Y-81078181D01*
-X71141895Y-81074000D01*
-X72283105Y-81074000D01*
-X72325562Y-81078181D01*
-X72325562Y-81078181D01*
-G37*
-G36*
-X84601000Y-82201000D02*
-G01*
-X82499000Y-82201000D01*
-X82499000Y-80599000D01*
-X84601000Y-80599000D01*
-X84601000Y-82201000D01*
-X84601000Y-82201000D01*
-G37*
-G36*
-X110164499Y-80903445D02*
-G01*
-X110201995Y-80914820D01*
-X110236554Y-80933292D01*
-X110266847Y-80958153D01*
-X110291708Y-80988446D01*
-X110310180Y-81023005D01*
-X110321555Y-81060501D01*
-X110326000Y-81105638D01*
-X110326000Y-81844362D01*
-X110321555Y-81889499D01*
-X110310180Y-81926995D01*
-X110291708Y-81961554D01*
-X110266847Y-81991847D01*
-X110236554Y-82016708D01*
-X110201995Y-82035180D01*
-X110164499Y-82046555D01*
-X110119362Y-82051000D01*
-X109480638Y-82051000D01*
-X109435501Y-82046555D01*
-X109398005Y-82035180D01*
-X109363446Y-82016708D01*
-X109333153Y-81991847D01*
-X109308292Y-81961554D01*
-X109289820Y-81926995D01*
-X109278445Y-81889499D01*
-X109274000Y-81844362D01*
-X109274000Y-81105638D01*
-X109278445Y-81060501D01*
-X109289820Y-81023005D01*
-X109308292Y-80988446D01*
-X109333153Y-80958153D01*
-X109363446Y-80933292D01*
-X109398005Y-80914820D01*
-X109435501Y-80903445D01*
-X109480638Y-80899000D01*
-X110119362Y-80899000D01*
-X110164499Y-80903445D01*
-X110164499Y-80903445D01*
-G37*
-G36*
-X120226000Y-81601000D02*
-G01*
-X118674000Y-81601000D01*
-X118674000Y-75199000D01*
-X120226000Y-75199000D01*
-X120226000Y-81601000D01*
-X120226000Y-81601000D01*
-G37*
-G36*
-X126126000Y-81601000D02*
-G01*
-X124574000Y-81601000D01*
-X124574000Y-75199000D01*
-X126126000Y-75199000D01*
-X126126000Y-81601000D01*
-X126126000Y-81601000D01*
-G37*
-G36*
-X81176000Y-81451000D02*
-G01*
-X80324000Y-81451000D01*
-X80324000Y-80149000D01*
-X81176000Y-80149000D01*
-X81176000Y-81451000D01*
-X81176000Y-81451000D01*
-G37*
-G36*
-X90901000Y-81051000D02*
-G01*
-X88799000Y-81051000D01*
-X88799000Y-77149000D01*
-X90901000Y-77149000D01*
-X90901000Y-81051000D01*
-X90901000Y-81051000D01*
-G37*
-G36*
-X115101000Y-80876000D02*
-G01*
-X113799000Y-80876000D01*
-X113799000Y-80024000D01*
-X115101000Y-80024000D01*
-X115101000Y-80876000D01*
-X115101000Y-80876000D01*
-G37*
-G36*
-X113201000Y-80876000D02*
-G01*
-X111899000Y-80876000D01*
-X111899000Y-80024000D01*
-X113201000Y-80024000D01*
-X113201000Y-80876000D01*
-X113201000Y-80876000D01*
-G37*
-G36*
-X110164499Y-79153445D02*
-G01*
-X110201995Y-79164820D01*
-X110236554Y-79183292D01*
-X110266847Y-79208153D01*
-X110291708Y-79238446D01*
-X110310180Y-79273005D01*
-X110321555Y-79310501D01*
-X110326000Y-79355638D01*
-X110326000Y-80094362D01*
-X110321555Y-80139499D01*
-X110310180Y-80176995D01*
-X110291708Y-80211554D01*
-X110266847Y-80241847D01*
-X110236554Y-80266708D01*
-X110201995Y-80285180D01*
-X110164499Y-80296555D01*
-X110119362Y-80301000D01*
-X109480638Y-80301000D01*
-X109435501Y-80296555D01*
-X109398005Y-80285180D01*
-X109363446Y-80266708D01*
-X109333153Y-80241847D01*
-X109308292Y-80211554D01*
-X109289820Y-80176995D01*
-X109278445Y-80139499D01*
-X109274000Y-80094362D01*
-X109274000Y-79355638D01*
-X109278445Y-79310501D01*
-X109289820Y-79273005D01*
-X109308292Y-79238446D01*
-X109333153Y-79208153D01*
-X109363446Y-79183292D01*
-X109398005Y-79164820D01*
-X109435501Y-79153445D01*
-X109480638Y-79149000D01*
-X110119362Y-79149000D01*
-X110164499Y-79153445D01*
-X110164499Y-79153445D01*
-G37*
-G36*
-X95901000Y-80226000D02*
-G01*
-X94599000Y-80226000D01*
-X94599000Y-79374000D01*
-X95901000Y-79374000D01*
-X95901000Y-80226000D01*
-X95901000Y-80226000D01*
-G37*
-G36*
-X94001000Y-80226000D02*
-G01*
-X92699000Y-80226000D01*
-X92699000Y-79374000D01*
-X94001000Y-79374000D01*
-X94001000Y-80226000D01*
-X94001000Y-80226000D01*
-G37*
-G36*
-X84601000Y-79901000D02*
-G01*
-X82499000Y-79901000D01*
-X82499000Y-78299000D01*
-X84601000Y-78299000D01*
-X84601000Y-79901000D01*
-X84601000Y-79901000D01*
-G37*
-G36*
-X129026000Y-79901000D02*
-G01*
-X128174000Y-79901000D01*
-X128174000Y-78599000D01*
-X129026000Y-78599000D01*
-X129026000Y-79901000D01*
-X129026000Y-79901000D01*
-G37*
-G36*
-X137006000Y-79276000D02*
-G01*
-X136304000Y-79276000D01*
-X136304000Y-77624000D01*
-X137006000Y-77624000D01*
-X137006000Y-79276000D01*
-X137006000Y-79276000D01*
-G37*
-G36*
-X133196000Y-79276000D02*
-G01*
-X132494000Y-79276000D01*
-X132494000Y-77624000D01*
-X133196000Y-77624000D01*
-X133196000Y-79276000D01*
-X133196000Y-79276000D01*
-G37*
-G36*
-X134466000Y-79276000D02*
-G01*
-X133764000Y-79276000D01*
-X133764000Y-77624000D01*
-X134466000Y-77624000D01*
-X134466000Y-79276000D01*
-X134466000Y-79276000D01*
-G37*
-G36*
-X135736000Y-79276000D02*
-G01*
-X135034000Y-79276000D01*
-X135034000Y-77624000D01*
-X135736000Y-77624000D01*
-X135736000Y-79276000D01*
-X135736000Y-79276000D01*
-G37*
-G36*
-X158526032Y-75864207D02*
-G01*
-X158724146Y-75924305D01*
-X158724149Y-75924306D01*
-X158787472Y-75958153D01*
-X158906729Y-76021897D01*
-X159066765Y-76153235D01*
-X159198103Y-76313271D01*
-X159217200Y-76349000D01*
-X159295694Y-76495851D01*
-X159295695Y-76495855D01*
-X159355793Y-76693969D01*
-X159357999Y-76716365D01*
-X159370108Y-76839309D01*
-X159371000Y-76848371D01*
-X159371000Y-77551630D01*
-X159355793Y-77706032D01*
-X159327591Y-77799000D01*
-X159295694Y-77904149D01*
-X159243939Y-78000975D01*
-X159198103Y-78086729D01*
-X159066765Y-78246765D01*
-X158906729Y-78378103D01*
-X158790030Y-78440479D01*
-X158724148Y-78475694D01*
-X158724145Y-78475695D01*
-X158526031Y-78535793D01*
-X158320000Y-78556085D01*
-X158113968Y-78535793D01*
-X157915854Y-78475695D01*
-X157915851Y-78475694D01*
-X157819025Y-78423939D01*
-X157733271Y-78378103D01*
-X157573235Y-78246765D01*
-X157441897Y-78086729D01*
-X157344307Y-77904149D01*
-X157344306Y-77904148D01*
-X157344305Y-77904145D01*
-X157284207Y-77706031D01*
-X157269000Y-77551629D01*
-X157269000Y-76848370D01*
-X157284207Y-76693968D01*
-X157303296Y-76631040D01*
-X157344305Y-76495853D01*
-X157422801Y-76349000D01*
-X157441898Y-76313271D01*
-X157573236Y-76153235D01*
-X157733272Y-76021897D01*
-X157852529Y-75958153D01*
-X157915852Y-75924306D01*
-X157915855Y-75924305D01*
-X158113969Y-75864207D01*
-X158320000Y-75843915D01*
-X158526032Y-75864207D01*
-X158526032Y-75864207D01*
-G37*
-G36*
-X161066032Y-75864207D02*
-G01*
-X161264146Y-75924305D01*
-X161264149Y-75924306D01*
-X161327472Y-75958153D01*
-X161446729Y-76021897D01*
-X161606765Y-76153235D01*
-X161738103Y-76313271D01*
-X161757200Y-76349000D01*
-X161835694Y-76495851D01*
-X161835695Y-76495855D01*
-X161895793Y-76693969D01*
-X161897999Y-76716365D01*
-X161910108Y-76839309D01*
-X161911000Y-76848371D01*
-X161911000Y-77551630D01*
-X161895793Y-77706032D01*
-X161867591Y-77799000D01*
-X161835694Y-77904149D01*
-X161783939Y-78000975D01*
-X161738103Y-78086729D01*
-X161606765Y-78246765D01*
-X161446729Y-78378103D01*
-X161330030Y-78440479D01*
-X161264148Y-78475694D01*
-X161264145Y-78475695D01*
-X161066031Y-78535793D01*
-X160860000Y-78556085D01*
-X160653968Y-78535793D01*
-X160455854Y-78475695D01*
-X160455851Y-78475694D01*
-X160359025Y-78423939D01*
-X160273271Y-78378103D01*
-X160113235Y-78246765D01*
-X159981897Y-78086729D01*
-X159884307Y-77904149D01*
-X159884306Y-77904148D01*
-X159884305Y-77904145D01*
-X159824207Y-77706031D01*
-X159809000Y-77551629D01*
-X159809000Y-76848370D01*
-X159824207Y-76693968D01*
-X159843296Y-76631040D01*
-X159884305Y-76495853D01*
-X159962801Y-76349000D01*
-X159981898Y-76313271D01*
-X160113236Y-76153235D01*
-X160273272Y-76021897D01*
-X160392529Y-75958153D01*
-X160455852Y-75924306D01*
-X160455855Y-75924305D01*
-X160653969Y-75864207D01*
-X160860000Y-75843915D01*
-X161066032Y-75864207D01*
-X161066032Y-75864207D01*
-G37*
-G36*
-X155986032Y-75864207D02*
-G01*
-X156184146Y-75924305D01*
-X156184149Y-75924306D01*
-X156247472Y-75958153D01*
-X156366729Y-76021897D01*
-X156526765Y-76153235D01*
-X156658103Y-76313271D01*
-X156677200Y-76349000D01*
-X156755694Y-76495851D01*
-X156755695Y-76495855D01*
-X156815793Y-76693969D01*
-X156817999Y-76716365D01*
-X156830108Y-76839309D01*
-X156831000Y-76848371D01*
-X156831000Y-77551630D01*
-X156815793Y-77706032D01*
-X156787591Y-77799000D01*
-X156755694Y-77904149D01*
-X156703939Y-78000975D01*
-X156658103Y-78086729D01*
-X156526765Y-78246765D01*
-X156366729Y-78378103D01*
-X156250030Y-78440479D01*
-X156184148Y-78475694D01*
-X156184145Y-78475695D01*
-X155986031Y-78535793D01*
-X155780000Y-78556085D01*
-X155573968Y-78535793D01*
-X155375854Y-78475695D01*
-X155375851Y-78475694D01*
-X155279025Y-78423939D01*
-X155193271Y-78378103D01*
-X155033235Y-78246765D01*
-X154901897Y-78086729D01*
-X154804307Y-77904149D01*
-X154804306Y-77904148D01*
-X154804305Y-77904145D01*
-X154744207Y-77706031D01*
-X154729000Y-77551629D01*
-X154729000Y-76848370D01*
-X154744207Y-76693968D01*
-X154763296Y-76631040D01*
-X154804305Y-76495853D01*
-X154882801Y-76349000D01*
-X154901898Y-76313271D01*
-X155033236Y-76153235D01*
-X155193272Y-76021897D01*
-X155312529Y-75958153D01*
-X155375852Y-75924306D01*
-X155375855Y-75924305D01*
-X155573969Y-75864207D01*
-X155780000Y-75843915D01*
-X155986032Y-75864207D01*
-X155986032Y-75864207D01*
-G37*
-G36*
-X164451000Y-78551000D02*
-G01*
-X162349000Y-78551000D01*
-X162349000Y-75849000D01*
-X164451000Y-75849000D01*
-X164451000Y-78551000D01*
-X164451000Y-78551000D01*
-G37*
-G36*
-X115501000Y-78501000D02*
-G01*
-X113599000Y-78501000D01*
-X113599000Y-76299000D01*
-X115501000Y-76299000D01*
-X115501000Y-78501000D01*
-X115501000Y-78501000D01*
-G37*
-G36*
-X113201000Y-78501000D02*
-G01*
-X111299000Y-78501000D01*
-X111299000Y-76299000D01*
-X113201000Y-76299000D01*
-X113201000Y-78501000D01*
-X113201000Y-78501000D01*
-G37*
-G36*
-X95901000Y-78326000D02*
-G01*
-X94599000Y-78326000D01*
-X94599000Y-77474000D01*
-X95901000Y-77474000D01*
-X95901000Y-78326000D01*
-X95901000Y-78326000D01*
-G37*
-G36*
-X94001000Y-78326000D02*
-G01*
-X92699000Y-78326000D01*
-X92699000Y-77474000D01*
-X94001000Y-77474000D01*
-X94001000Y-78326000D01*
-X94001000Y-78326000D01*
-G37*
-G36*
-X129026000Y-78001000D02*
-G01*
-X128174000Y-78001000D01*
-X128174000Y-76699000D01*
-X129026000Y-76699000D01*
-X129026000Y-78001000D01*
-X129026000Y-78001000D01*
-G37*
-G36*
-X109626000Y-77651000D02*
-G01*
-X108774000Y-77651000D01*
-X108774000Y-76349000D01*
-X109626000Y-76349000D01*
-X109626000Y-77651000D01*
-X109626000Y-77651000D01*
-G37*
-G36*
-X84601000Y-77601000D02*
-G01*
-X82499000Y-77601000D01*
-X82499000Y-75999000D01*
-X84601000Y-75999000D01*
-X84601000Y-77601000D01*
-X84601000Y-77601000D01*
-G37*
-G36*
-X139364499Y-75903445D02*
-G01*
-X139401995Y-75914820D01*
-X139436554Y-75933292D01*
-X139466847Y-75958153D01*
-X139491708Y-75988446D01*
-X139510180Y-76023005D01*
-X139521555Y-76060501D01*
-X139526000Y-76105638D01*
-X139526000Y-76844362D01*
-X139521555Y-76889499D01*
-X139510180Y-76926995D01*
-X139491708Y-76961554D01*
-X139466847Y-76991847D01*
-X139436554Y-77016708D01*
-X139401995Y-77035180D01*
-X139364499Y-77046555D01*
-X139319362Y-77051000D01*
-X138680638Y-77051000D01*
-X138635501Y-77046555D01*
-X138598005Y-77035180D01*
-X138563446Y-77016708D01*
-X138533153Y-76991847D01*
-X138508292Y-76961554D01*
-X138489820Y-76926995D01*
-X138478445Y-76889499D01*
-X138474000Y-76844362D01*
-X138474000Y-76105638D01*
-X138478445Y-76060501D01*
-X138489820Y-76023005D01*
-X138508292Y-75988446D01*
-X138533153Y-75958153D01*
-X138563446Y-75933292D01*
-X138598005Y-75914820D01*
-X138635501Y-75903445D01*
-X138680638Y-75899000D01*
-X139319362Y-75899000D01*
-X139364499Y-75903445D01*
-X139364499Y-75903445D01*
-G37*
-G36*
-X79851000Y-76951000D02*
-G01*
-X77749000Y-76951000D01*
-X77749000Y-74849000D01*
-X79851000Y-74849000D01*
-X79851000Y-76951000D01*
-X79851000Y-76951000D01*
-G37*
-G36*
-X74106564Y-74889389D02*
-G01*
-X74297833Y-74968615D01*
-X74297835Y-74968616D01*
-X74469973Y-75083635D01*
-X74616365Y-75230027D01*
-X74660818Y-75296555D01*
-X74731385Y-75402167D01*
-X74810611Y-75593436D01*
-X74851000Y-75796484D01*
-X74851000Y-76003516D01*
-X74810611Y-76206564D01*
-X74766411Y-76313272D01*
-X74731384Y-76397835D01*
-X74616365Y-76569973D01*
-X74469973Y-76716365D01*
-X74297835Y-76831384D01*
-X74297834Y-76831385D01*
-X74297833Y-76831385D01*
-X74106564Y-76910611D01*
-X73903516Y-76951000D01*
-X73696484Y-76951000D01*
-X73493436Y-76910611D01*
-X73302167Y-76831385D01*
-X73302166Y-76831385D01*
-X73302165Y-76831384D01*
-X73130027Y-76716365D01*
-X72983635Y-76569973D01*
-X72868616Y-76397835D01*
-X72833589Y-76313272D01*
-X72789389Y-76206564D01*
-X72749000Y-76003516D01*
-X72749000Y-75796484D01*
-X72789389Y-75593436D01*
-X72868615Y-75402167D01*
-X72939183Y-75296555D01*
-X72983635Y-75230027D01*
-X73130027Y-75083635D01*
-X73302165Y-74968616D01*
-X73302167Y-74968615D01*
-X73493436Y-74889389D01*
-X73696484Y-74849000D01*
-X73903516Y-74849000D01*
-X74106564Y-74889389D01*
-X74106564Y-74889389D01*
-G37*
-G36*
-X94001000Y-76526000D02*
-G01*
-X92699000Y-76526000D01*
-X92699000Y-75674000D01*
-X94001000Y-75674000D01*
-X94001000Y-76526000D01*
-X94001000Y-76526000D01*
-G37*
-G36*
-X95901000Y-76526000D02*
-G01*
-X94599000Y-76526000D01*
-X94599000Y-75674000D01*
-X95901000Y-75674000D01*
-X95901000Y-76526000D01*
-X95901000Y-76526000D01*
-G37*
-G36*
-X109626000Y-75751000D02*
-G01*
-X108774000Y-75751000D01*
-X108774000Y-74449000D01*
-X109626000Y-74449000D01*
-X109626000Y-75751000D01*
-X109626000Y-75751000D01*
-G37*
-G36*
-X115501000Y-75601000D02*
-G01*
-X113599000Y-75601000D01*
-X113599000Y-73399000D01*
-X115501000Y-73399000D01*
-X115501000Y-75601000D01*
-X115501000Y-75601000D01*
-G37*
-G36*
-X113201000Y-75601000D02*
-G01*
-X111299000Y-75601000D01*
-X111299000Y-73399000D01*
-X113201000Y-73399000D01*
-X113201000Y-75601000D01*
-X113201000Y-75601000D01*
-G37*
-G36*
-X139364499Y-74153445D02*
-G01*
-X139401995Y-74164820D01*
-X139436554Y-74183292D01*
-X139466847Y-74208153D01*
-X139491708Y-74238446D01*
-X139510180Y-74273005D01*
-X139521555Y-74310501D01*
-X139526000Y-74355638D01*
-X139526000Y-75094362D01*
-X139521555Y-75139499D01*
-X139510180Y-75176995D01*
-X139491708Y-75211554D01*
-X139466847Y-75241847D01*
-X139436554Y-75266708D01*
-X139401995Y-75285180D01*
-X139364499Y-75296555D01*
-X139319362Y-75301000D01*
-X138680638Y-75301000D01*
-X138635501Y-75296555D01*
-X138598005Y-75285180D01*
-X138563446Y-75266708D01*
-X138533153Y-75241847D01*
-X138508292Y-75211554D01*
-X138489820Y-75176995D01*
-X138478445Y-75139499D01*
-X138474000Y-75094362D01*
-X138474000Y-74355638D01*
-X138478445Y-74310501D01*
-X138489820Y-74273005D01*
-X138508292Y-74238446D01*
-X138533153Y-74208153D01*
-X138563446Y-74183292D01*
-X138598005Y-74164820D01*
-X138635501Y-74153445D01*
-X138680638Y-74149000D01*
-X139319362Y-74149000D01*
-X139364499Y-74153445D01*
-X139364499Y-74153445D01*
-G37*
-G36*
-X131426000Y-74851000D02*
-G01*
-X130574000Y-74851000D01*
-X130574000Y-73549000D01*
-X131426000Y-73549000D01*
-X131426000Y-74851000D01*
-X131426000Y-74851000D01*
-G37*
-G36*
-X104001000Y-74701000D02*
-G01*
-X101599000Y-74701000D01*
-X101599000Y-71099000D01*
-X104001000Y-71099000D01*
-X104001000Y-74701000D01*
-X104001000Y-74701000D01*
-G37*
-G36*
-X128364499Y-73003445D02*
-G01*
-X128401995Y-73014820D01*
-X128436554Y-73033292D01*
-X128466847Y-73058153D01*
-X128491708Y-73088446D01*
-X128510180Y-73123005D01*
-X128521555Y-73160501D01*
-X128526000Y-73205638D01*
-X128526000Y-73944362D01*
-X128521555Y-73989499D01*
-X128510180Y-74026995D01*
-X128491708Y-74061554D01*
-X128466847Y-74091847D01*
-X128436554Y-74116708D01*
-X128401995Y-74135180D01*
-X128364499Y-74146555D01*
-X128319362Y-74151000D01*
-X127680638Y-74151000D01*
-X127635501Y-74146555D01*
-X127598005Y-74135180D01*
-X127563446Y-74116708D01*
-X127533153Y-74091847D01*
-X127508292Y-74061554D01*
-X127489820Y-74026995D01*
-X127478445Y-73989499D01*
-X127474000Y-73944362D01*
-X127474000Y-73205638D01*
-X127478445Y-73160501D01*
-X127489820Y-73123005D01*
-X127508292Y-73088446D01*
-X127533153Y-73058153D01*
-X127563446Y-73033292D01*
-X127598005Y-73014820D01*
-X127635501Y-73003445D01*
-X127680638Y-72999000D01*
-X128319362Y-72999000D01*
-X128364499Y-73003445D01*
-X128364499Y-73003445D01*
-G37*
-G36*
-X126664499Y-73003445D02*
-G01*
-X126701995Y-73014820D01*
-X126736554Y-73033292D01*
-X126766847Y-73058153D01*
-X126791708Y-73088446D01*
-X126810180Y-73123005D01*
-X126821555Y-73160501D01*
-X126826000Y-73205638D01*
-X126826000Y-73944362D01*
-X126821555Y-73989499D01*
-X126810180Y-74026995D01*
-X126791708Y-74061554D01*
-X126766847Y-74091847D01*
-X126736554Y-74116708D01*
-X126701995Y-74135180D01*
-X126664499Y-74146555D01*
-X126619362Y-74151000D01*
-X125980638Y-74151000D01*
-X125935501Y-74146555D01*
-X125898005Y-74135180D01*
-X125863446Y-74116708D01*
-X125833153Y-74091847D01*
-X125808292Y-74061554D01*
-X125789820Y-74026995D01*
-X125778445Y-73989499D01*
-X125774000Y-73944362D01*
-X125774000Y-73205638D01*
-X125778445Y-73160501D01*
-X125789820Y-73123005D01*
-X125808292Y-73088446D01*
-X125833153Y-73058153D01*
-X125863446Y-73033292D01*
-X125898005Y-73014820D01*
-X125935501Y-73003445D01*
-X125980638Y-72999000D01*
-X126619362Y-72999000D01*
-X126664499Y-73003445D01*
-X126664499Y-73003445D01*
-G37*
-G36*
-X137006000Y-73876000D02*
-G01*
-X136304000Y-73876000D01*
-X136304000Y-72224000D01*
-X137006000Y-72224000D01*
-X137006000Y-73876000D01*
-X137006000Y-73876000D01*
-G37*
-G36*
-X135736000Y-73876000D02*
-G01*
-X135034000Y-73876000D01*
-X135034000Y-72224000D01*
-X135736000Y-72224000D01*
-X135736000Y-73876000D01*
-X135736000Y-73876000D01*
-G37*
-G36*
-X133196000Y-73876000D02*
-G01*
-X132494000Y-73876000D01*
-X132494000Y-72224000D01*
-X133196000Y-72224000D01*
-X133196000Y-73876000D01*
-X133196000Y-73876000D01*
-G37*
-G36*
-X134466000Y-73876000D02*
-G01*
-X133764000Y-73876000D01*
-X133764000Y-72224000D01*
-X134466000Y-72224000D01*
-X134466000Y-73876000D01*
-X134466000Y-73876000D01*
-G37*
-G36*
-X107264499Y-72303445D02*
-G01*
-X107301995Y-72314820D01*
-X107336554Y-72333292D01*
-X107366847Y-72358153D01*
-X107391708Y-72388446D01*
-X107410180Y-72423005D01*
-X107421555Y-72460501D01*
-X107426000Y-72505638D01*
-X107426000Y-73244362D01*
-X107421555Y-73289499D01*
-X107410180Y-73326995D01*
-X107391708Y-73361554D01*
-X107366847Y-73391847D01*
-X107336554Y-73416708D01*
-X107301995Y-73435180D01*
-X107264499Y-73446555D01*
-X107219362Y-73451000D01*
-X106580638Y-73451000D01*
-X106535501Y-73446555D01*
-X106498005Y-73435180D01*
-X106463446Y-73416708D01*
-X106433153Y-73391847D01*
-X106408292Y-73361554D01*
-X106389820Y-73326995D01*
-X106378445Y-73289499D01*
-X106374000Y-73244362D01*
-X106374000Y-72505638D01*
-X106378445Y-72460501D01*
-X106389820Y-72423005D01*
-X106408292Y-72388446D01*
-X106433153Y-72358153D01*
-X106463446Y-72333292D01*
-X106498005Y-72314820D01*
-X106535501Y-72303445D01*
-X106580638Y-72299000D01*
-X107219362Y-72299000D01*
-X107264499Y-72303445D01*
-X107264499Y-72303445D01*
-G37*
-G36*
-X93151000Y-73401000D02*
-G01*
-X88849000Y-73401000D01*
-X88849000Y-70899000D01*
-X93151000Y-70899000D01*
-X93151000Y-73401000D01*
-X93151000Y-73401000D01*
-G37*
-G36*
-X131426000Y-72951000D02*
-G01*
-X130574000Y-72951000D01*
-X130574000Y-71649000D01*
-X131426000Y-71649000D01*
-X131426000Y-72951000D01*
-X131426000Y-72951000D01*
-G37*
-G36*
-X126664499Y-71253445D02*
-G01*
-X126701995Y-71264820D01*
-X126736554Y-71283292D01*
-X126766847Y-71308153D01*
-X126791708Y-71338446D01*
-X126810180Y-71373005D01*
-X126821555Y-71410501D01*
-X126826000Y-71455638D01*
-X126826000Y-72194362D01*
-X126821555Y-72239499D01*
-X126810180Y-72276995D01*
-X126791708Y-72311554D01*
-X126766847Y-72341847D01*
-X126736554Y-72366708D01*
-X126701995Y-72385180D01*
-X126664499Y-72396555D01*
-X126619362Y-72401000D01*
-X125980638Y-72401000D01*
-X125935501Y-72396555D01*
-X125898005Y-72385180D01*
-X125863446Y-72366708D01*
-X125833153Y-72341847D01*
-X125808292Y-72311554D01*
-X125789820Y-72276995D01*
-X125778445Y-72239499D01*
-X125774000Y-72194362D01*
-X125774000Y-71455638D01*
-X125778445Y-71410501D01*
-X125789820Y-71373005D01*
-X125808292Y-71338446D01*
-X125833153Y-71308153D01*
-X125863446Y-71283292D01*
-X125898005Y-71264820D01*
-X125935501Y-71253445D01*
-X125980638Y-71249000D01*
-X126619362Y-71249000D01*
-X126664499Y-71253445D01*
-X126664499Y-71253445D01*
-G37*
-G36*
-X128364499Y-71253445D02*
-G01*
-X128401995Y-71264820D01*
-X128436554Y-71283292D01*
-X128466847Y-71308153D01*
-X128491708Y-71338446D01*
-X128510180Y-71373005D01*
-X128521555Y-71410501D01*
-X128526000Y-71455638D01*
-X128526000Y-72194362D01*
-X128521555Y-72239499D01*
-X128510180Y-72276995D01*
-X128491708Y-72311554D01*
-X128466847Y-72341847D01*
-X128436554Y-72366708D01*
-X128401995Y-72385180D01*
-X128364499Y-72396555D01*
-X128319362Y-72401000D01*
-X127680638Y-72401000D01*
-X127635501Y-72396555D01*
-X127598005Y-72385180D01*
-X127563446Y-72366708D01*
-X127533153Y-72341847D01*
-X127508292Y-72311554D01*
-X127489820Y-72276995D01*
-X127478445Y-72239499D01*
-X127474000Y-72194362D01*
-X127474000Y-71455638D01*
-X127478445Y-71410501D01*
-X127489820Y-71373005D01*
-X127508292Y-71338446D01*
-X127533153Y-71308153D01*
-X127563446Y-71283292D01*
-X127598005Y-71264820D01*
-X127635501Y-71253445D01*
-X127680638Y-71249000D01*
-X128319362Y-71249000D01*
-X128364499Y-71253445D01*
-X128364499Y-71253445D01*
-G37*
-G36*
-X98626000Y-72081000D02*
-G01*
-X95974000Y-72081000D01*
-X95974000Y-70419000D01*
-X98626000Y-70419000D01*
-X98626000Y-72081000D01*
-X98626000Y-72081000D01*
-G37*
-G36*
-X72333642Y-70329781D02*
-G01*
-X72479414Y-70390162D01*
-X72479416Y-70390163D01*
-X72610608Y-70477822D01*
-X72722178Y-70589392D01*
-X72809837Y-70720584D01*
-X72809838Y-70720586D01*
-X72870219Y-70866358D01*
-X72901000Y-71021107D01*
-X72901000Y-71178893D01*
-X72870219Y-71333642D01*
-X72838332Y-71410624D01*
-X72809837Y-71479416D01*
-X72722178Y-71610608D01*
-X72610608Y-71722178D01*
-X72479416Y-71809837D01*
-X72479415Y-71809838D01*
-X72479414Y-71809838D01*
-X72333642Y-71870219D01*
-X72178893Y-71901000D01*
-X72021107Y-71901000D01*
-X71866358Y-71870219D01*
-X71720586Y-71809838D01*
-X71720585Y-71809838D01*
-X71720584Y-71809837D01*
-X71589392Y-71722178D01*
-X71477822Y-71610608D01*
-X71390163Y-71479416D01*
-X71361668Y-71410624D01*
-X71329781Y-71333642D01*
-X71299000Y-71178893D01*
-X71299000Y-71021107D01*
-X71329781Y-70866358D01*
-X71390162Y-70720586D01*
-X71390163Y-70720584D01*
-X71477822Y-70589392D01*
-X71589392Y-70477822D01*
-X71720584Y-70390163D01*
-X71720586Y-70390162D01*
-X71866358Y-70329781D01*
-X72021107Y-70299000D01*
-X72178893Y-70299000D01*
-X72333642Y-70329781D01*
-X72333642Y-70329781D01*
-G37*
-G36*
-X107264499Y-70553445D02*
-G01*
-X107301995Y-70564820D01*
-X107336554Y-70583292D01*
-X107366847Y-70608153D01*
-X107391708Y-70638446D01*
-X107410180Y-70673005D01*
-X107421555Y-70710501D01*
-X107426000Y-70755638D01*
-X107426000Y-71494362D01*
-X107421555Y-71539499D01*
-X107410180Y-71576995D01*
-X107391708Y-71611554D01*
-X107366847Y-71641847D01*
-X107336554Y-71666708D01*
-X107301995Y-71685180D01*
-X107264499Y-71696555D01*
-X107219362Y-71701000D01*
-X106580638Y-71701000D01*
-X106535501Y-71696555D01*
-X106498005Y-71685180D01*
-X106463446Y-71666708D01*
-X106433153Y-71641847D01*
-X106408292Y-71611554D01*
-X106389820Y-71576995D01*
-X106378445Y-71539499D01*
-X106374000Y-71494362D01*
-X106374000Y-70755638D01*
-X106378445Y-70710501D01*
-X106389820Y-70673005D01*
-X106408292Y-70638446D01*
-X106433153Y-70608153D01*
-X106463446Y-70583292D01*
-X106498005Y-70564820D01*
-X106535501Y-70553445D01*
-X106580638Y-70549000D01*
-X107219362Y-70549000D01*
-X107264499Y-70553445D01*
-X107264499Y-70553445D01*
-G37*
-G36*
-X113175999Y-71600999D02*
-G01*
-X111873999Y-71600999D01*
-X111873999Y-70748999D01*
-X113175999Y-70748999D01*
-X113175999Y-71600999D01*
-X113175999Y-71600999D01*
-G37*
-G36*
-X111275999Y-71600999D02*
-G01*
-X109973999Y-71600999D01*
-X109973999Y-70748999D01*
-X111275999Y-70748999D01*
-X111275999Y-71600999D01*
-X111275999Y-71600999D01*
-G37*
-G36*
-X83375562Y-69728181D02*
-G01*
-X83410481Y-69738774D01*
-X83442663Y-69755976D01*
-X83470873Y-69779127D01*
-X83494024Y-69807337D01*
-X83511226Y-69839519D01*
-X83521819Y-69874438D01*
-X83526000Y-69916895D01*
-X83526000Y-71383105D01*
-X83521819Y-71425562D01*
-X83511226Y-71460481D01*
-X83494024Y-71492663D01*
-X83470873Y-71520873D01*
-X83442663Y-71544024D01*
-X83410481Y-71561226D01*
-X83375562Y-71571819D01*
-X83333105Y-71576000D01*
-X82191895Y-71576000D01*
-X82149438Y-71571819D01*
-X82114519Y-71561226D01*
-X82082337Y-71544024D01*
-X82054127Y-71520873D01*
-X82030976Y-71492663D01*
-X82013774Y-71460481D01*
-X82003181Y-71425562D01*
-X81999000Y-71383105D01*
-X81999000Y-69916895D01*
-X82003181Y-69874438D01*
-X82013774Y-69839519D01*
-X82030976Y-69807337D01*
-X82054127Y-69779127D01*
-X82082337Y-69755976D01*
-X82114519Y-69738774D01*
-X82149438Y-69728181D01*
-X82191895Y-69724000D01*
-X83333105Y-69724000D01*
-X83375562Y-69728181D01*
-X83375562Y-69728181D01*
-G37*
-G36*
-X86350562Y-69728181D02*
-G01*
-X86385481Y-69738774D01*
-X86417663Y-69755976D01*
-X86445873Y-69779127D01*
-X86469024Y-69807337D01*
-X86486226Y-69839519D01*
-X86496819Y-69874438D01*
-X86501000Y-69916895D01*
-X86501000Y-71383105D01*
-X86496819Y-71425562D01*
-X86486226Y-71460481D01*
-X86469024Y-71492663D01*
-X86445873Y-71520873D01*
-X86417663Y-71544024D01*
-X86385481Y-71561226D01*
-X86350562Y-71571819D01*
-X86308105Y-71576000D01*
-X85166895Y-71576000D01*
-X85124438Y-71571819D01*
-X85089519Y-71561226D01*
-X85057337Y-71544024D01*
-X85029127Y-71520873D01*
-X85005976Y-71492663D01*
-X84988774Y-71460481D01*
-X84978181Y-71425562D01*
-X84974000Y-71383105D01*
-X84974000Y-69916895D01*
-X84978181Y-69874438D01*
-X84988774Y-69839519D01*
-X85005976Y-69807337D01*
-X85029127Y-69779127D01*
-X85057337Y-69755976D01*
-X85089519Y-69738774D01*
-X85124438Y-69728181D01*
-X85166895Y-69724000D01*
-X86308105Y-69724000D01*
-X86350562Y-69728181D01*
-X86350562Y-69728181D01*
-G37*
-G36*
-X121883642Y-68529781D02*
-G01*
-X122028588Y-68589820D01*
-X122029416Y-68590163D01*
-X122160608Y-68677822D01*
-X122272178Y-68789392D01*
-X122303988Y-68837000D01*
-X122359838Y-68920586D01*
-X122420219Y-69066358D01*
-X122451000Y-69221107D01*
-X122451000Y-69378893D01*
-X122420219Y-69533642D01*
-X122367805Y-69660180D01*
-X122359837Y-69679416D01*
-X122272178Y-69810608D01*
-X122160608Y-69922178D01*
-X122029416Y-70009837D01*
-X122029415Y-70009838D01*
-X122029414Y-70009838D01*
-X121883642Y-70070219D01*
-X121728893Y-70101000D01*
-X121571107Y-70101000D01*
-X121416358Y-70070219D01*
-X121270586Y-70009838D01*
-X121270585Y-70009838D01*
-X121270584Y-70009837D01*
-X121139392Y-69922178D01*
-X121027822Y-69810608D01*
-X120940163Y-69679416D01*
-X120932195Y-69660180D01*
-X120879781Y-69533642D01*
-X120849000Y-69378893D01*
-X120849000Y-69221107D01*
-X120879781Y-69066358D01*
-X120940162Y-68920586D01*
-X120996012Y-68837000D01*
-X121027822Y-68789392D01*
-X121139392Y-68677822D01*
-X121270584Y-68590163D01*
-X121271412Y-68589820D01*
-X121416358Y-68529781D01*
-X121571107Y-68499000D01*
-X121728893Y-68499000D01*
-X121883642Y-68529781D01*
-X121883642Y-68529781D01*
-G37*
-G36*
-X153163642Y-68529781D02*
-G01*
-X153308588Y-68589820D01*
-X153309416Y-68590163D01*
-X153440608Y-68677822D01*
-X153552178Y-68789392D01*
-X153583988Y-68837000D01*
-X153639838Y-68920586D01*
-X153700219Y-69066358D01*
-X153731000Y-69221107D01*
-X153731000Y-69378893D01*
-X153700219Y-69533642D01*
-X153647805Y-69660180D01*
-X153639837Y-69679416D01*
-X153552178Y-69810608D01*
-X153440608Y-69922178D01*
-X153309416Y-70009837D01*
-X153309415Y-70009838D01*
-X153309414Y-70009838D01*
-X153163642Y-70070219D01*
-X153008893Y-70101000D01*
-X152851107Y-70101000D01*
-X152696358Y-70070219D01*
-X152550586Y-70009838D01*
-X152550585Y-70009838D01*
-X152550584Y-70009837D01*
-X152419392Y-69922178D01*
-X152307822Y-69810608D01*
-X152220163Y-69679416D01*
-X152212195Y-69660180D01*
-X152159781Y-69533642D01*
-X152129000Y-69378893D01*
-X152129000Y-69221107D01*
-X152159781Y-69066358D01*
-X152220162Y-68920586D01*
-X152276012Y-68837000D01*
-X152307822Y-68789392D01*
-X152419392Y-68677822D01*
-X152550584Y-68590163D01*
-X152551412Y-68589820D01*
-X152696358Y-68529781D01*
-X152851107Y-68499000D01*
-X153008893Y-68499000D01*
-X153163642Y-68529781D01*
-X153163642Y-68529781D01*
-G37*
-G36*
-X144023642Y-68529781D02*
-G01*
-X144168588Y-68589820D01*
-X144169416Y-68590163D01*
-X144300608Y-68677822D01*
-X144412178Y-68789392D01*
-X144443988Y-68837000D01*
-X144499838Y-68920586D01*
-X144560219Y-69066358D01*
-X144591000Y-69221107D01*
-X144591000Y-69378893D01*
-X144560219Y-69533642D01*
-X144507805Y-69660180D01*
-X144499837Y-69679416D01*
-X144412178Y-69810608D01*
-X144300608Y-69922178D01*
-X144169416Y-70009837D01*
-X144169415Y-70009838D01*
-X144169414Y-70009838D01*
-X144023642Y-70070219D01*
-X143868893Y-70101000D01*
-X143711107Y-70101000D01*
-X143556358Y-70070219D01*
-X143410586Y-70009838D01*
-X143410585Y-70009838D01*
-X143410584Y-70009837D01*
-X143279392Y-69922178D01*
-X143167822Y-69810608D01*
-X143080163Y-69679416D01*
-X143072195Y-69660180D01*
-X143019781Y-69533642D01*
-X142989000Y-69378893D01*
-X142989000Y-69221107D01*
-X143019781Y-69066358D01*
-X143080162Y-68920586D01*
-X143136012Y-68837000D01*
-X143167822Y-68789392D01*
-X143279392Y-68677822D01*
-X143410584Y-68590163D01*
-X143411412Y-68589820D01*
-X143556358Y-68529781D01*
-X143711107Y-68499000D01*
-X143868893Y-68499000D01*
-X144023642Y-68529781D01*
-X144023642Y-68529781D01*
-G37*
-G36*
-X141733642Y-68529781D02*
-G01*
-X141878588Y-68589820D01*
-X141879416Y-68590163D01*
-X142010608Y-68677822D01*
-X142122178Y-68789392D01*
-X142153988Y-68837000D01*
-X142209838Y-68920586D01*
-X142270219Y-69066358D01*
-X142301000Y-69221107D01*
-X142301000Y-69378893D01*
-X142270219Y-69533642D01*
-X142217805Y-69660180D01*
-X142209837Y-69679416D01*
-X142122178Y-69810608D01*
-X142010608Y-69922178D01*
-X141879416Y-70009837D01*
-X141879415Y-70009838D01*
-X141879414Y-70009838D01*
-X141733642Y-70070219D01*
-X141578893Y-70101000D01*
-X141421107Y-70101000D01*
-X141266358Y-70070219D01*
-X141120586Y-70009838D01*
-X141120585Y-70009838D01*
-X141120584Y-70009837D01*
-X140989392Y-69922178D01*
-X140877822Y-69810608D01*
-X140790163Y-69679416D01*
-X140782195Y-69660180D01*
-X140729781Y-69533642D01*
-X140699000Y-69378893D01*
-X140699000Y-69221107D01*
-X140729781Y-69066358D01*
-X140790162Y-68920586D01*
-X140846012Y-68837000D01*
-X140877822Y-68789392D01*
-X140989392Y-68677822D01*
-X141120584Y-68590163D01*
-X141121412Y-68589820D01*
-X141266358Y-68529781D01*
-X141421107Y-68499000D01*
-X141578893Y-68499000D01*
-X141733642Y-68529781D01*
-X141733642Y-68529781D01*
-G37*
-G36*
-X124173642Y-68529781D02*
-G01*
-X124318588Y-68589820D01*
-X124319416Y-68590163D01*
-X124450608Y-68677822D01*
-X124562178Y-68789392D01*
-X124593988Y-68837000D01*
-X124649838Y-68920586D01*
-X124710219Y-69066358D01*
-X124741000Y-69221107D01*
-X124741000Y-69378893D01*
-X124710219Y-69533642D01*
-X124657805Y-69660180D01*
-X124649837Y-69679416D01*
-X124562178Y-69810608D01*
-X124450608Y-69922178D01*
-X124319416Y-70009837D01*
-X124319415Y-70009838D01*
-X124319414Y-70009838D01*
-X124173642Y-70070219D01*
-X124018893Y-70101000D01*
-X123861107Y-70101000D01*
-X123706358Y-70070219D01*
-X123560586Y-70009838D01*
-X123560585Y-70009838D01*
-X123560584Y-70009837D01*
-X123429392Y-69922178D01*
-X123317822Y-69810608D01*
-X123230163Y-69679416D01*
-X123222195Y-69660180D01*
-X123169781Y-69533642D01*
-X123139000Y-69378893D01*
-X123139000Y-69221107D01*
-X123169781Y-69066358D01*
-X123230162Y-68920586D01*
-X123286012Y-68837000D01*
-X123317822Y-68789392D01*
-X123429392Y-68677822D01*
-X123560584Y-68590163D01*
-X123561412Y-68589820D01*
-X123706358Y-68529781D01*
-X123861107Y-68499000D01*
-X124018893Y-68499000D01*
-X124173642Y-68529781D01*
-X124173642Y-68529781D01*
-G37*
-G36*
-X133313642Y-68529781D02*
-G01*
-X133458588Y-68589820D01*
-X133459416Y-68590163D01*
-X133590608Y-68677822D01*
-X133702178Y-68789392D01*
-X133733988Y-68837000D01*
-X133789838Y-68920586D01*
-X133850219Y-69066358D01*
-X133881000Y-69221107D01*
-X133881000Y-69378893D01*
-X133850219Y-69533642D01*
-X133797805Y-69660180D01*
-X133789837Y-69679416D01*
-X133702178Y-69810608D01*
-X133590608Y-69922178D01*
-X133459416Y-70009837D01*
-X133459415Y-70009838D01*
-X133459414Y-70009838D01*
-X133313642Y-70070219D01*
-X133158893Y-70101000D01*
-X133001107Y-70101000D01*
-X132846358Y-70070219D01*
-X132700586Y-70009838D01*
-X132700585Y-70009838D01*
-X132700584Y-70009837D01*
-X132569392Y-69922178D01*
-X132457822Y-69810608D01*
-X132370163Y-69679416D01*
-X132362195Y-69660180D01*
-X132309781Y-69533642D01*
-X132279000Y-69378893D01*
-X132279000Y-69221107D01*
-X132309781Y-69066358D01*
-X132370162Y-68920586D01*
-X132426012Y-68837000D01*
-X132457822Y-68789392D01*
-X132569392Y-68677822D01*
-X132700584Y-68590163D01*
-X132701412Y-68589820D01*
-X132846358Y-68529781D01*
-X133001107Y-68499000D01*
-X133158893Y-68499000D01*
-X133313642Y-68529781D01*
-X133313642Y-68529781D01*
-G37*
-G36*
-X155453642Y-68529781D02*
-G01*
-X155598588Y-68589820D01*
-X155599416Y-68590163D01*
-X155730608Y-68677822D01*
-X155842178Y-68789392D01*
-X155873988Y-68837000D01*
-X155929838Y-68920586D01*
-X155990219Y-69066358D01*
-X156021000Y-69221107D01*
-X156021000Y-69378893D01*
-X155990219Y-69533642D01*
-X155937805Y-69660180D01*
-X155929837Y-69679416D01*
-X155842178Y-69810608D01*
-X155730608Y-69922178D01*
-X155599416Y-70009837D01*
-X155599415Y-70009838D01*
-X155599414Y-70009838D01*
-X155453642Y-70070219D01*
-X155298893Y-70101000D01*
-X155141107Y-70101000D01*
-X154986358Y-70070219D01*
-X154840586Y-70009838D01*
-X154840585Y-70009838D01*
-X154840584Y-70009837D01*
-X154709392Y-69922178D01*
-X154597822Y-69810608D01*
-X154510163Y-69679416D01*
-X154502195Y-69660180D01*
-X154449781Y-69533642D01*
-X154419000Y-69378893D01*
-X154419000Y-69221107D01*
-X154449781Y-69066358D01*
-X154510162Y-68920586D01*
-X154566012Y-68837000D01*
-X154597822Y-68789392D01*
-X154709392Y-68677822D01*
-X154840584Y-68590163D01*
-X154841412Y-68589820D01*
-X154986358Y-68529781D01*
-X155141107Y-68499000D01*
-X155298893Y-68499000D01*
-X155453642Y-68529781D01*
-X155453642Y-68529781D01*
-G37*
-G36*
-X135603642Y-68529781D02*
-G01*
-X135748588Y-68589820D01*
-X135749416Y-68590163D01*
-X135880608Y-68677822D01*
-X135992178Y-68789392D01*
-X136023988Y-68837000D01*
-X136079838Y-68920586D01*
-X136140219Y-69066358D01*
-X136171000Y-69221107D01*
-X136171000Y-69378893D01*
-X136140219Y-69533642D01*
-X136087805Y-69660180D01*
-X136079837Y-69679416D01*
-X135992178Y-69810608D01*
-X135880608Y-69922178D01*
-X135749416Y-70009837D01*
-X135749415Y-70009838D01*
-X135749414Y-70009838D01*
-X135603642Y-70070219D01*
-X135448893Y-70101000D01*
-X135291107Y-70101000D01*
-X135136358Y-70070219D01*
-X134990586Y-70009838D01*
-X134990585Y-70009838D01*
-X134990584Y-70009837D01*
-X134859392Y-69922178D01*
-X134747822Y-69810608D01*
-X134660163Y-69679416D01*
-X134652195Y-69660180D01*
-X134599781Y-69533642D01*
-X134569000Y-69378893D01*
-X134569000Y-69221107D01*
-X134599781Y-69066358D01*
-X134660162Y-68920586D01*
-X134716012Y-68837000D01*
-X134747822Y-68789392D01*
-X134859392Y-68677822D01*
-X134990584Y-68590163D01*
-X134991412Y-68589820D01*
-X135136358Y-68529781D01*
-X135291107Y-68499000D01*
-X135448893Y-68499000D01*
-X135603642Y-68529781D01*
-X135603642Y-68529781D01*
-G37*
-G36*
-X166039499Y-68628445D02*
-G01*
-X166076995Y-68639820D01*
-X166111554Y-68658292D01*
-X166141847Y-68683153D01*
-X166166708Y-68713446D01*
-X166185180Y-68748005D01*
-X166196555Y-68785501D01*
-X166201000Y-68830638D01*
-X166201000Y-69469362D01*
-X166196555Y-69514499D01*
-X166185180Y-69551995D01*
-X166166708Y-69586554D01*
-X166141847Y-69616847D01*
-X166111554Y-69641708D01*
-X166076995Y-69660180D01*
-X166039499Y-69671555D01*
-X165994362Y-69676000D01*
-X165255638Y-69676000D01*
-X165210501Y-69671555D01*
-X165173005Y-69660180D01*
-X165138446Y-69641708D01*
-X165108153Y-69616847D01*
-X165083292Y-69586554D01*
-X165064820Y-69551995D01*
-X165053445Y-69514499D01*
-X165049000Y-69469362D01*
-X165049000Y-68830638D01*
-X165053445Y-68785501D01*
-X165064820Y-68748005D01*
-X165083292Y-68713446D01*
-X165108153Y-68683153D01*
-X165138446Y-68658292D01*
-X165173005Y-68639820D01*
-X165210501Y-68628445D01*
-X165255638Y-68624000D01*
-X165994362Y-68624000D01*
-X166039499Y-68628445D01*
-X166039499Y-68628445D01*
-G37*
-G36*
-X163439499Y-68628445D02*
-G01*
-X163476995Y-68639820D01*
-X163511554Y-68658292D01*
-X163541847Y-68683153D01*
-X163566708Y-68713446D01*
-X163585180Y-68748005D01*
-X163596555Y-68785501D01*
-X163601000Y-68830638D01*
-X163601000Y-69469362D01*
-X163596555Y-69514499D01*
-X163585180Y-69551995D01*
-X163566708Y-69586554D01*
-X163541847Y-69616847D01*
-X163511554Y-69641708D01*
-X163476995Y-69660180D01*
-X163439499Y-69671555D01*
-X163394362Y-69676000D01*
-X162655638Y-69676000D01*
-X162610501Y-69671555D01*
-X162573005Y-69660180D01*
-X162538446Y-69641708D01*
-X162508153Y-69616847D01*
-X162483292Y-69586554D01*
-X162464820Y-69551995D01*
-X162453445Y-69514499D01*
-X162449000Y-69469362D01*
-X162449000Y-68830638D01*
-X162453445Y-68785501D01*
-X162464820Y-68748005D01*
-X162483292Y-68713446D01*
-X162508153Y-68683153D01*
-X162538446Y-68658292D01*
-X162573005Y-68639820D01*
-X162610501Y-68628445D01*
-X162655638Y-68624000D01*
-X163394362Y-68624000D01*
-X163439499Y-68628445D01*
-X163439499Y-68628445D01*
-G37*
-G36*
-X167789499Y-68628445D02*
-G01*
-X167826995Y-68639820D01*
-X167861554Y-68658292D01*
-X167891847Y-68683153D01*
-X167916708Y-68713446D01*
-X167935180Y-68748005D01*
-X167946555Y-68785501D01*
-X167951000Y-68830638D01*
-X167951000Y-69469362D01*
-X167946555Y-69514499D01*
-X167935180Y-69551995D01*
-X167916708Y-69586554D01*
-X167891847Y-69616847D01*
-X167861554Y-69641708D01*
-X167826995Y-69660180D01*
-X167789499Y-69671555D01*
-X167744362Y-69676000D01*
-X167005638Y-69676000D01*
-X166960501Y-69671555D01*
-X166923005Y-69660180D01*
-X166888446Y-69641708D01*
-X166858153Y-69616847D01*
-X166833292Y-69586554D01*
-X166814820Y-69551995D01*
-X166803445Y-69514499D01*
-X166799000Y-69469362D01*
-X166799000Y-68830638D01*
-X166803445Y-68785501D01*
-X166814820Y-68748005D01*
-X166833292Y-68713446D01*
-X166858153Y-68683153D01*
-X166888446Y-68658292D01*
-X166923005Y-68639820D01*
-X166960501Y-68628445D01*
-X167005638Y-68624000D01*
-X167744362Y-68624000D01*
-X167789499Y-68628445D01*
-X167789499Y-68628445D01*
-G37*
-G36*
-X161689499Y-68628445D02*
-G01*
-X161726995Y-68639820D01*
-X161761554Y-68658292D01*
-X161791847Y-68683153D01*
-X161816708Y-68713446D01*
-X161835180Y-68748005D01*
-X161846555Y-68785501D01*
-X161851000Y-68830638D01*
-X161851000Y-69469362D01*
-X161846555Y-69514499D01*
-X161835180Y-69551995D01*
-X161816708Y-69586554D01*
-X161791847Y-69616847D01*
-X161761554Y-69641708D01*
-X161726995Y-69660180D01*
-X161689499Y-69671555D01*
-X161644362Y-69676000D01*
-X160905638Y-69676000D01*
-X160860501Y-69671555D01*
-X160823005Y-69660180D01*
-X160788446Y-69641708D01*
-X160758153Y-69616847D01*
-X160733292Y-69586554D01*
-X160714820Y-69551995D01*
-X160703445Y-69514499D01*
-X160699000Y-69469362D01*
-X160699000Y-68830638D01*
-X160703445Y-68785501D01*
-X160714820Y-68748005D01*
-X160733292Y-68713446D01*
-X160758153Y-68683153D01*
-X160788446Y-68658292D01*
-X160823005Y-68639820D01*
-X160860501Y-68628445D01*
-X160905638Y-68624000D01*
-X161644362Y-68624000D01*
-X161689499Y-68628445D01*
-X161689499Y-68628445D01*
-G37*
-G36*
-X111139499Y-68578445D02*
-G01*
-X111176995Y-68589820D01*
-X111211554Y-68608292D01*
-X111241847Y-68633153D01*
-X111266708Y-68663446D01*
-X111285180Y-68698005D01*
-X111296555Y-68735501D01*
-X111301000Y-68780638D01*
-X111301000Y-69419362D01*
-X111296555Y-69464499D01*
-X111285180Y-69501995D01*
-X111266708Y-69536554D01*
-X111241847Y-69566847D01*
-X111211554Y-69591708D01*
-X111176995Y-69610180D01*
-X111139499Y-69621555D01*
-X111094362Y-69626000D01*
-X110355638Y-69626000D01*
-X110310501Y-69621555D01*
-X110273005Y-69610180D01*
-X110238446Y-69591708D01*
-X110208153Y-69566847D01*
-X110183292Y-69536554D01*
-X110164820Y-69501995D01*
-X110153445Y-69464499D01*
-X110149000Y-69419362D01*
-X110149000Y-68780638D01*
-X110153445Y-68735501D01*
-X110164820Y-68698005D01*
-X110183292Y-68663446D01*
-X110208153Y-68633153D01*
-X110238446Y-68608292D01*
-X110273005Y-68589820D01*
-X110310501Y-68578445D01*
-X110355638Y-68574000D01*
-X111094362Y-68574000D01*
-X111139499Y-68578445D01*
-X111139499Y-68578445D01*
-G37*
-G36*
-X107239499Y-68578445D02*
-G01*
-X107276995Y-68589820D01*
-X107311554Y-68608292D01*
-X107341847Y-68633153D01*
-X107366708Y-68663446D01*
-X107385180Y-68698005D01*
-X107396555Y-68735501D01*
-X107401000Y-68780638D01*
-X107401000Y-69419362D01*
-X107396555Y-69464499D01*
-X107385180Y-69501995D01*
-X107366708Y-69536554D01*
-X107341847Y-69566847D01*
-X107311554Y-69591708D01*
-X107276995Y-69610180D01*
-X107239499Y-69621555D01*
-X107194362Y-69626000D01*
-X106455638Y-69626000D01*
-X106410501Y-69621555D01*
-X106373005Y-69610180D01*
-X106338446Y-69591708D01*
-X106308153Y-69566847D01*
-X106283292Y-69536554D01*
-X106264820Y-69501995D01*
-X106253445Y-69464499D01*
-X106249000Y-69419362D01*
-X106249000Y-68780638D01*
-X106253445Y-68735501D01*
-X106264820Y-68698005D01*
-X106283292Y-68663446D01*
-X106308153Y-68633153D01*
-X106338446Y-68608292D01*
-X106373005Y-68589820D01*
-X106410501Y-68578445D01*
-X106455638Y-68574000D01*
-X107194362Y-68574000D01*
-X107239499Y-68578445D01*
-X107239499Y-68578445D01*
-G37*
-G36*
-X108989499Y-68578445D02*
-G01*
-X109026995Y-68589820D01*
-X109061554Y-68608292D01*
-X109091847Y-68633153D01*
-X109116708Y-68663446D01*
-X109135180Y-68698005D01*
-X109146555Y-68735501D01*
-X109151000Y-68780638D01*
-X109151000Y-69419362D01*
-X109146555Y-69464499D01*
-X109135180Y-69501995D01*
-X109116708Y-69536554D01*
-X109091847Y-69566847D01*
-X109061554Y-69591708D01*
-X109026995Y-69610180D01*
-X108989499Y-69621555D01*
-X108944362Y-69626000D01*
-X108205638Y-69626000D01*
-X108160501Y-69621555D01*
-X108123005Y-69610180D01*
-X108088446Y-69591708D01*
-X108058153Y-69566847D01*
-X108033292Y-69536554D01*
-X108014820Y-69501995D01*
-X108003445Y-69464499D01*
-X107999000Y-69419362D01*
-X107999000Y-68780638D01*
-X108003445Y-68735501D01*
-X108014820Y-68698005D01*
-X108033292Y-68663446D01*
-X108058153Y-68633153D01*
-X108088446Y-68608292D01*
-X108123005Y-68589820D01*
-X108160501Y-68578445D01*
-X108205638Y-68574000D01*
-X108944362Y-68574000D01*
-X108989499Y-68578445D01*
-X108989499Y-68578445D01*
-G37*
-G36*
-X112889499Y-68578445D02*
-G01*
-X112926995Y-68589820D01*
-X112961554Y-68608292D01*
-X112991847Y-68633153D01*
-X113016708Y-68663446D01*
-X113035180Y-68698005D01*
-X113046555Y-68735501D01*
-X113051000Y-68780638D01*
-X113051000Y-69419362D01*
-X113046555Y-69464499D01*
-X113035180Y-69501995D01*
-X113016708Y-69536554D01*
-X112991847Y-69566847D01*
-X112961554Y-69591708D01*
-X112926995Y-69610180D01*
-X112889499Y-69621555D01*
-X112844362Y-69626000D01*
-X112105638Y-69626000D01*
-X112060501Y-69621555D01*
-X112023005Y-69610180D01*
-X111988446Y-69591708D01*
-X111958153Y-69566847D01*
-X111933292Y-69536554D01*
-X111914820Y-69501995D01*
-X111903445Y-69464499D01*
-X111899000Y-69419362D01*
-X111899000Y-68780638D01*
-X111903445Y-68735501D01*
-X111914820Y-68698005D01*
-X111933292Y-68663446D01*
-X111958153Y-68633153D01*
-X111988446Y-68608292D01*
-X112023005Y-68589820D01*
-X112060501Y-68578445D01*
-X112105638Y-68574000D01*
-X112844362Y-68574000D01*
-X112889499Y-68578445D01*
-X112889499Y-68578445D01*
-G37*
-G36*
-X98626000Y-69381000D02*
-G01*
-X95974000Y-69381000D01*
-X95974000Y-67719000D01*
-X98626000Y-67719000D01*
-X98626000Y-69381000D01*
-X98626000Y-69381000D01*
-G37*
-G36*
-X104001000Y-66291619D02*
-G01*
-X104003402Y-66316005D01*
-X104010515Y-66339454D01*
-X104022066Y-66361065D01*
-X104037611Y-66380007D01*
-X104056553Y-66395552D01*
-X104078164Y-66407103D01*
-X104101613Y-66414216D01*
-X104125999Y-66416618D01*
-X104150385Y-66414216D01*
-X104173834Y-66407103D01*
-X104195445Y-66395552D01*
-X104214387Y-66380007D01*
-X104229932Y-66361065D01*
-X104260040Y-66316005D01*
-X104277822Y-66289392D01*
-X104389392Y-66177822D01*
-X104520584Y-66090163D01*
-X104520586Y-66090162D01*
-X104666358Y-66029781D01*
-X104821107Y-65999000D01*
-X104978893Y-65999000D01*
-X105133642Y-66029781D01*
-X105279414Y-66090162D01*
-X105279416Y-66090163D01*
-X105410608Y-66177822D01*
-X105522178Y-66289392D01*
-X105593111Y-66395552D01*
-X105609838Y-66420586D01*
-X105670219Y-66566358D01*
-X105701000Y-66721107D01*
-X105701000Y-66878893D01*
-X105670219Y-67033642D01*
-X105618587Y-67158292D01*
-X105609837Y-67179416D01*
-X105522178Y-67310608D01*
-X105410608Y-67422178D01*
-X105279416Y-67509837D01*
-X105279415Y-67509838D01*
-X105279414Y-67509838D01*
-X105133642Y-67570219D01*
-X104978893Y-67601000D01*
-X104821107Y-67601000D01*
-X104666358Y-67570219D01*
-X104520586Y-67509838D01*
-X104520585Y-67509838D01*
-X104520584Y-67509837D01*
-X104389392Y-67422178D01*
-X104277822Y-67310608D01*
-X104229932Y-67238935D01*
-X104214387Y-67219993D01*
-X104195445Y-67204448D01*
-X104173834Y-67192897D01*
-X104150385Y-67185784D01*
-X104125999Y-67183382D01*
-X104101613Y-67185784D01*
-X104078164Y-67192897D01*
-X104056553Y-67204448D01*
-X104037611Y-67219993D01*
-X104022066Y-67238935D01*
-X104010515Y-67260546D01*
-X104003402Y-67283995D01*
-X104001000Y-67308381D01*
-X104001000Y-69301000D01*
-X101599000Y-69301000D01*
-X101599000Y-65699000D01*
-X104001000Y-65699000D01*
-X104001000Y-66291619D01*
-X104001000Y-66291619D01*
-G37*
-G36*
-X93151000Y-68901000D02*
-G01*
-X88849000Y-68901000D01*
-X88849000Y-66399000D01*
-X93151000Y-66399000D01*
-X93151000Y-68901000D01*
-X93151000Y-68901000D01*
-G37*
-G36*
-X85901000Y-68376000D02*
-G01*
-X84599000Y-68376000D01*
-X84599000Y-67524000D01*
-X85901000Y-67524000D01*
-X85901000Y-68376000D01*
-X85901000Y-68376000D01*
-G37*
-G36*
-X84001000Y-68376000D02*
-G01*
-X82699000Y-68376000D01*
-X82699000Y-67524000D01*
-X84001000Y-67524000D01*
-X84001000Y-68376000D01*
-X84001000Y-68376000D01*
-G37*
-G36*
-X163439499Y-67128445D02*
-G01*
-X163476995Y-67139820D01*
-X163511554Y-67158292D01*
-X163541847Y-67183153D01*
-X163566708Y-67213446D01*
-X163585180Y-67248005D01*
-X163596555Y-67285501D01*
-X163601000Y-67330638D01*
-X163601000Y-67969362D01*
-X163596555Y-68014499D01*
-X163585180Y-68051995D01*
-X163566708Y-68086554D01*
-X163541847Y-68116847D01*
-X163511554Y-68141708D01*
-X163476995Y-68160180D01*
-X163439499Y-68171555D01*
-X163394362Y-68176000D01*
-X162655638Y-68176000D01*
-X162610501Y-68171555D01*
-X162573005Y-68160180D01*
-X162538446Y-68141708D01*
-X162508153Y-68116847D01*
-X162483292Y-68086554D01*
-X162464820Y-68051995D01*
-X162453445Y-68014499D01*
-X162449000Y-67969362D01*
-X162449000Y-67330638D01*
-X162453445Y-67285501D01*
-X162464820Y-67248005D01*
-X162483292Y-67213446D01*
-X162508153Y-67183153D01*
-X162538446Y-67158292D01*
-X162573005Y-67139820D01*
-X162610501Y-67128445D01*
-X162655638Y-67124000D01*
-X163394362Y-67124000D01*
-X163439499Y-67128445D01*
-X163439499Y-67128445D01*
-G37*
-G36*
-X167789499Y-67128445D02*
-G01*
-X167826995Y-67139820D01*
-X167861554Y-67158292D01*
-X167891847Y-67183153D01*
-X167916708Y-67213446D01*
-X167935180Y-67248005D01*
-X167946555Y-67285501D01*
-X167951000Y-67330638D01*
-X167951000Y-67969362D01*
-X167946555Y-68014499D01*
-X167935180Y-68051995D01*
-X167916708Y-68086554D01*
-X167891847Y-68116847D01*
-X167861554Y-68141708D01*
-X167826995Y-68160180D01*
-X167789499Y-68171555D01*
-X167744362Y-68176000D01*
-X167005638Y-68176000D01*
-X166960501Y-68171555D01*
-X166923005Y-68160180D01*
-X166888446Y-68141708D01*
-X166858153Y-68116847D01*
-X166833292Y-68086554D01*
-X166814820Y-68051995D01*
-X166803445Y-68014499D01*
-X166799000Y-67969362D01*
-X166799000Y-67330638D01*
-X166803445Y-67285501D01*
-X166814820Y-67248005D01*
-X166833292Y-67213446D01*
-X166858153Y-67183153D01*
-X166888446Y-67158292D01*
-X166923005Y-67139820D01*
-X166960501Y-67128445D01*
-X167005638Y-67124000D01*
-X167744362Y-67124000D01*
-X167789499Y-67128445D01*
-X167789499Y-67128445D01*
-G37*
-G36*
-X166039499Y-67128445D02*
-G01*
-X166076995Y-67139820D01*
-X166111554Y-67158292D01*
-X166141847Y-67183153D01*
-X166166708Y-67213446D01*
-X166185180Y-67248005D01*
-X166196555Y-67285501D01*
-X166201000Y-67330638D01*
-X166201000Y-67969362D01*
-X166196555Y-68014499D01*
-X166185180Y-68051995D01*
-X166166708Y-68086554D01*
-X166141847Y-68116847D01*
-X166111554Y-68141708D01*
-X166076995Y-68160180D01*
-X166039499Y-68171555D01*
-X165994362Y-68176000D01*
-X165255638Y-68176000D01*
-X165210501Y-68171555D01*
-X165173005Y-68160180D01*
-X165138446Y-68141708D01*
-X165108153Y-68116847D01*
-X165083292Y-68086554D01*
-X165064820Y-68051995D01*
-X165053445Y-68014499D01*
-X165049000Y-67969362D01*
-X165049000Y-67330638D01*
-X165053445Y-67285501D01*
-X165064820Y-67248005D01*
-X165083292Y-67213446D01*
-X165108153Y-67183153D01*
-X165138446Y-67158292D01*
-X165173005Y-67139820D01*
-X165210501Y-67128445D01*
-X165255638Y-67124000D01*
-X165994362Y-67124000D01*
-X166039499Y-67128445D01*
-X166039499Y-67128445D01*
-G37*
-G36*
-X161689499Y-67128445D02*
-G01*
-X161726995Y-67139820D01*
-X161761554Y-67158292D01*
-X161791847Y-67183153D01*
-X161816708Y-67213446D01*
-X161835180Y-67248005D01*
-X161846555Y-67285501D01*
-X161851000Y-67330638D01*
-X161851000Y-67969362D01*
-X161846555Y-68014499D01*
-X161835180Y-68051995D01*
-X161816708Y-68086554D01*
-X161791847Y-68116847D01*
-X161761554Y-68141708D01*
-X161726995Y-68160180D01*
-X161689499Y-68171555D01*
-X161644362Y-68176000D01*
-X160905638Y-68176000D01*
-X160860501Y-68171555D01*
-X160823005Y-68160180D01*
-X160788446Y-68141708D01*
-X160758153Y-68116847D01*
-X160733292Y-68086554D01*
-X160714820Y-68051995D01*
-X160703445Y-68014499D01*
-X160699000Y-67969362D01*
-X160699000Y-67330638D01*
-X160703445Y-67285501D01*
-X160714820Y-67248005D01*
-X160733292Y-67213446D01*
-X160758153Y-67183153D01*
-X160788446Y-67158292D01*
-X160823005Y-67139820D01*
-X160860501Y-67128445D01*
-X160905638Y-67124000D01*
-X161644362Y-67124000D01*
-X161689499Y-67128445D01*
-X161689499Y-67128445D01*
-G37*
-G36*
-X87633642Y-66529781D02*
-G01*
-X87764815Y-66584115D01*
-X87779416Y-66590163D01*
-X87910608Y-66677822D01*
-X88022178Y-66789392D01*
-X88081980Y-66878893D01*
-X88109838Y-66920586D01*
-X88170219Y-67066358D01*
-X88201000Y-67221107D01*
-X88201000Y-67378893D01*
-X88170219Y-67533642D01*
-X88134056Y-67620947D01*
-X88109837Y-67679416D01*
-X88022178Y-67810608D01*
-X87910608Y-67922178D01*
-X87779416Y-68009837D01*
-X87779415Y-68009838D01*
-X87779414Y-68009838D01*
-X87633642Y-68070219D01*
-X87478893Y-68101000D01*
-X87321107Y-68101000D01*
-X87166358Y-68070219D01*
-X87020586Y-68009838D01*
-X87020585Y-68009838D01*
-X87020584Y-68009837D01*
-X86889392Y-67922178D01*
-X86777822Y-67810608D01*
-X86690163Y-67679416D01*
-X86665944Y-67620947D01*
-X86629781Y-67533642D01*
-X86599000Y-67378893D01*
-X86599000Y-67221107D01*
-X86629781Y-67066358D01*
-X86690162Y-66920586D01*
-X86718020Y-66878893D01*
-X86777822Y-66789392D01*
-X86889392Y-66677822D01*
-X87020584Y-66590163D01*
-X87035185Y-66584115D01*
-X87166358Y-66529781D01*
-X87321107Y-66499000D01*
-X87478893Y-66499000D01*
-X87633642Y-66529781D01*
-X87633642Y-66529781D01*
-G37*
-G36*
-X112889499Y-66578445D02*
-G01*
-X112926995Y-66589820D01*
-X112961554Y-66608292D01*
-X112991847Y-66633153D01*
-X113016708Y-66663446D01*
-X113035180Y-66698005D01*
-X113046555Y-66735501D01*
-X113051000Y-66780638D01*
-X113051000Y-67419362D01*
-X113046555Y-67464499D01*
-X113035180Y-67501995D01*
-X113016708Y-67536554D01*
-X112991847Y-67566847D01*
-X112961554Y-67591708D01*
-X112926995Y-67610180D01*
-X112889499Y-67621555D01*
-X112844362Y-67626000D01*
-X112105638Y-67626000D01*
-X112060501Y-67621555D01*
-X112023005Y-67610180D01*
-X111988446Y-67591708D01*
-X111958153Y-67566847D01*
-X111933292Y-67536554D01*
-X111914820Y-67501995D01*
-X111903445Y-67464499D01*
-X111899000Y-67419362D01*
-X111899000Y-66780638D01*
-X111903445Y-66735501D01*
-X111914820Y-66698005D01*
-X111933292Y-66663446D01*
-X111958153Y-66633153D01*
-X111988446Y-66608292D01*
-X112023005Y-66589820D01*
-X112060501Y-66578445D01*
-X112105638Y-66574000D01*
-X112844362Y-66574000D01*
-X112889499Y-66578445D01*
-X112889499Y-66578445D01*
-G37*
-G36*
-X111139499Y-66578445D02*
-G01*
-X111176995Y-66589820D01*
-X111211554Y-66608292D01*
-X111241847Y-66633153D01*
-X111266708Y-66663446D01*
-X111285180Y-66698005D01*
-X111296555Y-66735501D01*
-X111301000Y-66780638D01*
-X111301000Y-67419362D01*
-X111296555Y-67464499D01*
-X111285180Y-67501995D01*
-X111266708Y-67536554D01*
-X111241847Y-67566847D01*
-X111211554Y-67591708D01*
-X111176995Y-67610180D01*
-X111139499Y-67621555D01*
-X111094362Y-67626000D01*
-X110355638Y-67626000D01*
-X110310501Y-67621555D01*
-X110273005Y-67610180D01*
-X110238446Y-67591708D01*
-X110208153Y-67566847D01*
-X110183292Y-67536554D01*
-X110164820Y-67501995D01*
-X110153445Y-67464499D01*
-X110149000Y-67419362D01*
-X110149000Y-66780638D01*
-X110153445Y-66735501D01*
-X110164820Y-66698005D01*
-X110183292Y-66663446D01*
-X110208153Y-66633153D01*
-X110238446Y-66608292D01*
-X110273005Y-66589820D01*
-X110310501Y-66578445D01*
-X110355638Y-66574000D01*
-X111094362Y-66574000D01*
-X111139499Y-66578445D01*
-X111139499Y-66578445D01*
-G37*
-G36*
-X107401000Y-67526000D02*
-G01*
-X106099000Y-67526000D01*
-X106099000Y-66674000D01*
-X107401000Y-66674000D01*
-X107401000Y-67526000D01*
-X107401000Y-67526000D01*
-G37*
-G36*
-X109301000Y-67526000D02*
-G01*
-X107999000Y-67526000D01*
-X107999000Y-66674000D01*
-X109301000Y-66674000D01*
-X109301000Y-67526000D01*
-X109301000Y-67526000D01*
-G37*
-G36*
-X117451000Y-67491000D02*
-G01*
-X115649000Y-67491000D01*
-X115649000Y-65689000D01*
-X117451000Y-65689000D01*
-X117451000Y-67491000D01*
-X117451000Y-67491000D01*
-G37*
-G36*
-X79033642Y-65529781D02*
-G01*
-X79162828Y-65583292D01*
-X79179416Y-65590163D01*
-X79310608Y-65677822D01*
-X79422178Y-65789392D01*
-X79509837Y-65920584D01*
-X79509838Y-65920586D01*
-X79570219Y-66066358D01*
-X79601000Y-66221107D01*
-X79601000Y-66378893D01*
-X79570219Y-66533642D01*
-X79510497Y-66677822D01*
-X79509837Y-66679416D01*
-X79422178Y-66810608D01*
-X79310608Y-66922178D01*
-X79179416Y-67009837D01*
-X79179415Y-67009838D01*
-X79179414Y-67009838D01*
-X79033642Y-67070219D01*
-X78878893Y-67101000D01*
-X78721107Y-67101000D01*
-X78566358Y-67070219D01*
-X78420586Y-67009838D01*
-X78420585Y-67009838D01*
-X78420584Y-67009837D01*
-X78289392Y-66922178D01*
-X78177822Y-66810608D01*
-X78090163Y-66679416D01*
-X78089503Y-66677822D01*
-X78029781Y-66533642D01*
-X77999000Y-66378893D01*
-X77999000Y-66221107D01*
-X78029781Y-66066358D01*
-X78090162Y-65920586D01*
-X78090163Y-65920584D01*
-X78177822Y-65789392D01*
-X78289392Y-65677822D01*
-X78420584Y-65590163D01*
-X78437172Y-65583292D01*
-X78566358Y-65529781D01*
-X78721107Y-65499000D01*
-X78878893Y-65499000D01*
-X79033642Y-65529781D01*
-X79033642Y-65529781D01*
-G37*
-G36*
-X159614499Y-65553445D02*
-G01*
-X159651995Y-65564820D01*
-X159686554Y-65583292D01*
-X159716847Y-65608153D01*
-X159741708Y-65638446D01*
-X159760180Y-65673005D01*
-X159771555Y-65710501D01*
-X159776000Y-65755638D01*
-X159776000Y-66494362D01*
-X159771555Y-66539499D01*
-X159760180Y-66576995D01*
-X159741708Y-66611554D01*
-X159716847Y-66641847D01*
-X159686554Y-66666708D01*
-X159651995Y-66685180D01*
-X159614499Y-66696555D01*
-X159569362Y-66701000D01*
-X158930638Y-66701000D01*
-X158885501Y-66696555D01*
-X158848005Y-66685180D01*
-X158813446Y-66666708D01*
-X158783153Y-66641847D01*
-X158758292Y-66611554D01*
-X158739820Y-66576995D01*
-X158728445Y-66539499D01*
-X158724000Y-66494362D01*
-X158724000Y-65755638D01*
-X158728445Y-65710501D01*
-X158739820Y-65673005D01*
-X158758292Y-65638446D01*
-X158783153Y-65608153D01*
-X158813446Y-65583292D01*
-X158848005Y-65564820D01*
-X158885501Y-65553445D01*
-X158930638Y-65549000D01*
-X159569362Y-65549000D01*
-X159614499Y-65553445D01*
-X159614499Y-65553445D01*
-G37*
-G36*
-X125751000Y-63554558D02*
-G01*
-X125753402Y-63578944D01*
-X125760515Y-63602393D01*
-X125772066Y-63624004D01*
-X125787611Y-63642946D01*
-X125806553Y-63658491D01*
-X125828164Y-63670042D01*
-X125851613Y-63677155D01*
-X125875999Y-63679557D01*
-X125881649Y-63679000D01*
-X126048893Y-63679000D01*
-X126203642Y-63709781D01*
-X126349414Y-63770162D01*
-X126349416Y-63770163D01*
-X126480608Y-63857822D01*
-X126592178Y-63969392D01*
-X126646038Y-64050000D01*
-X126679838Y-64100586D01*
-X126740219Y-64246358D01*
-X126771000Y-64401107D01*
-X126771000Y-64558893D01*
-X126740219Y-64713642D01*
-X126703297Y-64802779D01*
-X126679837Y-64859416D01*
-X126592178Y-64990608D01*
-X126480608Y-65102178D01*
-X126349416Y-65189837D01*
-X126349415Y-65189838D01*
-X126349414Y-65189838D01*
-X126203642Y-65250219D01*
-X126048893Y-65281000D01*
-X125891107Y-65281000D01*
-X125736358Y-65250219D01*
-X125590586Y-65189838D01*
-X125590585Y-65189838D01*
-X125590584Y-65189837D01*
-X125459392Y-65102178D01*
-X125347822Y-64990608D01*
-X125260163Y-64859416D01*
-X125236703Y-64802779D01*
-X125199781Y-64713642D01*
-X125169000Y-64558893D01*
-X125169000Y-64401107D01*
-X125199781Y-64246358D01*
-X125260162Y-64100586D01*
-X125293962Y-64050000D01*
-X125347822Y-63969392D01*
-X125459392Y-63857822D01*
-X125590584Y-63770163D01*
-X125590586Y-63770162D01*
-X125659823Y-63741483D01*
-X125681434Y-63729932D01*
-X125700376Y-63714387D01*
-X125715921Y-63695445D01*
-X125727472Y-63673834D01*
-X125734585Y-63650385D01*
-X125736987Y-63625999D01*
-X125734585Y-63601613D01*
-X125727472Y-63578164D01*
-X125715921Y-63556553D01*
-X125700376Y-63537611D01*
-X125681434Y-63522066D01*
-X125659823Y-63510515D01*
-X125636374Y-63503402D01*
-X125611988Y-63501000D01*
-X124149000Y-63501000D01*
-X124149000Y-61899000D01*
-X125751000Y-61899000D01*
-X125751000Y-63554558D01*
-X125751000Y-63554558D01*
-G37*
-G36*
-X132323642Y-63709781D02*
-G01*
-X132469414Y-63770162D01*
-X132469416Y-63770163D01*
-X132600608Y-63857822D01*
-X132712178Y-63969392D01*
-X132766038Y-64050000D01*
-X132799838Y-64100586D01*
-X132860219Y-64246358D01*
-X132891000Y-64401107D01*
-X132891000Y-64558893D01*
-X132860219Y-64713642D01*
-X132823297Y-64802779D01*
-X132799837Y-64859416D01*
-X132712178Y-64990608D01*
-X132600608Y-65102178D01*
-X132469416Y-65189837D01*
-X132469415Y-65189838D01*
-X132469414Y-65189838D01*
-X132323642Y-65250219D01*
-X132168893Y-65281000D01*
-X132011107Y-65281000D01*
-X131856358Y-65250219D01*
-X131710586Y-65189838D01*
-X131710585Y-65189838D01*
-X131710584Y-65189837D01*
-X131579392Y-65102178D01*
-X131467822Y-64990608D01*
-X131380163Y-64859416D01*
-X131356703Y-64802779D01*
-X131319781Y-64713642D01*
-X131289000Y-64558893D01*
-X131289000Y-64401107D01*
-X131319781Y-64246358D01*
-X131380162Y-64100586D01*
-X131413962Y-64050000D01*
-X131467822Y-63969392D01*
-X131579392Y-63857822D01*
-X131710584Y-63770163D01*
-X131710586Y-63770162D01*
-X131856358Y-63709781D01*
-X132011107Y-63679000D01*
-X132168893Y-63679000D01*
-X132323642Y-63709781D01*
-X132323642Y-63709781D01*
-G37*
-G36*
-X130283642Y-63709781D02*
-G01*
-X130429414Y-63770162D01*
-X130429416Y-63770163D01*
-X130560608Y-63857822D01*
-X130672178Y-63969392D01*
-X130726038Y-64050000D01*
-X130759838Y-64100586D01*
-X130820219Y-64246358D01*
-X130851000Y-64401107D01*
-X130851000Y-64558893D01*
-X130820219Y-64713642D01*
-X130783297Y-64802779D01*
-X130759837Y-64859416D01*
-X130672178Y-64990608D01*
-X130560608Y-65102178D01*
-X130429416Y-65189837D01*
-X130429415Y-65189838D01*
-X130429414Y-65189838D01*
-X130283642Y-65250219D01*
-X130128893Y-65281000D01*
-X129971107Y-65281000D01*
-X129816358Y-65250219D01*
-X129670586Y-65189838D01*
-X129670585Y-65189838D01*
-X129670584Y-65189837D01*
-X129539392Y-65102178D01*
-X129427822Y-64990608D01*
-X129340163Y-64859416D01*
-X129316703Y-64802779D01*
-X129279781Y-64713642D01*
-X129249000Y-64558893D01*
-X129249000Y-64401107D01*
-X129279781Y-64246358D01*
-X129340162Y-64100586D01*
-X129373962Y-64050000D01*
-X129427822Y-63969392D01*
-X129539392Y-63857822D01*
-X129670584Y-63770163D01*
-X129670586Y-63770162D01*
-X129816358Y-63709781D01*
-X129971107Y-63679000D01*
-X130128893Y-63679000D01*
-X130283642Y-63709781D01*
-X130283642Y-63709781D01*
-G37*
-G36*
-X145601000Y-63554558D02*
-G01*
-X145603402Y-63578944D01*
-X145610515Y-63602393D01*
-X145622066Y-63624004D01*
-X145637611Y-63642946D01*
-X145656553Y-63658491D01*
-X145678164Y-63670042D01*
-X145701613Y-63677155D01*
-X145725999Y-63679557D01*
-X145731649Y-63679000D01*
-X145898893Y-63679000D01*
-X146053642Y-63709781D01*
-X146199414Y-63770162D01*
-X146199416Y-63770163D01*
-X146330608Y-63857822D01*
-X146442178Y-63969392D01*
-X146496038Y-64050000D01*
-X146529838Y-64100586D01*
-X146590219Y-64246358D01*
-X146621000Y-64401107D01*
-X146621000Y-64558893D01*
-X146590219Y-64713642D01*
-X146553297Y-64802779D01*
-X146529837Y-64859416D01*
-X146442178Y-64990608D01*
-X146330608Y-65102178D01*
-X146199416Y-65189837D01*
-X146199415Y-65189838D01*
-X146199414Y-65189838D01*
-X146053642Y-65250219D01*
-X145898893Y-65281000D01*
-X145741107Y-65281000D01*
-X145586358Y-65250219D01*
-X145440586Y-65189838D01*
-X145440585Y-65189838D01*
-X145440584Y-65189837D01*
-X145309392Y-65102178D01*
-X145197822Y-64990608D01*
-X145110163Y-64859416D01*
-X145086703Y-64802779D01*
-X145049781Y-64713642D01*
-X145019000Y-64558893D01*
-X145019000Y-64401107D01*
-X145049781Y-64246358D01*
-X145110162Y-64100586D01*
-X145143962Y-64050000D01*
-X145197822Y-63969392D01*
-X145309392Y-63857822D01*
-X145440584Y-63770163D01*
-X145440586Y-63770162D01*
-X145509823Y-63741483D01*
-X145531434Y-63729932D01*
-X145550376Y-63714387D01*
-X145565921Y-63695445D01*
-X145577472Y-63673834D01*
-X145584585Y-63650385D01*
-X145586987Y-63625999D01*
-X145584585Y-63601613D01*
-X145577472Y-63578164D01*
-X145565921Y-63556553D01*
-X145550376Y-63537611D01*
-X145531434Y-63522066D01*
-X145509823Y-63510515D01*
-X145486374Y-63503402D01*
-X145461988Y-63501000D01*
-X143999000Y-63501000D01*
-X143999000Y-61899000D01*
-X145601000Y-61899000D01*
-X145601000Y-63554558D01*
-X145601000Y-63554558D01*
-G37*
-G36*
-X148093642Y-63709781D02*
-G01*
-X148239414Y-63770162D01*
-X148239416Y-63770163D01*
-X148370608Y-63857822D01*
-X148482178Y-63969392D01*
-X148536038Y-64050000D01*
-X148569838Y-64100586D01*
-X148630219Y-64246358D01*
-X148661000Y-64401107D01*
-X148661000Y-64558893D01*
-X148630219Y-64713642D01*
-X148593297Y-64802779D01*
-X148569837Y-64859416D01*
-X148482178Y-64990608D01*
-X148370608Y-65102178D01*
-X148239416Y-65189837D01*
-X148239415Y-65189838D01*
-X148239414Y-65189838D01*
-X148093642Y-65250219D01*
-X147938893Y-65281000D01*
-X147781107Y-65281000D01*
-X147626358Y-65250219D01*
-X147480586Y-65189838D01*
-X147480585Y-65189838D01*
-X147480584Y-65189837D01*
-X147349392Y-65102178D01*
-X147237822Y-64990608D01*
-X147150163Y-64859416D01*
-X147126703Y-64802779D01*
-X147089781Y-64713642D01*
-X147059000Y-64558893D01*
-X147059000Y-64401107D01*
-X147089781Y-64246358D01*
-X147150162Y-64100586D01*
-X147183962Y-64050000D01*
-X147237822Y-63969392D01*
-X147349392Y-63857822D01*
-X147480584Y-63770163D01*
-X147480586Y-63770162D01*
-X147626358Y-63709781D01*
-X147781107Y-63679000D01*
-X147938893Y-63679000D01*
-X148093642Y-63709781D01*
-X148093642Y-63709781D01*
-G37*
-G36*
-X150133642Y-63709781D02*
-G01*
-X150279414Y-63770162D01*
-X150279416Y-63770163D01*
-X150410608Y-63857822D01*
-X150522178Y-63969392D01*
-X150576038Y-64050000D01*
-X150609838Y-64100586D01*
-X150670219Y-64246358D01*
-X150701000Y-64401107D01*
-X150701000Y-64558893D01*
-X150670219Y-64713642D01*
-X150633297Y-64802779D01*
-X150609837Y-64859416D01*
-X150522178Y-64990608D01*
-X150410608Y-65102178D01*
-X150279416Y-65189837D01*
-X150279415Y-65189838D01*
-X150279414Y-65189838D01*
-X150133642Y-65250219D01*
-X149978893Y-65281000D01*
-X149821107Y-65281000D01*
-X149666358Y-65250219D01*
-X149520586Y-65189838D01*
-X149520585Y-65189838D01*
-X149520584Y-65189837D01*
-X149389392Y-65102178D01*
-X149277822Y-64990608D01*
-X149190163Y-64859416D01*
-X149166703Y-64802779D01*
-X149129781Y-64713642D01*
-X149099000Y-64558893D01*
-X149099000Y-64401107D01*
-X149129781Y-64246358D01*
-X149190162Y-64100586D01*
-X149223962Y-64050000D01*
-X149277822Y-63969392D01*
-X149389392Y-63857822D01*
-X149520584Y-63770163D01*
-X149520586Y-63770162D01*
-X149666358Y-63709781D01*
-X149821107Y-63679000D01*
-X149978893Y-63679000D01*
-X150133642Y-63709781D01*
-X150133642Y-63709781D01*
-G37*
-G36*
-X152173642Y-63709781D02*
-G01*
-X152319414Y-63770162D01*
-X152319416Y-63770163D01*
-X152450608Y-63857822D01*
-X152562178Y-63969392D01*
-X152616038Y-64050000D01*
-X152649838Y-64100586D01*
-X152710219Y-64246358D01*
-X152741000Y-64401107D01*
-X152741000Y-64558893D01*
-X152710219Y-64713642D01*
-X152673297Y-64802779D01*
-X152649837Y-64859416D01*
-X152562178Y-64990608D01*
-X152450608Y-65102178D01*
-X152319416Y-65189837D01*
-X152319415Y-65189838D01*
-X152319414Y-65189838D01*
-X152173642Y-65250219D01*
-X152018893Y-65281000D01*
-X151861107Y-65281000D01*
-X151706358Y-65250219D01*
-X151560586Y-65189838D01*
-X151560585Y-65189838D01*
-X151560584Y-65189837D01*
-X151429392Y-65102178D01*
-X151317822Y-64990608D01*
-X151230163Y-64859416D01*
-X151206703Y-64802779D01*
-X151169781Y-64713642D01*
-X151139000Y-64558893D01*
-X151139000Y-64401107D01*
-X151169781Y-64246358D01*
-X151230162Y-64100586D01*
-X151263962Y-64050000D01*
-X151317822Y-63969392D01*
-X151429392Y-63857822D01*
-X151560584Y-63770163D01*
-X151560586Y-63770162D01*
-X151706358Y-63709781D01*
-X151861107Y-63679000D01*
-X152018893Y-63679000D01*
-X152173642Y-63709781D01*
-X152173642Y-63709781D01*
-G37*
-G36*
-X128243642Y-63709781D02*
-G01*
-X128389414Y-63770162D01*
-X128389416Y-63770163D01*
-X128520608Y-63857822D01*
-X128632178Y-63969392D01*
-X128686038Y-64050000D01*
-X128719838Y-64100586D01*
-X128780219Y-64246358D01*
-X128811000Y-64401107D01*
-X128811000Y-64558893D01*
-X128780219Y-64713642D01*
-X128743297Y-64802779D01*
-X128719837Y-64859416D01*
-X128632178Y-64990608D01*
-X128520608Y-65102178D01*
-X128389416Y-65189837D01*
-X128389415Y-65189838D01*
-X128389414Y-65189838D01*
-X128243642Y-65250219D01*
-X128088893Y-65281000D01*
-X127931107Y-65281000D01*
-X127776358Y-65250219D01*
-X127630586Y-65189838D01*
-X127630585Y-65189838D01*
-X127630584Y-65189837D01*
-X127499392Y-65102178D01*
-X127387822Y-64990608D01*
-X127300163Y-64859416D01*
-X127276703Y-64802779D01*
-X127239781Y-64713642D01*
-X127209000Y-64558893D01*
-X127209000Y-64401107D01*
-X127239781Y-64246358D01*
-X127300162Y-64100586D01*
-X127333962Y-64050000D01*
-X127387822Y-63969392D01*
-X127499392Y-63857822D01*
-X127630584Y-63770163D01*
-X127630586Y-63770162D01*
-X127776358Y-63709781D01*
-X127931107Y-63679000D01*
-X128088893Y-63679000D01*
-X128243642Y-63709781D01*
-X128243642Y-63709781D01*
-G37*
-G36*
-X159614499Y-63803445D02*
-G01*
-X159651995Y-63814820D01*
-X159686554Y-63833292D01*
-X159716847Y-63858153D01*
-X159741708Y-63888446D01*
-X159760180Y-63923005D01*
-X159771555Y-63960501D01*
-X159776000Y-64005638D01*
-X159776000Y-64744362D01*
-X159771555Y-64789499D01*
-X159760180Y-64826995D01*
-X159741708Y-64861554D01*
-X159716847Y-64891847D01*
-X159686554Y-64916708D01*
-X159651995Y-64935180D01*
-X159614499Y-64946555D01*
-X159569362Y-64951000D01*
-X158930638Y-64951000D01*
-X158885501Y-64946555D01*
-X158848005Y-64935180D01*
-X158813446Y-64916708D01*
-X158783153Y-64891847D01*
-X158758292Y-64861554D01*
-X158739820Y-64826995D01*
-X158728445Y-64789499D01*
-X158724000Y-64744362D01*
-X158724000Y-64005638D01*
-X158728445Y-63960501D01*
-X158739820Y-63923005D01*
-X158758292Y-63888446D01*
-X158783153Y-63858153D01*
-X158813446Y-63833292D01*
-X158848005Y-63814820D01*
-X158885501Y-63803445D01*
-X158930638Y-63799000D01*
-X159569362Y-63799000D01*
-X159614499Y-63803445D01*
-X159614499Y-63803445D01*
-G37*
-G36*
-X116660443Y-63155519D02*
-G01*
-X116726627Y-63162037D01*
-X116896466Y-63213557D01*
-X117052991Y-63297222D01*
-X117083400Y-63322178D01*
-X117190186Y-63409814D01*
-X117272828Y-63510515D01*
-X117302778Y-63547009D01*
-X117386443Y-63703534D01*
-X117437963Y-63873373D01*
-X117455359Y-64050000D01*
-X117437963Y-64226627D01*
-X117386443Y-64396466D01*
-X117302778Y-64552991D01*
-X117297935Y-64558892D01*
-X117190186Y-64690186D01*
-X117124171Y-64744362D01*
-X117052991Y-64802778D01*
-X116896466Y-64886443D01*
-X116726627Y-64937963D01*
-X116660442Y-64944482D01*
-X116594260Y-64951000D01*
-X116505740Y-64951000D01*
-X116439558Y-64944482D01*
-X116373373Y-64937963D01*
-X116203534Y-64886443D01*
-X116047009Y-64802778D01*
-X115975829Y-64744362D01*
-X115909814Y-64690186D01*
-X115802065Y-64558892D01*
-X115797222Y-64552991D01*
-X115713557Y-64396466D01*
-X115662037Y-64226627D01*
-X115644641Y-64050000D01*
-X115662037Y-63873373D01*
-X115713557Y-63703534D01*
-X115797222Y-63547009D01*
-X115827172Y-63510515D01*
-X115909814Y-63409814D01*
-X116016600Y-63322178D01*
-X116047009Y-63297222D01*
-X116203534Y-63213557D01*
-X116373373Y-63162037D01*
-X116439557Y-63155519D01*
-X116505740Y-63149000D01*
-X116594260Y-63149000D01*
-X116660443Y-63155519D01*
-X116660443Y-63155519D01*
-G37*
-G36*
-X120686564Y-62579389D02*
-G01*
-X120877833Y-62658615D01*
-X120877835Y-62658616D01*
-X121049973Y-62773635D01*
-X121196365Y-62920027D01*
-X121311385Y-63092167D01*
-X121390611Y-63283436D01*
-X121431000Y-63486484D01*
-X121431000Y-63693516D01*
-X121390611Y-63896564D01*
-X121322085Y-64062000D01*
-X121311384Y-64087835D01*
-X121196365Y-64259973D01*
-X121049973Y-64406365D01*
-X120877835Y-64521384D01*
-X120877834Y-64521385D01*
-X120877833Y-64521385D01*
-X120686564Y-64600611D01*
-X120483516Y-64641000D01*
-X120276484Y-64641000D01*
-X120073436Y-64600611D01*
-X119882167Y-64521385D01*
-X119882166Y-64521385D01*
-X119882165Y-64521384D01*
-X119710027Y-64406365D01*
-X119563635Y-64259973D01*
-X119448616Y-64087835D01*
-X119437915Y-64062000D01*
-X119369389Y-63896564D01*
-X119329000Y-63693516D01*
-X119329000Y-63486484D01*
-X119369389Y-63283436D01*
-X119448615Y-63092167D01*
-X119563635Y-62920027D01*
-X119710027Y-62773635D01*
-X119882165Y-62658616D01*
-X119882167Y-62658615D01*
-X120073436Y-62579389D01*
-X120276484Y-62539000D01*
-X120483516Y-62539000D01*
-X120686564Y-62579389D01*
-X120686564Y-62579389D01*
-G37*
-G36*
-X136946564Y-62579389D02*
-G01*
-X137137833Y-62658615D01*
-X137137835Y-62658616D01*
-X137309973Y-62773635D01*
-X137456365Y-62920027D01*
-X137571385Y-63092167D01*
-X137650611Y-63283436D01*
-X137691000Y-63486484D01*
-X137691000Y-63693516D01*
-X137650611Y-63896564D01*
-X137582085Y-64062000D01*
-X137571384Y-64087835D01*
-X137456365Y-64259973D01*
-X137309973Y-64406365D01*
-X137137835Y-64521384D01*
-X137137834Y-64521385D01*
-X137137833Y-64521385D01*
-X136946564Y-64600611D01*
-X136743516Y-64641000D01*
-X136536484Y-64641000D01*
-X136333436Y-64600611D01*
-X136142167Y-64521385D01*
-X136142166Y-64521385D01*
-X136142165Y-64521384D01*
-X135970027Y-64406365D01*
-X135823635Y-64259973D01*
-X135708616Y-64087835D01*
-X135697915Y-64062000D01*
-X135629389Y-63896564D01*
-X135589000Y-63693516D01*
-X135589000Y-63486484D01*
-X135629389Y-63283436D01*
-X135708615Y-63092167D01*
-X135823635Y-62920027D01*
-X135970027Y-62773635D01*
-X136142165Y-62658616D01*
-X136142167Y-62658615D01*
-X136333436Y-62579389D01*
-X136536484Y-62539000D01*
-X136743516Y-62539000D01*
-X136946564Y-62579389D01*
-X136946564Y-62579389D01*
-G37*
-G36*
-X140536564Y-62579389D02*
-G01*
-X140727833Y-62658615D01*
-X140727835Y-62658616D01*
-X140899973Y-62773635D01*
-X141046365Y-62920027D01*
-X141161385Y-63092167D01*
-X141240611Y-63283436D01*
-X141281000Y-63486484D01*
-X141281000Y-63693516D01*
-X141240611Y-63896564D01*
-X141172085Y-64062000D01*
-X141161384Y-64087835D01*
-X141046365Y-64259973D01*
-X140899973Y-64406365D01*
-X140727835Y-64521384D01*
-X140727834Y-64521385D01*
-X140727833Y-64521385D01*
-X140536564Y-64600611D01*
-X140333516Y-64641000D01*
-X140126484Y-64641000D01*
-X139923436Y-64600611D01*
-X139732167Y-64521385D01*
-X139732166Y-64521385D01*
-X139732165Y-64521384D01*
-X139560027Y-64406365D01*
-X139413635Y-64259973D01*
-X139298616Y-64087835D01*
-X139287915Y-64062000D01*
-X139219389Y-63896564D01*
-X139179000Y-63693516D01*
-X139179000Y-63486484D01*
-X139219389Y-63283436D01*
-X139298615Y-63092167D01*
-X139413635Y-62920027D01*
-X139560027Y-62773635D01*
-X139732165Y-62658616D01*
-X139732167Y-62658615D01*
-X139923436Y-62579389D01*
-X140126484Y-62539000D01*
-X140333516Y-62539000D01*
-X140536564Y-62579389D01*
-X140536564Y-62579389D01*
-G37*
-G36*
-X156796564Y-62579389D02*
-G01*
-X156987833Y-62658615D01*
-X156987835Y-62658616D01*
-X157159973Y-62773635D01*
-X157306365Y-62920027D01*
-X157421385Y-63092167D01*
-X157500611Y-63283436D01*
-X157541000Y-63486484D01*
-X157541000Y-63693516D01*
-X157500611Y-63896564D01*
-X157432085Y-64062000D01*
-X157421384Y-64087835D01*
-X157306365Y-64259973D01*
-X157159973Y-64406365D01*
-X156987835Y-64521384D01*
-X156987834Y-64521385D01*
-X156987833Y-64521385D01*
-X156796564Y-64600611D01*
-X156593516Y-64641000D01*
-X156386484Y-64641000D01*
-X156183436Y-64600611D01*
-X155992167Y-64521385D01*
-X155992166Y-64521385D01*
-X155992165Y-64521384D01*
-X155820027Y-64406365D01*
-X155673635Y-64259973D01*
-X155558616Y-64087835D01*
-X155547915Y-64062000D01*
-X155479389Y-63896564D01*
-X155439000Y-63693516D01*
-X155439000Y-63486484D01*
-X155479389Y-63283436D01*
-X155558615Y-63092167D01*
-X155673635Y-62920027D01*
-X155820027Y-62773635D01*
-X155992165Y-62658616D01*
-X155992167Y-62658615D01*
-X156183436Y-62579389D01*
-X156386484Y-62539000D01*
-X156593516Y-62539000D01*
-X156796564Y-62579389D01*
-X156796564Y-62579389D01*
-G37*
-G36*
-X108851000Y-64451000D02*
-G01*
-X106749000Y-64451000D01*
-X106749000Y-62349000D01*
-X108851000Y-62349000D01*
-X108851000Y-64451000D01*
-X108851000Y-64451000D01*
-G37*
-G36*
-X97151000Y-64451000D02*
-G01*
-X95049000Y-64451000D01*
-X95049000Y-62349000D01*
-X97151000Y-62349000D01*
-X97151000Y-64451000D01*
-X97151000Y-64451000D01*
-G37*
-G36*
-X166181632Y-56104677D02*
-G01*
-X166487005Y-56231167D01*
-X166918868Y-56410050D01*
-X167582362Y-56853383D01*
-X168146617Y-57417638D01*
-X168589950Y-58081132D01*
-X168749785Y-58467009D01*
-X168895323Y-58818368D01*
-X169051000Y-59601010D01*
-X169051000Y-60398990D01*
-X168895323Y-61181632D01*
-X168832469Y-61333375D01*
-X168589950Y-61918868D01*
-X168146617Y-62582362D01*
-X167582362Y-63146617D01*
-X166918868Y-63589950D01*
-X166553034Y-63741483D01*
-X166181632Y-63895323D01*
-X165398990Y-64051000D01*
-X164601010Y-64051000D01*
-X163818368Y-63895323D01*
-X163446966Y-63741483D01*
-X163081132Y-63589950D01*
-X162417638Y-63146617D01*
-X161853383Y-62582362D01*
-X161410050Y-61918868D01*
-X161167531Y-61333375D01*
-X161104677Y-61181632D01*
-X160949000Y-60398990D01*
-X160949000Y-59601010D01*
-X161104677Y-58818368D01*
-X161250215Y-58467009D01*
-X161410050Y-58081132D01*
-X161853383Y-57417638D01*
-X162417638Y-56853383D01*
-X163081132Y-56410050D01*
-X163512995Y-56231167D01*
-X163818368Y-56104677D01*
-X164601010Y-55949000D01*
-X165398990Y-55949000D01*
-X166181632Y-56104677D01*
-X166181632Y-56104677D01*
-G37*
-G36*
-X76181632Y-56104677D02*
-G01*
-X76487005Y-56231167D01*
-X76918868Y-56410050D01*
-X77582362Y-56853383D01*
-X78146617Y-57417638D01*
-X78589950Y-58081132D01*
-X78749785Y-58467009D01*
-X78895323Y-58818368D01*
-X79051000Y-59601010D01*
-X79051000Y-60398990D01*
-X78895323Y-61181632D01*
-X78832469Y-61333375D01*
-X78589950Y-61918868D01*
-X78146617Y-62582362D01*
-X77582362Y-63146617D01*
-X76918868Y-63589950D01*
-X76553034Y-63741483D01*
-X76181632Y-63895323D01*
-X75398990Y-64051000D01*
-X74601010Y-64051000D01*
-X73818368Y-63895323D01*
-X73446966Y-63741483D01*
-X73081132Y-63589950D01*
-X72417638Y-63146617D01*
-X71853383Y-62582362D01*
-X71410050Y-61918868D01*
-X71167531Y-61333375D01*
-X71104677Y-61181632D01*
-X70949000Y-60398990D01*
-X70949000Y-59601010D01*
-X71104677Y-58818368D01*
-X71250215Y-58467009D01*
-X71410050Y-58081132D01*
-X71853383Y-57417638D01*
-X72417638Y-56853383D01*
-X73081132Y-56410050D01*
-X73512995Y-56231167D01*
-X73818368Y-56104677D01*
-X74601010Y-55949000D01*
-X75398990Y-55949000D01*
-X76181632Y-56104677D01*
-X76181632Y-56104677D01*
-G37*
-G36*
-X86351000Y-63551000D02*
-G01*
-X83649000Y-63551000D01*
-X83649000Y-61449000D01*
-X86351000Y-61449000D01*
-X86351000Y-63551000D01*
-X86351000Y-63551000D01*
-G37*
-G36*
-X151153642Y-61929781D02*
-G01*
-X151299414Y-61990162D01*
-X151299416Y-61990163D01*
-X151430608Y-62077822D01*
-X151542178Y-62189392D01*
-X151591213Y-62262779D01*
-X151629838Y-62320586D01*
-X151690219Y-62466358D01*
-X151721000Y-62621107D01*
-X151721000Y-62778893D01*
-X151690219Y-62933642D01*
-X151629838Y-63079414D01*
-X151629837Y-63079416D01*
-X151542178Y-63210608D01*
-X151430608Y-63322178D01*
-X151299416Y-63409837D01*
-X151299415Y-63409838D01*
-X151299414Y-63409838D01*
-X151153642Y-63470219D01*
-X150998893Y-63501000D01*
-X150841107Y-63501000D01*
-X150686358Y-63470219D01*
-X150540586Y-63409838D01*
-X150540585Y-63409838D01*
-X150540584Y-63409837D01*
-X150409392Y-63322178D01*
-X150297822Y-63210608D01*
-X150210163Y-63079416D01*
-X150210162Y-63079414D01*
-X150149781Y-62933642D01*
-X150119000Y-62778893D01*
-X150119000Y-62621107D01*
-X150149781Y-62466358D01*
-X150210162Y-62320586D01*
-X150248787Y-62262779D01*
-X150297822Y-62189392D01*
-X150409392Y-62077822D01*
-X150540584Y-61990163D01*
-X150540586Y-61990162D01*
-X150686358Y-61929781D01*
-X150841107Y-61899000D01*
-X150998893Y-61899000D01*
-X151153642Y-61929781D01*
-X151153642Y-61929781D01*
-G37*
-G36*
-X147073642Y-61929781D02*
-G01*
-X147219414Y-61990162D01*
-X147219416Y-61990163D01*
-X147350608Y-62077822D01*
-X147462178Y-62189392D01*
-X147511213Y-62262779D01*
-X147549838Y-62320586D01*
-X147610219Y-62466358D01*
-X147641000Y-62621107D01*
-X147641000Y-62778893D01*
-X147610219Y-62933642D01*
-X147549838Y-63079414D01*
-X147549837Y-63079416D01*
-X147462178Y-63210608D01*
-X147350608Y-63322178D01*
-X147219416Y-63409837D01*
-X147219415Y-63409838D01*
-X147219414Y-63409838D01*
-X147073642Y-63470219D01*
-X146918893Y-63501000D01*
-X146761107Y-63501000D01*
-X146606358Y-63470219D01*
-X146460586Y-63409838D01*
-X146460585Y-63409838D01*
-X146460584Y-63409837D01*
-X146329392Y-63322178D01*
-X146217822Y-63210608D01*
-X146130163Y-63079416D01*
-X146130162Y-63079414D01*
-X146069781Y-62933642D01*
-X146039000Y-62778893D01*
-X146039000Y-62621107D01*
-X146069781Y-62466358D01*
-X146130162Y-62320586D01*
-X146168787Y-62262779D01*
-X146217822Y-62189392D01*
-X146329392Y-62077822D01*
-X146460584Y-61990163D01*
-X146460586Y-61990162D01*
-X146606358Y-61929781D01*
-X146761107Y-61899000D01*
-X146918893Y-61899000D01*
-X147073642Y-61929781D01*
-X147073642Y-61929781D01*
-G37*
-G36*
-X131303642Y-61929781D02*
-G01*
-X131449414Y-61990162D01*
-X131449416Y-61990163D01*
-X131580608Y-62077822D01*
-X131692178Y-62189392D01*
-X131741213Y-62262779D01*
-X131779838Y-62320586D01*
-X131840219Y-62466358D01*
-X131871000Y-62621107D01*
-X131871000Y-62778893D01*
-X131840219Y-62933642D01*
-X131779838Y-63079414D01*
-X131779837Y-63079416D01*
-X131692178Y-63210608D01*
-X131580608Y-63322178D01*
-X131449416Y-63409837D01*
-X131449415Y-63409838D01*
-X131449414Y-63409838D01*
-X131303642Y-63470219D01*
-X131148893Y-63501000D01*
-X130991107Y-63501000D01*
-X130836358Y-63470219D01*
-X130690586Y-63409838D01*
-X130690585Y-63409838D01*
-X130690584Y-63409837D01*
-X130559392Y-63322178D01*
-X130447822Y-63210608D01*
-X130360163Y-63079416D01*
-X130360162Y-63079414D01*
-X130299781Y-62933642D01*
-X130269000Y-62778893D01*
-X130269000Y-62621107D01*
-X130299781Y-62466358D01*
-X130360162Y-62320586D01*
-X130398787Y-62262779D01*
-X130447822Y-62189392D01*
-X130559392Y-62077822D01*
-X130690584Y-61990163D01*
-X130690586Y-61990162D01*
-X130836358Y-61929781D01*
-X130991107Y-61899000D01*
-X131148893Y-61899000D01*
-X131303642Y-61929781D01*
-X131303642Y-61929781D01*
-G37*
-G36*
-X129263642Y-61929781D02*
-G01*
-X129409414Y-61990162D01*
-X129409416Y-61990163D01*
-X129540608Y-62077822D01*
-X129652178Y-62189392D01*
-X129701213Y-62262779D01*
-X129739838Y-62320586D01*
-X129800219Y-62466358D01*
-X129831000Y-62621107D01*
-X129831000Y-62778893D01*
-X129800219Y-62933642D01*
-X129739838Y-63079414D01*
-X129739837Y-63079416D01*
-X129652178Y-63210608D01*
-X129540608Y-63322178D01*
-X129409416Y-63409837D01*
-X129409415Y-63409838D01*
-X129409414Y-63409838D01*
-X129263642Y-63470219D01*
-X129108893Y-63501000D01*
-X128951107Y-63501000D01*
-X128796358Y-63470219D01*
-X128650586Y-63409838D01*
-X128650585Y-63409838D01*
-X128650584Y-63409837D01*
-X128519392Y-63322178D01*
-X128407822Y-63210608D01*
-X128320163Y-63079416D01*
-X128320162Y-63079414D01*
-X128259781Y-62933642D01*
-X128229000Y-62778893D01*
-X128229000Y-62621107D01*
-X128259781Y-62466358D01*
-X128320162Y-62320586D01*
-X128358787Y-62262779D01*
-X128407822Y-62189392D01*
-X128519392Y-62077822D01*
-X128650584Y-61990163D01*
-X128650586Y-61990162D01*
-X128796358Y-61929781D01*
-X128951107Y-61899000D01*
-X129108893Y-61899000D01*
-X129263642Y-61929781D01*
-X129263642Y-61929781D01*
-G37*
-G36*
-X127223642Y-61929781D02*
-G01*
-X127369414Y-61990162D01*
-X127369416Y-61990163D01*
-X127500608Y-62077822D01*
-X127612178Y-62189392D01*
-X127661213Y-62262779D01*
-X127699838Y-62320586D01*
-X127760219Y-62466358D01*
-X127791000Y-62621107D01*
-X127791000Y-62778893D01*
-X127760219Y-62933642D01*
-X127699838Y-63079414D01*
-X127699837Y-63079416D01*
-X127612178Y-63210608D01*
-X127500608Y-63322178D01*
-X127369416Y-63409837D01*
-X127369415Y-63409838D01*
-X127369414Y-63409838D01*
-X127223642Y-63470219D01*
-X127068893Y-63501000D01*
-X126911107Y-63501000D01*
-X126756358Y-63470219D01*
-X126610586Y-63409838D01*
-X126610585Y-63409838D01*
-X126610584Y-63409837D01*
-X126479392Y-63322178D01*
-X126367822Y-63210608D01*
-X126280163Y-63079416D01*
-X126280162Y-63079414D01*
-X126219781Y-62933642D01*
-X126189000Y-62778893D01*
-X126189000Y-62621107D01*
-X126219781Y-62466358D01*
-X126280162Y-62320586D01*
-X126318787Y-62262779D01*
-X126367822Y-62189392D01*
-X126479392Y-62077822D01*
-X126610584Y-61990163D01*
-X126610586Y-61990162D01*
-X126756358Y-61929781D01*
-X126911107Y-61899000D01*
-X127068893Y-61899000D01*
-X127223642Y-61929781D01*
-X127223642Y-61929781D01*
-G37*
-G36*
-X149113642Y-61929781D02*
-G01*
-X149259414Y-61990162D01*
-X149259416Y-61990163D01*
-X149390608Y-62077822D01*
-X149502178Y-62189392D01*
-X149551213Y-62262779D01*
-X149589838Y-62320586D01*
-X149650219Y-62466358D01*
-X149681000Y-62621107D01*
-X149681000Y-62778893D01*
-X149650219Y-62933642D01*
-X149589838Y-63079414D01*
-X149589837Y-63079416D01*
-X149502178Y-63210608D01*
-X149390608Y-63322178D01*
-X149259416Y-63409837D01*
-X149259415Y-63409838D01*
-X149259414Y-63409838D01*
-X149113642Y-63470219D01*
-X148958893Y-63501000D01*
-X148801107Y-63501000D01*
-X148646358Y-63470219D01*
-X148500586Y-63409838D01*
-X148500585Y-63409838D01*
-X148500584Y-63409837D01*
-X148369392Y-63322178D01*
-X148257822Y-63210608D01*
-X148170163Y-63079416D01*
-X148170162Y-63079414D01*
-X148109781Y-62933642D01*
-X148079000Y-62778893D01*
-X148079000Y-62621107D01*
-X148109781Y-62466358D01*
-X148170162Y-62320586D01*
-X148208787Y-62262779D01*
-X148257822Y-62189392D01*
-X148369392Y-62077822D01*
-X148500584Y-61990163D01*
-X148500586Y-61990162D01*
-X148646358Y-61929781D01*
-X148801107Y-61899000D01*
-X148958893Y-61899000D01*
-X149113642Y-61929781D01*
-X149113642Y-61929781D01*
-G37*
-G36*
-X116660443Y-60615519D02*
-G01*
-X116726627Y-60622037D01*
-X116896466Y-60673557D01*
-X117052991Y-60757222D01*
-X117088729Y-60786552D01*
-X117190186Y-60869814D01*
-X117273448Y-60971271D01*
-X117302778Y-61007009D01*
-X117386443Y-61163534D01*
-X117437963Y-61333373D01*
-X117455359Y-61510000D01*
-X117437963Y-61686627D01*
-X117386443Y-61856466D01*
-X117302778Y-62012991D01*
-X117273448Y-62048729D01*
-X117190186Y-62150186D01*
-X117088729Y-62233448D01*
-X117052991Y-62262778D01*
-X116896466Y-62346443D01*
-X116726627Y-62397963D01*
-X116660443Y-62404481D01*
-X116594260Y-62411000D01*
-X116505740Y-62411000D01*
-X116439557Y-62404481D01*
-X116373373Y-62397963D01*
-X116203534Y-62346443D01*
-X116047009Y-62262778D01*
-X116011271Y-62233448D01*
-X115909814Y-62150186D01*
-X115826552Y-62048729D01*
-X115797222Y-62012991D01*
-X115713557Y-61856466D01*
-X115662037Y-61686627D01*
-X115644641Y-61510000D01*
-X115662037Y-61333373D01*
-X115713557Y-61163534D01*
-X115797222Y-61007009D01*
-X115826552Y-60971271D01*
-X115909814Y-60869814D01*
-X116011271Y-60786552D01*
-X116047009Y-60757222D01*
-X116203534Y-60673557D01*
-X116373373Y-60622037D01*
-X116439557Y-60615519D01*
-X116505740Y-60609000D01*
-X116594260Y-60609000D01*
-X116660443Y-60615519D01*
-X116660443Y-60615519D01*
-G37*
-G36*
-X135123175Y-58503514D02*
-G01*
-X135348871Y-58548408D01*
-X135653883Y-58674748D01*
-X135928387Y-58858166D01*
-X136161834Y-59091613D01*
-X136345252Y-59366117D01*
-X136471592Y-59671129D01*
-X136471592Y-59671130D01*
-X136529053Y-59960000D01*
-X136536000Y-59994928D01*
-X136536000Y-60325072D01*
-X136471592Y-60648871D01*
-X136345252Y-60953883D01*
-X136161834Y-61228387D01*
-X135928387Y-61461834D01*
-X135653883Y-61645252D01*
-X135348871Y-61771592D01*
-X135025073Y-61836000D01*
-X134694927Y-61836000D01*
-X134371129Y-61771592D01*
-X134066117Y-61645252D01*
-X133791613Y-61461834D01*
-X133558166Y-61228387D01*
-X133374748Y-60953883D01*
-X133248408Y-60648871D01*
-X133184000Y-60325072D01*
-X133184000Y-59994928D01*
-X133190948Y-59960000D01*
-X133248408Y-59671130D01*
-X133248408Y-59671129D01*
-X133374748Y-59366117D01*
-X133558166Y-59091613D01*
-X133791613Y-58858166D01*
-X134066117Y-58674748D01*
-X134371129Y-58548408D01*
-X134596825Y-58503514D01*
-X134694927Y-58484000D01*
-X135025073Y-58484000D01*
-X135123175Y-58503514D01*
-X135123175Y-58503514D01*
-G37*
-G36*
-X154973175Y-58503514D02*
-G01*
-X155198871Y-58548408D01*
-X155503883Y-58674748D01*
-X155778387Y-58858166D01*
-X156011834Y-59091613D01*
-X156195252Y-59366117D01*
-X156321592Y-59671129D01*
-X156321592Y-59671130D01*
-X156379053Y-59960000D01*
-X156386000Y-59994928D01*
-X156386000Y-60325072D01*
-X156321592Y-60648871D01*
-X156195252Y-60953883D01*
-X156011834Y-61228387D01*
-X155778387Y-61461834D01*
-X155503883Y-61645252D01*
-X155198871Y-61771592D01*
-X154875073Y-61836000D01*
-X154544927Y-61836000D01*
-X154221129Y-61771592D01*
-X153916117Y-61645252D01*
-X153641613Y-61461834D01*
-X153408166Y-61228387D01*
-X153224748Y-60953883D01*
-X153098408Y-60648871D01*
-X153034000Y-60325072D01*
-X153034000Y-59994928D01*
-X153040948Y-59960000D01*
-X153098408Y-59671130D01*
-X153098408Y-59671129D01*
-X153224748Y-59366117D01*
-X153408166Y-59091613D01*
-X153641613Y-58858166D01*
-X153916117Y-58674748D01*
-X154221129Y-58548408D01*
-X154446825Y-58503514D01*
-X154544927Y-58484000D01*
-X154875073Y-58484000D01*
-X154973175Y-58503514D01*
-X154973175Y-58503514D01*
-G37*
-G36*
-X142273175Y-58503514D02*
-G01*
-X142498871Y-58548408D01*
-X142803883Y-58674748D01*
-X143078387Y-58858166D01*
-X143311834Y-59091613D01*
-X143495252Y-59366117D01*
-X143621592Y-59671129D01*
-X143621592Y-59671130D01*
-X143679053Y-59960000D01*
-X143686000Y-59994928D01*
-X143686000Y-60325072D01*
-X143621592Y-60648871D01*
-X143495252Y-60953883D01*
-X143311834Y-61228387D01*
-X143078387Y-61461834D01*
-X142803883Y-61645252D01*
-X142498871Y-61771592D01*
-X142175073Y-61836000D01*
-X141844927Y-61836000D01*
-X141521129Y-61771592D01*
-X141216117Y-61645252D01*
-X140941613Y-61461834D01*
-X140708166Y-61228387D01*
-X140524748Y-60953883D01*
-X140398408Y-60648871D01*
-X140334000Y-60325072D01*
-X140334000Y-59994928D01*
-X140340948Y-59960000D01*
-X140398408Y-59671130D01*
-X140398408Y-59671129D01*
-X140524748Y-59366117D01*
-X140708166Y-59091613D01*
-X140941613Y-58858166D01*
-X141216117Y-58674748D01*
-X141521129Y-58548408D01*
-X141746825Y-58503514D01*
-X141844927Y-58484000D01*
-X142175073Y-58484000D01*
-X142273175Y-58503514D01*
-X142273175Y-58503514D01*
-G37*
-G36*
-X122423175Y-58503514D02*
-G01*
-X122648871Y-58548408D01*
-X122953883Y-58674748D01*
-X123228387Y-58858166D01*
-X123461834Y-59091613D01*
-X123645252Y-59366117D01*
-X123771592Y-59671129D01*
-X123771592Y-59671130D01*
-X123829053Y-59960000D01*
-X123836000Y-59994928D01*
-X123836000Y-60325072D01*
-X123771592Y-60648871D01*
-X123645252Y-60953883D01*
-X123461834Y-61228387D01*
-X123228387Y-61461834D01*
-X122953883Y-61645252D01*
-X122648871Y-61771592D01*
-X122325073Y-61836000D01*
-X121994927Y-61836000D01*
-X121671129Y-61771592D01*
-X121366117Y-61645252D01*
-X121091613Y-61461834D01*
-X120858166Y-61228387D01*
-X120674748Y-60953883D01*
-X120548408Y-60648871D01*
-X120484000Y-60325072D01*
-X120484000Y-59994928D01*
-X120490948Y-59960000D01*
-X120548408Y-59671130D01*
-X120548408Y-59671129D01*
-X120674748Y-59366117D01*
-X120858166Y-59091613D01*
-X121091613Y-58858166D01*
-X121366117Y-58674748D01*
-X121671129Y-58548408D01*
-X121896825Y-58503514D01*
-X121994927Y-58484000D01*
-X122325073Y-58484000D01*
-X122423175Y-58503514D01*
-X122423175Y-58503514D01*
-G37*
-G36*
-X85403097Y-58914069D02*
-G01*
-X85506032Y-58924207D01*
-X85656989Y-58970000D01*
-X85704149Y-58984306D01*
-X85800975Y-59036061D01*
-X85886729Y-59081897D01*
-X86046765Y-59213235D01*
-X86178103Y-59373271D01*
-X86219650Y-59451000D01*
-X86275694Y-59555851D01*
-X86275695Y-59555854D01*
-X86335793Y-59753968D01*
-X86356085Y-59960000D01*
-X86335793Y-60166032D01*
-X86287548Y-60325072D01*
-X86275694Y-60364149D01*
-X86257071Y-60398990D01*
-X86178103Y-60546729D01*
-X86046765Y-60706765D01*
-X85886729Y-60838103D01*
-X85800975Y-60883939D01*
-X85704149Y-60935694D01*
-X85704146Y-60935695D01*
-X85506032Y-60995793D01*
-X85403097Y-61005931D01*
-X85351631Y-61011000D01*
-X84648369Y-61011000D01*
-X84596903Y-61005931D01*
-X84493968Y-60995793D01*
-X84295854Y-60935695D01*
-X84295851Y-60935694D01*
-X84199025Y-60883939D01*
-X84113271Y-60838103D01*
-X83953235Y-60706765D01*
-X83821897Y-60546729D01*
-X83742929Y-60398990D01*
-X83724306Y-60364149D01*
-X83712452Y-60325072D01*
-X83664207Y-60166032D01*
-X83643915Y-59960000D01*
-X83664207Y-59753968D01*
-X83724305Y-59555854D01*
-X83724306Y-59555851D01*
-X83780350Y-59451000D01*
-X83821897Y-59373271D01*
-X83953235Y-59213235D01*
-X84113271Y-59081897D01*
-X84199025Y-59036061D01*
-X84295851Y-58984306D01*
-X84343011Y-58970000D01*
-X84493968Y-58924207D01*
-X84596903Y-58914069D01*
-X84648369Y-58909000D01*
-X85351631Y-58909000D01*
-X85403097Y-58914069D01*
-X85403097Y-58914069D01*
-G37*
-G36*
-X116660442Y-58075518D02*
-G01*
-X116726627Y-58082037D01*
-X116896466Y-58133557D01*
-X117052991Y-58217222D01*
-X117088729Y-58246552D01*
-X117190186Y-58329814D01*
-X117273448Y-58431271D01*
-X117302778Y-58467009D01*
-X117386443Y-58623534D01*
-X117437963Y-58793373D01*
-X117455359Y-58970000D01*
-X117437963Y-59146627D01*
-X117386443Y-59316466D01*
-X117302778Y-59472991D01*
-X117273448Y-59508729D01*
-X117190186Y-59610186D01*
-X117115924Y-59671130D01*
-X117052991Y-59722778D01*
-X116896466Y-59806443D01*
-X116726627Y-59857963D01*
-X116660442Y-59864482D01*
-X116594260Y-59871000D01*
-X116505740Y-59871000D01*
-X116439558Y-59864482D01*
-X116373373Y-59857963D01*
-X116203534Y-59806443D01*
-X116047009Y-59722778D01*
-X115984076Y-59671130D01*
-X115909814Y-59610186D01*
-X115826552Y-59508729D01*
-X115797222Y-59472991D01*
-X115713557Y-59316466D01*
-X115662037Y-59146627D01*
-X115644641Y-58970000D01*
-X115662037Y-58793373D01*
-X115713557Y-58623534D01*
-X115797222Y-58467009D01*
-X115826552Y-58431271D01*
-X115909814Y-58329814D01*
-X116011271Y-58246552D01*
-X116047009Y-58217222D01*
-X116203534Y-58133557D01*
-X116373373Y-58082037D01*
-X116439558Y-58075518D01*
-X116505740Y-58069000D01*
-X116594260Y-58069000D01*
-X116660442Y-58075518D01*
-X116660442Y-58075518D01*
-G37*
-G36*
-X108106564Y-57389389D02*
-G01*
-X108297833Y-57468615D01*
-X108297835Y-57468616D01*
-X108469973Y-57583635D01*
-X108616365Y-57730027D01*
-X108731385Y-57902167D01*
-X108810611Y-58093436D01*
-X108851000Y-58296484D01*
-X108851000Y-58503516D01*
-X108810611Y-58706564D01*
-X108731385Y-58897833D01*
-X108731384Y-58897835D01*
-X108616365Y-59069973D01*
-X108469973Y-59216365D01*
-X108297835Y-59331384D01*
-X108297834Y-59331385D01*
-X108297833Y-59331385D01*
-X108106564Y-59410611D01*
-X107903516Y-59451000D01*
-X107696484Y-59451000D01*
-X107493436Y-59410611D01*
-X107302167Y-59331385D01*
-X107302166Y-59331385D01*
-X107302165Y-59331384D01*
-X107130027Y-59216365D01*
-X106983635Y-59069973D01*
-X106868616Y-58897835D01*
-X106868615Y-58897833D01*
-X106789389Y-58706564D01*
-X106749000Y-58503516D01*
-X106749000Y-58296484D01*
-X106789389Y-58093436D01*
-X106868615Y-57902167D01*
-X106983635Y-57730027D01*
-X107130027Y-57583635D01*
-X107302165Y-57468616D01*
-X107302167Y-57468615D01*
-X107493436Y-57389389D01*
-X107696484Y-57349000D01*
-X107903516Y-57349000D01*
-X108106564Y-57389389D01*
-X108106564Y-57389389D01*
-G37*
-G36*
-X96406564Y-57389389D02*
-G01*
-X96597833Y-57468615D01*
-X96597835Y-57468616D01*
-X96769973Y-57583635D01*
-X96916365Y-57730027D01*
-X97031385Y-57902167D01*
-X97110611Y-58093436D01*
-X97151000Y-58296484D01*
-X97151000Y-58503516D01*
-X97110611Y-58706564D01*
-X97031385Y-58897833D01*
-X97031384Y-58897835D01*
-X96916365Y-59069973D01*
-X96769973Y-59216365D01*
-X96597835Y-59331384D01*
-X96597834Y-59331385D01*
-X96597833Y-59331385D01*
-X96406564Y-59410611D01*
-X96203516Y-59451000D01*
-X95996484Y-59451000D01*
-X95793436Y-59410611D01*
-X95602167Y-59331385D01*
-X95602166Y-59331385D01*
-X95602165Y-59331384D01*
-X95430027Y-59216365D01*
-X95283635Y-59069973D01*
-X95168616Y-58897835D01*
-X95168615Y-58897833D01*
-X95089389Y-58706564D01*
-X95049000Y-58503516D01*
-X95049000Y-58296484D01*
-X95089389Y-58093436D01*
-X95168615Y-57902167D01*
-X95283635Y-57730027D01*
-X95430027Y-57583635D01*
-X95602165Y-57468616D01*
-X95602167Y-57468615D01*
-X95793436Y-57389389D01*
-X95996484Y-57349000D01*
-X96203516Y-57349000D01*
-X96406564Y-57389389D01*
-X96406564Y-57389389D01*
-G37*
-M02*
+G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5)-3*
+G04 #@! TF.CreationDate,2020-05-02T20:20:03+02:00*
+G04 #@! TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,rev?*
+G04 #@! TF.SameCoordinates,Original*
+G04 #@! TF.FileFunction,Soldermask,Top*
+G04 #@! TF.FilePolarity,Negative*
+%FSLAX46Y46*%
+G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
+G04 Created by KiCad (PCBNEW (5.1.5)-3) date 2020-05-02 20:20:03*
+%MOMM*%
+%LPD*%
+G04 APERTURE LIST*
+%ADD10C,0.100000*%
+G04 APERTURE END LIST*
+D10*
+G36*
+X166181632Y-146104677D02*
+G01*
+X166487005Y-146231167D01*
+X166918868Y-146410050D01*
+X167582362Y-146853383D01*
+X168146617Y-147417638D01*
+X168589950Y-148081132D01*
+X168718652Y-148391847D01*
+X168895323Y-148818368D01*
+X169051000Y-149601010D01*
+X169051000Y-150398990D01*
+X168895323Y-151181632D01*
+X168789132Y-151438000D01*
+X168589950Y-151918868D01*
+X168146617Y-152582362D01*
+X167582362Y-153146617D01*
+X166918868Y-153589950D01*
+X166487005Y-153768833D01*
+X166181632Y-153895323D01*
+X165398990Y-154051000D01*
+X164601010Y-154051000D01*
+X163818368Y-153895323D01*
+X163512995Y-153768833D01*
+X163081132Y-153589950D01*
+X162417638Y-153146617D01*
+X161853383Y-152582362D01*
+X161410050Y-151918868D01*
+X161210868Y-151438000D01*
+X161104677Y-151181632D01*
+X160949000Y-150398990D01*
+X160949000Y-149601010D01*
+X161104677Y-148818368D01*
+X161281348Y-148391847D01*
+X161410050Y-148081132D01*
+X161853383Y-147417638D01*
+X162417638Y-146853383D01*
+X163081132Y-146410050D01*
+X163512995Y-146231167D01*
+X163818368Y-146104677D01*
+X164601010Y-145949000D01*
+X165398990Y-145949000D01*
+X166181632Y-146104677D01*
+G37*
+G36*
+X76181632Y-146104677D02*
+G01*
+X76487005Y-146231167D01*
+X76918868Y-146410050D01*
+X77582362Y-146853383D01*
+X78146617Y-147417638D01*
+X78589950Y-148081132D01*
+X78718652Y-148391847D01*
+X78895323Y-148818368D01*
+X79051000Y-149601010D01*
+X79051000Y-150398990D01*
+X78895323Y-151181632D01*
+X78789132Y-151438000D01*
+X78589950Y-151918868D01*
+X78146617Y-152582362D01*
+X77582362Y-153146617D01*
+X76918868Y-153589950D01*
+X76487005Y-153768833D01*
+X76181632Y-153895323D01*
+X75398990Y-154051000D01*
+X74601010Y-154051000D01*
+X73818368Y-153895323D01*
+X73512995Y-153768833D01*
+X73081132Y-153589950D01*
+X72417638Y-153146617D01*
+X71853383Y-152582362D01*
+X71410050Y-151918868D01*
+X71210868Y-151438000D01*
+X71104677Y-151181632D01*
+X70949000Y-150398990D01*
+X70949000Y-149601010D01*
+X71104677Y-148818368D01*
+X71281348Y-148391847D01*
+X71410050Y-148081132D01*
+X71853383Y-147417638D01*
+X72417638Y-146853383D01*
+X73081132Y-146410050D01*
+X73512995Y-146231167D01*
+X73818368Y-146104677D01*
+X74601010Y-145949000D01*
+X75398990Y-145949000D01*
+X76181632Y-146104677D01*
+G37*
+G36*
+X81414499Y-152303445D02*
+G01*
+X81451995Y-152314820D01*
+X81486554Y-152333292D01*
+X81516847Y-152358153D01*
+X81541708Y-152388446D01*
+X81560180Y-152423005D01*
+X81571555Y-152460501D01*
+X81576000Y-152505638D01*
+X81576000Y-153244362D01*
+X81571555Y-153289499D01*
+X81560180Y-153326995D01*
+X81541708Y-153361554D01*
+X81516847Y-153391847D01*
+X81486554Y-153416708D01*
+X81451995Y-153435180D01*
+X81414499Y-153446555D01*
+X81369362Y-153451000D01*
+X80730638Y-153451000D01*
+X80685501Y-153446555D01*
+X80648005Y-153435180D01*
+X80613446Y-153416708D01*
+X80583153Y-153391847D01*
+X80558292Y-153361554D01*
+X80539820Y-153326995D01*
+X80528445Y-153289499D01*
+X80524000Y-153244362D01*
+X80524000Y-152505638D01*
+X80528445Y-152460501D01*
+X80539820Y-152423005D01*
+X80558292Y-152388446D01*
+X80583153Y-152358153D01*
+X80613446Y-152333292D01*
+X80648005Y-152314820D01*
+X80685501Y-152303445D01*
+X80730638Y-152299000D01*
+X81369362Y-152299000D01*
+X81414499Y-152303445D01*
+G37*
+G36*
+X87131000Y-153101000D02*
+G01*
+X85529000Y-153101000D01*
+X85529000Y-150899000D01*
+X87131000Y-150899000D01*
+X87131000Y-153101000D01*
+G37*
+G36*
+X84971000Y-153101000D02*
+G01*
+X82369000Y-153101000D01*
+X82369000Y-150899000D01*
+X84971000Y-150899000D01*
+X84971000Y-153101000D01*
+G37*
+G36*
+X136931000Y-153101000D02*
+G01*
+X135329000Y-153101000D01*
+X135329000Y-150899000D01*
+X136931000Y-150899000D01*
+X136931000Y-153101000D01*
+G37*
+G36*
+X134771000Y-153101000D02*
+G01*
+X132169000Y-153101000D01*
+X132169000Y-150899000D01*
+X134771000Y-150899000D01*
+X134771000Y-153101000D01*
+G37*
+G36*
+X131089499Y-151678445D02*
+G01*
+X131126995Y-151689820D01*
+X131161554Y-151708292D01*
+X131191847Y-151733153D01*
+X131216708Y-151763446D01*
+X131235180Y-151798005D01*
+X131246555Y-151835501D01*
+X131251000Y-151880638D01*
+X131251000Y-152519362D01*
+X131246555Y-152564499D01*
+X131235180Y-152601995D01*
+X131216708Y-152636554D01*
+X131191847Y-152666847D01*
+X131161554Y-152691708D01*
+X131126995Y-152710180D01*
+X131089499Y-152721555D01*
+X131044362Y-152726000D01*
+X130305638Y-152726000D01*
+X130260501Y-152721555D01*
+X130223005Y-152710180D01*
+X130188446Y-152691708D01*
+X130158153Y-152666847D01*
+X130133292Y-152636554D01*
+X130114820Y-152601995D01*
+X130103445Y-152564499D01*
+X130099000Y-152519362D01*
+X130099000Y-151880638D01*
+X130103445Y-151835501D01*
+X130114820Y-151798005D01*
+X130133292Y-151763446D01*
+X130158153Y-151733153D01*
+X130188446Y-151708292D01*
+X130223005Y-151689820D01*
+X130260501Y-151678445D01*
+X130305638Y-151674000D01*
+X131044362Y-151674000D01*
+X131089499Y-151678445D01*
+G37*
+G36*
+X129339499Y-151678445D02*
+G01*
+X129376995Y-151689820D01*
+X129411554Y-151708292D01*
+X129441847Y-151733153D01*
+X129466708Y-151763446D01*
+X129485180Y-151798005D01*
+X129496555Y-151835501D01*
+X129501000Y-151880638D01*
+X129501000Y-152519362D01*
+X129496555Y-152564499D01*
+X129485180Y-152601995D01*
+X129466708Y-152636554D01*
+X129441847Y-152666847D01*
+X129411554Y-152691708D01*
+X129376995Y-152710180D01*
+X129339499Y-152721555D01*
+X129294362Y-152726000D01*
+X128555638Y-152726000D01*
+X128510501Y-152721555D01*
+X128473005Y-152710180D01*
+X128438446Y-152691708D01*
+X128408153Y-152666847D01*
+X128383292Y-152636554D01*
+X128364820Y-152601995D01*
+X128353445Y-152564499D01*
+X128349000Y-152519362D01*
+X128349000Y-151880638D01*
+X128353445Y-151835501D01*
+X128364820Y-151798005D01*
+X128383292Y-151763446D01*
+X128408153Y-151733153D01*
+X128438446Y-151708292D01*
+X128473005Y-151689820D01*
+X128510501Y-151678445D01*
+X128555638Y-151674000D01*
+X129294362Y-151674000D01*
+X129339499Y-151678445D01*
+G37*
+G36*
+X81414499Y-150553445D02*
+G01*
+X81451995Y-150564820D01*
+X81486554Y-150583292D01*
+X81516847Y-150608153D01*
+X81541708Y-150638446D01*
+X81560180Y-150673005D01*
+X81571555Y-150710501D01*
+X81576000Y-150755638D01*
+X81576000Y-151494362D01*
+X81571555Y-151539499D01*
+X81560180Y-151576995D01*
+X81541708Y-151611554D01*
+X81516847Y-151641847D01*
+X81486554Y-151666708D01*
+X81451995Y-151685180D01*
+X81414499Y-151696555D01*
+X81369362Y-151701000D01*
+X80730638Y-151701000D01*
+X80685501Y-151696555D01*
+X80648005Y-151685180D01*
+X80613446Y-151666708D01*
+X80583153Y-151641847D01*
+X80558292Y-151611554D01*
+X80539820Y-151576995D01*
+X80528445Y-151539499D01*
+X80524000Y-151494362D01*
+X80524000Y-150755638D01*
+X80528445Y-150710501D01*
+X80539820Y-150673005D01*
+X80558292Y-150638446D01*
+X80583153Y-150608153D01*
+X80613446Y-150583292D01*
+X80648005Y-150564820D01*
+X80685501Y-150553445D01*
+X80730638Y-150549000D01*
+X81369362Y-150549000D01*
+X81414499Y-150553445D01*
+G37*
+G36*
+X110375256Y-148391298D02*
+G01*
+X110481579Y-148412447D01*
+X110782042Y-148536903D01*
+X111052451Y-148717585D01*
+X111282415Y-148947549D01*
+X111282416Y-148947551D01*
+X111463098Y-149217960D01*
+X111587553Y-149518422D01*
+X111641750Y-149790885D01*
+X111651000Y-149837391D01*
+X111651000Y-150162609D01*
+X111587553Y-150481579D01*
+X111463097Y-150782042D01*
+X111282415Y-151052451D01*
+X111052451Y-151282415D01*
+X110782042Y-151463097D01*
+X110481579Y-151587553D01*
+X110375256Y-151608702D01*
+X110162611Y-151651000D01*
+X109837389Y-151651000D01*
+X109624744Y-151608702D01*
+X109518421Y-151587553D01*
+X109217958Y-151463097D01*
+X108947549Y-151282415D01*
+X108717585Y-151052451D01*
+X108536903Y-150782042D01*
+X108412447Y-150481579D01*
+X108349000Y-150162609D01*
+X108349000Y-149837391D01*
+X108358251Y-149790885D01*
+X108412447Y-149518422D01*
+X108536902Y-149217960D01*
+X108717584Y-148947551D01*
+X108717585Y-148947549D01*
+X108947549Y-148717585D01*
+X109217958Y-148536903D01*
+X109518421Y-148412447D01*
+X109624744Y-148391298D01*
+X109837389Y-148349000D01*
+X110162611Y-148349000D01*
+X110375256Y-148391298D01*
+G37*
+G36*
+X153375256Y-148391298D02*
+G01*
+X153481579Y-148412447D01*
+X153782042Y-148536903D01*
+X154052451Y-148717585D01*
+X154282415Y-148947549D01*
+X154282416Y-148947551D01*
+X154463098Y-149217960D01*
+X154587553Y-149518422D01*
+X154641750Y-149790885D01*
+X154651000Y-149837391D01*
+X154651000Y-150162609D01*
+X154587553Y-150481579D01*
+X154463097Y-150782042D01*
+X154282415Y-151052451D01*
+X154052451Y-151282415D01*
+X153782042Y-151463097D01*
+X153481579Y-151587553D01*
+X153375256Y-151608702D01*
+X153162611Y-151651000D01*
+X152837389Y-151651000D01*
+X152624744Y-151608702D01*
+X152518421Y-151587553D01*
+X152217958Y-151463097D01*
+X151947549Y-151282415D01*
+X151717585Y-151052451D01*
+X151536903Y-150782042D01*
+X151412447Y-150481579D01*
+X151349000Y-150162609D01*
+X151349000Y-149837391D01*
+X151358251Y-149790885D01*
+X151412447Y-149518422D01*
+X151536902Y-149217960D01*
+X151717584Y-148947551D01*
+X151717585Y-148947549D01*
+X151947549Y-148717585D01*
+X152217958Y-148536903D01*
+X152518421Y-148412447D01*
+X152624744Y-148391298D01*
+X152837389Y-148349000D01*
+X153162611Y-148349000D01*
+X153375256Y-148391298D01*
+G37*
+G36*
+X129339499Y-149678445D02*
+G01*
+X129376995Y-149689820D01*
+X129411554Y-149708292D01*
+X129441847Y-149733153D01*
+X129466708Y-149763446D01*
+X129485180Y-149798005D01*
+X129496555Y-149835501D01*
+X129501000Y-149880638D01*
+X129501000Y-150519362D01*
+X129496555Y-150564499D01*
+X129485180Y-150601995D01*
+X129466708Y-150636554D01*
+X129441847Y-150666847D01*
+X129411554Y-150691708D01*
+X129376995Y-150710180D01*
+X129339499Y-150721555D01*
+X129294362Y-150726000D01*
+X128555638Y-150726000D01*
+X128510501Y-150721555D01*
+X128473005Y-150710180D01*
+X128438446Y-150691708D01*
+X128408153Y-150666847D01*
+X128383292Y-150636554D01*
+X128364820Y-150601995D01*
+X128353445Y-150564499D01*
+X128349000Y-150519362D01*
+X128349000Y-149880638D01*
+X128353445Y-149835501D01*
+X128364820Y-149798005D01*
+X128383292Y-149763446D01*
+X128408153Y-149733153D01*
+X128438446Y-149708292D01*
+X128473005Y-149689820D01*
+X128510501Y-149678445D01*
+X128555638Y-149674000D01*
+X129294362Y-149674000D01*
+X129339499Y-149678445D01*
+G37*
+G36*
+X131089499Y-149678445D02*
+G01*
+X131126995Y-149689820D01*
+X131161554Y-149708292D01*
+X131191847Y-149733153D01*
+X131216708Y-149763446D01*
+X131235180Y-149798005D01*
+X131246555Y-149835501D01*
+X131251000Y-149880638D01*
+X131251000Y-150519362D01*
+X131246555Y-150564499D01*
+X131235180Y-150601995D01*
+X131216708Y-150636554D01*
+X131191847Y-150666847D01*
+X131161554Y-150691708D01*
+X131126995Y-150710180D01*
+X131089499Y-150721555D01*
+X131044362Y-150726000D01*
+X130305638Y-150726000D01*
+X130260501Y-150721555D01*
+X130223005Y-150710180D01*
+X130188446Y-150691708D01*
+X130158153Y-150666847D01*
+X130133292Y-150636554D01*
+X130114820Y-150601995D01*
+X130103445Y-150564499D01*
+X130099000Y-150519362D01*
+X130099000Y-149880638D01*
+X130103445Y-149835501D01*
+X130114820Y-149798005D01*
+X130133292Y-149763446D01*
+X130158153Y-149733153D01*
+X130188446Y-149708292D01*
+X130223005Y-149689820D01*
+X130260501Y-149678445D01*
+X130305638Y-149674000D01*
+X131044362Y-149674000D01*
+X131089499Y-149678445D01*
+G37*
+G36*
+X81464499Y-147303445D02*
+G01*
+X81501995Y-147314820D01*
+X81536554Y-147333292D01*
+X81566847Y-147358153D01*
+X81591708Y-147388446D01*
+X81610180Y-147423005D01*
+X81621555Y-147460501D01*
+X81626000Y-147505638D01*
+X81626000Y-148244362D01*
+X81621555Y-148289499D01*
+X81610180Y-148326995D01*
+X81591708Y-148361554D01*
+X81566847Y-148391847D01*
+X81536554Y-148416708D01*
+X81501995Y-148435180D01*
+X81464499Y-148446555D01*
+X81419362Y-148451000D01*
+X80780638Y-148451000D01*
+X80735501Y-148446555D01*
+X80698005Y-148435180D01*
+X80663446Y-148416708D01*
+X80633153Y-148391847D01*
+X80608292Y-148361554D01*
+X80589820Y-148326995D01*
+X80578445Y-148289499D01*
+X80574000Y-148244362D01*
+X80574000Y-147505638D01*
+X80578445Y-147460501D01*
+X80589820Y-147423005D01*
+X80608292Y-147388446D01*
+X80633153Y-147358153D01*
+X80663446Y-147333292D01*
+X80698005Y-147314820D01*
+X80735501Y-147303445D01*
+X80780638Y-147299000D01*
+X81419362Y-147299000D01*
+X81464499Y-147303445D01*
+G37*
+G36*
+X136931000Y-148101000D02*
+G01*
+X135329000Y-148101000D01*
+X135329000Y-145899000D01*
+X136931000Y-145899000D01*
+X136931000Y-148101000D01*
+G37*
+G36*
+X134771000Y-148101000D02*
+G01*
+X132169000Y-148101000D01*
+X132169000Y-145899000D01*
+X134771000Y-145899000D01*
+X134771000Y-148101000D01*
+G37*
+G36*
+X87131000Y-148101000D02*
+G01*
+X85529000Y-148101000D01*
+X85529000Y-145899000D01*
+X87131000Y-145899000D01*
+X87131000Y-148101000D01*
+G37*
+G36*
+X84971000Y-148101000D02*
+G01*
+X82369000Y-148101000D01*
+X82369000Y-145899000D01*
+X84971000Y-145899000D01*
+X84971000Y-148101000D01*
+G37*
+G36*
+X81464499Y-145553445D02*
+G01*
+X81501995Y-145564820D01*
+X81536554Y-145583292D01*
+X81566847Y-145608153D01*
+X81591708Y-145638446D01*
+X81610180Y-145673005D01*
+X81621555Y-145710501D01*
+X81626000Y-145755638D01*
+X81626000Y-146494362D01*
+X81621555Y-146539499D01*
+X81610180Y-146576995D01*
+X81591708Y-146611554D01*
+X81566847Y-146641847D01*
+X81536554Y-146666708D01*
+X81501995Y-146685180D01*
+X81464499Y-146696555D01*
+X81419362Y-146701000D01*
+X80780638Y-146701000D01*
+X80735501Y-146696555D01*
+X80698005Y-146685180D01*
+X80663446Y-146666708D01*
+X80633153Y-146641847D01*
+X80608292Y-146611554D01*
+X80589820Y-146576995D01*
+X80578445Y-146539499D01*
+X80574000Y-146494362D01*
+X80574000Y-145755638D01*
+X80578445Y-145710501D01*
+X80589820Y-145673005D01*
+X80608292Y-145638446D01*
+X80633153Y-145608153D01*
+X80663446Y-145583292D01*
+X80698005Y-145564820D01*
+X80735501Y-145553445D01*
+X80780638Y-145549000D01*
+X81419362Y-145549000D01*
+X81464499Y-145553445D01*
+G37*
+G36*
+X131376000Y-142401000D02*
+G01*
+X127466453Y-142401000D01*
+X127446836Y-142390515D01*
+X127423387Y-142383402D01*
+X127399001Y-142381000D01*
+X124974000Y-142381000D01*
+X124974000Y-141429000D01*
+X127399001Y-141429000D01*
+X127423387Y-141426598D01*
+X127446836Y-141419485D01*
+X127468447Y-141407934D01*
+X127487389Y-141392389D01*
+X127502934Y-141373447D01*
+X127514485Y-141351836D01*
+X127521598Y-141328387D01*
+X127524000Y-141304001D01*
+X127524000Y-141235999D01*
+X127521598Y-141211613D01*
+X127514485Y-141188164D01*
+X127502934Y-141166553D01*
+X127487389Y-141147611D01*
+X127468447Y-141132066D01*
+X127446836Y-141120515D01*
+X127423387Y-141113402D01*
+X127399001Y-141111000D01*
+X124974000Y-141111000D01*
+X124974000Y-140159000D01*
+X127399001Y-140159000D01*
+X127423387Y-140156598D01*
+X127446836Y-140149485D01*
+X127468447Y-140137934D01*
+X127487389Y-140122389D01*
+X127502934Y-140103447D01*
+X127514485Y-140081836D01*
+X127521598Y-140058387D01*
+X127524000Y-140034001D01*
+X127524000Y-139965999D01*
+X127521598Y-139941613D01*
+X127514485Y-139918164D01*
+X127502934Y-139896553D01*
+X127487389Y-139877611D01*
+X127468447Y-139862066D01*
+X127446836Y-139850515D01*
+X127423387Y-139843402D01*
+X127399001Y-139841000D01*
+X124974000Y-139841000D01*
+X124974000Y-138889000D01*
+X127399001Y-138889000D01*
+X127423387Y-138886598D01*
+X127446836Y-138879485D01*
+X127468447Y-138867934D01*
+X127487389Y-138852389D01*
+X127502934Y-138833447D01*
+X127514485Y-138811836D01*
+X127521598Y-138788387D01*
+X127524000Y-138764001D01*
+X127524000Y-138695999D01*
+X127521598Y-138671613D01*
+X127514485Y-138648164D01*
+X127502934Y-138626553D01*
+X127487389Y-138607611D01*
+X127468447Y-138592066D01*
+X127446836Y-138580515D01*
+X127423387Y-138573402D01*
+X127399001Y-138571000D01*
+X124974000Y-138571000D01*
+X124974000Y-137619000D01*
+X127399001Y-137619000D01*
+X127423387Y-137616598D01*
+X127446836Y-137609485D01*
+X127466453Y-137599000D01*
+X131376000Y-137599000D01*
+X131376000Y-142401000D01*
+G37*
+G36*
+X135026000Y-142381000D02*
+G01*
+X131974000Y-142381000D01*
+X131974000Y-141429000D01*
+X135026000Y-141429000D01*
+X135026000Y-142381000D01*
+G37*
+G36*
+X135026000Y-141111000D02*
+G01*
+X131974000Y-141111000D01*
+X131974000Y-140159000D01*
+X135026000Y-140159000D01*
+X135026000Y-141111000D01*
+G37*
+G36*
+X135026000Y-139841000D02*
+G01*
+X131974000Y-139841000D01*
+X131974000Y-138889000D01*
+X135026000Y-138889000D01*
+X135026000Y-139841000D01*
+G37*
+G36*
+X135026000Y-138571000D02*
+G01*
+X131974000Y-138571000D01*
+X131974000Y-137619000D01*
+X135026000Y-137619000D01*
+X135026000Y-138571000D01*
+G37*
+G36*
+X76351000Y-136431000D02*
+G01*
+X73649000Y-136431000D01*
+X73649000Y-133729000D01*
+X76351000Y-133729000D01*
+X76351000Y-136431000D01*
+G37*
+G36*
+X165394072Y-133620918D02*
+G01*
+X165639939Y-133722759D01*
+X165861212Y-133870610D01*
+X166049390Y-134058788D01*
+X166197241Y-134280061D01*
+X166299082Y-134525928D01*
+X166351000Y-134786938D01*
+X166351000Y-135053062D01*
+X166299082Y-135314072D01*
+X166197241Y-135559939D01*
+X166049390Y-135781212D01*
+X165861212Y-135969390D01*
+X165639939Y-136117241D01*
+X165639938Y-136117242D01*
+X165639937Y-136117242D01*
+X165394072Y-136219082D01*
+X165133063Y-136271000D01*
+X164866937Y-136271000D01*
+X164605928Y-136219082D01*
+X164360063Y-136117242D01*
+X164360062Y-136117242D01*
+X164360061Y-136117241D01*
+X164138788Y-135969390D01*
+X163950610Y-135781212D01*
+X163802759Y-135559939D01*
+X163700918Y-135314072D01*
+X163649000Y-135053062D01*
+X163649000Y-134786938D01*
+X163700918Y-134525928D01*
+X163802759Y-134280061D01*
+X163950610Y-134058788D01*
+X164138788Y-133870610D01*
+X164360061Y-133722759D01*
+X164605928Y-133620918D01*
+X164866937Y-133569000D01*
+X165133063Y-133569000D01*
+X165394072Y-133620918D01*
+G37*
+G36*
+X75394072Y-128780918D02*
+G01*
+X75610607Y-128870609D01*
+X75639939Y-128882759D01*
+X75861212Y-129030610D01*
+X76049390Y-129218788D01*
+X76197241Y-129440061D01*
+X76299082Y-129685928D01*
+X76351000Y-129946938D01*
+X76351000Y-130213062D01*
+X76299082Y-130474072D01*
+X76197241Y-130719939D01*
+X76049390Y-130941212D01*
+X75861212Y-131129390D01*
+X75639939Y-131277241D01*
+X75639938Y-131277242D01*
+X75639937Y-131277242D01*
+X75394072Y-131379082D01*
+X75133063Y-131431000D01*
+X74866937Y-131431000D01*
+X74605928Y-131379082D01*
+X74360063Y-131277242D01*
+X74360062Y-131277242D01*
+X74360061Y-131277241D01*
+X74138788Y-131129390D01*
+X73950610Y-130941212D01*
+X73802759Y-130719939D01*
+X73700918Y-130474072D01*
+X73649000Y-130213062D01*
+X73649000Y-129946938D01*
+X73700918Y-129685928D01*
+X73802759Y-129440061D01*
+X73950610Y-129218788D01*
+X74138788Y-129030610D01*
+X74360061Y-128882759D01*
+X74389394Y-128870609D01*
+X74605928Y-128780918D01*
+X74866937Y-128729000D01*
+X75133063Y-128729000D01*
+X75394072Y-128780918D01*
+G37*
+G36*
+X165394072Y-128620918D02*
+G01*
+X165639939Y-128722759D01*
+X165861212Y-128870610D01*
+X166049390Y-129058788D01*
+X166197241Y-129280061D01*
+X166299082Y-129525928D01*
+X166351000Y-129786938D01*
+X166351000Y-130053062D01*
+X166299082Y-130314072D01*
+X166197241Y-130559939D01*
+X166049390Y-130781212D01*
+X165861212Y-130969390D01*
+X165639939Y-131117241D01*
+X165639938Y-131117242D01*
+X165639937Y-131117242D01*
+X165394072Y-131219082D01*
+X165133063Y-131271000D01*
+X164866937Y-131271000D01*
+X164605928Y-131219082D01*
+X164360063Y-131117242D01*
+X164360062Y-131117242D01*
+X164360061Y-131117241D01*
+X164138788Y-130969390D01*
+X163950610Y-130781212D01*
+X163802759Y-130559939D01*
+X163700918Y-130314072D01*
+X163649000Y-130053062D01*
+X163649000Y-129786938D01*
+X163700918Y-129525928D01*
+X163802759Y-129280061D01*
+X163950610Y-129058788D01*
+X164138788Y-128870610D01*
+X164360061Y-128722759D01*
+X164605928Y-128620918D01*
+X164866937Y-128569000D01*
+X165133063Y-128569000D01*
+X165394072Y-128620918D01*
+G37*
+G36*
+X132553164Y-124276185D02*
+G01*
+X132576613Y-124283298D01*
+X132600999Y-124285700D01*
+X135026000Y-124285700D01*
+X135026000Y-125237700D01*
+X132600999Y-125237700D01*
+X132576613Y-125240102D01*
+X132553164Y-125247215D01*
+X132531553Y-125258766D01*
+X132512611Y-125274311D01*
+X132497066Y-125293253D01*
+X132485515Y-125314864D01*
+X132478402Y-125338313D01*
+X132476000Y-125362699D01*
+X132476000Y-125430701D01*
+X132478402Y-125455087D01*
+X132485515Y-125478536D01*
+X132497066Y-125500147D01*
+X132512611Y-125519089D01*
+X132531553Y-125534634D01*
+X132553164Y-125546185D01*
+X132576613Y-125553298D01*
+X132600999Y-125555700D01*
+X135026000Y-125555700D01*
+X135026000Y-126507700D01*
+X132600999Y-126507700D01*
+X132576613Y-126510102D01*
+X132553164Y-126517215D01*
+X132531553Y-126528766D01*
+X132512611Y-126544311D01*
+X132497066Y-126563253D01*
+X132485515Y-126584864D01*
+X132478402Y-126608313D01*
+X132476000Y-126632699D01*
+X132476000Y-126700701D01*
+X132478402Y-126725087D01*
+X132485515Y-126748536D01*
+X132497066Y-126770147D01*
+X132512611Y-126789089D01*
+X132531553Y-126804634D01*
+X132553164Y-126816185D01*
+X132576613Y-126823298D01*
+X132600999Y-126825700D01*
+X135026000Y-126825700D01*
+X135026000Y-127777700D01*
+X132600999Y-127777700D01*
+X132576613Y-127780102D01*
+X132553164Y-127787215D01*
+X132531553Y-127798766D01*
+X132512611Y-127814311D01*
+X132497066Y-127833253D01*
+X132485515Y-127854864D01*
+X132478402Y-127878313D01*
+X132476000Y-127902699D01*
+X132476000Y-127970701D01*
+X132478402Y-127995087D01*
+X132485515Y-128018536D01*
+X132497066Y-128040147D01*
+X132512611Y-128059089D01*
+X132531553Y-128074634D01*
+X132553164Y-128086185D01*
+X132576613Y-128093298D01*
+X132600999Y-128095700D01*
+X135026000Y-128095700D01*
+X135026000Y-129047700D01*
+X132600999Y-129047700D01*
+X132576613Y-129050102D01*
+X132553164Y-129057215D01*
+X132533547Y-129067700D01*
+X128624000Y-129067700D01*
+X128624000Y-124265700D01*
+X132533547Y-124265700D01*
+X132553164Y-124276185D01*
+G37*
+G36*
+X128026000Y-129047700D02*
+G01*
+X124974000Y-129047700D01*
+X124974000Y-128095700D01*
+X128026000Y-128095700D01*
+X128026000Y-129047700D01*
+G37*
+G36*
+X128026000Y-127777700D02*
+G01*
+X124974000Y-127777700D01*
+X124974000Y-126825700D01*
+X128026000Y-126825700D01*
+X128026000Y-127777700D01*
+G37*
+G36*
+X128026000Y-126507700D02*
+G01*
+X124974000Y-126507700D01*
+X124974000Y-125555700D01*
+X128026000Y-125555700D01*
+X128026000Y-126507700D01*
+G37*
+G36*
+X75394072Y-123780918D02*
+G01*
+X75639939Y-123882759D01*
+X75751328Y-123957187D01*
+X75861211Y-124030609D01*
+X76049391Y-124218789D01*
+X76197242Y-124440063D01*
+X76299082Y-124685928D01*
+X76351000Y-124946937D01*
+X76351000Y-125213063D01*
+X76307709Y-125430701D01*
+X76299082Y-125474072D01*
+X76197241Y-125719939D01*
+X76049390Y-125941212D01*
+X75861212Y-126129390D01*
+X75639939Y-126277241D01*
+X75639938Y-126277242D01*
+X75639937Y-126277242D01*
+X75394072Y-126379082D01*
+X75133063Y-126431000D01*
+X74866937Y-126431000D01*
+X74605928Y-126379082D01*
+X74360063Y-126277242D01*
+X74360062Y-126277242D01*
+X74360061Y-126277241D01*
+X74138788Y-126129390D01*
+X73950610Y-125941212D01*
+X73802759Y-125719939D01*
+X73700918Y-125474072D01*
+X73692291Y-125430701D01*
+X73649000Y-125213063D01*
+X73649000Y-124946937D01*
+X73700918Y-124685928D01*
+X73802758Y-124440063D01*
+X73950609Y-124218789D01*
+X74138789Y-124030609D01*
+X74248672Y-123957187D01*
+X74360061Y-123882759D01*
+X74605928Y-123780918D01*
+X74866937Y-123729000D01*
+X75133063Y-123729000D01*
+X75394072Y-123780918D01*
+G37*
+G36*
+X166351000Y-126271000D02*
+G01*
+X163649000Y-126271000D01*
+X163649000Y-123569000D01*
+X166351000Y-123569000D01*
+X166351000Y-126271000D01*
+G37*
+G36*
+X128026000Y-125237700D02*
+G01*
+X124974000Y-125237700D01*
+X124974000Y-124285700D01*
+X128026000Y-124285700D01*
+X128026000Y-125237700D01*
+G37*
+G36*
+X93178820Y-119278103D02*
+G01*
+X93212985Y-119288467D01*
+X93244464Y-119305293D01*
+X93272060Y-119327940D01*
+X93294707Y-119355536D01*
+X93311533Y-119387015D01*
+X93321897Y-119421180D01*
+X93326000Y-119462842D01*
+X93326000Y-122537158D01*
+X93321897Y-122578820D01*
+X93311533Y-122612985D01*
+X93294707Y-122644464D01*
+X93272060Y-122672060D01*
+X93244464Y-122694707D01*
+X93212985Y-122711533D01*
+X93178820Y-122721897D01*
+X93137158Y-122726000D01*
+X91887842Y-122726000D01*
+X91846180Y-122721897D01*
+X91812015Y-122711533D01*
+X91780536Y-122694707D01*
+X91752940Y-122672060D01*
+X91730293Y-122644464D01*
+X91713467Y-122612985D01*
+X91703103Y-122578820D01*
+X91699000Y-122537158D01*
+X91699000Y-119462842D01*
+X91703103Y-119421180D01*
+X91713467Y-119387015D01*
+X91730293Y-119355536D01*
+X91752940Y-119327940D01*
+X91780536Y-119305293D01*
+X91812015Y-119288467D01*
+X91846180Y-119278103D01*
+X91887842Y-119274000D01*
+X93137158Y-119274000D01*
+X93178820Y-119278103D01*
+G37*
+G36*
+X99153820Y-119278103D02*
+G01*
+X99187985Y-119288467D01*
+X99219464Y-119305293D01*
+X99247060Y-119327940D01*
+X99269707Y-119355536D01*
+X99286533Y-119387015D01*
+X99296897Y-119421180D01*
+X99301000Y-119462842D01*
+X99301000Y-122537158D01*
+X99296897Y-122578820D01*
+X99286533Y-122612985D01*
+X99269707Y-122644464D01*
+X99247060Y-122672060D01*
+X99219464Y-122694707D01*
+X99187985Y-122711533D01*
+X99153820Y-122721897D01*
+X99112158Y-122726000D01*
+X97862842Y-122726000D01*
+X97821180Y-122721897D01*
+X97787015Y-122711533D01*
+X97755536Y-122694707D01*
+X97727940Y-122672060D01*
+X97705293Y-122644464D01*
+X97688467Y-122612985D01*
+X97678103Y-122578820D01*
+X97674000Y-122537158D01*
+X97674000Y-119462842D01*
+X97678103Y-119421180D01*
+X97688467Y-119387015D01*
+X97705293Y-119355536D01*
+X97727940Y-119327940D01*
+X97755536Y-119305293D01*
+X97787015Y-119288467D01*
+X97821180Y-119278103D01*
+X97862842Y-119274000D01*
+X99112158Y-119274000D01*
+X99153820Y-119278103D01*
+G37*
+G36*
+X122626000Y-121601000D02*
+G01*
+X121774000Y-121601000D01*
+X121774000Y-120299000D01*
+X122626000Y-120299000D01*
+X122626000Y-121601000D01*
+G37*
+G36*
+X125176000Y-121250950D02*
+G01*
+X123624000Y-121250950D01*
+X123624000Y-118748950D01*
+X125176000Y-118748950D01*
+X125176000Y-121250950D01*
+G37*
+G36*
+X129576000Y-121250950D02*
+G01*
+X128024000Y-121250950D01*
+X128024000Y-118748950D01*
+X129576000Y-118748950D01*
+X129576000Y-121250950D01*
+G37*
+G36*
+X122626000Y-119701000D02*
+G01*
+X121774000Y-119701000D01*
+X121774000Y-118399000D01*
+X122626000Y-118399000D01*
+X122626000Y-119701000D01*
+G37*
+G36*
+X99153820Y-113778103D02*
+G01*
+X99187985Y-113788467D01*
+X99219464Y-113805293D01*
+X99247060Y-113827940D01*
+X99269707Y-113855536D01*
+X99286533Y-113887015D01*
+X99296897Y-113921180D01*
+X99301000Y-113962842D01*
+X99301000Y-117037158D01*
+X99296897Y-117078820D01*
+X99286533Y-117112985D01*
+X99269707Y-117144464D01*
+X99247060Y-117172060D01*
+X99219464Y-117194707D01*
+X99187985Y-117211533D01*
+X99153820Y-117221897D01*
+X99112158Y-117226000D01*
+X97862842Y-117226000D01*
+X97821180Y-117221897D01*
+X97787015Y-117211533D01*
+X97755536Y-117194707D01*
+X97727940Y-117172060D01*
+X97705293Y-117144464D01*
+X97688467Y-117112985D01*
+X97678103Y-117078820D01*
+X97674000Y-117037158D01*
+X97674000Y-113962842D01*
+X97678103Y-113921180D01*
+X97688467Y-113887015D01*
+X97705293Y-113855536D01*
+X97727940Y-113827940D01*
+X97755536Y-113805293D01*
+X97787015Y-113788467D01*
+X97821180Y-113778103D01*
+X97862842Y-113774000D01*
+X99112158Y-113774000D01*
+X99153820Y-113778103D01*
+G37*
+G36*
+X93178820Y-113778103D02*
+G01*
+X93212985Y-113788467D01*
+X93244464Y-113805293D01*
+X93272060Y-113827940D01*
+X93294707Y-113855536D01*
+X93311533Y-113887015D01*
+X93321897Y-113921180D01*
+X93326000Y-113962842D01*
+X93326000Y-117037158D01*
+X93321897Y-117078820D01*
+X93311533Y-117112985D01*
+X93294707Y-117144464D01*
+X93272060Y-117172060D01*
+X93244464Y-117194707D01*
+X93212985Y-117211533D01*
+X93178820Y-117221897D01*
+X93137158Y-117226000D01*
+X91887842Y-117226000D01*
+X91846180Y-117221897D01*
+X91812015Y-117211533D01*
+X91780536Y-117194707D01*
+X91752940Y-117172060D01*
+X91730293Y-117144464D01*
+X91713467Y-117112985D01*
+X91703103Y-117078820D01*
+X91699000Y-117037158D01*
+X91699000Y-113962842D01*
+X91703103Y-113921180D01*
+X91713467Y-113887015D01*
+X91730293Y-113855536D01*
+X91752940Y-113827940D01*
+X91780536Y-113805293D01*
+X91812015Y-113788467D01*
+X91846180Y-113778103D01*
+X91887842Y-113774000D01*
+X93137158Y-113774000D01*
+X93178820Y-113778103D01*
+G37*
+G36*
+X76351000Y-116431000D02*
+G01*
+X73649000Y-116431000D01*
+X73649000Y-113729000D01*
+X76351000Y-113729000D01*
+X76351000Y-116431000D01*
+G37*
+G36*
+X165394072Y-113540918D02*
+G01*
+X165639939Y-113642759D01*
+X165751328Y-113717187D01*
+X165861211Y-113790609D01*
+X166049391Y-113978789D01*
+X166197242Y-114200063D01*
+X166299082Y-114445928D01*
+X166346664Y-114685136D01*
+X166351000Y-114706938D01*
+X166351000Y-114973062D01*
+X166299082Y-115234072D01*
+X166197241Y-115479939D01*
+X166049390Y-115701212D01*
+X165861212Y-115889390D01*
+X165639939Y-116037241D01*
+X165639938Y-116037242D01*
+X165639937Y-116037242D01*
+X165394072Y-116139082D01*
+X165133063Y-116191000D01*
+X164866937Y-116191000D01*
+X164605928Y-116139082D01*
+X164360063Y-116037242D01*
+X164360062Y-116037242D01*
+X164360061Y-116037241D01*
+X164138788Y-115889390D01*
+X163950610Y-115701212D01*
+X163802759Y-115479939D01*
+X163700918Y-115234072D01*
+X163649000Y-114973062D01*
+X163649000Y-114706938D01*
+X163653337Y-114685136D01*
+X163700918Y-114445928D01*
+X163802758Y-114200063D01*
+X163950609Y-113978789D01*
+X164138789Y-113790609D01*
+X164248672Y-113717187D01*
+X164360061Y-113642759D01*
+X164605928Y-113540918D01*
+X164866937Y-113489000D01*
+X165133063Y-113489000D01*
+X165394072Y-113540918D01*
+G37*
+G36*
+X132553164Y-110942785D02*
+G01*
+X132576613Y-110949898D01*
+X132600999Y-110952300D01*
+X135026000Y-110952300D01*
+X135026000Y-111904300D01*
+X132600999Y-111904300D01*
+X132576613Y-111906702D01*
+X132553164Y-111913815D01*
+X132531553Y-111925366D01*
+X132512611Y-111940911D01*
+X132497066Y-111959853D01*
+X132485515Y-111981464D01*
+X132478402Y-112004913D01*
+X132476000Y-112029299D01*
+X132476000Y-112097301D01*
+X132478402Y-112121687D01*
+X132485515Y-112145136D01*
+X132497066Y-112166747D01*
+X132512611Y-112185689D01*
+X132531553Y-112201234D01*
+X132553164Y-112212785D01*
+X132576613Y-112219898D01*
+X132600999Y-112222300D01*
+X135026000Y-112222300D01*
+X135026000Y-113174300D01*
+X132600999Y-113174300D01*
+X132576613Y-113176702D01*
+X132553164Y-113183815D01*
+X132531553Y-113195366D01*
+X132512611Y-113210911D01*
+X132497066Y-113229853D01*
+X132485515Y-113251464D01*
+X132478402Y-113274913D01*
+X132476000Y-113299299D01*
+X132476000Y-113367301D01*
+X132478402Y-113391687D01*
+X132485515Y-113415136D01*
+X132497066Y-113436747D01*
+X132512611Y-113455689D01*
+X132531553Y-113471234D01*
+X132553164Y-113482785D01*
+X132576613Y-113489898D01*
+X132600999Y-113492300D01*
+X135026000Y-113492300D01*
+X135026000Y-114444300D01*
+X132600999Y-114444300D01*
+X132576613Y-114446702D01*
+X132553164Y-114453815D01*
+X132531553Y-114465366D01*
+X132512611Y-114480911D01*
+X132497066Y-114499853D01*
+X132485515Y-114521464D01*
+X132478402Y-114544913D01*
+X132476000Y-114569299D01*
+X132476000Y-114637301D01*
+X132478402Y-114661687D01*
+X132485515Y-114685136D01*
+X132497066Y-114706747D01*
+X132512611Y-114725689D01*
+X132531553Y-114741234D01*
+X132553164Y-114752785D01*
+X132576613Y-114759898D01*
+X132600999Y-114762300D01*
+X135026000Y-114762300D01*
+X135026000Y-115714300D01*
+X132600999Y-115714300D01*
+X132576613Y-115716702D01*
+X132553164Y-115723815D01*
+X132533547Y-115734300D01*
+X128624000Y-115734300D01*
+X128624000Y-110932300D01*
+X132533547Y-110932300D01*
+X132553164Y-110942785D01*
+G37*
+G36*
+X128026000Y-115714300D02*
+G01*
+X124974000Y-115714300D01*
+X124974000Y-114762300D01*
+X128026000Y-114762300D01*
+X128026000Y-115714300D01*
+G37*
+G36*
+X128026000Y-114444300D02*
+G01*
+X124974000Y-114444300D01*
+X124974000Y-113492300D01*
+X128026000Y-113492300D01*
+X128026000Y-114444300D01*
+G37*
+G36*
+X128026000Y-113174300D02*
+G01*
+X124974000Y-113174300D01*
+X124974000Y-112222300D01*
+X128026000Y-112222300D01*
+X128026000Y-113174300D01*
+G37*
+G36*
+X128026000Y-111904300D02*
+G01*
+X124974000Y-111904300D01*
+X124974000Y-110952300D01*
+X128026000Y-110952300D01*
+X128026000Y-111904300D01*
+G37*
+G36*
+X75394072Y-108780918D02*
+G01*
+X75639939Y-108882759D01*
+X75751328Y-108957187D01*
+X75861211Y-109030609D01*
+X76049391Y-109218789D01*
+X76197242Y-109440063D01*
+X76299082Y-109685928D01*
+X76351000Y-109946937D01*
+X76351000Y-110213063D01*
+X76299082Y-110474072D01*
+X76197242Y-110719937D01*
+X76049391Y-110941211D01*
+X75861211Y-111129391D01*
+X75783898Y-111181050D01*
+X75639939Y-111277241D01*
+X75639938Y-111277242D01*
+X75639937Y-111277242D01*
+X75394072Y-111379082D01*
+X75133063Y-111431000D01*
+X74866937Y-111431000D01*
+X74605928Y-111379082D01*
+X74360063Y-111277242D01*
+X74360062Y-111277242D01*
+X74360061Y-111277241D01*
+X74216102Y-111181050D01*
+X74138789Y-111129391D01*
+X73950609Y-110941211D01*
+X73802758Y-110719937D01*
+X73700918Y-110474072D01*
+X73649000Y-110213063D01*
+X73649000Y-109946937D01*
+X73700918Y-109685928D01*
+X73802758Y-109440063D01*
+X73950609Y-109218789D01*
+X74138789Y-109030609D01*
+X74248672Y-108957187D01*
+X74360061Y-108882759D01*
+X74605928Y-108780918D01*
+X74866937Y-108729000D01*
+X75133063Y-108729000D01*
+X75394072Y-108780918D01*
+G37*
+G36*
+X99153820Y-107778103D02*
+G01*
+X99187985Y-107788467D01*
+X99219464Y-107805293D01*
+X99247060Y-107827940D01*
+X99269707Y-107855536D01*
+X99286533Y-107887015D01*
+X99296897Y-107921180D01*
+X99301000Y-107962842D01*
+X99301000Y-111037158D01*
+X99296897Y-111078820D01*
+X99286533Y-111112985D01*
+X99269707Y-111144464D01*
+X99247060Y-111172060D01*
+X99219464Y-111194707D01*
+X99187985Y-111211533D01*
+X99153820Y-111221897D01*
+X99112158Y-111226000D01*
+X97862842Y-111226000D01*
+X97821180Y-111221897D01*
+X97787015Y-111211533D01*
+X97755536Y-111194707D01*
+X97727940Y-111172060D01*
+X97705293Y-111144464D01*
+X97688467Y-111112985D01*
+X97678103Y-111078820D01*
+X97674000Y-111037158D01*
+X97674000Y-107962842D01*
+X97678103Y-107921180D01*
+X97688467Y-107887015D01*
+X97705293Y-107855536D01*
+X97727940Y-107827940D01*
+X97755536Y-107805293D01*
+X97787015Y-107788467D01*
+X97821180Y-107778103D01*
+X97862842Y-107774000D01*
+X99112158Y-107774000D01*
+X99153820Y-107778103D01*
+G37*
+G36*
+X93178820Y-107778103D02*
+G01*
+X93212985Y-107788467D01*
+X93244464Y-107805293D01*
+X93272060Y-107827940D01*
+X93294707Y-107855536D01*
+X93311533Y-107887015D01*
+X93321897Y-107921180D01*
+X93326000Y-107962842D01*
+X93326000Y-111037158D01*
+X93321897Y-111078820D01*
+X93311533Y-111112985D01*
+X93294707Y-111144464D01*
+X93272060Y-111172060D01*
+X93244464Y-111194707D01*
+X93212985Y-111211533D01*
+X93178820Y-111221897D01*
+X93137158Y-111226000D01*
+X91887842Y-111226000D01*
+X91846180Y-111221897D01*
+X91812015Y-111211533D01*
+X91780536Y-111194707D01*
+X91752940Y-111172060D01*
+X91730293Y-111144464D01*
+X91713467Y-111112985D01*
+X91703103Y-111078820D01*
+X91699000Y-111037158D01*
+X91699000Y-107962842D01*
+X91703103Y-107921180D01*
+X91713467Y-107887015D01*
+X91730293Y-107855536D01*
+X91752940Y-107827940D01*
+X91780536Y-107805293D01*
+X91812015Y-107788467D01*
+X91846180Y-107778103D01*
+X91887842Y-107774000D01*
+X93137158Y-107774000D01*
+X93178820Y-107778103D01*
+G37*
+G36*
+X165394072Y-108540918D02*
+G01*
+X165639939Y-108642759D01*
+X165751328Y-108717187D01*
+X165861211Y-108790609D01*
+X166049391Y-108978789D01*
+X166197242Y-109200063D01*
+X166299082Y-109445928D01*
+X166351000Y-109706937D01*
+X166351000Y-109973063D01*
+X166299082Y-110234072D01*
+X166197242Y-110479937D01*
+X166049391Y-110701211D01*
+X165861211Y-110889391D01*
+X165781301Y-110942785D01*
+X165639939Y-111037241D01*
+X165639938Y-111037242D01*
+X165639937Y-111037242D01*
+X165394072Y-111139082D01*
+X165133063Y-111191000D01*
+X164866937Y-111191000D01*
+X164605928Y-111139082D01*
+X164360063Y-111037242D01*
+X164360062Y-111037242D01*
+X164360061Y-111037241D01*
+X164218699Y-110942785D01*
+X164138789Y-110889391D01*
+X163950609Y-110701211D01*
+X163802758Y-110479937D01*
+X163700918Y-110234072D01*
+X163649000Y-109973063D01*
+X163649000Y-109706937D01*
+X163700918Y-109445928D01*
+X163802758Y-109200063D01*
+X163950609Y-108978789D01*
+X164138789Y-108790609D01*
+X164248672Y-108717187D01*
+X164360061Y-108642759D01*
+X164605928Y-108540918D01*
+X164866937Y-108489000D01*
+X165133063Y-108489000D01*
+X165394072Y-108540918D01*
+G37*
+G36*
+X75394072Y-103780918D02*
+G01*
+X75639939Y-103882759D01*
+X75751328Y-103957187D01*
+X75861211Y-104030609D01*
+X76049391Y-104218789D01*
+X76197242Y-104440063D01*
+X76299082Y-104685928D01*
+X76351000Y-104946937D01*
+X76351000Y-105213063D01*
+X76299082Y-105474072D01*
+X76197241Y-105719939D01*
+X76049390Y-105941212D01*
+X75861212Y-106129390D01*
+X75639939Y-106277241D01*
+X75639938Y-106277242D01*
+X75639937Y-106277242D01*
+X75394072Y-106379082D01*
+X75133063Y-106431000D01*
+X74866937Y-106431000D01*
+X74605928Y-106379082D01*
+X74360063Y-106277242D01*
+X74360062Y-106277242D01*
+X74360061Y-106277241D01*
+X74138788Y-106129390D01*
+X73950610Y-105941212D01*
+X73802759Y-105719939D01*
+X73700918Y-105474072D01*
+X73649000Y-105213063D01*
+X73649000Y-104946937D01*
+X73700918Y-104685928D01*
+X73802758Y-104440063D01*
+X73950609Y-104218789D01*
+X74138789Y-104030609D01*
+X74248672Y-103957187D01*
+X74360061Y-103882759D01*
+X74605928Y-103780918D01*
+X74866937Y-103729000D01*
+X75133063Y-103729000D01*
+X75394072Y-103780918D01*
+G37*
+G36*
+X166351000Y-106191000D02*
+G01*
+X163649000Y-106191000D01*
+X163649000Y-103489000D01*
+X166351000Y-103489000D01*
+X166351000Y-106191000D01*
+G37*
+G36*
+X93178820Y-101778103D02*
+G01*
+X93212985Y-101788467D01*
+X93244464Y-101805293D01*
+X93272060Y-101827940D01*
+X93294707Y-101855536D01*
+X93311533Y-101887015D01*
+X93321897Y-101921180D01*
+X93326000Y-101962842D01*
+X93326000Y-105037158D01*
+X93321897Y-105078820D01*
+X93311533Y-105112985D01*
+X93294707Y-105144464D01*
+X93272060Y-105172060D01*
+X93244464Y-105194707D01*
+X93212985Y-105211533D01*
+X93178820Y-105221897D01*
+X93137158Y-105226000D01*
+X91887842Y-105226000D01*
+X91846180Y-105221897D01*
+X91812015Y-105211533D01*
+X91780536Y-105194707D01*
+X91752940Y-105172060D01*
+X91730293Y-105144464D01*
+X91713467Y-105112985D01*
+X91703103Y-105078820D01*
+X91699000Y-105037158D01*
+X91699000Y-101962842D01*
+X91703103Y-101921180D01*
+X91713467Y-101887015D01*
+X91730293Y-101855536D01*
+X91752940Y-101827940D01*
+X91780536Y-101805293D01*
+X91812015Y-101788467D01*
+X91846180Y-101778103D01*
+X91887842Y-101774000D01*
+X93137158Y-101774000D01*
+X93178820Y-101778103D01*
+G37*
+G36*
+X99153820Y-101778103D02*
+G01*
+X99187985Y-101788467D01*
+X99219464Y-101805293D01*
+X99247060Y-101827940D01*
+X99269707Y-101855536D01*
+X99286533Y-101887015D01*
+X99296897Y-101921180D01*
+X99301000Y-101962842D01*
+X99301000Y-105037158D01*
+X99296897Y-105078820D01*
+X99286533Y-105112985D01*
+X99269707Y-105144464D01*
+X99247060Y-105172060D01*
+X99219464Y-105194707D01*
+X99187985Y-105211533D01*
+X99153820Y-105221897D01*
+X99112158Y-105226000D01*
+X97862842Y-105226000D01*
+X97821180Y-105221897D01*
+X97787015Y-105211533D01*
+X97755536Y-105194707D01*
+X97727940Y-105172060D01*
+X97705293Y-105144464D01*
+X97688467Y-105112985D01*
+X97678103Y-105078820D01*
+X97674000Y-105037158D01*
+X97674000Y-101962842D01*
+X97678103Y-101921180D01*
+X97688467Y-101887015D01*
+X97705293Y-101855536D01*
+X97727940Y-101827940D01*
+X97755536Y-101805293D01*
+X97787015Y-101788467D01*
+X97821180Y-101778103D01*
+X97862842Y-101774000D01*
+X99112158Y-101774000D01*
+X99153820Y-101778103D01*
+G37*
+G36*
+X131376000Y-102401000D02*
+G01*
+X127466453Y-102401000D01*
+X127446836Y-102390515D01*
+X127423387Y-102383402D01*
+X127399001Y-102381000D01*
+X124974000Y-102381000D01*
+X124974000Y-101429000D01*
+X127399001Y-101429000D01*
+X127423387Y-101426598D01*
+X127446836Y-101419485D01*
+X127468447Y-101407934D01*
+X127487389Y-101392389D01*
+X127502934Y-101373447D01*
+X127514485Y-101351836D01*
+X127521598Y-101328387D01*
+X127524000Y-101304001D01*
+X127524000Y-101235999D01*
+X127521598Y-101211613D01*
+X127514485Y-101188164D01*
+X127502934Y-101166553D01*
+X127487389Y-101147611D01*
+X127468447Y-101132066D01*
+X127446836Y-101120515D01*
+X127423387Y-101113402D01*
+X127399001Y-101111000D01*
+X124974000Y-101111000D01*
+X124974000Y-100159000D01*
+X127399001Y-100159000D01*
+X127423387Y-100156598D01*
+X127446836Y-100149485D01*
+X127468447Y-100137934D01*
+X127487389Y-100122389D01*
+X127502934Y-100103447D01*
+X127514485Y-100081836D01*
+X127521598Y-100058387D01*
+X127524000Y-100034001D01*
+X127524000Y-99965999D01*
+X127521598Y-99941613D01*
+X127514485Y-99918164D01*
+X127502934Y-99896553D01*
+X127487389Y-99877611D01*
+X127468447Y-99862066D01*
+X127446836Y-99850515D01*
+X127423387Y-99843402D01*
+X127399001Y-99841000D01*
+X124974000Y-99841000D01*
+X124974000Y-98889000D01*
+X127399001Y-98889000D01*
+X127423387Y-98886598D01*
+X127446836Y-98879485D01*
+X127468447Y-98867934D01*
+X127487389Y-98852389D01*
+X127502934Y-98833447D01*
+X127514485Y-98811836D01*
+X127521598Y-98788387D01*
+X127524000Y-98764001D01*
+X127524000Y-98695999D01*
+X127521598Y-98671613D01*
+X127514485Y-98648164D01*
+X127502934Y-98626553D01*
+X127487389Y-98607611D01*
+X127468447Y-98592066D01*
+X127446836Y-98580515D01*
+X127423387Y-98573402D01*
+X127399001Y-98571000D01*
+X124974000Y-98571000D01*
+X124974000Y-97619000D01*
+X127399001Y-97619000D01*
+X127423387Y-97616598D01*
+X127446836Y-97609485D01*
+X127466453Y-97599000D01*
+X131376000Y-97599000D01*
+X131376000Y-102401000D01*
+G37*
+G36*
+X135026000Y-102381000D02*
+G01*
+X131974000Y-102381000D01*
+X131974000Y-101429000D01*
+X135026000Y-101429000D01*
+X135026000Y-102381000D01*
+G37*
+G36*
+X135026000Y-101111000D02*
+G01*
+X131974000Y-101111000D01*
+X131974000Y-100159000D01*
+X135026000Y-100159000D01*
+X135026000Y-101111000D01*
+G37*
+G36*
+X135026000Y-99841000D02*
+G01*
+X131974000Y-99841000D01*
+X131974000Y-98889000D01*
+X135026000Y-98889000D01*
+X135026000Y-99841000D01*
+G37*
+G36*
+X93178820Y-96278103D02*
+G01*
+X93212985Y-96288467D01*
+X93244464Y-96305293D01*
+X93272060Y-96327940D01*
+X93294707Y-96355536D01*
+X93311533Y-96387015D01*
+X93321897Y-96421180D01*
+X93326000Y-96462842D01*
+X93326000Y-99537158D01*
+X93321897Y-99578820D01*
+X93311533Y-99612985D01*
+X93294707Y-99644464D01*
+X93272060Y-99672060D01*
+X93244464Y-99694707D01*
+X93212985Y-99711533D01*
+X93178820Y-99721897D01*
+X93137158Y-99726000D01*
+X91887842Y-99726000D01*
+X91846180Y-99721897D01*
+X91812015Y-99711533D01*
+X91780536Y-99694707D01*
+X91752940Y-99672060D01*
+X91730293Y-99644464D01*
+X91713467Y-99612985D01*
+X91703103Y-99578820D01*
+X91699000Y-99537158D01*
+X91699000Y-96462842D01*
+X91703103Y-96421180D01*
+X91713467Y-96387015D01*
+X91730293Y-96355536D01*
+X91752940Y-96327940D01*
+X91780536Y-96305293D01*
+X91812015Y-96288467D01*
+X91846180Y-96278103D01*
+X91887842Y-96274000D01*
+X93137158Y-96274000D01*
+X93178820Y-96278103D01*
+G37*
+G36*
+X99153820Y-96278103D02*
+G01*
+X99187985Y-96288467D01*
+X99219464Y-96305293D01*
+X99247060Y-96327940D01*
+X99269707Y-96355536D01*
+X99286533Y-96387015D01*
+X99296897Y-96421180D01*
+X99301000Y-96462842D01*
+X99301000Y-99537158D01*
+X99296897Y-99578820D01*
+X99286533Y-99612985D01*
+X99269707Y-99644464D01*
+X99247060Y-99672060D01*
+X99219464Y-99694707D01*
+X99187985Y-99711533D01*
+X99153820Y-99721897D01*
+X99112158Y-99726000D01*
+X97862842Y-99726000D01*
+X97821180Y-99721897D01*
+X97787015Y-99711533D01*
+X97755536Y-99694707D01*
+X97727940Y-99672060D01*
+X97705293Y-99644464D01*
+X97688467Y-99612985D01*
+X97678103Y-99578820D01*
+X97674000Y-99537158D01*
+X97674000Y-96462842D01*
+X97678103Y-96421180D01*
+X97688467Y-96387015D01*
+X97705293Y-96355536D01*
+X97727940Y-96327940D01*
+X97755536Y-96305293D01*
+X97787015Y-96288467D01*
+X97821180Y-96278103D01*
+X97862842Y-96274000D01*
+X99112158Y-96274000D01*
+X99153820Y-96278103D01*
+G37*
+G36*
+X153375256Y-95391298D02*
+G01*
+X153481579Y-95412447D01*
+X153782042Y-95536903D01*
+X154052451Y-95717585D01*
+X154282415Y-95947549D01*
+X154463097Y-96217958D01*
+X154587553Y-96518421D01*
+X154651000Y-96837391D01*
+X154651000Y-97162609D01*
+X154587553Y-97481579D01*
+X154463097Y-97782042D01*
+X154282415Y-98052451D01*
+X154052451Y-98282415D01*
+X153782042Y-98463097D01*
+X153481579Y-98587553D01*
+X153375256Y-98608702D01*
+X153162611Y-98651000D01*
+X152837389Y-98651000D01*
+X152624744Y-98608702D01*
+X152518421Y-98587553D01*
+X152217958Y-98463097D01*
+X151947549Y-98282415D01*
+X151717585Y-98052451D01*
+X151536903Y-97782042D01*
+X151412447Y-97481579D01*
+X151349000Y-97162609D01*
+X151349000Y-96837391D01*
+X151412447Y-96518421D01*
+X151536903Y-96217958D01*
+X151717585Y-95947549D01*
+X151947549Y-95717585D01*
+X152217958Y-95536903D01*
+X152518421Y-95412447D01*
+X152624744Y-95391298D01*
+X152837389Y-95349000D01*
+X153162611Y-95349000D01*
+X153375256Y-95391298D01*
+G37*
+G36*
+X110375256Y-95391298D02*
+G01*
+X110481579Y-95412447D01*
+X110782042Y-95536903D01*
+X111052451Y-95717585D01*
+X111282415Y-95947549D01*
+X111463097Y-96217958D01*
+X111587553Y-96518421D01*
+X111651000Y-96837391D01*
+X111651000Y-97162609D01*
+X111587553Y-97481579D01*
+X111463097Y-97782042D01*
+X111282415Y-98052451D01*
+X111052451Y-98282415D01*
+X110782042Y-98463097D01*
+X110481579Y-98587553D01*
+X110375256Y-98608702D01*
+X110162611Y-98651000D01*
+X109837389Y-98651000D01*
+X109624744Y-98608702D01*
+X109518421Y-98587553D01*
+X109217958Y-98463097D01*
+X108947549Y-98282415D01*
+X108717585Y-98052451D01*
+X108536903Y-97782042D01*
+X108412447Y-97481579D01*
+X108349000Y-97162609D01*
+X108349000Y-96837391D01*
+X108412447Y-96518421D01*
+X108536903Y-96217958D01*
+X108717585Y-95947549D01*
+X108947549Y-95717585D01*
+X109217958Y-95536903D01*
+X109518421Y-95412447D01*
+X109624744Y-95391298D01*
+X109837389Y-95349000D01*
+X110162611Y-95349000D01*
+X110375256Y-95391298D01*
+G37*
+G36*
+X135026000Y-98571000D02*
+G01*
+X131974000Y-98571000D01*
+X131974000Y-97619000D01*
+X135026000Y-97619000D01*
+X135026000Y-98571000D01*
+G37*
+G36*
+X135675562Y-93740681D02*
+G01*
+X135710481Y-93751274D01*
+X135742663Y-93768476D01*
+X135770873Y-93791627D01*
+X135794024Y-93819837D01*
+X135811226Y-93852019D01*
+X135821819Y-93886938D01*
+X135826000Y-93929395D01*
+X135826000Y-95070605D01*
+X135821819Y-95113062D01*
+X135811226Y-95147981D01*
+X135794024Y-95180163D01*
+X135770873Y-95208373D01*
+X135742663Y-95231524D01*
+X135710481Y-95248726D01*
+X135675562Y-95259319D01*
+X135633105Y-95263500D01*
+X134166895Y-95263500D01*
+X134124438Y-95259319D01*
+X134089519Y-95248726D01*
+X134057337Y-95231524D01*
+X134029127Y-95208373D01*
+X134005976Y-95180163D01*
+X133988774Y-95147981D01*
+X133978181Y-95113062D01*
+X133974000Y-95070605D01*
+X133974000Y-93929395D01*
+X133978181Y-93886938D01*
+X133988774Y-93852019D01*
+X134005976Y-93819837D01*
+X134029127Y-93791627D01*
+X134057337Y-93768476D01*
+X134089519Y-93751274D01*
+X134124438Y-93740681D01*
+X134166895Y-93736500D01*
+X135633105Y-93736500D01*
+X135675562Y-93740681D01*
+G37*
+G36*
+X122475562Y-93728181D02*
+G01*
+X122510481Y-93738774D01*
+X122542663Y-93755976D01*
+X122570873Y-93779127D01*
+X122594024Y-93807337D01*
+X122611226Y-93839519D01*
+X122621819Y-93874438D01*
+X122626000Y-93916895D01*
+X122626000Y-95058105D01*
+X122621819Y-95100562D01*
+X122611226Y-95135481D01*
+X122594024Y-95167663D01*
+X122570873Y-95195873D01*
+X122542663Y-95219024D01*
+X122510481Y-95236226D01*
+X122475562Y-95246819D01*
+X122433105Y-95251000D01*
+X120966895Y-95251000D01*
+X120924438Y-95246819D01*
+X120889519Y-95236226D01*
+X120857337Y-95219024D01*
+X120829127Y-95195873D01*
+X120805976Y-95167663D01*
+X120788774Y-95135481D01*
+X120778181Y-95100562D01*
+X120774000Y-95058105D01*
+X120774000Y-93916895D01*
+X120778181Y-93874438D01*
+X120788774Y-93839519D01*
+X120805976Y-93807337D01*
+X120829127Y-93779127D01*
+X120857337Y-93755976D01*
+X120889519Y-93738774D01*
+X120924438Y-93728181D01*
+X120966895Y-93724000D01*
+X122433105Y-93724000D01*
+X122475562Y-93728181D01*
+G37*
+G36*
+X124454928Y-91701764D02*
+G01*
+X124476009Y-91708160D01*
+X124495445Y-91718548D01*
+X124512476Y-91732524D01*
+X124526452Y-91749555D01*
+X124536840Y-91768991D01*
+X124543236Y-91790072D01*
+X124546000Y-91818140D01*
+X124546000Y-93631860D01*
+X124543236Y-93659928D01*
+X124536840Y-93681009D01*
+X124526452Y-93700445D01*
+X124512476Y-93717476D01*
+X124495445Y-93731452D01*
+X124476009Y-93741840D01*
+X124454928Y-93748236D01*
+X124426860Y-93751000D01*
+X123963140Y-93751000D01*
+X123935072Y-93748236D01*
+X123913991Y-93741840D01*
+X123894555Y-93731452D01*
+X123877524Y-93717476D01*
+X123863548Y-93700445D01*
+X123853160Y-93681009D01*
+X123846764Y-93659928D01*
+X123844000Y-93631860D01*
+X123844000Y-91818140D01*
+X123846764Y-91790072D01*
+X123853160Y-91768991D01*
+X123863548Y-91749555D01*
+X123877524Y-91732524D01*
+X123894555Y-91718548D01*
+X123913991Y-91708160D01*
+X123935072Y-91701764D01*
+X123963140Y-91699000D01*
+X124426860Y-91699000D01*
+X124454928Y-91701764D01*
+G37*
+G36*
+X125724928Y-91701764D02*
+G01*
+X125746009Y-91708160D01*
+X125765445Y-91718548D01*
+X125782476Y-91732524D01*
+X125796452Y-91749555D01*
+X125806840Y-91768991D01*
+X125813236Y-91790072D01*
+X125816000Y-91818140D01*
+X125816000Y-93631860D01*
+X125813236Y-93659928D01*
+X125806840Y-93681009D01*
+X125796452Y-93700445D01*
+X125782476Y-93717476D01*
+X125765445Y-93731452D01*
+X125746009Y-93741840D01*
+X125724928Y-93748236D01*
+X125696860Y-93751000D01*
+X125233140Y-93751000D01*
+X125205072Y-93748236D01*
+X125183991Y-93741840D01*
+X125164555Y-93731452D01*
+X125147524Y-93717476D01*
+X125133548Y-93700445D01*
+X125123160Y-93681009D01*
+X125116764Y-93659928D01*
+X125114000Y-93631860D01*
+X125114000Y-91818140D01*
+X125116764Y-91790072D01*
+X125123160Y-91768991D01*
+X125133548Y-91749555D01*
+X125147524Y-91732524D01*
+X125164555Y-91718548D01*
+X125183991Y-91708160D01*
+X125205072Y-91701764D01*
+X125233140Y-91699000D01*
+X125696860Y-91699000D01*
+X125724928Y-91701764D01*
+G37*
+G36*
+X126994928Y-91701764D02*
+G01*
+X127016009Y-91708160D01*
+X127035445Y-91718548D01*
+X127052476Y-91732524D01*
+X127066452Y-91749555D01*
+X127076840Y-91768991D01*
+X127083236Y-91790072D01*
+X127086000Y-91818140D01*
+X127086000Y-93631860D01*
+X127083236Y-93659928D01*
+X127076840Y-93681009D01*
+X127066452Y-93700445D01*
+X127052476Y-93717476D01*
+X127035445Y-93731452D01*
+X127016009Y-93741840D01*
+X126994928Y-93748236D01*
+X126966860Y-93751000D01*
+X126503140Y-93751000D01*
+X126475072Y-93748236D01*
+X126453991Y-93741840D01*
+X126434555Y-93731452D01*
+X126417524Y-93717476D01*
+X126403548Y-93700445D01*
+X126393160Y-93681009D01*
+X126386764Y-93659928D01*
+X126384000Y-93631860D01*
+X126384000Y-91818140D01*
+X126386764Y-91790072D01*
+X126393160Y-91768991D01*
+X126403548Y-91749555D01*
+X126417524Y-91732524D01*
+X126434555Y-91718548D01*
+X126453991Y-91708160D01*
+X126475072Y-91701764D01*
+X126503140Y-91699000D01*
+X126966860Y-91699000D01*
+X126994928Y-91701764D01*
+G37*
+G36*
+X137904928Y-91701764D02*
+G01*
+X137926009Y-91708160D01*
+X137945445Y-91718548D01*
+X137962476Y-91732524D01*
+X137976452Y-91749555D01*
+X137986840Y-91768991D01*
+X137993236Y-91790072D01*
+X137996000Y-91818140D01*
+X137996000Y-93631860D01*
+X137993236Y-93659928D01*
+X137986840Y-93681009D01*
+X137976452Y-93700445D01*
+X137962476Y-93717476D01*
+X137945445Y-93731452D01*
+X137926009Y-93741840D01*
+X137904928Y-93748236D01*
+X137876860Y-93751000D01*
+X137413140Y-93751000D01*
+X137385072Y-93748236D01*
+X137363991Y-93741840D01*
+X137344555Y-93731452D01*
+X137327524Y-93717476D01*
+X137313548Y-93700445D01*
+X137303160Y-93681009D01*
+X137296764Y-93659928D01*
+X137294000Y-93631860D01*
+X137294000Y-91818140D01*
+X137296764Y-91790072D01*
+X137303160Y-91768991D01*
+X137313548Y-91749555D01*
+X137327524Y-91732524D01*
+X137344555Y-91718548D01*
+X137363991Y-91708160D01*
+X137385072Y-91701764D01*
+X137413140Y-91699000D01*
+X137876860Y-91699000D01*
+X137904928Y-91701764D01*
+G37*
+G36*
+X139174928Y-91701764D02*
+G01*
+X139196009Y-91708160D01*
+X139215445Y-91718548D01*
+X139232476Y-91732524D01*
+X139246452Y-91749555D01*
+X139256840Y-91768991D01*
+X139263236Y-91790072D01*
+X139266000Y-91818140D01*
+X139266000Y-93631860D01*
+X139263236Y-93659928D01*
+X139256840Y-93681009D01*
+X139246452Y-93700445D01*
+X139232476Y-93717476D01*
+X139215445Y-93731452D01*
+X139196009Y-93741840D01*
+X139174928Y-93748236D01*
+X139146860Y-93751000D01*
+X138683140Y-93751000D01*
+X138655072Y-93748236D01*
+X138633991Y-93741840D01*
+X138614555Y-93731452D01*
+X138597524Y-93717476D01*
+X138583548Y-93700445D01*
+X138573160Y-93681009D01*
+X138566764Y-93659928D01*
+X138564000Y-93631860D01*
+X138564000Y-91818140D01*
+X138566764Y-91790072D01*
+X138573160Y-91768991D01*
+X138583548Y-91749555D01*
+X138597524Y-91732524D01*
+X138614555Y-91718548D01*
+X138633991Y-91708160D01*
+X138655072Y-91701764D01*
+X138683140Y-91699000D01*
+X139146860Y-91699000D01*
+X139174928Y-91701764D01*
+G37*
+G36*
+X128264928Y-91701764D02*
+G01*
+X128286009Y-91708160D01*
+X128305445Y-91718548D01*
+X128322476Y-91732524D01*
+X128336452Y-91749555D01*
+X128346840Y-91768991D01*
+X128353236Y-91790072D01*
+X128356000Y-91818140D01*
+X128356000Y-93631860D01*
+X128353236Y-93659928D01*
+X128346840Y-93681009D01*
+X128336452Y-93700445D01*
+X128322476Y-93717476D01*
+X128305445Y-93731452D01*
+X128286009Y-93741840D01*
+X128264928Y-93748236D01*
+X128236860Y-93751000D01*
+X127773140Y-93751000D01*
+X127745072Y-93748236D01*
+X127723991Y-93741840D01*
+X127704555Y-93731452D01*
+X127687524Y-93717476D01*
+X127673548Y-93700445D01*
+X127663160Y-93681009D01*
+X127656764Y-93659928D01*
+X127654000Y-93631860D01*
+X127654000Y-91818140D01*
+X127656764Y-91790072D01*
+X127663160Y-91768991D01*
+X127673548Y-91749555D01*
+X127687524Y-91732524D01*
+X127704555Y-91718548D01*
+X127723991Y-91708160D01*
+X127745072Y-91701764D01*
+X127773140Y-91699000D01*
+X128236860Y-91699000D01*
+X128264928Y-91701764D01*
+G37*
+G36*
+X141714928Y-91701764D02*
+G01*
+X141736009Y-91708160D01*
+X141755445Y-91718548D01*
+X141772476Y-91732524D01*
+X141786452Y-91749555D01*
+X141796840Y-91768991D01*
+X141803236Y-91790072D01*
+X141806000Y-91818140D01*
+X141806000Y-93631860D01*
+X141803236Y-93659928D01*
+X141796840Y-93681009D01*
+X141786452Y-93700445D01*
+X141772476Y-93717476D01*
+X141755445Y-93731452D01*
+X141736009Y-93741840D01*
+X141714928Y-93748236D01*
+X141686860Y-93751000D01*
+X141223140Y-93751000D01*
+X141195072Y-93748236D01*
+X141173991Y-93741840D01*
+X141154555Y-93731452D01*
+X141137524Y-93717476D01*
+X141123548Y-93700445D01*
+X141113160Y-93681009D01*
+X141106764Y-93659928D01*
+X141104000Y-93631860D01*
+X141104000Y-91818140D01*
+X141106764Y-91790072D01*
+X141113160Y-91768991D01*
+X141123548Y-91749555D01*
+X141137524Y-91732524D01*
+X141154555Y-91718548D01*
+X141173991Y-91708160D01*
+X141195072Y-91701764D01*
+X141223140Y-91699000D01*
+X141686860Y-91699000D01*
+X141714928Y-91701764D01*
+G37*
+G36*
+X140444928Y-91701764D02*
+G01*
+X140466009Y-91708160D01*
+X140485445Y-91718548D01*
+X140502476Y-91732524D01*
+X140516452Y-91749555D01*
+X140526840Y-91768991D01*
+X140533236Y-91790072D01*
+X140536000Y-91818140D01*
+X140536000Y-93631860D01*
+X140533236Y-93659928D01*
+X140526840Y-93681009D01*
+X140516452Y-93700445D01*
+X140502476Y-93717476D01*
+X140485445Y-93731452D01*
+X140466009Y-93741840D01*
+X140444928Y-93748236D01*
+X140416860Y-93751000D01*
+X139953140Y-93751000D01*
+X139925072Y-93748236D01*
+X139903991Y-93741840D01*
+X139884555Y-93731452D01*
+X139867524Y-93717476D01*
+X139853548Y-93700445D01*
+X139843160Y-93681009D01*
+X139836764Y-93659928D01*
+X139834000Y-93631860D01*
+X139834000Y-91818140D01*
+X139836764Y-91790072D01*
+X139843160Y-91768991D01*
+X139853548Y-91749555D01*
+X139867524Y-91732524D01*
+X139884555Y-91718548D01*
+X139903991Y-91708160D01*
+X139925072Y-91701764D01*
+X139953140Y-91699000D01*
+X140416860Y-91699000D01*
+X140444928Y-91701764D01*
+G37*
+G36*
+X116339499Y-92628445D02*
+G01*
+X116376995Y-92639820D01*
+X116411554Y-92658292D01*
+X116441847Y-92683153D01*
+X116466708Y-92713446D01*
+X116485180Y-92748005D01*
+X116496555Y-92785501D01*
+X116501000Y-92830638D01*
+X116501000Y-93469362D01*
+X116496555Y-93514499D01*
+X116485180Y-93551995D01*
+X116466708Y-93586554D01*
+X116441847Y-93616847D01*
+X116411554Y-93641708D01*
+X116376995Y-93660180D01*
+X116339499Y-93671555D01*
+X116294362Y-93676000D01*
+X115555638Y-93676000D01*
+X115510501Y-93671555D01*
+X115473005Y-93660180D01*
+X115438446Y-93641708D01*
+X115408153Y-93616847D01*
+X115383292Y-93586554D01*
+X115364820Y-93551995D01*
+X115353445Y-93514499D01*
+X115349000Y-93469362D01*
+X115349000Y-92830638D01*
+X115353445Y-92785501D01*
+X115364820Y-92748005D01*
+X115383292Y-92713446D01*
+X115408153Y-92683153D01*
+X115438446Y-92658292D01*
+X115473005Y-92639820D01*
+X115510501Y-92628445D01*
+X115555638Y-92624000D01*
+X116294362Y-92624000D01*
+X116339499Y-92628445D01*
+G37*
+G36*
+X118089499Y-92628445D02*
+G01*
+X118126995Y-92639820D01*
+X118161554Y-92658292D01*
+X118191847Y-92683153D01*
+X118216708Y-92713446D01*
+X118235180Y-92748005D01*
+X118246555Y-92785501D01*
+X118251000Y-92830638D01*
+X118251000Y-93469362D01*
+X118246555Y-93514499D01*
+X118235180Y-93551995D01*
+X118216708Y-93586554D01*
+X118191847Y-93616847D01*
+X118161554Y-93641708D01*
+X118126995Y-93660180D01*
+X118089499Y-93671555D01*
+X118044362Y-93676000D01*
+X117305638Y-93676000D01*
+X117260501Y-93671555D01*
+X117223005Y-93660180D01*
+X117188446Y-93641708D01*
+X117158153Y-93616847D01*
+X117133292Y-93586554D01*
+X117114820Y-93551995D01*
+X117103445Y-93514499D01*
+X117099000Y-93469362D01*
+X117099000Y-92830638D01*
+X117103445Y-92785501D01*
+X117114820Y-92748005D01*
+X117133292Y-92713446D01*
+X117158153Y-92683153D01*
+X117188446Y-92658292D01*
+X117223005Y-92639820D01*
+X117260501Y-92628445D01*
+X117305638Y-92624000D01*
+X118044362Y-92624000D01*
+X118089499Y-92628445D01*
+G37*
+G36*
+X132051000Y-93601000D02*
+G01*
+X130149000Y-93601000D01*
+X130149000Y-90999000D01*
+X132051000Y-90999000D01*
+X132051000Y-93601000D01*
+G37*
+G36*
+X145601000Y-93601000D02*
+G01*
+X143699000Y-93601000D01*
+X143699000Y-90999000D01*
+X145601000Y-90999000D01*
+X145601000Y-93601000D01*
+G37*
+G36*
+X156426000Y-93401000D02*
+G01*
+X155574000Y-93401000D01*
+X155574000Y-92099000D01*
+X156426000Y-92099000D01*
+X156426000Y-93401000D01*
+G37*
+G36*
+X164901000Y-93146000D02*
+G01*
+X163299000Y-93146000D01*
+X163299000Y-92444000D01*
+X164901000Y-92444000D01*
+X164901000Y-93146000D01*
+G37*
+G36*
+X159501000Y-93146000D02*
+G01*
+X157899000Y-93146000D01*
+X157899000Y-92444000D01*
+X159501000Y-92444000D01*
+X159501000Y-93146000D01*
+G37*
+G36*
+X107489499Y-91428445D02*
+G01*
+X107526995Y-91439820D01*
+X107561554Y-91458292D01*
+X107591847Y-91483153D01*
+X107616708Y-91513446D01*
+X107635180Y-91548005D01*
+X107646555Y-91585501D01*
+X107651000Y-91630638D01*
+X107651000Y-92269362D01*
+X107646555Y-92314499D01*
+X107635180Y-92351995D01*
+X107616708Y-92386554D01*
+X107591847Y-92416847D01*
+X107561554Y-92441708D01*
+X107526995Y-92460180D01*
+X107489499Y-92471555D01*
+X107444362Y-92476000D01*
+X106705638Y-92476000D01*
+X106660501Y-92471555D01*
+X106623005Y-92460180D01*
+X106588446Y-92441708D01*
+X106558153Y-92416847D01*
+X106533292Y-92386554D01*
+X106514820Y-92351995D01*
+X106503445Y-92314499D01*
+X106499000Y-92269362D01*
+X106499000Y-91630638D01*
+X106503445Y-91585501D01*
+X106514820Y-91548005D01*
+X106533292Y-91513446D01*
+X106558153Y-91483153D01*
+X106588446Y-91458292D01*
+X106623005Y-91439820D01*
+X106660501Y-91428445D01*
+X106705638Y-91424000D01*
+X107444362Y-91424000D01*
+X107489499Y-91428445D01*
+G37*
+G36*
+X105739499Y-91428445D02*
+G01*
+X105776995Y-91439820D01*
+X105811554Y-91458292D01*
+X105841847Y-91483153D01*
+X105866708Y-91513446D01*
+X105885180Y-91548005D01*
+X105896555Y-91585501D01*
+X105901000Y-91630638D01*
+X105901000Y-92269362D01*
+X105896555Y-92314499D01*
+X105885180Y-92351995D01*
+X105866708Y-92386554D01*
+X105841847Y-92416847D01*
+X105811554Y-92441708D01*
+X105776995Y-92460180D01*
+X105739499Y-92471555D01*
+X105694362Y-92476000D01*
+X104955638Y-92476000D01*
+X104910501Y-92471555D01*
+X104873005Y-92460180D01*
+X104838446Y-92441708D01*
+X104808153Y-92416847D01*
+X104783292Y-92386554D01*
+X104764820Y-92351995D01*
+X104753445Y-92314499D01*
+X104749000Y-92269362D01*
+X104749000Y-91630638D01*
+X104753445Y-91585501D01*
+X104764820Y-91548005D01*
+X104783292Y-91513446D01*
+X104808153Y-91483153D01*
+X104838446Y-91458292D01*
+X104873005Y-91439820D01*
+X104910501Y-91428445D01*
+X104955638Y-91424000D01*
+X105694362Y-91424000D01*
+X105739499Y-91428445D01*
+G37*
+G36*
+X96201000Y-92451000D02*
+G01*
+X93799000Y-92451000D01*
+X93799000Y-90949000D01*
+X96201000Y-90949000D01*
+X96201000Y-92451000D01*
+G37*
+G36*
+X99864499Y-91303445D02*
+G01*
+X99901995Y-91314820D01*
+X99936554Y-91333292D01*
+X99966847Y-91358153D01*
+X99991708Y-91388446D01*
+X100010180Y-91423005D01*
+X100021555Y-91460501D01*
+X100026000Y-91505638D01*
+X100026000Y-92244362D01*
+X100021555Y-92289499D01*
+X100010180Y-92326995D01*
+X99991708Y-92361554D01*
+X99966847Y-92391847D01*
+X99936554Y-92416708D01*
+X99901995Y-92435180D01*
+X99864499Y-92446555D01*
+X99819362Y-92451000D01*
+X99180638Y-92451000D01*
+X99135501Y-92446555D01*
+X99098005Y-92435180D01*
+X99063446Y-92416708D01*
+X99033153Y-92391847D01*
+X99008292Y-92361554D01*
+X98989820Y-92326995D01*
+X98978445Y-92289499D01*
+X98974000Y-92244362D01*
+X98974000Y-91505638D01*
+X98978445Y-91460501D01*
+X98989820Y-91423005D01*
+X99008292Y-91388446D01*
+X99033153Y-91358153D01*
+X99063446Y-91333292D01*
+X99098005Y-91314820D01*
+X99135501Y-91303445D01*
+X99180638Y-91299000D01*
+X99819362Y-91299000D01*
+X99864499Y-91303445D01*
+G37*
+G36*
+X104181000Y-92326000D02*
+G01*
+X103019000Y-92326000D01*
+X103019000Y-91574000D01*
+X104181000Y-91574000D01*
+X104181000Y-92326000D01*
+G37*
+G36*
+X101981000Y-92326000D02*
+G01*
+X100819000Y-92326000D01*
+X100819000Y-89674000D01*
+X101981000Y-89674000D01*
+X101981000Y-92326000D01*
+G37*
+G36*
+X135675562Y-90765681D02*
+G01*
+X135710481Y-90776274D01*
+X135742663Y-90793476D01*
+X135770873Y-90816627D01*
+X135794024Y-90844837D01*
+X135811226Y-90877019D01*
+X135821819Y-90911938D01*
+X135826000Y-90954395D01*
+X135826000Y-92095605D01*
+X135821819Y-92138062D01*
+X135811226Y-92172981D01*
+X135794024Y-92205163D01*
+X135770873Y-92233373D01*
+X135742663Y-92256524D01*
+X135710481Y-92273726D01*
+X135675562Y-92284319D01*
+X135633105Y-92288500D01*
+X134166895Y-92288500D01*
+X134124438Y-92284319D01*
+X134089519Y-92273726D01*
+X134057337Y-92256524D01*
+X134029127Y-92233373D01*
+X134005976Y-92205163D01*
+X133988774Y-92172981D01*
+X133978181Y-92138062D01*
+X133974000Y-92095605D01*
+X133974000Y-90954395D01*
+X133978181Y-90911938D01*
+X133988774Y-90877019D01*
+X134005976Y-90844837D01*
+X134029127Y-90816627D01*
+X134057337Y-90793476D01*
+X134089519Y-90776274D01*
+X134124438Y-90765681D01*
+X134166895Y-90761500D01*
+X135633105Y-90761500D01*
+X135675562Y-90765681D01*
+G37*
+G36*
+X122475562Y-90753181D02*
+G01*
+X122510481Y-90763774D01*
+X122542663Y-90780976D01*
+X122570873Y-90804127D01*
+X122594024Y-90832337D01*
+X122611226Y-90864519D01*
+X122621819Y-90899438D01*
+X122626000Y-90941895D01*
+X122626000Y-92083105D01*
+X122621819Y-92125562D01*
+X122611226Y-92160481D01*
+X122594024Y-92192663D01*
+X122570873Y-92220873D01*
+X122542663Y-92244024D01*
+X122510481Y-92261226D01*
+X122475562Y-92271819D01*
+X122433105Y-92276000D01*
+X120966895Y-92276000D01*
+X120924438Y-92271819D01*
+X120889519Y-92261226D01*
+X120857337Y-92244024D01*
+X120829127Y-92220873D01*
+X120805976Y-92192663D01*
+X120788774Y-92160481D01*
+X120778181Y-92125562D01*
+X120774000Y-92083105D01*
+X120774000Y-90941895D01*
+X120778181Y-90899438D01*
+X120788774Y-90864519D01*
+X120805976Y-90832337D01*
+X120829127Y-90804127D01*
+X120857337Y-90780976D01*
+X120889519Y-90763774D01*
+X120924438Y-90753181D01*
+X120966895Y-90749000D01*
+X122433105Y-90749000D01*
+X122475562Y-90753181D01*
+G37*
+G36*
+X85633642Y-90679781D02*
+G01*
+X85779414Y-90740162D01*
+X85779416Y-90740163D01*
+X85910608Y-90827822D01*
+X86022178Y-90939392D01*
+X86109837Y-91070584D01*
+X86109838Y-91070586D01*
+X86170219Y-91216358D01*
+X86201000Y-91371107D01*
+X86201000Y-91528893D01*
+X86170219Y-91683642D01*
+X86109838Y-91829414D01*
+X86109837Y-91829416D01*
+X86022178Y-91960608D01*
+X85910608Y-92072178D01*
+X85779416Y-92159837D01*
+X85779415Y-92159838D01*
+X85779414Y-92159838D01*
+X85633642Y-92220219D01*
+X85478893Y-92251000D01*
+X85321107Y-92251000D01*
+X85166358Y-92220219D01*
+X85020586Y-92159838D01*
+X85020585Y-92159838D01*
+X85020584Y-92159837D01*
+X84889392Y-92072178D01*
+X84777822Y-91960608D01*
+X84690163Y-91829416D01*
+X84690162Y-91829414D01*
+X84629781Y-91683642D01*
+X84599000Y-91528893D01*
+X84599000Y-91371107D01*
+X84629781Y-91216358D01*
+X84690162Y-91070586D01*
+X84690163Y-91070584D01*
+X84777822Y-90939392D01*
+X84889392Y-90827822D01*
+X85020584Y-90740163D01*
+X85020586Y-90740162D01*
+X85166358Y-90679781D01*
+X85321107Y-90649000D01*
+X85478893Y-90649000D01*
+X85633642Y-90679781D01*
+G37*
+G36*
+X92426000Y-92101000D02*
+G01*
+X91574000Y-92101000D01*
+X91574000Y-90799000D01*
+X92426000Y-90799000D01*
+X92426000Y-92101000D01*
+G37*
+G36*
+X113689499Y-90978445D02*
+G01*
+X113726995Y-90989820D01*
+X113761554Y-91008292D01*
+X113791847Y-91033153D01*
+X113816708Y-91063446D01*
+X113835180Y-91098005D01*
+X113846555Y-91135501D01*
+X113851000Y-91180638D01*
+X113851000Y-91819362D01*
+X113846555Y-91864499D01*
+X113835180Y-91901995D01*
+X113816708Y-91936554D01*
+X113791847Y-91966847D01*
+X113761554Y-91991708D01*
+X113726995Y-92010180D01*
+X113689499Y-92021555D01*
+X113644362Y-92026000D01*
+X112905638Y-92026000D01*
+X112860501Y-92021555D01*
+X112823005Y-92010180D01*
+X112788446Y-91991708D01*
+X112758153Y-91966847D01*
+X112733292Y-91936554D01*
+X112714820Y-91901995D01*
+X112703445Y-91864499D01*
+X112699000Y-91819362D01*
+X112699000Y-91180638D01*
+X112703445Y-91135501D01*
+X112714820Y-91098005D01*
+X112733292Y-91063446D01*
+X112758153Y-91033153D01*
+X112788446Y-91008292D01*
+X112823005Y-90989820D01*
+X112860501Y-90978445D01*
+X112905638Y-90974000D01*
+X113644362Y-90974000D01*
+X113689499Y-90978445D01*
+G37*
+G36*
+X115439499Y-90978445D02*
+G01*
+X115476995Y-90989820D01*
+X115511554Y-91008292D01*
+X115541847Y-91033153D01*
+X115566708Y-91063446D01*
+X115585180Y-91098005D01*
+X115596555Y-91135501D01*
+X115601000Y-91180638D01*
+X115601000Y-91819362D01*
+X115596555Y-91864499D01*
+X115585180Y-91901995D01*
+X115566708Y-91936554D01*
+X115541847Y-91966847D01*
+X115511554Y-91991708D01*
+X115476995Y-92010180D01*
+X115439499Y-92021555D01*
+X115394362Y-92026000D01*
+X114655638Y-92026000D01*
+X114610501Y-92021555D01*
+X114573005Y-92010180D01*
+X114538446Y-91991708D01*
+X114508153Y-91966847D01*
+X114483292Y-91936554D01*
+X114464820Y-91901995D01*
+X114453445Y-91864499D01*
+X114449000Y-91819362D01*
+X114449000Y-91180638D01*
+X114453445Y-91135501D01*
+X114464820Y-91098005D01*
+X114483292Y-91063446D01*
+X114508153Y-91033153D01*
+X114538446Y-91008292D01*
+X114573005Y-90989820D01*
+X114610501Y-90978445D01*
+X114655638Y-90974000D01*
+X115394362Y-90974000D01*
+X115439499Y-90978445D01*
+G37*
+G36*
+X111401000Y-91926000D02*
+G01*
+X110099000Y-91926000D01*
+X110099000Y-91074000D01*
+X111401000Y-91074000D01*
+X111401000Y-91926000D01*
+G37*
+G36*
+X109501000Y-91926000D02*
+G01*
+X108199000Y-91926000D01*
+X108199000Y-91074000D01*
+X109501000Y-91074000D01*
+X109501000Y-91926000D01*
+G37*
+G36*
+X159501000Y-91876000D02*
+G01*
+X157899000Y-91876000D01*
+X157899000Y-91174000D01*
+X159501000Y-91174000D01*
+X159501000Y-91876000D01*
+G37*
+G36*
+X164901000Y-91876000D02*
+G01*
+X163299000Y-91876000D01*
+X163299000Y-91174000D01*
+X164901000Y-91174000D01*
+X164901000Y-91876000D01*
+G37*
+G36*
+X156426000Y-91501000D02*
+G01*
+X155574000Y-91501000D01*
+X155574000Y-90199000D01*
+X156426000Y-90199000D01*
+X156426000Y-91501000D01*
+G37*
+G36*
+X99864499Y-89553445D02*
+G01*
+X99901995Y-89564820D01*
+X99936554Y-89583292D01*
+X99966847Y-89608153D01*
+X99991708Y-89638446D01*
+X100010180Y-89673005D01*
+X100021555Y-89710501D01*
+X100026000Y-89755638D01*
+X100026000Y-90494362D01*
+X100021555Y-90539499D01*
+X100010180Y-90576995D01*
+X99991708Y-90611554D01*
+X99966847Y-90641847D01*
+X99936554Y-90666708D01*
+X99901995Y-90685180D01*
+X99864499Y-90696555D01*
+X99819362Y-90701000D01*
+X99180638Y-90701000D01*
+X99135501Y-90696555D01*
+X99098005Y-90685180D01*
+X99063446Y-90666708D01*
+X99033153Y-90641847D01*
+X99008292Y-90611554D01*
+X98989820Y-90576995D01*
+X98978445Y-90539499D01*
+X98974000Y-90494362D01*
+X98974000Y-89755638D01*
+X98978445Y-89710501D01*
+X98989820Y-89673005D01*
+X99008292Y-89638446D01*
+X99033153Y-89608153D01*
+X99063446Y-89583292D01*
+X99098005Y-89564820D01*
+X99135501Y-89553445D01*
+X99180638Y-89549000D01*
+X99819362Y-89549000D01*
+X99864499Y-89553445D01*
+G37*
+G36*
+X159501000Y-90606000D02*
+G01*
+X157899000Y-90606000D01*
+X157899000Y-89904000D01*
+X159501000Y-89904000D01*
+X159501000Y-90606000D01*
+G37*
+G36*
+X164901000Y-90606000D02*
+G01*
+X163299000Y-90606000D01*
+X163299000Y-89904000D01*
+X164901000Y-89904000D01*
+X164901000Y-90606000D01*
+G37*
+G36*
+X104181000Y-90426000D02*
+G01*
+X103019000Y-90426000D01*
+X103019000Y-89674000D01*
+X104181000Y-89674000D01*
+X104181000Y-90426000D01*
+G37*
+G36*
+X92426000Y-90201000D02*
+G01*
+X91574000Y-90201000D01*
+X91574000Y-88899000D01*
+X92426000Y-88899000D01*
+X92426000Y-90201000D01*
+G37*
+G36*
+X153983642Y-88229781D02*
+G01*
+X154129414Y-88290162D01*
+X154129416Y-88290163D01*
+X154260608Y-88377822D01*
+X154372178Y-88489392D01*
+X154459837Y-88620584D01*
+X154459838Y-88620586D01*
+X154520219Y-88766358D01*
+X154551000Y-88921107D01*
+X154551000Y-89078893D01*
+X154520219Y-89233642D01*
+X154459838Y-89379414D01*
+X154459837Y-89379416D01*
+X154372178Y-89510608D01*
+X154260608Y-89622178D01*
+X154129416Y-89709837D01*
+X154129415Y-89709838D01*
+X154129414Y-89709838D01*
+X153983642Y-89770219D01*
+X153828893Y-89801000D01*
+X153671107Y-89801000D01*
+X153516358Y-89770219D01*
+X153370586Y-89709838D01*
+X153370585Y-89709838D01*
+X153370584Y-89709837D01*
+X153239392Y-89622178D01*
+X153127822Y-89510608D01*
+X153040163Y-89379416D01*
+X153040162Y-89379414D01*
+X152979781Y-89233642D01*
+X152949000Y-89078893D01*
+X152949000Y-88921107D01*
+X152979781Y-88766358D01*
+X153040162Y-88620586D01*
+X153040163Y-88620584D01*
+X153127822Y-88489392D01*
+X153239392Y-88377822D01*
+X153370584Y-88290163D01*
+X153370586Y-88290162D01*
+X153516358Y-88229781D01*
+X153671107Y-88199000D01*
+X153828893Y-88199000D01*
+X153983642Y-88229781D01*
+G37*
+G36*
+X145601000Y-89601000D02*
+G01*
+X143699000Y-89601000D01*
+X143699000Y-86999000D01*
+X145601000Y-86999000D01*
+X145601000Y-89601000D01*
+G37*
+G36*
+X132051000Y-89601000D02*
+G01*
+X130149000Y-89601000D01*
+X130149000Y-86999000D01*
+X132051000Y-86999000D01*
+X132051000Y-89601000D01*
+G37*
+G36*
+X110433642Y-87929781D02*
+G01*
+X110556421Y-87980638D01*
+X110579416Y-87990163D01*
+X110710608Y-88077822D01*
+X110822178Y-88189392D01*
+X110889510Y-88290163D01*
+X110909838Y-88320586D01*
+X110970219Y-88466358D01*
+X111001000Y-88621107D01*
+X111001000Y-88778893D01*
+X110970219Y-88933642D01*
+X110959048Y-88960610D01*
+X110909837Y-89079416D01*
+X110822178Y-89210608D01*
+X110710608Y-89322178D01*
+X110579416Y-89409837D01*
+X110579415Y-89409838D01*
+X110579414Y-89409838D01*
+X110433642Y-89470219D01*
+X110278893Y-89501000D01*
+X110121107Y-89501000D01*
+X109966358Y-89470219D01*
+X109820586Y-89409838D01*
+X109820585Y-89409838D01*
+X109820584Y-89409837D01*
+X109689392Y-89322178D01*
+X109577822Y-89210608D01*
+X109490163Y-89079416D01*
+X109440952Y-88960610D01*
+X109429781Y-88933642D01*
+X109399000Y-88778893D01*
+X109399000Y-88621107D01*
+X109429781Y-88466358D01*
+X109490162Y-88320586D01*
+X109510490Y-88290163D01*
+X109577822Y-88189392D01*
+X109689392Y-88077822D01*
+X109820584Y-87990163D01*
+X109843579Y-87980638D01*
+X109966358Y-87929781D01*
+X110121107Y-87899000D01*
+X110278893Y-87899000D01*
+X110433642Y-87929781D01*
+G37*
+G36*
+X159501000Y-89336000D02*
+G01*
+X157899000Y-89336000D01*
+X157899000Y-88634000D01*
+X159501000Y-88634000D01*
+X159501000Y-89336000D01*
+G37*
+G36*
+X164901000Y-89336000D02*
+G01*
+X163299000Y-89336000D01*
+X163299000Y-88634000D01*
+X164901000Y-88634000D01*
+X164901000Y-89336000D01*
+G37*
+G36*
+X85633642Y-87629781D02*
+G01*
+X85775271Y-87688446D01*
+X85779416Y-87690163D01*
+X85910608Y-87777822D01*
+X86022178Y-87889392D01*
+X86089510Y-87990163D01*
+X86109838Y-88020586D01*
+X86170219Y-88166358D01*
+X86201000Y-88321107D01*
+X86201000Y-88478893D01*
+X86170219Y-88633642D01*
+X86115246Y-88766357D01*
+X86109837Y-88779416D01*
+X86022178Y-88910608D01*
+X85910608Y-89022178D01*
+X85779416Y-89109837D01*
+X85779415Y-89109838D01*
+X85779414Y-89109838D01*
+X85633642Y-89170219D01*
+X85478893Y-89201000D01*
+X85321107Y-89201000D01*
+X85166358Y-89170219D01*
+X85020586Y-89109838D01*
+X85020585Y-89109838D01*
+X85020584Y-89109837D01*
+X84889392Y-89022178D01*
+X84777822Y-88910608D01*
+X84690163Y-88779416D01*
+X84684754Y-88766357D01*
+X84629781Y-88633642D01*
+X84599000Y-88478893D01*
+X84599000Y-88321107D01*
+X84629781Y-88166358D01*
+X84690162Y-88020586D01*
+X84710490Y-87990163D01*
+X84777822Y-87889392D01*
+X84889392Y-87777822D01*
+X85020584Y-87690163D01*
+X85024729Y-87688446D01*
+X85166358Y-87629781D01*
+X85321107Y-87599000D01*
+X85478893Y-87599000D01*
+X85633642Y-87629781D01*
+G37*
+G36*
+X76206564Y-86939389D02*
+G01*
+X76397833Y-87018615D01*
+X76397835Y-87018616D01*
+X76569973Y-87133635D01*
+X76716365Y-87280027D01*
+X76824490Y-87441847D01*
+X76831385Y-87452167D01*
+X76910611Y-87643436D01*
+X76951000Y-87846484D01*
+X76951000Y-88053516D01*
+X76910611Y-88256564D01*
+X76831385Y-88447833D01*
+X76831384Y-88447835D01*
+X76716365Y-88619973D01*
+X76569973Y-88766365D01*
+X76397835Y-88881384D01*
+X76397834Y-88881385D01*
+X76397833Y-88881385D01*
+X76206564Y-88960611D01*
+X76003516Y-89001000D01*
+X75796484Y-89001000D01*
+X75593436Y-88960611D01*
+X75402167Y-88881385D01*
+X75402166Y-88881385D01*
+X75402165Y-88881384D01*
+X75230027Y-88766365D01*
+X75083635Y-88619973D01*
+X74968616Y-88447835D01*
+X74968615Y-88447833D01*
+X74889389Y-88256564D01*
+X74849000Y-88053516D01*
+X74849000Y-87846484D01*
+X74889389Y-87643436D01*
+X74968615Y-87452167D01*
+X74975511Y-87441847D01*
+X75083635Y-87280027D01*
+X75230027Y-87133635D01*
+X75402165Y-87018616D01*
+X75402167Y-87018615D01*
+X75593436Y-86939389D01*
+X75796484Y-86899000D01*
+X76003516Y-86899000D01*
+X76206564Y-86939389D01*
+G37*
+G36*
+X81951000Y-89001000D02*
+G01*
+X79849000Y-89001000D01*
+X79849000Y-86899000D01*
+X81951000Y-86899000D01*
+X81951000Y-89001000D01*
+G37*
+G36*
+X100126000Y-88901000D02*
+G01*
+X99274000Y-88901000D01*
+X99274000Y-87599000D01*
+X100126000Y-87599000D01*
+X100126000Y-88901000D01*
+G37*
+G36*
+X118183642Y-87279781D02*
+G01*
+X118325754Y-87338646D01*
+X118329416Y-87340163D01*
+X118460608Y-87427822D01*
+X118572178Y-87539392D01*
+X118641697Y-87643436D01*
+X118659838Y-87670586D01*
+X118720219Y-87816358D01*
+X118751000Y-87971107D01*
+X118751000Y-88128893D01*
+X118720219Y-88283642D01*
+X118659838Y-88429414D01*
+X118659837Y-88429416D01*
+X118572178Y-88560608D01*
+X118460608Y-88672178D01*
+X118329416Y-88759837D01*
+X118329415Y-88759838D01*
+X118329414Y-88759838D01*
+X118183642Y-88820219D01*
+X118028893Y-88851000D01*
+X117871107Y-88851000D01*
+X117716358Y-88820219D01*
+X117570586Y-88759838D01*
+X117570585Y-88759838D01*
+X117570584Y-88759837D01*
+X117439392Y-88672178D01*
+X117327822Y-88560608D01*
+X117240163Y-88429416D01*
+X117240162Y-88429414D01*
+X117179781Y-88283642D01*
+X117149000Y-88128893D01*
+X117149000Y-87971107D01*
+X117179781Y-87816358D01*
+X117240162Y-87670586D01*
+X117258303Y-87643436D01*
+X117327822Y-87539392D01*
+X117439392Y-87427822D01*
+X117570584Y-87340163D01*
+X117574246Y-87338646D01*
+X117716358Y-87279781D01*
+X117871107Y-87249000D01*
+X118028893Y-87249000D01*
+X118183642Y-87279781D01*
+G37*
+G36*
+X103439499Y-87778445D02*
+G01*
+X103476995Y-87789820D01*
+X103511554Y-87808292D01*
+X103541847Y-87833153D01*
+X103566708Y-87863446D01*
+X103585180Y-87898005D01*
+X103596555Y-87935501D01*
+X103601000Y-87980638D01*
+X103601000Y-88619362D01*
+X103596555Y-88664499D01*
+X103585180Y-88701995D01*
+X103566708Y-88736554D01*
+X103541847Y-88766847D01*
+X103511554Y-88791708D01*
+X103476995Y-88810180D01*
+X103439499Y-88821555D01*
+X103394362Y-88826000D01*
+X102655638Y-88826000D01*
+X102610501Y-88821555D01*
+X102573005Y-88810180D01*
+X102538446Y-88791708D01*
+X102508153Y-88766847D01*
+X102483292Y-88736554D01*
+X102464820Y-88701995D01*
+X102453445Y-88664499D01*
+X102449000Y-88619362D01*
+X102449000Y-87980638D01*
+X102453445Y-87935501D01*
+X102464820Y-87898005D01*
+X102483292Y-87863446D01*
+X102508153Y-87833153D01*
+X102538446Y-87808292D01*
+X102573005Y-87789820D01*
+X102610501Y-87778445D01*
+X102655638Y-87774000D01*
+X103394362Y-87774000D01*
+X103439499Y-87778445D01*
+G37*
+G36*
+X105189499Y-87778445D02*
+G01*
+X105226995Y-87789820D01*
+X105261554Y-87808292D01*
+X105291847Y-87833153D01*
+X105316708Y-87863446D01*
+X105335180Y-87898005D01*
+X105346555Y-87935501D01*
+X105351000Y-87980638D01*
+X105351000Y-88619362D01*
+X105346555Y-88664499D01*
+X105335180Y-88701995D01*
+X105316708Y-88736554D01*
+X105291847Y-88766847D01*
+X105261554Y-88791708D01*
+X105226995Y-88810180D01*
+X105189499Y-88821555D01*
+X105144362Y-88826000D01*
+X104405638Y-88826000D01*
+X104360501Y-88821555D01*
+X104323005Y-88810180D01*
+X104288446Y-88791708D01*
+X104258153Y-88766847D01*
+X104233292Y-88736554D01*
+X104214820Y-88701995D01*
+X104203445Y-88664499D01*
+X104199000Y-88619362D01*
+X104199000Y-87980638D01*
+X104203445Y-87935501D01*
+X104214820Y-87898005D01*
+X104233292Y-87863446D01*
+X104258153Y-87833153D01*
+X104288446Y-87808292D01*
+X104323005Y-87789820D01*
+X104360501Y-87778445D01*
+X104405638Y-87774000D01*
+X105144362Y-87774000D01*
+X105189499Y-87778445D01*
+G37*
+G36*
+X141714928Y-86751764D02*
+G01*
+X141736009Y-86758160D01*
+X141755445Y-86768548D01*
+X141772476Y-86782524D01*
+X141786452Y-86799555D01*
+X141796840Y-86818991D01*
+X141803236Y-86840072D01*
+X141806000Y-86868140D01*
+X141806000Y-88681860D01*
+X141803236Y-88709928D01*
+X141796840Y-88731009D01*
+X141786452Y-88750445D01*
+X141772476Y-88767476D01*
+X141755445Y-88781452D01*
+X141736009Y-88791840D01*
+X141714928Y-88798236D01*
+X141686860Y-88801000D01*
+X141223140Y-88801000D01*
+X141195072Y-88798236D01*
+X141173991Y-88791840D01*
+X141154555Y-88781452D01*
+X141137524Y-88767476D01*
+X141123548Y-88750445D01*
+X141113160Y-88731009D01*
+X141106764Y-88709928D01*
+X141104000Y-88681860D01*
+X141104000Y-86868140D01*
+X141106764Y-86840072D01*
+X141113160Y-86818991D01*
+X141123548Y-86799555D01*
+X141137524Y-86782524D01*
+X141154555Y-86768548D01*
+X141173991Y-86758160D01*
+X141195072Y-86751764D01*
+X141223140Y-86749000D01*
+X141686860Y-86749000D01*
+X141714928Y-86751764D01*
+G37*
+G36*
+X124454928Y-86751764D02*
+G01*
+X124476009Y-86758160D01*
+X124495445Y-86768548D01*
+X124512476Y-86782524D01*
+X124526452Y-86799555D01*
+X124536840Y-86818991D01*
+X124543236Y-86840072D01*
+X124546000Y-86868140D01*
+X124546000Y-88681860D01*
+X124543236Y-88709928D01*
+X124536840Y-88731009D01*
+X124526452Y-88750445D01*
+X124512476Y-88767476D01*
+X124495445Y-88781452D01*
+X124476009Y-88791840D01*
+X124454928Y-88798236D01*
+X124426860Y-88801000D01*
+X123963140Y-88801000D01*
+X123935072Y-88798236D01*
+X123913991Y-88791840D01*
+X123894555Y-88781452D01*
+X123877524Y-88767476D01*
+X123863548Y-88750445D01*
+X123853160Y-88731009D01*
+X123846764Y-88709928D01*
+X123844000Y-88681860D01*
+X123844000Y-86868140D01*
+X123846764Y-86840072D01*
+X123853160Y-86818991D01*
+X123863548Y-86799555D01*
+X123877524Y-86782524D01*
+X123894555Y-86768548D01*
+X123913991Y-86758160D01*
+X123935072Y-86751764D01*
+X123963140Y-86749000D01*
+X124426860Y-86749000D01*
+X124454928Y-86751764D01*
+G37*
+G36*
+X125724928Y-86751764D02*
+G01*
+X125746009Y-86758160D01*
+X125765445Y-86768548D01*
+X125782476Y-86782524D01*
+X125796452Y-86799555D01*
+X125806840Y-86818991D01*
+X125813236Y-86840072D01*
+X125816000Y-86868140D01*
+X125816000Y-88681860D01*
+X125813236Y-88709928D01*
+X125806840Y-88731009D01*
+X125796452Y-88750445D01*
+X125782476Y-88767476D01*
+X125765445Y-88781452D01*
+X125746009Y-88791840D01*
+X125724928Y-88798236D01*
+X125696860Y-88801000D01*
+X125233140Y-88801000D01*
+X125205072Y-88798236D01*
+X125183991Y-88791840D01*
+X125164555Y-88781452D01*
+X125147524Y-88767476D01*
+X125133548Y-88750445D01*
+X125123160Y-88731009D01*
+X125116764Y-88709928D01*
+X125114000Y-88681860D01*
+X125114000Y-86868140D01*
+X125116764Y-86840072D01*
+X125123160Y-86818991D01*
+X125133548Y-86799555D01*
+X125147524Y-86782524D01*
+X125164555Y-86768548D01*
+X125183991Y-86758160D01*
+X125205072Y-86751764D01*
+X125233140Y-86749000D01*
+X125696860Y-86749000D01*
+X125724928Y-86751764D01*
+G37*
+G36*
+X126994928Y-86751764D02*
+G01*
+X127016009Y-86758160D01*
+X127035445Y-86768548D01*
+X127052476Y-86782524D01*
+X127066452Y-86799555D01*
+X127076840Y-86818991D01*
+X127083236Y-86840072D01*
+X127086000Y-86868140D01*
+X127086000Y-88681860D01*
+X127083236Y-88709928D01*
+X127076840Y-88731009D01*
+X127066452Y-88750445D01*
+X127052476Y-88767476D01*
+X127035445Y-88781452D01*
+X127016009Y-88791840D01*
+X126994928Y-88798236D01*
+X126966860Y-88801000D01*
+X126503140Y-88801000D01*
+X126475072Y-88798236D01*
+X126453991Y-88791840D01*
+X126434555Y-88781452D01*
+X126417524Y-88767476D01*
+X126403548Y-88750445D01*
+X126393160Y-88731009D01*
+X126386764Y-88709928D01*
+X126384000Y-88681860D01*
+X126384000Y-86868140D01*
+X126386764Y-86840072D01*
+X126393160Y-86818991D01*
+X126403548Y-86799555D01*
+X126417524Y-86782524D01*
+X126434555Y-86768548D01*
+X126453991Y-86758160D01*
+X126475072Y-86751764D01*
+X126503140Y-86749000D01*
+X126966860Y-86749000D01*
+X126994928Y-86751764D01*
+G37*
+G36*
+X137904928Y-86751764D02*
+G01*
+X137926009Y-86758160D01*
+X137945445Y-86768548D01*
+X137962476Y-86782524D01*
+X137976452Y-86799555D01*
+X137986840Y-86818991D01*
+X137993236Y-86840072D01*
+X137996000Y-86868140D01*
+X137996000Y-88681860D01*
+X137993236Y-88709928D01*
+X137986840Y-88731009D01*
+X137976452Y-88750445D01*
+X137962476Y-88767476D01*
+X137945445Y-88781452D01*
+X137926009Y-88791840D01*
+X137904928Y-88798236D01*
+X137876860Y-88801000D01*
+X137413140Y-88801000D01*
+X137385072Y-88798236D01*
+X137363991Y-88791840D01*
+X137344555Y-88781452D01*
+X137327524Y-88767476D01*
+X137313548Y-88750445D01*
+X137303160Y-88731009D01*
+X137296764Y-88709928D01*
+X137294000Y-88681860D01*
+X137294000Y-86868140D01*
+X137296764Y-86840072D01*
+X137303160Y-86818991D01*
+X137313548Y-86799555D01*
+X137327524Y-86782524D01*
+X137344555Y-86768548D01*
+X137363991Y-86758160D01*
+X137385072Y-86751764D01*
+X137413140Y-86749000D01*
+X137876860Y-86749000D01*
+X137904928Y-86751764D01*
+G37*
+G36*
+X139174928Y-86751764D02*
+G01*
+X139196009Y-86758160D01*
+X139215445Y-86768548D01*
+X139232476Y-86782524D01*
+X139246452Y-86799555D01*
+X139256840Y-86818991D01*
+X139263236Y-86840072D01*
+X139266000Y-86868140D01*
+X139266000Y-88681860D01*
+X139263236Y-88709928D01*
+X139256840Y-88731009D01*
+X139246452Y-88750445D01*
+X139232476Y-88767476D01*
+X139215445Y-88781452D01*
+X139196009Y-88791840D01*
+X139174928Y-88798236D01*
+X139146860Y-88801000D01*
+X138683140Y-88801000D01*
+X138655072Y-88798236D01*
+X138633991Y-88791840D01*
+X138614555Y-88781452D01*
+X138597524Y-88767476D01*
+X138583548Y-88750445D01*
+X138573160Y-88731009D01*
+X138566764Y-88709928D01*
+X138564000Y-88681860D01*
+X138564000Y-86868140D01*
+X138566764Y-86840072D01*
+X138573160Y-86818991D01*
+X138583548Y-86799555D01*
+X138597524Y-86782524D01*
+X138614555Y-86768548D01*
+X138633991Y-86758160D01*
+X138655072Y-86751764D01*
+X138683140Y-86749000D01*
+X139146860Y-86749000D01*
+X139174928Y-86751764D01*
+G37*
+G36*
+X140444928Y-86751764D02*
+G01*
+X140466009Y-86758160D01*
+X140485445Y-86768548D01*
+X140502476Y-86782524D01*
+X140516452Y-86799555D01*
+X140526840Y-86818991D01*
+X140533236Y-86840072D01*
+X140536000Y-86868140D01*
+X140536000Y-88681860D01*
+X140533236Y-88709928D01*
+X140526840Y-88731009D01*
+X140516452Y-88750445D01*
+X140502476Y-88767476D01*
+X140485445Y-88781452D01*
+X140466009Y-88791840D01*
+X140444928Y-88798236D01*
+X140416860Y-88801000D01*
+X139953140Y-88801000D01*
+X139925072Y-88798236D01*
+X139903991Y-88791840D01*
+X139884555Y-88781452D01*
+X139867524Y-88767476D01*
+X139853548Y-88750445D01*
+X139843160Y-88731009D01*
+X139836764Y-88709928D01*
+X139834000Y-88681860D01*
+X139834000Y-86868140D01*
+X139836764Y-86840072D01*
+X139843160Y-86818991D01*
+X139853548Y-86799555D01*
+X139867524Y-86782524D01*
+X139884555Y-86768548D01*
+X139903991Y-86758160D01*
+X139925072Y-86751764D01*
+X139953140Y-86749000D01*
+X140416860Y-86749000D01*
+X140444928Y-86751764D01*
+G37*
+G36*
+X128264928Y-86751764D02*
+G01*
+X128286009Y-86758160D01*
+X128305445Y-86768548D01*
+X128322476Y-86782524D01*
+X128336452Y-86799555D01*
+X128346840Y-86818991D01*
+X128353236Y-86840072D01*
+X128356000Y-86868140D01*
+X128356000Y-88681860D01*
+X128353236Y-88709928D01*
+X128346840Y-88731009D01*
+X128336452Y-88750445D01*
+X128322476Y-88767476D01*
+X128305445Y-88781452D01*
+X128286009Y-88791840D01*
+X128264928Y-88798236D01*
+X128236860Y-88801000D01*
+X127773140Y-88801000D01*
+X127745072Y-88798236D01*
+X127723991Y-88791840D01*
+X127704555Y-88781452D01*
+X127687524Y-88767476D01*
+X127673548Y-88750445D01*
+X127663160Y-88731009D01*
+X127656764Y-88709928D01*
+X127654000Y-88681860D01*
+X127654000Y-86868140D01*
+X127656764Y-86840072D01*
+X127663160Y-86818991D01*
+X127673548Y-86799555D01*
+X127687524Y-86782524D01*
+X127704555Y-86768548D01*
+X127723991Y-86758160D01*
+X127745072Y-86751764D01*
+X127773140Y-86749000D01*
+X128236860Y-86749000D01*
+X128264928Y-86751764D01*
+G37*
+G36*
+X101664499Y-87603445D02*
+G01*
+X101701995Y-87614820D01*
+X101736554Y-87633292D01*
+X101766847Y-87658153D01*
+X101791708Y-87688446D01*
+X101810180Y-87723005D01*
+X101821555Y-87760501D01*
+X101826000Y-87805638D01*
+X101826000Y-88544362D01*
+X101821555Y-88589499D01*
+X101810180Y-88626995D01*
+X101791708Y-88661554D01*
+X101766847Y-88691847D01*
+X101736554Y-88716708D01*
+X101701995Y-88735180D01*
+X101664499Y-88746555D01*
+X101619362Y-88751000D01*
+X100980638Y-88751000D01*
+X100935501Y-88746555D01*
+X100898005Y-88735180D01*
+X100863446Y-88716708D01*
+X100833153Y-88691847D01*
+X100808292Y-88661554D01*
+X100789820Y-88626995D01*
+X100778445Y-88589499D01*
+X100774000Y-88544362D01*
+X100774000Y-87805638D01*
+X100778445Y-87760501D01*
+X100789820Y-87723005D01*
+X100808292Y-87688446D01*
+X100833153Y-87658153D01*
+X100863446Y-87633292D01*
+X100898005Y-87614820D01*
+X100935501Y-87603445D01*
+X100980638Y-87599000D01*
+X101619362Y-87599000D01*
+X101664499Y-87603445D01*
+G37*
+G36*
+X108433642Y-86929781D02*
+G01*
+X108567386Y-86985180D01*
+X108579416Y-86990163D01*
+X108710608Y-87077822D01*
+X108822178Y-87189392D01*
+X108892316Y-87294362D01*
+X108909838Y-87320586D01*
+X108970219Y-87466358D01*
+X109001000Y-87621107D01*
+X109001000Y-87778893D01*
+X108970219Y-87933642D01*
+X108920566Y-88053514D01*
+X108909837Y-88079416D01*
+X108822178Y-88210608D01*
+X108710608Y-88322178D01*
+X108579416Y-88409837D01*
+X108579415Y-88409838D01*
+X108579414Y-88409838D01*
+X108433642Y-88470219D01*
+X108278893Y-88501000D01*
+X108121107Y-88501000D01*
+X107966358Y-88470219D01*
+X107820586Y-88409838D01*
+X107820585Y-88409838D01*
+X107820584Y-88409837D01*
+X107689392Y-88322178D01*
+X107577822Y-88210608D01*
+X107490163Y-88079416D01*
+X107479434Y-88053514D01*
+X107429781Y-87933642D01*
+X107399000Y-87778893D01*
+X107399000Y-87621107D01*
+X107429781Y-87466358D01*
+X107490162Y-87320586D01*
+X107507684Y-87294362D01*
+X107577822Y-87189392D01*
+X107689392Y-87077822D01*
+X107820584Y-86990163D01*
+X107832614Y-86985180D01*
+X107966358Y-86929781D01*
+X108121107Y-86899000D01*
+X108278893Y-86899000D01*
+X108433642Y-86929781D01*
+G37*
+G36*
+X164901000Y-88066000D02*
+G01*
+X163299000Y-88066000D01*
+X163299000Y-87364000D01*
+X164901000Y-87364000D01*
+X164901000Y-88066000D01*
+G37*
+G36*
+X159501000Y-88066000D02*
+G01*
+X157899000Y-88066000D01*
+X157899000Y-87364000D01*
+X159501000Y-87364000D01*
+X159501000Y-88066000D01*
+G37*
+G36*
+X96201000Y-88051000D02*
+G01*
+X93799000Y-88051000D01*
+X93799000Y-86549000D01*
+X96201000Y-86549000D01*
+X96201000Y-88051000D01*
+G37*
+G36*
+X112933642Y-86279781D02*
+G01*
+X113079414Y-86340162D01*
+X113079416Y-86340163D01*
+X113210608Y-86427822D01*
+X113322178Y-86539392D01*
+X113381980Y-86628893D01*
+X113409838Y-86670586D01*
+X113470219Y-86816358D01*
+X113501000Y-86971107D01*
+X113501000Y-87128893D01*
+X113470219Y-87283642D01*
+X113421195Y-87401995D01*
+X113409837Y-87429416D01*
+X113322178Y-87560608D01*
+X113210608Y-87672178D01*
+X113079416Y-87759837D01*
+X113079415Y-87759838D01*
+X113079414Y-87759838D01*
+X112933642Y-87820219D01*
+X112778893Y-87851000D01*
+X112621107Y-87851000D01*
+X112466358Y-87820219D01*
+X112320586Y-87759838D01*
+X112320585Y-87759838D01*
+X112320584Y-87759837D01*
+X112189392Y-87672178D01*
+X112077822Y-87560608D01*
+X111990163Y-87429416D01*
+X111978805Y-87401995D01*
+X111929781Y-87283642D01*
+X111899000Y-87128893D01*
+X111899000Y-86971107D01*
+X111929781Y-86816358D01*
+X111990162Y-86670586D01*
+X112018020Y-86628893D01*
+X112077822Y-86539392D01*
+X112189392Y-86427822D01*
+X112320584Y-86340163D01*
+X112320586Y-86340162D01*
+X112466358Y-86279781D01*
+X112621107Y-86249000D01*
+X112778893Y-86249000D01*
+X112933642Y-86279781D01*
+G37*
+G36*
+X122814499Y-86378445D02*
+G01*
+X122851995Y-86389820D01*
+X122886554Y-86408292D01*
+X122916847Y-86433153D01*
+X122941708Y-86463446D01*
+X122960180Y-86498005D01*
+X122971555Y-86535501D01*
+X122976000Y-86580638D01*
+X122976000Y-87319362D01*
+X122971555Y-87364499D01*
+X122960180Y-87401995D01*
+X122941708Y-87436554D01*
+X122916847Y-87466847D01*
+X122886554Y-87491708D01*
+X122851995Y-87510180D01*
+X122814499Y-87521555D01*
+X122769362Y-87526000D01*
+X122130638Y-87526000D01*
+X122085501Y-87521555D01*
+X122048005Y-87510180D01*
+X122013446Y-87491708D01*
+X121983153Y-87466847D01*
+X121958292Y-87436554D01*
+X121939820Y-87401995D01*
+X121928445Y-87364499D01*
+X121924000Y-87319362D01*
+X121924000Y-86580638D01*
+X121928445Y-86535501D01*
+X121939820Y-86498005D01*
+X121958292Y-86463446D01*
+X121983153Y-86433153D01*
+X122013446Y-86408292D01*
+X122048005Y-86389820D01*
+X122085501Y-86378445D01*
+X122130638Y-86374000D01*
+X122769362Y-86374000D01*
+X122814499Y-86378445D01*
+G37*
+G36*
+X121114499Y-86353445D02*
+G01*
+X121151995Y-86364820D01*
+X121186554Y-86383292D01*
+X121216847Y-86408153D01*
+X121241708Y-86438446D01*
+X121260180Y-86473005D01*
+X121271555Y-86510501D01*
+X121276000Y-86555638D01*
+X121276000Y-87294362D01*
+X121271555Y-87339499D01*
+X121260180Y-87376995D01*
+X121241708Y-87411554D01*
+X121216847Y-87441847D01*
+X121186554Y-87466708D01*
+X121151995Y-87485180D01*
+X121114499Y-87496555D01*
+X121069362Y-87501000D01*
+X120430638Y-87501000D01*
+X120385501Y-87496555D01*
+X120348005Y-87485180D01*
+X120313446Y-87466708D01*
+X120283153Y-87441847D01*
+X120258292Y-87411554D01*
+X120239820Y-87376995D01*
+X120228445Y-87339499D01*
+X120224000Y-87294362D01*
+X120224000Y-86555638D01*
+X120228445Y-86510501D01*
+X120239820Y-86473005D01*
+X120258292Y-86438446D01*
+X120283153Y-86408153D01*
+X120313446Y-86383292D01*
+X120348005Y-86364820D01*
+X120385501Y-86353445D01*
+X120430638Y-86349000D01*
+X121069362Y-86349000D01*
+X121114499Y-86353445D01*
+G37*
+G36*
+X154933642Y-85779781D02*
+G01*
+X155079414Y-85840162D01*
+X155079416Y-85840163D01*
+X155210608Y-85927822D01*
+X155322178Y-86039392D01*
+X155400079Y-86155980D01*
+X155409838Y-86170586D01*
+X155470219Y-86316358D01*
+X155501000Y-86471107D01*
+X155501000Y-86628893D01*
+X155470219Y-86783642D01*
+X155426055Y-86890262D01*
+X155409837Y-86929416D01*
+X155322178Y-87060608D01*
+X155210608Y-87172178D01*
+X155079416Y-87259837D01*
+X155079415Y-87259838D01*
+X155079414Y-87259838D01*
+X154933642Y-87320219D01*
+X154778893Y-87351000D01*
+X154621107Y-87351000D01*
+X154466358Y-87320219D01*
+X154320586Y-87259838D01*
+X154320585Y-87259838D01*
+X154320584Y-87259837D01*
+X154189392Y-87172178D01*
+X154077822Y-87060608D01*
+X153990163Y-86929416D01*
+X153973945Y-86890262D01*
+X153929781Y-86783642D01*
+X153899000Y-86628893D01*
+X153899000Y-86471107D01*
+X153929781Y-86316358D01*
+X153990162Y-86170586D01*
+X153999921Y-86155980D01*
+X154077822Y-86039392D01*
+X154189392Y-85927822D01*
+X154320584Y-85840163D01*
+X154320586Y-85840162D01*
+X154466358Y-85779781D01*
+X154621107Y-85749000D01*
+X154778893Y-85749000D01*
+X154933642Y-85779781D01*
+G37*
+G36*
+X101664499Y-85853445D02*
+G01*
+X101701995Y-85864820D01*
+X101736554Y-85883292D01*
+X101766847Y-85908153D01*
+X101791708Y-85938446D01*
+X101810180Y-85973005D01*
+X101821555Y-86010501D01*
+X101826000Y-86055638D01*
+X101826000Y-86794362D01*
+X101821555Y-86839499D01*
+X101810180Y-86876995D01*
+X101791708Y-86911554D01*
+X101766847Y-86941847D01*
+X101736554Y-86966708D01*
+X101701995Y-86985180D01*
+X101664499Y-86996555D01*
+X101619362Y-87001000D01*
+X100980638Y-87001000D01*
+X100935501Y-86996555D01*
+X100898005Y-86985180D01*
+X100863446Y-86966708D01*
+X100833153Y-86941847D01*
+X100808292Y-86911554D01*
+X100789820Y-86876995D01*
+X100778445Y-86839499D01*
+X100774000Y-86794362D01*
+X100774000Y-86055638D01*
+X100778445Y-86010501D01*
+X100789820Y-85973005D01*
+X100808292Y-85938446D01*
+X100833153Y-85908153D01*
+X100863446Y-85883292D01*
+X100898005Y-85864820D01*
+X100935501Y-85853445D01*
+X100980638Y-85849000D01*
+X101619362Y-85849000D01*
+X101664499Y-85853445D01*
+G37*
+G36*
+X100126000Y-87001000D02*
+G01*
+X99274000Y-87001000D01*
+X99274000Y-85699000D01*
+X100126000Y-85699000D01*
+X100126000Y-87001000D01*
+G37*
+G36*
+X164901000Y-86796000D02*
+G01*
+X163299000Y-86796000D01*
+X163299000Y-86094000D01*
+X164901000Y-86094000D01*
+X164901000Y-86796000D01*
+G37*
+G36*
+X159501000Y-86796000D02*
+G01*
+X157899000Y-86796000D01*
+X157899000Y-86094000D01*
+X159501000Y-86094000D01*
+X159501000Y-86796000D01*
+G37*
+G36*
+X134583642Y-84629781D02*
+G01*
+X134718620Y-84685691D01*
+X134729416Y-84690163D01*
+X134860608Y-84777822D01*
+X134972178Y-84889392D01*
+X135059837Y-85020584D01*
+X135059838Y-85020586D01*
+X135120219Y-85166358D01*
+X135151000Y-85321107D01*
+X135151000Y-85478893D01*
+X135120219Y-85633642D01*
+X135067805Y-85760180D01*
+X135059837Y-85779416D01*
+X134972178Y-85910608D01*
+X134860608Y-86022178D01*
+X134729416Y-86109837D01*
+X134729415Y-86109838D01*
+X134729414Y-86109838D01*
+X134583642Y-86170219D01*
+X134428893Y-86201000D01*
+X134271107Y-86201000D01*
+X134116358Y-86170219D01*
+X133970586Y-86109838D01*
+X133970585Y-86109838D01*
+X133970584Y-86109837D01*
+X133839392Y-86022178D01*
+X133727822Y-85910608D01*
+X133640163Y-85779416D01*
+X133632195Y-85760180D01*
+X133579781Y-85633642D01*
+X133549000Y-85478893D01*
+X133549000Y-85321107D01*
+X133579781Y-85166358D01*
+X133640162Y-85020586D01*
+X133640163Y-85020584D01*
+X133727822Y-84889392D01*
+X133839392Y-84777822D01*
+X133970584Y-84690163D01*
+X133981380Y-84685691D01*
+X134116358Y-84629781D01*
+X134271107Y-84599000D01*
+X134428893Y-84599000D01*
+X134583642Y-84629781D01*
+G37*
+G36*
+X144189499Y-85128445D02*
+G01*
+X144226995Y-85139820D01*
+X144261554Y-85158292D01*
+X144291847Y-85183153D01*
+X144316708Y-85213446D01*
+X144335180Y-85248005D01*
+X144346555Y-85285501D01*
+X144351000Y-85330638D01*
+X144351000Y-85969362D01*
+X144346555Y-86014499D01*
+X144335180Y-86051995D01*
+X144316708Y-86086554D01*
+X144291847Y-86116847D01*
+X144261554Y-86141708D01*
+X144226995Y-86160180D01*
+X144189499Y-86171555D01*
+X144144362Y-86176000D01*
+X143405638Y-86176000D01*
+X143360501Y-86171555D01*
+X143323005Y-86160180D01*
+X143288446Y-86141708D01*
+X143258153Y-86116847D01*
+X143233292Y-86086554D01*
+X143214820Y-86051995D01*
+X143203445Y-86014499D01*
+X143199000Y-85969362D01*
+X143199000Y-85330638D01*
+X143203445Y-85285501D01*
+X143214820Y-85248005D01*
+X143233292Y-85213446D01*
+X143258153Y-85183153D01*
+X143288446Y-85158292D01*
+X143323005Y-85139820D01*
+X143360501Y-85128445D01*
+X143405638Y-85124000D01*
+X144144362Y-85124000D01*
+X144189499Y-85128445D01*
+G37*
+G36*
+X145939499Y-85128445D02*
+G01*
+X145976995Y-85139820D01*
+X146011554Y-85158292D01*
+X146041847Y-85183153D01*
+X146066708Y-85213446D01*
+X146085180Y-85248005D01*
+X146096555Y-85285501D01*
+X146101000Y-85330638D01*
+X146101000Y-85969362D01*
+X146096555Y-86014499D01*
+X146085180Y-86051995D01*
+X146066708Y-86086554D01*
+X146041847Y-86116847D01*
+X146011554Y-86141708D01*
+X145976995Y-86160180D01*
+X145939499Y-86171555D01*
+X145894362Y-86176000D01*
+X145155638Y-86176000D01*
+X145110501Y-86171555D01*
+X145073005Y-86160180D01*
+X145038446Y-86141708D01*
+X145008153Y-86116847D01*
+X144983292Y-86086554D01*
+X144964820Y-86051995D01*
+X144953445Y-86014499D01*
+X144949000Y-85969362D01*
+X144949000Y-85330638D01*
+X144953445Y-85285501D01*
+X144964820Y-85248005D01*
+X144983292Y-85213446D01*
+X145008153Y-85183153D01*
+X145038446Y-85158292D01*
+X145073005Y-85139820D01*
+X145110501Y-85128445D01*
+X145155638Y-85124000D01*
+X145894362Y-85124000D01*
+X145939499Y-85128445D01*
+G37*
+G36*
+X130589499Y-85078445D02*
+G01*
+X130626995Y-85089820D01*
+X130661554Y-85108292D01*
+X130691847Y-85133153D01*
+X130716708Y-85163446D01*
+X130735180Y-85198005D01*
+X130746555Y-85235501D01*
+X130751000Y-85280638D01*
+X130751000Y-85919362D01*
+X130746555Y-85964499D01*
+X130735180Y-86001995D01*
+X130716708Y-86036554D01*
+X130691847Y-86066847D01*
+X130661554Y-86091708D01*
+X130626995Y-86110180D01*
+X130589499Y-86121555D01*
+X130544362Y-86126000D01*
+X129805638Y-86126000D01*
+X129760501Y-86121555D01*
+X129723005Y-86110180D01*
+X129688446Y-86091708D01*
+X129658153Y-86066847D01*
+X129633292Y-86036554D01*
+X129614820Y-86001995D01*
+X129603445Y-85964499D01*
+X129599000Y-85919362D01*
+X129599000Y-85280638D01*
+X129603445Y-85235501D01*
+X129614820Y-85198005D01*
+X129633292Y-85163446D01*
+X129658153Y-85133153D01*
+X129688446Y-85108292D01*
+X129723005Y-85089820D01*
+X129760501Y-85078445D01*
+X129805638Y-85074000D01*
+X130544362Y-85074000D01*
+X130589499Y-85078445D01*
+G37*
+G36*
+X132339499Y-85078445D02*
+G01*
+X132376995Y-85089820D01*
+X132411554Y-85108292D01*
+X132441847Y-85133153D01*
+X132466708Y-85163446D01*
+X132485180Y-85198005D01*
+X132496555Y-85235501D01*
+X132501000Y-85280638D01*
+X132501000Y-85919362D01*
+X132496555Y-85964499D01*
+X132485180Y-86001995D01*
+X132466708Y-86036554D01*
+X132441847Y-86066847D01*
+X132411554Y-86091708D01*
+X132376995Y-86110180D01*
+X132339499Y-86121555D01*
+X132294362Y-86126000D01*
+X131555638Y-86126000D01*
+X131510501Y-86121555D01*
+X131473005Y-86110180D01*
+X131438446Y-86091708D01*
+X131408153Y-86066847D01*
+X131383292Y-86036554D01*
+X131364820Y-86001995D01*
+X131353445Y-85964499D01*
+X131349000Y-85919362D01*
+X131349000Y-85280638D01*
+X131353445Y-85235501D01*
+X131364820Y-85198005D01*
+X131383292Y-85163446D01*
+X131408153Y-85133153D01*
+X131438446Y-85108292D01*
+X131473005Y-85089820D01*
+X131510501Y-85078445D01*
+X131555638Y-85074000D01*
+X132294362Y-85074000D01*
+X132339499Y-85078445D01*
+G37*
+G36*
+X122814499Y-84628445D02*
+G01*
+X122851995Y-84639820D01*
+X122886554Y-84658292D01*
+X122916847Y-84683153D01*
+X122941708Y-84713446D01*
+X122960180Y-84748005D01*
+X122971555Y-84785501D01*
+X122976000Y-84830638D01*
+X122976000Y-85569362D01*
+X122971555Y-85614499D01*
+X122960180Y-85651995D01*
+X122941708Y-85686554D01*
+X122916847Y-85716847D01*
+X122886554Y-85741708D01*
+X122851995Y-85760180D01*
+X122814499Y-85771555D01*
+X122769362Y-85776000D01*
+X122130638Y-85776000D01*
+X122085501Y-85771555D01*
+X122048005Y-85760180D01*
+X122013446Y-85741708D01*
+X121983153Y-85716847D01*
+X121958292Y-85686554D01*
+X121939820Y-85651995D01*
+X121928445Y-85614499D01*
+X121924000Y-85569362D01*
+X121924000Y-84830638D01*
+X121928445Y-84785501D01*
+X121939820Y-84748005D01*
+X121958292Y-84713446D01*
+X121983153Y-84683153D01*
+X122013446Y-84658292D01*
+X122048005Y-84639820D01*
+X122085501Y-84628445D01*
+X122130638Y-84624000D01*
+X122769362Y-84624000D01*
+X122814499Y-84628445D01*
+G37*
+G36*
+X121114499Y-84603445D02*
+G01*
+X121151995Y-84614820D01*
+X121186554Y-84633292D01*
+X121216847Y-84658153D01*
+X121241708Y-84688446D01*
+X121260180Y-84723005D01*
+X121271555Y-84760501D01*
+X121276000Y-84805638D01*
+X121276000Y-85544362D01*
+X121271555Y-85589499D01*
+X121260180Y-85626995D01*
+X121241708Y-85661554D01*
+X121216847Y-85691847D01*
+X121186554Y-85716708D01*
+X121151995Y-85735180D01*
+X121114499Y-85746555D01*
+X121069362Y-85751000D01*
+X120430638Y-85751000D01*
+X120385501Y-85746555D01*
+X120348005Y-85735180D01*
+X120313446Y-85716708D01*
+X120283153Y-85691847D01*
+X120258292Y-85661554D01*
+X120239820Y-85626995D01*
+X120228445Y-85589499D01*
+X120224000Y-85544362D01*
+X120224000Y-84805638D01*
+X120228445Y-84760501D01*
+X120239820Y-84723005D01*
+X120258292Y-84688446D01*
+X120283153Y-84658153D01*
+X120313446Y-84633292D01*
+X120348005Y-84614820D01*
+X120385501Y-84603445D01*
+X120430638Y-84599000D01*
+X121069362Y-84599000D01*
+X121114499Y-84603445D01*
+G37*
+G36*
+X128539499Y-84478445D02*
+G01*
+X128576995Y-84489820D01*
+X128611554Y-84508292D01*
+X128641847Y-84533153D01*
+X128666708Y-84563446D01*
+X128685180Y-84598005D01*
+X128696555Y-84635501D01*
+X128701000Y-84680638D01*
+X128701000Y-85319362D01*
+X128696555Y-85364499D01*
+X128685180Y-85401995D01*
+X128666708Y-85436554D01*
+X128641847Y-85466847D01*
+X128611554Y-85491708D01*
+X128576995Y-85510180D01*
+X128539499Y-85521555D01*
+X128494362Y-85526000D01*
+X127755638Y-85526000D01*
+X127710501Y-85521555D01*
+X127673005Y-85510180D01*
+X127638446Y-85491708D01*
+X127608153Y-85466847D01*
+X127583292Y-85436554D01*
+X127564820Y-85401995D01*
+X127553445Y-85364499D01*
+X127549000Y-85319362D01*
+X127549000Y-84680638D01*
+X127553445Y-84635501D01*
+X127564820Y-84598005D01*
+X127583292Y-84563446D01*
+X127608153Y-84533153D01*
+X127638446Y-84508292D01*
+X127673005Y-84489820D01*
+X127710501Y-84478445D01*
+X127755638Y-84474000D01*
+X128494362Y-84474000D01*
+X128539499Y-84478445D01*
+G37*
+G36*
+X164901000Y-85526000D02*
+G01*
+X163299000Y-85526000D01*
+X163299000Y-84824000D01*
+X164901000Y-84824000D01*
+X164901000Y-85526000D01*
+G37*
+G36*
+X126789499Y-84478445D02*
+G01*
+X126826995Y-84489820D01*
+X126861554Y-84508292D01*
+X126891847Y-84533153D01*
+X126916708Y-84563446D01*
+X126935180Y-84598005D01*
+X126946555Y-84635501D01*
+X126951000Y-84680638D01*
+X126951000Y-85319362D01*
+X126946555Y-85364499D01*
+X126935180Y-85401995D01*
+X126916708Y-85436554D01*
+X126891847Y-85466847D01*
+X126861554Y-85491708D01*
+X126826995Y-85510180D01*
+X126789499Y-85521555D01*
+X126744362Y-85526000D01*
+X126005638Y-85526000D01*
+X125960501Y-85521555D01*
+X125923005Y-85510180D01*
+X125888446Y-85491708D01*
+X125858153Y-85466847D01*
+X125833292Y-85436554D01*
+X125814820Y-85401995D01*
+X125803445Y-85364499D01*
+X125799000Y-85319362D01*
+X125799000Y-84680638D01*
+X125803445Y-84635501D01*
+X125814820Y-84598005D01*
+X125833292Y-84563446D01*
+X125858153Y-84533153D01*
+X125888446Y-84508292D01*
+X125923005Y-84489820D01*
+X125960501Y-84478445D01*
+X126005638Y-84474000D01*
+X126744362Y-84474000D01*
+X126789499Y-84478445D01*
+G37*
+G36*
+X159501000Y-85526000D02*
+G01*
+X157899000Y-85526000D01*
+X157899000Y-84824000D01*
+X159501000Y-84824000D01*
+X159501000Y-85526000D01*
+G37*
+G36*
+X156833642Y-83829781D02*
+G01*
+X156979414Y-83890162D01*
+X156979416Y-83890163D01*
+X157110608Y-83977822D01*
+X157222178Y-84089392D01*
+X157309837Y-84220584D01*
+X157309838Y-84220586D01*
+X157370219Y-84366358D01*
+X157401000Y-84521107D01*
+X157401000Y-84678893D01*
+X157370219Y-84833642D01*
+X157348117Y-84887000D01*
+X157309837Y-84979416D01*
+X157222178Y-85110608D01*
+X157110608Y-85222178D01*
+X156979416Y-85309837D01*
+X156979415Y-85309838D01*
+X156979414Y-85309838D01*
+X156833642Y-85370219D01*
+X156678893Y-85401000D01*
+X156521107Y-85401000D01*
+X156366358Y-85370219D01*
+X156220586Y-85309838D01*
+X156220585Y-85309838D01*
+X156220584Y-85309837D01*
+X156089392Y-85222178D01*
+X155977822Y-85110608D01*
+X155890163Y-84979416D01*
+X155851883Y-84887000D01*
+X155829781Y-84833642D01*
+X155799000Y-84678893D01*
+X155799000Y-84521107D01*
+X155829781Y-84366358D01*
+X155890162Y-84220586D01*
+X155890163Y-84220584D01*
+X155977822Y-84089392D01*
+X156089392Y-83977822D01*
+X156220584Y-83890163D01*
+X156220586Y-83890162D01*
+X156366358Y-83829781D01*
+X156521107Y-83799000D01*
+X156678893Y-83799000D01*
+X156833642Y-83829781D01*
+G37*
+G36*
+X86218604Y-83978347D02*
+G01*
+X86255144Y-83989432D01*
+X86288821Y-84007433D01*
+X86318341Y-84031659D01*
+X86342567Y-84061179D01*
+X86360568Y-84094856D01*
+X86371653Y-84131396D01*
+X86376000Y-84175538D01*
+X86376000Y-85124462D01*
+X86371653Y-85168604D01*
+X86360568Y-85205144D01*
+X86342567Y-85238821D01*
+X86318341Y-85268341D01*
+X86288821Y-85292567D01*
+X86255144Y-85310568D01*
+X86218604Y-85321653D01*
+X86174462Y-85326000D01*
+X83825538Y-85326000D01*
+X83781396Y-85321653D01*
+X83744856Y-85310568D01*
+X83711179Y-85292567D01*
+X83681659Y-85268341D01*
+X83657433Y-85238821D01*
+X83639432Y-85205144D01*
+X83628347Y-85168604D01*
+X83624000Y-85124462D01*
+X83624000Y-84175538D01*
+X83628347Y-84131396D01*
+X83639432Y-84094856D01*
+X83657433Y-84061179D01*
+X83681659Y-84031659D01*
+X83711179Y-84007433D01*
+X83744856Y-83989432D01*
+X83781396Y-83978347D01*
+X83825538Y-83974000D01*
+X86174462Y-83974000D01*
+X86218604Y-83978347D01*
+G37*
+G36*
+X141151000Y-85276000D02*
+G01*
+X139849000Y-85276000D01*
+X139849000Y-84424000D01*
+X141151000Y-84424000D01*
+X141151000Y-85276000D01*
+G37*
+G36*
+X139251000Y-85276000D02*
+G01*
+X137949000Y-85276000D01*
+X137949000Y-84424000D01*
+X139251000Y-84424000D01*
+X139251000Y-85276000D01*
+G37*
+G36*
+X159501000Y-84256000D02*
+G01*
+X157899000Y-84256000D01*
+X157899000Y-83554000D01*
+X159501000Y-83554000D01*
+X159501000Y-84256000D01*
+G37*
+G36*
+X164901000Y-84256000D02*
+G01*
+X163299000Y-84256000D01*
+X163299000Y-83554000D01*
+X164901000Y-83554000D01*
+X164901000Y-84256000D01*
+G37*
+G36*
+X114789499Y-81978445D02*
+G01*
+X114826995Y-81989820D01*
+X114861554Y-82008292D01*
+X114891847Y-82033153D01*
+X114916708Y-82063446D01*
+X114935180Y-82098005D01*
+X114946555Y-82135501D01*
+X114951000Y-82180638D01*
+X114951000Y-82819362D01*
+X114946555Y-82864499D01*
+X114935180Y-82901995D01*
+X114916708Y-82936554D01*
+X114891847Y-82966847D01*
+X114861554Y-82991708D01*
+X114826995Y-83010180D01*
+X114789499Y-83021555D01*
+X114744362Y-83026000D01*
+X114005638Y-83026000D01*
+X113960501Y-83021555D01*
+X113923005Y-83010180D01*
+X113888446Y-82991708D01*
+X113858153Y-82966847D01*
+X113833292Y-82936554D01*
+X113814820Y-82901995D01*
+X113803445Y-82864499D01*
+X113799000Y-82819362D01*
+X113799000Y-82180638D01*
+X113803445Y-82135501D01*
+X113814820Y-82098005D01*
+X113833292Y-82063446D01*
+X113858153Y-82033153D01*
+X113888446Y-82008292D01*
+X113923005Y-81989820D01*
+X113960501Y-81978445D01*
+X114005638Y-81974000D01*
+X114744362Y-81974000D01*
+X114789499Y-81978445D01*
+G37*
+G36*
+X113039499Y-81978445D02*
+G01*
+X113076995Y-81989820D01*
+X113111554Y-82008292D01*
+X113141847Y-82033153D01*
+X113166708Y-82063446D01*
+X113185180Y-82098005D01*
+X113196555Y-82135501D01*
+X113201000Y-82180638D01*
+X113201000Y-82819362D01*
+X113196555Y-82864499D01*
+X113185180Y-82901995D01*
+X113166708Y-82936554D01*
+X113141847Y-82966847D01*
+X113111554Y-82991708D01*
+X113076995Y-83010180D01*
+X113039499Y-83021555D01*
+X112994362Y-83026000D01*
+X112255638Y-83026000D01*
+X112210501Y-83021555D01*
+X112173005Y-83010180D01*
+X112138446Y-82991708D01*
+X112108153Y-82966847D01*
+X112083292Y-82936554D01*
+X112064820Y-82901995D01*
+X112053445Y-82864499D01*
+X112049000Y-82819362D01*
+X112049000Y-82180638D01*
+X112053445Y-82135501D01*
+X112064820Y-82098005D01*
+X112083292Y-82063446D01*
+X112108153Y-82033153D01*
+X112138446Y-82008292D01*
+X112173005Y-81989820D01*
+X112210501Y-81978445D01*
+X112255638Y-81974000D01*
+X112994362Y-81974000D01*
+X113039499Y-81978445D01*
+G37*
+G36*
+X86218604Y-81178347D02*
+G01*
+X86255144Y-81189432D01*
+X86288821Y-81207433D01*
+X86318341Y-81231659D01*
+X86342567Y-81261179D01*
+X86360568Y-81294856D01*
+X86371653Y-81331396D01*
+X86376000Y-81375538D01*
+X86376000Y-82324462D01*
+X86371653Y-82368604D01*
+X86360568Y-82405144D01*
+X86342567Y-82438821D01*
+X86318341Y-82468341D01*
+X86288821Y-82492567D01*
+X86255144Y-82510568D01*
+X86218604Y-82521653D01*
+X86174462Y-82526000D01*
+X83825538Y-82526000D01*
+X83781396Y-82521653D01*
+X83744856Y-82510568D01*
+X83711179Y-82492567D01*
+X83681659Y-82468341D01*
+X83657433Y-82438821D01*
+X83639432Y-82405144D01*
+X83628347Y-82368604D01*
+X83624000Y-82324462D01*
+X83624000Y-81375538D01*
+X83628347Y-81331396D01*
+X83639432Y-81294856D01*
+X83657433Y-81261179D01*
+X83681659Y-81231659D01*
+X83711179Y-81207433D01*
+X83744856Y-81189432D01*
+X83781396Y-81178347D01*
+X83825538Y-81174000D01*
+X86174462Y-81174000D01*
+X86218604Y-81178347D01*
+G37*
+G36*
+X89939499Y-81178445D02*
+G01*
+X89976995Y-81189820D01*
+X90011554Y-81208292D01*
+X90041847Y-81233153D01*
+X90066708Y-81263446D01*
+X90085180Y-81298005D01*
+X90096555Y-81335501D01*
+X90101000Y-81380638D01*
+X90101000Y-82019362D01*
+X90096555Y-82064499D01*
+X90085180Y-82101995D01*
+X90066708Y-82136554D01*
+X90041847Y-82166847D01*
+X90011554Y-82191708D01*
+X89976995Y-82210180D01*
+X89939499Y-82221555D01*
+X89894362Y-82226000D01*
+X89155638Y-82226000D01*
+X89110501Y-82221555D01*
+X89073005Y-82210180D01*
+X89038446Y-82191708D01*
+X89008153Y-82166847D01*
+X88983292Y-82136554D01*
+X88964820Y-82101995D01*
+X88953445Y-82064499D01*
+X88949000Y-82019362D01*
+X88949000Y-81380638D01*
+X88953445Y-81335501D01*
+X88964820Y-81298005D01*
+X88983292Y-81263446D01*
+X89008153Y-81233153D01*
+X89038446Y-81208292D01*
+X89073005Y-81189820D01*
+X89110501Y-81178445D01*
+X89155638Y-81174000D01*
+X89894362Y-81174000D01*
+X89939499Y-81178445D01*
+G37*
+G36*
+X88189499Y-81178445D02*
+G01*
+X88226995Y-81189820D01*
+X88261554Y-81208292D01*
+X88291847Y-81233153D01*
+X88316708Y-81263446D01*
+X88335180Y-81298005D01*
+X88346555Y-81335501D01*
+X88351000Y-81380638D01*
+X88351000Y-82019362D01*
+X88346555Y-82064499D01*
+X88335180Y-82101995D01*
+X88316708Y-82136554D01*
+X88291847Y-82166847D01*
+X88261554Y-82191708D01*
+X88226995Y-82210180D01*
+X88189499Y-82221555D01*
+X88144362Y-82226000D01*
+X87405638Y-82226000D01*
+X87360501Y-82221555D01*
+X87323005Y-82210180D01*
+X87288446Y-82191708D01*
+X87258153Y-82166847D01*
+X87233292Y-82136554D01*
+X87214820Y-82101995D01*
+X87203445Y-82064499D01*
+X87199000Y-82019362D01*
+X87199000Y-81380638D01*
+X87203445Y-81335501D01*
+X87214820Y-81298005D01*
+X87233292Y-81263446D01*
+X87258153Y-81233153D01*
+X87288446Y-81208292D01*
+X87323005Y-81189820D01*
+X87360501Y-81178445D01*
+X87405638Y-81174000D01*
+X88144362Y-81174000D01*
+X88189499Y-81178445D01*
+G37*
+G36*
+X100114499Y-80803445D02*
+G01*
+X100151995Y-80814820D01*
+X100186554Y-80833292D01*
+X100216847Y-80858153D01*
+X100241708Y-80888446D01*
+X100260180Y-80923005D01*
+X100271555Y-80960501D01*
+X100276000Y-81005638D01*
+X100276000Y-81744362D01*
+X100271555Y-81789499D01*
+X100260180Y-81826995D01*
+X100241708Y-81861554D01*
+X100216847Y-81891847D01*
+X100186554Y-81916708D01*
+X100151995Y-81935180D01*
+X100114499Y-81946555D01*
+X100069362Y-81951000D01*
+X99430638Y-81951000D01*
+X99385501Y-81946555D01*
+X99348005Y-81935180D01*
+X99313446Y-81916708D01*
+X99283153Y-81891847D01*
+X99258292Y-81861554D01*
+X99239820Y-81826995D01*
+X99228445Y-81789499D01*
+X99224000Y-81744362D01*
+X99224000Y-81005638D01*
+X99228445Y-80960501D01*
+X99239820Y-80923005D01*
+X99258292Y-80888446D01*
+X99283153Y-80858153D01*
+X99313446Y-80833292D01*
+X99348005Y-80814820D01*
+X99385501Y-80803445D01*
+X99430638Y-80799000D01*
+X100069362Y-80799000D01*
+X100114499Y-80803445D01*
+G37*
+G36*
+X98114499Y-80803445D02*
+G01*
+X98151995Y-80814820D01*
+X98186554Y-80833292D01*
+X98216847Y-80858153D01*
+X98241708Y-80888446D01*
+X98260180Y-80923005D01*
+X98271555Y-80960501D01*
+X98276000Y-81005638D01*
+X98276000Y-81744362D01*
+X98271555Y-81789499D01*
+X98260180Y-81826995D01*
+X98241708Y-81861554D01*
+X98216847Y-81891847D01*
+X98186554Y-81916708D01*
+X98151995Y-81935180D01*
+X98114499Y-81946555D01*
+X98069362Y-81951000D01*
+X97430638Y-81951000D01*
+X97385501Y-81946555D01*
+X97348005Y-81935180D01*
+X97313446Y-81916708D01*
+X97283153Y-81891847D01*
+X97258292Y-81861554D01*
+X97239820Y-81826995D01*
+X97228445Y-81789499D01*
+X97224000Y-81744362D01*
+X97224000Y-81005638D01*
+X97228445Y-80960501D01*
+X97239820Y-80923005D01*
+X97258292Y-80888446D01*
+X97283153Y-80858153D01*
+X97313446Y-80833292D01*
+X97348005Y-80814820D01*
+X97385501Y-80803445D01*
+X97430638Y-80799000D01*
+X98069362Y-80799000D01*
+X98114499Y-80803445D01*
+G37*
+G36*
+X120226000Y-81601000D02*
+G01*
+X118674000Y-81601000D01*
+X118674000Y-75199000D01*
+X120226000Y-75199000D01*
+X120226000Y-81601000D01*
+G37*
+G36*
+X126126000Y-81601000D02*
+G01*
+X124574000Y-81601000D01*
+X124574000Y-75199000D01*
+X126126000Y-75199000D01*
+X126126000Y-81601000D01*
+G37*
+G36*
+X113201000Y-80876000D02*
+G01*
+X111899000Y-80876000D01*
+X111899000Y-80024000D01*
+X113201000Y-80024000D01*
+X113201000Y-80876000D01*
+G37*
+G36*
+X115101000Y-80876000D02*
+G01*
+X113799000Y-80876000D01*
+X113799000Y-80024000D01*
+X115101000Y-80024000D01*
+X115101000Y-80876000D01*
+G37*
+G36*
+X137939499Y-79678445D02*
+G01*
+X137976995Y-79689820D01*
+X138011554Y-79708292D01*
+X138041847Y-79733153D01*
+X138066708Y-79763446D01*
+X138085180Y-79798005D01*
+X138096555Y-79835501D01*
+X138101000Y-79880638D01*
+X138101000Y-80519362D01*
+X138096555Y-80564499D01*
+X138085180Y-80601995D01*
+X138066708Y-80636554D01*
+X138041847Y-80666847D01*
+X138011554Y-80691708D01*
+X137976995Y-80710180D01*
+X137939499Y-80721555D01*
+X137894362Y-80726000D01*
+X137155638Y-80726000D01*
+X137110501Y-80721555D01*
+X137073005Y-80710180D01*
+X137038446Y-80691708D01*
+X137008153Y-80666847D01*
+X136983292Y-80636554D01*
+X136964820Y-80601995D01*
+X136953445Y-80564499D01*
+X136949000Y-80519362D01*
+X136949000Y-79880638D01*
+X136953445Y-79835501D01*
+X136964820Y-79798005D01*
+X136983292Y-79763446D01*
+X137008153Y-79733153D01*
+X137038446Y-79708292D01*
+X137073005Y-79689820D01*
+X137110501Y-79678445D01*
+X137155638Y-79674000D01*
+X137894362Y-79674000D01*
+X137939499Y-79678445D01*
+G37*
+G36*
+X136189499Y-79678445D02*
+G01*
+X136226995Y-79689820D01*
+X136261554Y-79708292D01*
+X136291847Y-79733153D01*
+X136316708Y-79763446D01*
+X136335180Y-79798005D01*
+X136346555Y-79835501D01*
+X136351000Y-79880638D01*
+X136351000Y-80519362D01*
+X136346555Y-80564499D01*
+X136335180Y-80601995D01*
+X136316708Y-80636554D01*
+X136291847Y-80666847D01*
+X136261554Y-80691708D01*
+X136226995Y-80710180D01*
+X136189499Y-80721555D01*
+X136144362Y-80726000D01*
+X135405638Y-80726000D01*
+X135360501Y-80721555D01*
+X135323005Y-80710180D01*
+X135288446Y-80691708D01*
+X135258153Y-80666847D01*
+X135233292Y-80636554D01*
+X135214820Y-80601995D01*
+X135203445Y-80564499D01*
+X135199000Y-80519362D01*
+X135199000Y-79880638D01*
+X135203445Y-79835501D01*
+X135214820Y-79798005D01*
+X135233292Y-79763446D01*
+X135258153Y-79733153D01*
+X135288446Y-79708292D01*
+X135323005Y-79689820D01*
+X135360501Y-79678445D01*
+X135405638Y-79674000D01*
+X136144362Y-79674000D01*
+X136189499Y-79678445D01*
+G37*
+G36*
+X98114499Y-79053445D02*
+G01*
+X98151995Y-79064820D01*
+X98186554Y-79083292D01*
+X98216847Y-79108153D01*
+X98241708Y-79138446D01*
+X98260180Y-79173005D01*
+X98271555Y-79210501D01*
+X98276000Y-79255638D01*
+X98276000Y-79994362D01*
+X98271555Y-80039499D01*
+X98260180Y-80076995D01*
+X98241708Y-80111554D01*
+X98216847Y-80141847D01*
+X98186554Y-80166708D01*
+X98151995Y-80185180D01*
+X98114499Y-80196555D01*
+X98069362Y-80201000D01*
+X97430638Y-80201000D01*
+X97385501Y-80196555D01*
+X97348005Y-80185180D01*
+X97313446Y-80166708D01*
+X97283153Y-80141847D01*
+X97258292Y-80111554D01*
+X97239820Y-80076995D01*
+X97228445Y-80039499D01*
+X97224000Y-79994362D01*
+X97224000Y-79255638D01*
+X97228445Y-79210501D01*
+X97239820Y-79173005D01*
+X97258292Y-79138446D01*
+X97283153Y-79108153D01*
+X97313446Y-79083292D01*
+X97348005Y-79064820D01*
+X97385501Y-79053445D01*
+X97430638Y-79049000D01*
+X98069362Y-79049000D01*
+X98114499Y-79053445D01*
+G37*
+G36*
+X100114499Y-79053445D02*
+G01*
+X100151995Y-79064820D01*
+X100186554Y-79083292D01*
+X100216847Y-79108153D01*
+X100241708Y-79138446D01*
+X100260180Y-79173005D01*
+X100271555Y-79210501D01*
+X100276000Y-79255638D01*
+X100276000Y-79994362D01*
+X100271555Y-80039499D01*
+X100260180Y-80076995D01*
+X100241708Y-80111554D01*
+X100216847Y-80141847D01*
+X100186554Y-80166708D01*
+X100151995Y-80185180D01*
+X100114499Y-80196555D01*
+X100069362Y-80201000D01*
+X99430638Y-80201000D01*
+X99385501Y-80196555D01*
+X99348005Y-80185180D01*
+X99313446Y-80166708D01*
+X99283153Y-80141847D01*
+X99258292Y-80111554D01*
+X99239820Y-80076995D01*
+X99228445Y-80039499D01*
+X99224000Y-79994362D01*
+X99224000Y-79255638D01*
+X99228445Y-79210501D01*
+X99239820Y-79173005D01*
+X99258292Y-79138446D01*
+X99283153Y-79108153D01*
+X99313446Y-79083292D01*
+X99348005Y-79064820D01*
+X99385501Y-79053445D01*
+X99430638Y-79049000D01*
+X100069362Y-79049000D01*
+X100114499Y-79053445D01*
+G37*
+G36*
+X129026000Y-79901000D02*
+G01*
+X128174000Y-79901000D01*
+X128174000Y-78599000D01*
+X129026000Y-78599000D01*
+X129026000Y-79901000D01*
+G37*
+G36*
+X96159928Y-79056764D02*
+G01*
+X96181009Y-79063160D01*
+X96200445Y-79073548D01*
+X96217476Y-79087524D01*
+X96231452Y-79104555D01*
+X96241840Y-79123991D01*
+X96248236Y-79145072D01*
+X96251000Y-79173140D01*
+X96251000Y-79636860D01*
+X96248236Y-79664928D01*
+X96241840Y-79686009D01*
+X96231452Y-79705445D01*
+X96217476Y-79722476D01*
+X96200445Y-79736452D01*
+X96181009Y-79746840D01*
+X96159928Y-79753236D01*
+X96131860Y-79756000D01*
+X94318140Y-79756000D01*
+X94290072Y-79753236D01*
+X94268991Y-79746840D01*
+X94249555Y-79736452D01*
+X94232524Y-79722476D01*
+X94218548Y-79705445D01*
+X94208160Y-79686009D01*
+X94201764Y-79664928D01*
+X94199000Y-79636860D01*
+X94199000Y-79173140D01*
+X94201764Y-79145072D01*
+X94208160Y-79123991D01*
+X94218548Y-79104555D01*
+X94232524Y-79087524D01*
+X94249555Y-79073548D01*
+X94268991Y-79063160D01*
+X94290072Y-79056764D01*
+X94318140Y-79054000D01*
+X96131860Y-79054000D01*
+X96159928Y-79056764D01*
+G37*
+G36*
+X91209928Y-79056764D02*
+G01*
+X91231009Y-79063160D01*
+X91250445Y-79073548D01*
+X91267476Y-79087524D01*
+X91281452Y-79104555D01*
+X91291840Y-79123991D01*
+X91298236Y-79145072D01*
+X91301000Y-79173140D01*
+X91301000Y-79636860D01*
+X91298236Y-79664928D01*
+X91291840Y-79686009D01*
+X91281452Y-79705445D01*
+X91267476Y-79722476D01*
+X91250445Y-79736452D01*
+X91231009Y-79746840D01*
+X91209928Y-79753236D01*
+X91181860Y-79756000D01*
+X89368140Y-79756000D01*
+X89340072Y-79753236D01*
+X89318991Y-79746840D01*
+X89299555Y-79736452D01*
+X89282524Y-79722476D01*
+X89268548Y-79705445D01*
+X89258160Y-79686009D01*
+X89251764Y-79664928D01*
+X89249000Y-79636860D01*
+X89249000Y-79173140D01*
+X89251764Y-79145072D01*
+X89258160Y-79123991D01*
+X89268548Y-79104555D01*
+X89282524Y-79087524D01*
+X89299555Y-79073548D01*
+X89318991Y-79063160D01*
+X89340072Y-79056764D01*
+X89368140Y-79054000D01*
+X91181860Y-79054000D01*
+X91209928Y-79056764D01*
+G37*
+G36*
+X133196000Y-79276000D02*
+G01*
+X132494000Y-79276000D01*
+X132494000Y-77624000D01*
+X133196000Y-77624000D01*
+X133196000Y-79276000D01*
+G37*
+G36*
+X134466000Y-79276000D02*
+G01*
+X133764000Y-79276000D01*
+X133764000Y-77624000D01*
+X134466000Y-77624000D01*
+X134466000Y-79276000D01*
+G37*
+G36*
+X137006000Y-79276000D02*
+G01*
+X136304000Y-79276000D01*
+X136304000Y-77624000D01*
+X137006000Y-77624000D01*
+X137006000Y-79276000D01*
+G37*
+G36*
+X135736000Y-79276000D02*
+G01*
+X135034000Y-79276000D01*
+X135034000Y-77624000D01*
+X135736000Y-77624000D01*
+X135736000Y-79276000D01*
+G37*
+G36*
+X102114499Y-78053445D02*
+G01*
+X102151995Y-78064820D01*
+X102186554Y-78083292D01*
+X102216847Y-78108153D01*
+X102241708Y-78138446D01*
+X102260180Y-78173005D01*
+X102271555Y-78210501D01*
+X102276000Y-78255638D01*
+X102276000Y-78994362D01*
+X102271555Y-79039499D01*
+X102260180Y-79076995D01*
+X102241708Y-79111554D01*
+X102216847Y-79141847D01*
+X102186554Y-79166708D01*
+X102151995Y-79185180D01*
+X102114499Y-79196555D01*
+X102069362Y-79201000D01*
+X101430638Y-79201000D01*
+X101385501Y-79196555D01*
+X101348005Y-79185180D01*
+X101313446Y-79166708D01*
+X101283153Y-79141847D01*
+X101258292Y-79111554D01*
+X101239820Y-79076995D01*
+X101228445Y-79039499D01*
+X101224000Y-78994362D01*
+X101224000Y-78255638D01*
+X101228445Y-78210501D01*
+X101239820Y-78173005D01*
+X101258292Y-78138446D01*
+X101283153Y-78108153D01*
+X101313446Y-78083292D01*
+X101348005Y-78064820D01*
+X101385501Y-78053445D01*
+X101430638Y-78049000D01*
+X102069362Y-78049000D01*
+X102114499Y-78053445D01*
+G37*
+G36*
+X79614903Y-76547075D02*
+G01*
+X79754921Y-76605072D01*
+X79842571Y-76641378D01*
+X80047466Y-76778285D01*
+X80221715Y-76952534D01*
+X80221716Y-76952536D01*
+X80358623Y-77157431D01*
+X80452925Y-77385097D01*
+X80501000Y-77626786D01*
+X80501000Y-77873214D01*
+X80452925Y-78114903D01*
+X80392750Y-78260180D01*
+X80358622Y-78342571D01*
+X80221715Y-78547466D01*
+X80047466Y-78721715D01*
+X79842571Y-78858622D01*
+X79842570Y-78858623D01*
+X79842569Y-78858623D01*
+X79614903Y-78952925D01*
+X79373214Y-79001000D01*
+X79126786Y-79001000D01*
+X78885097Y-78952925D01*
+X78657431Y-78858623D01*
+X78657430Y-78858623D01*
+X78657429Y-78858622D01*
+X78452534Y-78721715D01*
+X78278285Y-78547466D01*
+X78141378Y-78342571D01*
+X78107251Y-78260180D01*
+X78047075Y-78114903D01*
+X77999000Y-77873214D01*
+X77999000Y-77626786D01*
+X78047075Y-77385097D01*
+X78141377Y-77157431D01*
+X78278284Y-76952536D01*
+X78278285Y-76952534D01*
+X78452534Y-76778285D01*
+X78657429Y-76641378D01*
+X78745080Y-76605072D01*
+X78885097Y-76547075D01*
+X79126786Y-76499000D01*
+X79373214Y-76499000D01*
+X79614903Y-76547075D01*
+G37*
+G36*
+X155986032Y-75864207D02*
+G01*
+X156184146Y-75924305D01*
+X156184149Y-75924306D01*
+X156219564Y-75943236D01*
+X156366729Y-76021897D01*
+X156526765Y-76153235D01*
+X156658103Y-76313271D01*
+X156668804Y-76333292D01*
+X156755694Y-76495851D01*
+X156771233Y-76547075D01*
+X156815793Y-76693969D01*
+X156831000Y-76848371D01*
+X156831000Y-77551630D01*
+X156815793Y-77706032D01*
+X156759277Y-77892339D01*
+X156755694Y-77904149D01*
+X156726108Y-77959500D01*
+X156658103Y-78086729D01*
+X156526765Y-78246765D01*
+X156366729Y-78378103D01*
+X156261035Y-78434597D01*
+X156184148Y-78475694D01*
+X156184145Y-78475695D01*
+X155986031Y-78535793D01*
+X155780000Y-78556085D01*
+X155573968Y-78535793D01*
+X155375854Y-78475695D01*
+X155375851Y-78475694D01*
+X155264189Y-78416009D01*
+X155193271Y-78378103D01*
+X155033235Y-78246765D01*
+X154901897Y-78086729D01*
+X154839521Y-77970030D01*
+X154804306Y-77904148D01*
+X154794922Y-77873213D01*
+X154744207Y-77706031D01*
+X154729000Y-77551629D01*
+X154729000Y-76848370D01*
+X154744207Y-76693968D01*
+X154777569Y-76583991D01*
+X154804305Y-76495853D01*
+X154891197Y-76333292D01*
+X154901898Y-76313271D01*
+X155033236Y-76153235D01*
+X155193272Y-76021897D01*
+X155340437Y-75943236D01*
+X155375852Y-75924306D01*
+X155375855Y-75924305D01*
+X155573969Y-75864207D01*
+X155780000Y-75843915D01*
+X155986032Y-75864207D01*
+G37*
+G36*
+X158526032Y-75864207D02*
+G01*
+X158724146Y-75924305D01*
+X158724149Y-75924306D01*
+X158759564Y-75943236D01*
+X158906729Y-76021897D01*
+X159066765Y-76153235D01*
+X159198103Y-76313271D01*
+X159208804Y-76333292D01*
+X159295694Y-76495851D01*
+X159311233Y-76547075D01*
+X159355793Y-76693969D01*
+X159371000Y-76848371D01*
+X159371000Y-77551630D01*
+X159355793Y-77706032D01*
+X159299277Y-77892339D01*
+X159295694Y-77904149D01*
+X159266108Y-77959500D01*
+X159198103Y-78086729D01*
+X159066765Y-78246765D01*
+X158906729Y-78378103D01*
+X158801035Y-78434597D01*
+X158724148Y-78475694D01*
+X158724145Y-78475695D01*
+X158526031Y-78535793D01*
+X158320000Y-78556085D01*
+X158113968Y-78535793D01*
+X157915854Y-78475695D01*
+X157915851Y-78475694D01*
+X157804189Y-78416009D01*
+X157733271Y-78378103D01*
+X157573235Y-78246765D01*
+X157441897Y-78086729D01*
+X157379521Y-77970030D01*
+X157344306Y-77904148D01*
+X157334922Y-77873213D01*
+X157284207Y-77706031D01*
+X157269000Y-77551629D01*
+X157269000Y-76848370D01*
+X157284207Y-76693968D01*
+X157317569Y-76583991D01*
+X157344305Y-76495853D01*
+X157431197Y-76333292D01*
+X157441898Y-76313271D01*
+X157573236Y-76153235D01*
+X157733272Y-76021897D01*
+X157880437Y-75943236D01*
+X157915852Y-75924306D01*
+X157915855Y-75924305D01*
+X158113969Y-75864207D01*
+X158320000Y-75843915D01*
+X158526032Y-75864207D01*
+G37*
+G36*
+X161066032Y-75864207D02*
+G01*
+X161264146Y-75924305D01*
+X161264149Y-75924306D01*
+X161299564Y-75943236D01*
+X161446729Y-76021897D01*
+X161606765Y-76153235D01*
+X161738103Y-76313271D01*
+X161748804Y-76333292D01*
+X161835694Y-76495851D01*
+X161851233Y-76547075D01*
+X161895793Y-76693969D01*
+X161911000Y-76848371D01*
+X161911000Y-77551630D01*
+X161895793Y-77706032D01*
+X161839277Y-77892339D01*
+X161835694Y-77904149D01*
+X161806108Y-77959500D01*
+X161738103Y-78086729D01*
+X161606765Y-78246765D01*
+X161446729Y-78378103D01*
+X161341035Y-78434597D01*
+X161264148Y-78475694D01*
+X161264145Y-78475695D01*
+X161066031Y-78535793D01*
+X160860000Y-78556085D01*
+X160653968Y-78535793D01*
+X160455854Y-78475695D01*
+X160455851Y-78475694D01*
+X160344189Y-78416009D01*
+X160273271Y-78378103D01*
+X160113235Y-78246765D01*
+X159981897Y-78086729D01*
+X159919521Y-77970030D01*
+X159884306Y-77904148D01*
+X159874922Y-77873213D01*
+X159824207Y-77706031D01*
+X159809000Y-77551629D01*
+X159809000Y-76848370D01*
+X159824207Y-76693968D01*
+X159857569Y-76583991D01*
+X159884305Y-76495853D01*
+X159971197Y-76333292D01*
+X159981898Y-76313271D01*
+X160113236Y-76153235D01*
+X160273272Y-76021897D01*
+X160420437Y-75943236D01*
+X160455852Y-75924306D01*
+X160455855Y-75924305D01*
+X160653969Y-75864207D01*
+X160860000Y-75843915D01*
+X161066032Y-75864207D01*
+G37*
+G36*
+X164451000Y-78551000D02*
+G01*
+X162349000Y-78551000D01*
+X162349000Y-75849000D01*
+X164451000Y-75849000D01*
+X164451000Y-78551000D01*
+G37*
+G36*
+X113201000Y-78501000D02*
+G01*
+X111299000Y-78501000D01*
+X111299000Y-76299000D01*
+X113201000Y-76299000D01*
+X113201000Y-78501000D01*
+G37*
+G36*
+X115501000Y-78501000D02*
+G01*
+X113599000Y-78501000D01*
+X113599000Y-76299000D01*
+X115501000Y-76299000D01*
+X115501000Y-78501000D01*
+G37*
+G36*
+X96159928Y-77786764D02*
+G01*
+X96181009Y-77793160D01*
+X96200445Y-77803548D01*
+X96217476Y-77817524D01*
+X96231452Y-77834555D01*
+X96241840Y-77853991D01*
+X96248236Y-77875072D01*
+X96251000Y-77903140D01*
+X96251000Y-78366860D01*
+X96248236Y-78394928D01*
+X96241840Y-78416009D01*
+X96231452Y-78435445D01*
+X96217476Y-78452476D01*
+X96200445Y-78466452D01*
+X96181009Y-78476840D01*
+X96159928Y-78483236D01*
+X96131860Y-78486000D01*
+X94318140Y-78486000D01*
+X94290072Y-78483236D01*
+X94268991Y-78476840D01*
+X94249555Y-78466452D01*
+X94232524Y-78452476D01*
+X94218548Y-78435445D01*
+X94208160Y-78416009D01*
+X94201764Y-78394928D01*
+X94199000Y-78366860D01*
+X94199000Y-77903140D01*
+X94201764Y-77875072D01*
+X94208160Y-77853991D01*
+X94218548Y-77834555D01*
+X94232524Y-77817524D01*
+X94249555Y-77803548D01*
+X94268991Y-77793160D01*
+X94290072Y-77786764D01*
+X94318140Y-77784000D01*
+X96131860Y-77784000D01*
+X96159928Y-77786764D01*
+G37*
+G36*
+X91209928Y-77786764D02*
+G01*
+X91231009Y-77793160D01*
+X91250445Y-77803548D01*
+X91267476Y-77817524D01*
+X91281452Y-77834555D01*
+X91291840Y-77853991D01*
+X91298236Y-77875072D01*
+X91301000Y-77903140D01*
+X91301000Y-78366860D01*
+X91298236Y-78394928D01*
+X91291840Y-78416009D01*
+X91281452Y-78435445D01*
+X91267476Y-78452476D01*
+X91250445Y-78466452D01*
+X91231009Y-78476840D01*
+X91209928Y-78483236D01*
+X91181860Y-78486000D01*
+X89368140Y-78486000D01*
+X89340072Y-78483236D01*
+X89318991Y-78476840D01*
+X89299555Y-78466452D01*
+X89282524Y-78452476D01*
+X89268548Y-78435445D01*
+X89258160Y-78416009D01*
+X89251764Y-78394928D01*
+X89249000Y-78366860D01*
+X89249000Y-77903140D01*
+X89251764Y-77875072D01*
+X89258160Y-77853991D01*
+X89268548Y-77834555D01*
+X89282524Y-77817524D01*
+X89299555Y-77803548D01*
+X89318991Y-77793160D01*
+X89340072Y-77786764D01*
+X89368140Y-77784000D01*
+X91181860Y-77784000D01*
+X91209928Y-77786764D01*
+G37*
+G36*
+X100039499Y-77228445D02*
+G01*
+X100076995Y-77239820D01*
+X100111554Y-77258292D01*
+X100141847Y-77283153D01*
+X100166708Y-77313446D01*
+X100185180Y-77348005D01*
+X100196555Y-77385501D01*
+X100201000Y-77430638D01*
+X100201000Y-78069362D01*
+X100196555Y-78114499D01*
+X100185180Y-78151995D01*
+X100166708Y-78186554D01*
+X100141847Y-78216847D01*
+X100111554Y-78241708D01*
+X100076995Y-78260180D01*
+X100039499Y-78271555D01*
+X99994362Y-78276000D01*
+X99255638Y-78276000D01*
+X99210501Y-78271555D01*
+X99173005Y-78260180D01*
+X99138446Y-78241708D01*
+X99108153Y-78216847D01*
+X99083292Y-78186554D01*
+X99064820Y-78151995D01*
+X99053445Y-78114499D01*
+X99049000Y-78069362D01*
+X99049000Y-77430638D01*
+X99053445Y-77385501D01*
+X99064820Y-77348005D01*
+X99083292Y-77313446D01*
+X99108153Y-77283153D01*
+X99138446Y-77258292D01*
+X99173005Y-77239820D01*
+X99210501Y-77228445D01*
+X99255638Y-77224000D01*
+X99994362Y-77224000D01*
+X100039499Y-77228445D01*
+G37*
+G36*
+X98289499Y-77228445D02*
+G01*
+X98326995Y-77239820D01*
+X98361554Y-77258292D01*
+X98391847Y-77283153D01*
+X98416708Y-77313446D01*
+X98435180Y-77348005D01*
+X98446555Y-77385501D01*
+X98451000Y-77430638D01*
+X98451000Y-78069362D01*
+X98446555Y-78114499D01*
+X98435180Y-78151995D01*
+X98416708Y-78186554D01*
+X98391847Y-78216847D01*
+X98361554Y-78241708D01*
+X98326995Y-78260180D01*
+X98289499Y-78271555D01*
+X98244362Y-78276000D01*
+X97505638Y-78276000D01*
+X97460501Y-78271555D01*
+X97423005Y-78260180D01*
+X97388446Y-78241708D01*
+X97358153Y-78216847D01*
+X97333292Y-78186554D01*
+X97314820Y-78151995D01*
+X97303445Y-78114499D01*
+X97299000Y-78069362D01*
+X97299000Y-77430638D01*
+X97303445Y-77385501D01*
+X97314820Y-77348005D01*
+X97333292Y-77313446D01*
+X97358153Y-77283153D01*
+X97388446Y-77258292D01*
+X97423005Y-77239820D01*
+X97460501Y-77228445D01*
+X97505638Y-77224000D01*
+X98244362Y-77224000D01*
+X98289499Y-77228445D01*
+G37*
+G36*
+X129026000Y-78001000D02*
+G01*
+X128174000Y-78001000D01*
+X128174000Y-76699000D01*
+X129026000Y-76699000D01*
+X129026000Y-78001000D01*
+G37*
+G36*
+X138976000Y-77551000D02*
+G01*
+X138124000Y-77551000D01*
+X138124000Y-76249000D01*
+X138976000Y-76249000D01*
+X138976000Y-77551000D01*
+G37*
+G36*
+X102114499Y-76303445D02*
+G01*
+X102151995Y-76314820D01*
+X102186554Y-76333292D01*
+X102216847Y-76358153D01*
+X102241708Y-76388446D01*
+X102260180Y-76423005D01*
+X102271555Y-76460501D01*
+X102276000Y-76505638D01*
+X102276000Y-77244362D01*
+X102271555Y-77289499D01*
+X102260180Y-77326995D01*
+X102241708Y-77361554D01*
+X102216847Y-77391847D01*
+X102186554Y-77416708D01*
+X102151995Y-77435180D01*
+X102114499Y-77446555D01*
+X102069362Y-77451000D01*
+X101430638Y-77451000D01*
+X101385501Y-77446555D01*
+X101348005Y-77435180D01*
+X101313446Y-77416708D01*
+X101283153Y-77391847D01*
+X101258292Y-77361554D01*
+X101239820Y-77326995D01*
+X101228445Y-77289499D01*
+X101224000Y-77244362D01*
+X101224000Y-76505638D01*
+X101228445Y-76460501D01*
+X101239820Y-76423005D01*
+X101258292Y-76388446D01*
+X101283153Y-76358153D01*
+X101313446Y-76333292D01*
+X101348005Y-76314820D01*
+X101385501Y-76303445D01*
+X101430638Y-76299000D01*
+X102069362Y-76299000D01*
+X102114499Y-76303445D01*
+G37*
+G36*
+X96159928Y-76516764D02*
+G01*
+X96181009Y-76523160D01*
+X96200445Y-76533548D01*
+X96217476Y-76547524D01*
+X96231452Y-76564555D01*
+X96241840Y-76583991D01*
+X96248236Y-76605072D01*
+X96251000Y-76633140D01*
+X96251000Y-77096860D01*
+X96248236Y-77124928D01*
+X96241840Y-77146009D01*
+X96231452Y-77165445D01*
+X96217476Y-77182476D01*
+X96200445Y-77196452D01*
+X96181009Y-77206840D01*
+X96159928Y-77213236D01*
+X96131860Y-77216000D01*
+X94318140Y-77216000D01*
+X94290072Y-77213236D01*
+X94268991Y-77206840D01*
+X94249555Y-77196452D01*
+X94232524Y-77182476D01*
+X94218548Y-77165445D01*
+X94208160Y-77146009D01*
+X94201764Y-77124928D01*
+X94199000Y-77096860D01*
+X94199000Y-76633140D01*
+X94201764Y-76605072D01*
+X94208160Y-76583991D01*
+X94218548Y-76564555D01*
+X94232524Y-76547524D01*
+X94249555Y-76533548D01*
+X94268991Y-76523160D01*
+X94290072Y-76516764D01*
+X94318140Y-76514000D01*
+X96131860Y-76514000D01*
+X96159928Y-76516764D01*
+G37*
+G36*
+X91209928Y-76516764D02*
+G01*
+X91231009Y-76523160D01*
+X91250445Y-76533548D01*
+X91267476Y-76547524D01*
+X91281452Y-76564555D01*
+X91291840Y-76583991D01*
+X91298236Y-76605072D01*
+X91301000Y-76633140D01*
+X91301000Y-77096860D01*
+X91298236Y-77124928D01*
+X91291840Y-77146009D01*
+X91281452Y-77165445D01*
+X91267476Y-77182476D01*
+X91250445Y-77196452D01*
+X91231009Y-77206840D01*
+X91209928Y-77213236D01*
+X91181860Y-77216000D01*
+X89368140Y-77216000D01*
+X89340072Y-77213236D01*
+X89318991Y-77206840D01*
+X89299555Y-77196452D01*
+X89282524Y-77182476D01*
+X89268548Y-77165445D01*
+X89258160Y-77146009D01*
+X89251764Y-77124928D01*
+X89249000Y-77096860D01*
+X89249000Y-76633140D01*
+X89251764Y-76605072D01*
+X89258160Y-76583991D01*
+X89268548Y-76564555D01*
+X89282524Y-76547524D01*
+X89299555Y-76533548D01*
+X89318991Y-76523160D01*
+X89340072Y-76516764D01*
+X89368140Y-76514000D01*
+X91181860Y-76514000D01*
+X91209928Y-76516764D01*
+G37*
+G36*
+X98289499Y-75478445D02*
+G01*
+X98326995Y-75489820D01*
+X98361554Y-75508292D01*
+X98391847Y-75533153D01*
+X98416708Y-75563446D01*
+X98435180Y-75598005D01*
+X98446555Y-75635501D01*
+X98451000Y-75680638D01*
+X98451000Y-76319362D01*
+X98446555Y-76364499D01*
+X98435180Y-76401995D01*
+X98416708Y-76436554D01*
+X98391847Y-76466847D01*
+X98361554Y-76491708D01*
+X98326995Y-76510180D01*
+X98289499Y-76521555D01*
+X98244362Y-76526000D01*
+X97505638Y-76526000D01*
+X97460501Y-76521555D01*
+X97423005Y-76510180D01*
+X97388446Y-76491708D01*
+X97358153Y-76466847D01*
+X97333292Y-76436554D01*
+X97314820Y-76401995D01*
+X97303445Y-76364499D01*
+X97299000Y-76319362D01*
+X97299000Y-75680638D01*
+X97303445Y-75635501D01*
+X97314820Y-75598005D01*
+X97333292Y-75563446D01*
+X97358153Y-75533153D01*
+X97388446Y-75508292D01*
+X97423005Y-75489820D01*
+X97460501Y-75478445D01*
+X97505638Y-75474000D01*
+X98244362Y-75474000D01*
+X98289499Y-75478445D01*
+G37*
+G36*
+X100039499Y-75478445D02*
+G01*
+X100076995Y-75489820D01*
+X100111554Y-75508292D01*
+X100141847Y-75533153D01*
+X100166708Y-75563446D01*
+X100185180Y-75598005D01*
+X100196555Y-75635501D01*
+X100201000Y-75680638D01*
+X100201000Y-76319362D01*
+X100196555Y-76364499D01*
+X100185180Y-76401995D01*
+X100166708Y-76436554D01*
+X100141847Y-76466847D01*
+X100111554Y-76491708D01*
+X100076995Y-76510180D01*
+X100039499Y-76521555D01*
+X99994362Y-76526000D01*
+X99255638Y-76526000D01*
+X99210501Y-76521555D01*
+X99173005Y-76510180D01*
+X99138446Y-76491708D01*
+X99108153Y-76466847D01*
+X99083292Y-76436554D01*
+X99064820Y-76401995D01*
+X99053445Y-76364499D01*
+X99049000Y-76319362D01*
+X99049000Y-75680638D01*
+X99053445Y-75635501D01*
+X99064820Y-75598005D01*
+X99083292Y-75563446D01*
+X99108153Y-75533153D01*
+X99138446Y-75508292D01*
+X99173005Y-75489820D01*
+X99210501Y-75478445D01*
+X99255638Y-75474000D01*
+X99994362Y-75474000D01*
+X100039499Y-75478445D01*
+G37*
+G36*
+X117426000Y-76101000D02*
+G01*
+X116574000Y-76101000D01*
+X116574000Y-74799000D01*
+X117426000Y-74799000D01*
+X117426000Y-76101000D01*
+G37*
+G36*
+X91209928Y-75246764D02*
+G01*
+X91231009Y-75253160D01*
+X91250445Y-75263548D01*
+X91267476Y-75277524D01*
+X91281452Y-75294555D01*
+X91291840Y-75313991D01*
+X91298236Y-75335072D01*
+X91301000Y-75363140D01*
+X91301000Y-75826860D01*
+X91298236Y-75854928D01*
+X91291840Y-75876009D01*
+X91281452Y-75895445D01*
+X91267476Y-75912476D01*
+X91250445Y-75926452D01*
+X91231009Y-75936840D01*
+X91209928Y-75943236D01*
+X91181860Y-75946000D01*
+X89368140Y-75946000D01*
+X89340072Y-75943236D01*
+X89318991Y-75936840D01*
+X89299555Y-75926452D01*
+X89282524Y-75912476D01*
+X89268548Y-75895445D01*
+X89258160Y-75876009D01*
+X89251764Y-75854928D01*
+X89249000Y-75826860D01*
+X89249000Y-75363140D01*
+X89251764Y-75335072D01*
+X89258160Y-75313991D01*
+X89268548Y-75294555D01*
+X89282524Y-75277524D01*
+X89299555Y-75263548D01*
+X89318991Y-75253160D01*
+X89340072Y-75246764D01*
+X89368140Y-75244000D01*
+X91181860Y-75244000D01*
+X91209928Y-75246764D01*
+G37*
+G36*
+X96159928Y-75246764D02*
+G01*
+X96181009Y-75253160D01*
+X96200445Y-75263548D01*
+X96217476Y-75277524D01*
+X96231452Y-75294555D01*
+X96241840Y-75313991D01*
+X96248236Y-75335072D01*
+X96251000Y-75363140D01*
+X96251000Y-75826860D01*
+X96248236Y-75854928D01*
+X96241840Y-75876009D01*
+X96231452Y-75895445D01*
+X96217476Y-75912476D01*
+X96200445Y-75926452D01*
+X96181009Y-75936840D01*
+X96159928Y-75943236D01*
+X96131860Y-75946000D01*
+X94318140Y-75946000D01*
+X94290072Y-75943236D01*
+X94268991Y-75936840D01*
+X94249555Y-75926452D01*
+X94232524Y-75912476D01*
+X94218548Y-75895445D01*
+X94208160Y-75876009D01*
+X94201764Y-75854928D01*
+X94199000Y-75826860D01*
+X94199000Y-75363140D01*
+X94201764Y-75335072D01*
+X94208160Y-75313991D01*
+X94218548Y-75294555D01*
+X94232524Y-75277524D01*
+X94249555Y-75263548D01*
+X94268991Y-75253160D01*
+X94290072Y-75246764D01*
+X94318140Y-75244000D01*
+X96131860Y-75244000D01*
+X96159928Y-75246764D01*
+G37*
+G36*
+X138976000Y-75651000D02*
+G01*
+X138124000Y-75651000D01*
+X138124000Y-74349000D01*
+X138976000Y-74349000D01*
+X138976000Y-75651000D01*
+G37*
+G36*
+X113201000Y-75601000D02*
+G01*
+X111299000Y-75601000D01*
+X111299000Y-73399000D01*
+X113201000Y-73399000D01*
+X113201000Y-75601000D01*
+G37*
+G36*
+X115501000Y-75601000D02*
+G01*
+X113599000Y-75601000D01*
+X113599000Y-73399000D01*
+X115501000Y-73399000D01*
+X115501000Y-75601000D01*
+G37*
+G36*
+X131426000Y-74851000D02*
+G01*
+X130574000Y-74851000D01*
+X130574000Y-73549000D01*
+X131426000Y-73549000D01*
+X131426000Y-74851000D01*
+G37*
+G36*
+X101201000Y-74251000D02*
+G01*
+X98899000Y-74251000D01*
+X98899000Y-70249000D01*
+X101201000Y-70249000D01*
+X101201000Y-74251000D01*
+G37*
+G36*
+X97401000Y-74251000D02*
+G01*
+X95099000Y-74251000D01*
+X95099000Y-70249000D01*
+X97401000Y-70249000D01*
+X97401000Y-74251000D01*
+G37*
+G36*
+X117426000Y-74201000D02*
+G01*
+X116574000Y-74201000D01*
+X116574000Y-72899000D01*
+X117426000Y-72899000D01*
+X117426000Y-74201000D01*
+G37*
+G36*
+X126664499Y-73003445D02*
+G01*
+X126701995Y-73014820D01*
+X126736554Y-73033292D01*
+X126766847Y-73058153D01*
+X126791708Y-73088446D01*
+X126810180Y-73123005D01*
+X126821555Y-73160501D01*
+X126826000Y-73205638D01*
+X126826000Y-73944362D01*
+X126821555Y-73989499D01*
+X126810180Y-74026995D01*
+X126791708Y-74061554D01*
+X126766847Y-74091847D01*
+X126736554Y-74116708D01*
+X126701995Y-74135180D01*
+X126664499Y-74146555D01*
+X126619362Y-74151000D01*
+X125980638Y-74151000D01*
+X125935501Y-74146555D01*
+X125898005Y-74135180D01*
+X125863446Y-74116708D01*
+X125833153Y-74091847D01*
+X125808292Y-74061554D01*
+X125789820Y-74026995D01*
+X125778445Y-73989499D01*
+X125774000Y-73944362D01*
+X125774000Y-73205638D01*
+X125778445Y-73160501D01*
+X125789820Y-73123005D01*
+X125808292Y-73088446D01*
+X125833153Y-73058153D01*
+X125863446Y-73033292D01*
+X125898005Y-73014820D01*
+X125935501Y-73003445D01*
+X125980638Y-72999000D01*
+X126619362Y-72999000D01*
+X126664499Y-73003445D01*
+G37*
+G36*
+X128364499Y-73003445D02*
+G01*
+X128401995Y-73014820D01*
+X128436554Y-73033292D01*
+X128466847Y-73058153D01*
+X128491708Y-73088446D01*
+X128510180Y-73123005D01*
+X128521555Y-73160501D01*
+X128526000Y-73205638D01*
+X128526000Y-73944362D01*
+X128521555Y-73989499D01*
+X128510180Y-74026995D01*
+X128491708Y-74061554D01*
+X128466847Y-74091847D01*
+X128436554Y-74116708D01*
+X128401995Y-74135180D01*
+X128364499Y-74146555D01*
+X128319362Y-74151000D01*
+X127680638Y-74151000D01*
+X127635501Y-74146555D01*
+X127598005Y-74135180D01*
+X127563446Y-74116708D01*
+X127533153Y-74091847D01*
+X127508292Y-74061554D01*
+X127489820Y-74026995D01*
+X127478445Y-73989499D01*
+X127474000Y-73944362D01*
+X127474000Y-73205638D01*
+X127478445Y-73160501D01*
+X127489820Y-73123005D01*
+X127508292Y-73088446D01*
+X127533153Y-73058153D01*
+X127563446Y-73033292D01*
+X127598005Y-73014820D01*
+X127635501Y-73003445D01*
+X127680638Y-72999000D01*
+X128319362Y-72999000D01*
+X128364499Y-73003445D01*
+G37*
+G36*
+X80501000Y-74001000D02*
+G01*
+X77999000Y-74001000D01*
+X77999000Y-71499000D01*
+X80501000Y-71499000D01*
+X80501000Y-74001000D01*
+G37*
+G36*
+X134466000Y-73876000D02*
+G01*
+X133764000Y-73876000D01*
+X133764000Y-72224000D01*
+X134466000Y-72224000D01*
+X134466000Y-73876000D01*
+G37*
+G36*
+X137006000Y-73876000D02*
+G01*
+X136304000Y-73876000D01*
+X136304000Y-72224000D01*
+X137006000Y-72224000D01*
+X137006000Y-73876000D01*
+G37*
+G36*
+X135736000Y-73876000D02*
+G01*
+X135034000Y-73876000D01*
+X135034000Y-72224000D01*
+X135736000Y-72224000D01*
+X135736000Y-73876000D01*
+G37*
+G36*
+X133196000Y-73876000D02*
+G01*
+X132494000Y-73876000D01*
+X132494000Y-72224000D01*
+X133196000Y-72224000D01*
+X133196000Y-73876000D01*
+G37*
+G36*
+X89301000Y-73451000D02*
+G01*
+X86699000Y-73451000D01*
+X86699000Y-71549000D01*
+X89301000Y-71549000D01*
+X89301000Y-73451000D01*
+G37*
+G36*
+X93301000Y-73451000D02*
+G01*
+X90699000Y-73451000D01*
+X90699000Y-71549000D01*
+X93301000Y-71549000D01*
+X93301000Y-73451000D01*
+G37*
+G36*
+X103433642Y-71479781D02*
+G01*
+X103579414Y-71540162D01*
+X103579416Y-71540163D01*
+X103710608Y-71627822D01*
+X103822178Y-71739392D01*
+X103909837Y-71870584D01*
+X103909838Y-71870586D01*
+X103970219Y-72016358D01*
+X104001000Y-72171107D01*
+X104001000Y-72328893D01*
+X103970219Y-72483642D01*
+X103909838Y-72629414D01*
+X103909837Y-72629416D01*
+X103822178Y-72760608D01*
+X103710608Y-72872178D01*
+X103579416Y-72959837D01*
+X103579415Y-72959838D01*
+X103579414Y-72959838D01*
+X103433642Y-73020219D01*
+X103278893Y-73051000D01*
+X103121107Y-73051000D01*
+X102966358Y-73020219D01*
+X102820586Y-72959838D01*
+X102820585Y-72959838D01*
+X102820584Y-72959837D01*
+X102689392Y-72872178D01*
+X102577822Y-72760608D01*
+X102490163Y-72629416D01*
+X102490162Y-72629414D01*
+X102429781Y-72483642D01*
+X102399000Y-72328893D01*
+X102399000Y-72171107D01*
+X102429781Y-72016358D01*
+X102490162Y-71870586D01*
+X102490163Y-71870584D01*
+X102577822Y-71739392D01*
+X102689392Y-71627822D01*
+X102820584Y-71540163D01*
+X102820586Y-71540162D01*
+X102966358Y-71479781D01*
+X103121107Y-71449000D01*
+X103278893Y-71449000D01*
+X103433642Y-71479781D01*
+G37*
+G36*
+X131426000Y-72951000D02*
+G01*
+X130574000Y-72951000D01*
+X130574000Y-71649000D01*
+X131426000Y-71649000D01*
+X131426000Y-72951000D01*
+G37*
+G36*
+X126664499Y-71253445D02*
+G01*
+X126701995Y-71264820D01*
+X126736554Y-71283292D01*
+X126766847Y-71308153D01*
+X126791708Y-71338446D01*
+X126810180Y-71373005D01*
+X126821555Y-71410501D01*
+X126826000Y-71455638D01*
+X126826000Y-72194362D01*
+X126821555Y-72239499D01*
+X126810180Y-72276995D01*
+X126791708Y-72311554D01*
+X126766847Y-72341847D01*
+X126736554Y-72366708D01*
+X126701995Y-72385180D01*
+X126664499Y-72396555D01*
+X126619362Y-72401000D01*
+X125980638Y-72401000D01*
+X125935501Y-72396555D01*
+X125898005Y-72385180D01*
+X125863446Y-72366708D01*
+X125833153Y-72341847D01*
+X125808292Y-72311554D01*
+X125789820Y-72276995D01*
+X125778445Y-72239499D01*
+X125774000Y-72194362D01*
+X125774000Y-71455638D01*
+X125778445Y-71410501D01*
+X125789820Y-71373005D01*
+X125808292Y-71338446D01*
+X125833153Y-71308153D01*
+X125863446Y-71283292D01*
+X125898005Y-71264820D01*
+X125935501Y-71253445D01*
+X125980638Y-71249000D01*
+X126619362Y-71249000D01*
+X126664499Y-71253445D01*
+G37*
+G36*
+X128364499Y-71253445D02*
+G01*
+X128401995Y-71264820D01*
+X128436554Y-71283292D01*
+X128466847Y-71308153D01*
+X128491708Y-71338446D01*
+X128510180Y-71373005D01*
+X128521555Y-71410501D01*
+X128526000Y-71455638D01*
+X128526000Y-72194362D01*
+X128521555Y-72239499D01*
+X128510180Y-72276995D01*
+X128491708Y-72311554D01*
+X128466847Y-72341847D01*
+X128436554Y-72366708D01*
+X128401995Y-72385180D01*
+X128364499Y-72396555D01*
+X128319362Y-72401000D01*
+X127680638Y-72401000D01*
+X127635501Y-72396555D01*
+X127598005Y-72385180D01*
+X127563446Y-72366708D01*
+X127533153Y-72341847D01*
+X127508292Y-72311554D01*
+X127489820Y-72276995D01*
+X127478445Y-72239499D01*
+X127474000Y-72194362D01*
+X127474000Y-71455638D01*
+X127478445Y-71410501D01*
+X127489820Y-71373005D01*
+X127508292Y-71338446D01*
+X127533153Y-71308153D01*
+X127563446Y-71283292D01*
+X127598005Y-71264820D01*
+X127635501Y-71253445D01*
+X127680638Y-71249000D01*
+X128319362Y-71249000D01*
+X128364499Y-71253445D01*
+G37*
+G36*
+X135603642Y-68529781D02*
+G01*
+X135749414Y-68590162D01*
+X135749416Y-68590163D01*
+X135880608Y-68677822D01*
+X135992178Y-68789392D01*
+X136073182Y-68910624D01*
+X136079838Y-68920586D01*
+X136140219Y-69066358D01*
+X136171000Y-69221107D01*
+X136171000Y-69378893D01*
+X136140219Y-69533642D01*
+X136087805Y-69660180D01*
+X136079837Y-69679416D01*
+X135992178Y-69810608D01*
+X135880608Y-69922178D01*
+X135749416Y-70009837D01*
+X135749415Y-70009838D01*
+X135749414Y-70009838D01*
+X135603642Y-70070219D01*
+X135448893Y-70101000D01*
+X135291107Y-70101000D01*
+X135136358Y-70070219D01*
+X134990586Y-70009838D01*
+X134990585Y-70009838D01*
+X134990584Y-70009837D01*
+X134859392Y-69922178D01*
+X134747822Y-69810608D01*
+X134660163Y-69679416D01*
+X134652195Y-69660180D01*
+X134599781Y-69533642D01*
+X134569000Y-69378893D01*
+X134569000Y-69221107D01*
+X134599781Y-69066358D01*
+X134660162Y-68920586D01*
+X134666818Y-68910624D01*
+X134747822Y-68789392D01*
+X134859392Y-68677822D01*
+X134990584Y-68590163D01*
+X134990586Y-68590162D01*
+X135136358Y-68529781D01*
+X135291107Y-68499000D01*
+X135448893Y-68499000D01*
+X135603642Y-68529781D01*
+G37*
+G36*
+X141733642Y-68529781D02*
+G01*
+X141879414Y-68590162D01*
+X141879416Y-68590163D01*
+X142010608Y-68677822D01*
+X142122178Y-68789392D01*
+X142203182Y-68910624D01*
+X142209838Y-68920586D01*
+X142270219Y-69066358D01*
+X142301000Y-69221107D01*
+X142301000Y-69378893D01*
+X142270219Y-69533642D01*
+X142217805Y-69660180D01*
+X142209837Y-69679416D01*
+X142122178Y-69810608D01*
+X142010608Y-69922178D01*
+X141879416Y-70009837D01*
+X141879415Y-70009838D01*
+X141879414Y-70009838D01*
+X141733642Y-70070219D01*
+X141578893Y-70101000D01*
+X141421107Y-70101000D01*
+X141266358Y-70070219D01*
+X141120586Y-70009838D01*
+X141120585Y-70009838D01*
+X141120584Y-70009837D01*
+X140989392Y-69922178D01*
+X140877822Y-69810608D01*
+X140790163Y-69679416D01*
+X140782195Y-69660180D01*
+X140729781Y-69533642D01*
+X140699000Y-69378893D01*
+X140699000Y-69221107D01*
+X140729781Y-69066358D01*
+X140790162Y-68920586D01*
+X140796818Y-68910624D01*
+X140877822Y-68789392D01*
+X140989392Y-68677822D01*
+X141120584Y-68590163D01*
+X141120586Y-68590162D01*
+X141266358Y-68529781D01*
+X141421107Y-68499000D01*
+X141578893Y-68499000D01*
+X141733642Y-68529781D01*
+G37*
+G36*
+X144023642Y-68529781D02*
+G01*
+X144169414Y-68590162D01*
+X144169416Y-68590163D01*
+X144300608Y-68677822D01*
+X144412178Y-68789392D01*
+X144493182Y-68910624D01*
+X144499838Y-68920586D01*
+X144560219Y-69066358D01*
+X144591000Y-69221107D01*
+X144591000Y-69378893D01*
+X144560219Y-69533642D01*
+X144507805Y-69660180D01*
+X144499837Y-69679416D01*
+X144412178Y-69810608D01*
+X144300608Y-69922178D01*
+X144169416Y-70009837D01*
+X144169415Y-70009838D01*
+X144169414Y-70009838D01*
+X144023642Y-70070219D01*
+X143868893Y-70101000D01*
+X143711107Y-70101000D01*
+X143556358Y-70070219D01*
+X143410586Y-70009838D01*
+X143410585Y-70009838D01*
+X143410584Y-70009837D01*
+X143279392Y-69922178D01*
+X143167822Y-69810608D01*
+X143080163Y-69679416D01*
+X143072195Y-69660180D01*
+X143019781Y-69533642D01*
+X142989000Y-69378893D01*
+X142989000Y-69221107D01*
+X143019781Y-69066358D01*
+X143080162Y-68920586D01*
+X143086818Y-68910624D01*
+X143167822Y-68789392D01*
+X143279392Y-68677822D01*
+X143410584Y-68590163D01*
+X143410586Y-68590162D01*
+X143556358Y-68529781D01*
+X143711107Y-68499000D01*
+X143868893Y-68499000D01*
+X144023642Y-68529781D01*
+G37*
+G36*
+X153163642Y-68529781D02*
+G01*
+X153309414Y-68590162D01*
+X153309416Y-68590163D01*
+X153440608Y-68677822D01*
+X153552178Y-68789392D01*
+X153633182Y-68910624D01*
+X153639838Y-68920586D01*
+X153700219Y-69066358D01*
+X153731000Y-69221107D01*
+X153731000Y-69378893D01*
+X153700219Y-69533642D01*
+X153647805Y-69660180D01*
+X153639837Y-69679416D01*
+X153552178Y-69810608D01*
+X153440608Y-69922178D01*
+X153309416Y-70009837D01*
+X153309415Y-70009838D01*
+X153309414Y-70009838D01*
+X153163642Y-70070219D01*
+X153008893Y-70101000D01*
+X152851107Y-70101000D01*
+X152696358Y-70070219D01*
+X152550586Y-70009838D01*
+X152550585Y-70009838D01*
+X152550584Y-70009837D01*
+X152419392Y-69922178D01*
+X152307822Y-69810608D01*
+X152220163Y-69679416D01*
+X152212195Y-69660180D01*
+X152159781Y-69533642D01*
+X152129000Y-69378893D01*
+X152129000Y-69221107D01*
+X152159781Y-69066358D01*
+X152220162Y-68920586D01*
+X152226818Y-68910624D01*
+X152307822Y-68789392D01*
+X152419392Y-68677822D01*
+X152550584Y-68590163D01*
+X152550586Y-68590162D01*
+X152696358Y-68529781D01*
+X152851107Y-68499000D01*
+X153008893Y-68499000D01*
+X153163642Y-68529781D01*
+G37*
+G36*
+X155453642Y-68529781D02*
+G01*
+X155599414Y-68590162D01*
+X155599416Y-68590163D01*
+X155730608Y-68677822D01*
+X155842178Y-68789392D01*
+X155923182Y-68910624D01*
+X155929838Y-68920586D01*
+X155990219Y-69066358D01*
+X156021000Y-69221107D01*
+X156021000Y-69378893D01*
+X155990219Y-69533642D01*
+X155937805Y-69660180D01*
+X155929837Y-69679416D01*
+X155842178Y-69810608D01*
+X155730608Y-69922178D01*
+X155599416Y-70009837D01*
+X155599415Y-70009838D01*
+X155599414Y-70009838D01*
+X155453642Y-70070219D01*
+X155298893Y-70101000D01*
+X155141107Y-70101000D01*
+X154986358Y-70070219D01*
+X154840586Y-70009838D01*
+X154840585Y-70009838D01*
+X154840584Y-70009837D01*
+X154709392Y-69922178D01*
+X154597822Y-69810608D01*
+X154510163Y-69679416D01*
+X154502195Y-69660180D01*
+X154449781Y-69533642D01*
+X154419000Y-69378893D01*
+X154419000Y-69221107D01*
+X154449781Y-69066358D01*
+X154510162Y-68920586D01*
+X154516818Y-68910624D01*
+X154597822Y-68789392D01*
+X154709392Y-68677822D01*
+X154840584Y-68590163D01*
+X154840586Y-68590162D01*
+X154986358Y-68529781D01*
+X155141107Y-68499000D01*
+X155298893Y-68499000D01*
+X155453642Y-68529781D01*
+G37*
+G36*
+X121883642Y-68529781D02*
+G01*
+X122029414Y-68590162D01*
+X122029416Y-68590163D01*
+X122160608Y-68677822D01*
+X122272178Y-68789392D01*
+X122353182Y-68910624D01*
+X122359838Y-68920586D01*
+X122420219Y-69066358D01*
+X122451000Y-69221107D01*
+X122451000Y-69378893D01*
+X122420219Y-69533642D01*
+X122367805Y-69660180D01*
+X122359837Y-69679416D01*
+X122272178Y-69810608D01*
+X122160608Y-69922178D01*
+X122029416Y-70009837D01*
+X122029415Y-70009838D01*
+X122029414Y-70009838D01*
+X121883642Y-70070219D01*
+X121728893Y-70101000D01*
+X121571107Y-70101000D01*
+X121416358Y-70070219D01*
+X121270586Y-70009838D01*
+X121270585Y-70009838D01*
+X121270584Y-70009837D01*
+X121139392Y-69922178D01*
+X121027822Y-69810608D01*
+X120940163Y-69679416D01*
+X120932195Y-69660180D01*
+X120879781Y-69533642D01*
+X120849000Y-69378893D01*
+X120849000Y-69221107D01*
+X120879781Y-69066358D01*
+X120940162Y-68920586D01*
+X120946818Y-68910624D01*
+X121027822Y-68789392D01*
+X121139392Y-68677822D01*
+X121270584Y-68590163D01*
+X121270586Y-68590162D01*
+X121416358Y-68529781D01*
+X121571107Y-68499000D01*
+X121728893Y-68499000D01*
+X121883642Y-68529781D01*
+G37*
+G36*
+X124173642Y-68529781D02*
+G01*
+X124319414Y-68590162D01*
+X124319416Y-68590163D01*
+X124450608Y-68677822D01*
+X124562178Y-68789392D01*
+X124643182Y-68910624D01*
+X124649838Y-68920586D01*
+X124710219Y-69066358D01*
+X124741000Y-69221107D01*
+X124741000Y-69378893D01*
+X124710219Y-69533642D01*
+X124657805Y-69660180D01*
+X124649837Y-69679416D01*
+X124562178Y-69810608D01*
+X124450608Y-69922178D01*
+X124319416Y-70009837D01*
+X124319415Y-70009838D01*
+X124319414Y-70009838D01*
+X124173642Y-70070219D01*
+X124018893Y-70101000D01*
+X123861107Y-70101000D01*
+X123706358Y-70070219D01*
+X123560586Y-70009838D01*
+X123560585Y-70009838D01*
+X123560584Y-70009837D01*
+X123429392Y-69922178D01*
+X123317822Y-69810608D01*
+X123230163Y-69679416D01*
+X123222195Y-69660180D01*
+X123169781Y-69533642D01*
+X123139000Y-69378893D01*
+X123139000Y-69221107D01*
+X123169781Y-69066358D01*
+X123230162Y-68920586D01*
+X123236818Y-68910624D01*
+X123317822Y-68789392D01*
+X123429392Y-68677822D01*
+X123560584Y-68590163D01*
+X123560586Y-68590162D01*
+X123706358Y-68529781D01*
+X123861107Y-68499000D01*
+X124018893Y-68499000D01*
+X124173642Y-68529781D01*
+G37*
+G36*
+X133313642Y-68529781D02*
+G01*
+X133459414Y-68590162D01*
+X133459416Y-68590163D01*
+X133590608Y-68677822D01*
+X133702178Y-68789392D01*
+X133783182Y-68910624D01*
+X133789838Y-68920586D01*
+X133850219Y-69066358D01*
+X133881000Y-69221107D01*
+X133881000Y-69378893D01*
+X133850219Y-69533642D01*
+X133797805Y-69660180D01*
+X133789837Y-69679416D01*
+X133702178Y-69810608D01*
+X133590608Y-69922178D01*
+X133459416Y-70009837D01*
+X133459415Y-70009838D01*
+X133459414Y-70009838D01*
+X133313642Y-70070219D01*
+X133158893Y-70101000D01*
+X133001107Y-70101000D01*
+X132846358Y-70070219D01*
+X132700586Y-70009838D01*
+X132700585Y-70009838D01*
+X132700584Y-70009837D01*
+X132569392Y-69922178D01*
+X132457822Y-69810608D01*
+X132370163Y-69679416D01*
+X132362195Y-69660180D01*
+X132309781Y-69533642D01*
+X132279000Y-69378893D01*
+X132279000Y-69221107D01*
+X132309781Y-69066358D01*
+X132370162Y-68920586D01*
+X132376818Y-68910624D01*
+X132457822Y-68789392D01*
+X132569392Y-68677822D01*
+X132700584Y-68590163D01*
+X132700586Y-68590162D01*
+X132846358Y-68529781D01*
+X133001107Y-68499000D01*
+X133158893Y-68499000D01*
+X133313642Y-68529781D01*
+G37*
+G36*
+X166039499Y-68628445D02*
+G01*
+X166076995Y-68639820D01*
+X166111554Y-68658292D01*
+X166141847Y-68683153D01*
+X166166708Y-68713446D01*
+X166185180Y-68748005D01*
+X166196555Y-68785501D01*
+X166201000Y-68830638D01*
+X166201000Y-69469362D01*
+X166196555Y-69514499D01*
+X166185180Y-69551995D01*
+X166166708Y-69586554D01*
+X166141847Y-69616847D01*
+X166111554Y-69641708D01*
+X166076995Y-69660180D01*
+X166039499Y-69671555D01*
+X165994362Y-69676000D01*
+X165255638Y-69676000D01*
+X165210501Y-69671555D01*
+X165173005Y-69660180D01*
+X165138446Y-69641708D01*
+X165108153Y-69616847D01*
+X165083292Y-69586554D01*
+X165064820Y-69551995D01*
+X165053445Y-69514499D01*
+X165049000Y-69469362D01*
+X165049000Y-68830638D01*
+X165053445Y-68785501D01*
+X165064820Y-68748005D01*
+X165083292Y-68713446D01*
+X165108153Y-68683153D01*
+X165138446Y-68658292D01*
+X165173005Y-68639820D01*
+X165210501Y-68628445D01*
+X165255638Y-68624000D01*
+X165994362Y-68624000D01*
+X166039499Y-68628445D01*
+G37*
+G36*
+X161689499Y-68628445D02*
+G01*
+X161726995Y-68639820D01*
+X161761554Y-68658292D01*
+X161791847Y-68683153D01*
+X161816708Y-68713446D01*
+X161835180Y-68748005D01*
+X161846555Y-68785501D01*
+X161851000Y-68830638D01*
+X161851000Y-69469362D01*
+X161846555Y-69514499D01*
+X161835180Y-69551995D01*
+X161816708Y-69586554D01*
+X161791847Y-69616847D01*
+X161761554Y-69641708D01*
+X161726995Y-69660180D01*
+X161689499Y-69671555D01*
+X161644362Y-69676000D01*
+X160905638Y-69676000D01*
+X160860501Y-69671555D01*
+X160823005Y-69660180D01*
+X160788446Y-69641708D01*
+X160758153Y-69616847D01*
+X160733292Y-69586554D01*
+X160714820Y-69551995D01*
+X160703445Y-69514499D01*
+X160699000Y-69469362D01*
+X160699000Y-68830638D01*
+X160703445Y-68785501D01*
+X160714820Y-68748005D01*
+X160733292Y-68713446D01*
+X160758153Y-68683153D01*
+X160788446Y-68658292D01*
+X160823005Y-68639820D01*
+X160860501Y-68628445D01*
+X160905638Y-68624000D01*
+X161644362Y-68624000D01*
+X161689499Y-68628445D01*
+G37*
+G36*
+X163439499Y-68628445D02*
+G01*
+X163476995Y-68639820D01*
+X163511554Y-68658292D01*
+X163541847Y-68683153D01*
+X163566708Y-68713446D01*
+X163585180Y-68748005D01*
+X163596555Y-68785501D01*
+X163601000Y-68830638D01*
+X163601000Y-69469362D01*
+X163596555Y-69514499D01*
+X163585180Y-69551995D01*
+X163566708Y-69586554D01*
+X163541847Y-69616847D01*
+X163511554Y-69641708D01*
+X163476995Y-69660180D01*
+X163439499Y-69671555D01*
+X163394362Y-69676000D01*
+X162655638Y-69676000D01*
+X162610501Y-69671555D01*
+X162573005Y-69660180D01*
+X162538446Y-69641708D01*
+X162508153Y-69616847D01*
+X162483292Y-69586554D01*
+X162464820Y-69551995D01*
+X162453445Y-69514499D01*
+X162449000Y-69469362D01*
+X162449000Y-68830638D01*
+X162453445Y-68785501D01*
+X162464820Y-68748005D01*
+X162483292Y-68713446D01*
+X162508153Y-68683153D01*
+X162538446Y-68658292D01*
+X162573005Y-68639820D01*
+X162610501Y-68628445D01*
+X162655638Y-68624000D01*
+X163394362Y-68624000D01*
+X163439499Y-68628445D01*
+G37*
+G36*
+X167789499Y-68628445D02*
+G01*
+X167826995Y-68639820D01*
+X167861554Y-68658292D01*
+X167891847Y-68683153D01*
+X167916708Y-68713446D01*
+X167935180Y-68748005D01*
+X167946555Y-68785501D01*
+X167951000Y-68830638D01*
+X167951000Y-69469362D01*
+X167946555Y-69514499D01*
+X167935180Y-69551995D01*
+X167916708Y-69586554D01*
+X167891847Y-69616847D01*
+X167861554Y-69641708D01*
+X167826995Y-69660180D01*
+X167789499Y-69671555D01*
+X167744362Y-69676000D01*
+X167005638Y-69676000D01*
+X166960501Y-69671555D01*
+X166923005Y-69660180D01*
+X166888446Y-69641708D01*
+X166858153Y-69616847D01*
+X166833292Y-69586554D01*
+X166814820Y-69551995D01*
+X166803445Y-69514499D01*
+X166799000Y-69469362D01*
+X166799000Y-68830638D01*
+X166803445Y-68785501D01*
+X166814820Y-68748005D01*
+X166833292Y-68713446D01*
+X166858153Y-68683153D01*
+X166888446Y-68658292D01*
+X166923005Y-68639820D01*
+X166960501Y-68628445D01*
+X167005638Y-68624000D01*
+X167744362Y-68624000D01*
+X167789499Y-68628445D01*
+G37*
+G36*
+X103125562Y-67228181D02*
+G01*
+X103160481Y-67238774D01*
+X103192663Y-67255976D01*
+X103220873Y-67279127D01*
+X103244024Y-67307337D01*
+X103261226Y-67339519D01*
+X103271819Y-67374438D01*
+X103276000Y-67416895D01*
+X103276000Y-68883105D01*
+X103271819Y-68925562D01*
+X103261226Y-68960481D01*
+X103244024Y-68992663D01*
+X103220873Y-69020873D01*
+X103192663Y-69044024D01*
+X103160481Y-69061226D01*
+X103125562Y-69071819D01*
+X103083105Y-69076000D01*
+X101941895Y-69076000D01*
+X101899438Y-69071819D01*
+X101864519Y-69061226D01*
+X101832337Y-69044024D01*
+X101804127Y-69020873D01*
+X101780976Y-68992663D01*
+X101763774Y-68960481D01*
+X101753181Y-68925562D01*
+X101749000Y-68883105D01*
+X101749000Y-67416895D01*
+X101753181Y-67374438D01*
+X101763774Y-67339519D01*
+X101780976Y-67307337D01*
+X101804127Y-67279127D01*
+X101832337Y-67255976D01*
+X101864519Y-67238774D01*
+X101899438Y-67228181D01*
+X101941895Y-67224000D01*
+X103083105Y-67224000D01*
+X103125562Y-67228181D01*
+G37*
+G36*
+X106100562Y-67228181D02*
+G01*
+X106135481Y-67238774D01*
+X106167663Y-67255976D01*
+X106195873Y-67279127D01*
+X106219024Y-67307337D01*
+X106236226Y-67339519D01*
+X106246819Y-67374438D01*
+X106251000Y-67416895D01*
+X106251000Y-68883105D01*
+X106246819Y-68925562D01*
+X106236226Y-68960481D01*
+X106219024Y-68992663D01*
+X106195873Y-69020873D01*
+X106167663Y-69044024D01*
+X106135481Y-69061226D01*
+X106100562Y-69071819D01*
+X106058105Y-69076000D01*
+X104916895Y-69076000D01*
+X104874438Y-69071819D01*
+X104839519Y-69061226D01*
+X104807337Y-69044024D01*
+X104779127Y-69020873D01*
+X104755976Y-68992663D01*
+X104738774Y-68960481D01*
+X104728181Y-68925562D01*
+X104724000Y-68883105D01*
+X104724000Y-67416895D01*
+X104728181Y-67374438D01*
+X104738774Y-67339519D01*
+X104755976Y-67307337D01*
+X104779127Y-67279127D01*
+X104807337Y-67255976D01*
+X104839519Y-67238774D01*
+X104874438Y-67228181D01*
+X104916895Y-67224000D01*
+X106058105Y-67224000D01*
+X106100562Y-67228181D01*
+G37*
+G36*
+X109648228Y-67381703D02*
+G01*
+X109803100Y-67445853D01*
+X109942481Y-67538985D01*
+X110061015Y-67657519D01*
+X110154147Y-67796900D01*
+X110218297Y-67951772D01*
+X110251000Y-68116184D01*
+X110251000Y-68283816D01*
+X110218297Y-68448228D01*
+X110154147Y-68603100D01*
+X110061015Y-68742481D01*
+X109942481Y-68861015D01*
+X109803100Y-68954147D01*
+X109648228Y-69018297D01*
+X109483816Y-69051000D01*
+X109316184Y-69051000D01*
+X109151772Y-69018297D01*
+X108996900Y-68954147D01*
+X108857519Y-68861015D01*
+X108738985Y-68742481D01*
+X108645853Y-68603100D01*
+X108581703Y-68448228D01*
+X108549000Y-68283816D01*
+X108549000Y-68116184D01*
+X108581703Y-67951772D01*
+X108645853Y-67796900D01*
+X108738985Y-67657519D01*
+X108857519Y-67538985D01*
+X108996900Y-67445853D01*
+X109151772Y-67381703D01*
+X109316184Y-67349000D01*
+X109483816Y-67349000D01*
+X109648228Y-67381703D01*
+G37*
+G36*
+X97789499Y-67978445D02*
+G01*
+X97826995Y-67989820D01*
+X97861554Y-68008292D01*
+X97891847Y-68033153D01*
+X97916708Y-68063446D01*
+X97935180Y-68098005D01*
+X97946555Y-68135501D01*
+X97951000Y-68180638D01*
+X97951000Y-68819362D01*
+X97946555Y-68864499D01*
+X97935180Y-68901995D01*
+X97916708Y-68936554D01*
+X97891847Y-68966847D01*
+X97861554Y-68991708D01*
+X97826995Y-69010180D01*
+X97789499Y-69021555D01*
+X97744362Y-69026000D01*
+X97005638Y-69026000D01*
+X96960501Y-69021555D01*
+X96923005Y-69010180D01*
+X96888446Y-68991708D01*
+X96858153Y-68966847D01*
+X96833292Y-68936554D01*
+X96814820Y-68901995D01*
+X96803445Y-68864499D01*
+X96799000Y-68819362D01*
+X96799000Y-68180638D01*
+X96803445Y-68135501D01*
+X96814820Y-68098005D01*
+X96833292Y-68063446D01*
+X96858153Y-68033153D01*
+X96888446Y-68008292D01*
+X96923005Y-67989820D01*
+X96960501Y-67978445D01*
+X97005638Y-67974000D01*
+X97744362Y-67974000D01*
+X97789499Y-67978445D01*
+G37*
+G36*
+X99539499Y-67978445D02*
+G01*
+X99576995Y-67989820D01*
+X99611554Y-68008292D01*
+X99641847Y-68033153D01*
+X99666708Y-68063446D01*
+X99685180Y-68098005D01*
+X99696555Y-68135501D01*
+X99701000Y-68180638D01*
+X99701000Y-68819362D01*
+X99696555Y-68864499D01*
+X99685180Y-68901995D01*
+X99666708Y-68936554D01*
+X99641847Y-68966847D01*
+X99611554Y-68991708D01*
+X99576995Y-69010180D01*
+X99539499Y-69021555D01*
+X99494362Y-69026000D01*
+X98755638Y-69026000D01*
+X98710501Y-69021555D01*
+X98673005Y-69010180D01*
+X98638446Y-68991708D01*
+X98608153Y-68966847D01*
+X98583292Y-68936554D01*
+X98564820Y-68901995D01*
+X98553445Y-68864499D01*
+X98549000Y-68819362D01*
+X98549000Y-68180638D01*
+X98553445Y-68135501D01*
+X98564820Y-68098005D01*
+X98583292Y-68063446D01*
+X98608153Y-68033153D01*
+X98638446Y-68008292D01*
+X98673005Y-67989820D01*
+X98710501Y-67978445D01*
+X98755638Y-67974000D01*
+X99494362Y-67974000D01*
+X99539499Y-67978445D01*
+G37*
+G36*
+X163439499Y-67128445D02*
+G01*
+X163476995Y-67139820D01*
+X163511554Y-67158292D01*
+X163541847Y-67183153D01*
+X163566708Y-67213446D01*
+X163585180Y-67248005D01*
+X163596555Y-67285501D01*
+X163601000Y-67330638D01*
+X163601000Y-67969362D01*
+X163596555Y-68014499D01*
+X163585180Y-68051995D01*
+X163566708Y-68086554D01*
+X163541847Y-68116847D01*
+X163511554Y-68141708D01*
+X163476995Y-68160180D01*
+X163439499Y-68171555D01*
+X163394362Y-68176000D01*
+X162655638Y-68176000D01*
+X162610501Y-68171555D01*
+X162573005Y-68160180D01*
+X162538446Y-68141708D01*
+X162508153Y-68116847D01*
+X162483292Y-68086554D01*
+X162464820Y-68051995D01*
+X162453445Y-68014499D01*
+X162449000Y-67969362D01*
+X162449000Y-67330638D01*
+X162453445Y-67285501D01*
+X162464820Y-67248005D01*
+X162483292Y-67213446D01*
+X162508153Y-67183153D01*
+X162538446Y-67158292D01*
+X162573005Y-67139820D01*
+X162610501Y-67128445D01*
+X162655638Y-67124000D01*
+X163394362Y-67124000D01*
+X163439499Y-67128445D01*
+G37*
+G36*
+X167789499Y-67128445D02*
+G01*
+X167826995Y-67139820D01*
+X167861554Y-67158292D01*
+X167891847Y-67183153D01*
+X167916708Y-67213446D01*
+X167935180Y-67248005D01*
+X167946555Y-67285501D01*
+X167951000Y-67330638D01*
+X167951000Y-67969362D01*
+X167946555Y-68014499D01*
+X167935180Y-68051995D01*
+X167916708Y-68086554D01*
+X167891847Y-68116847D01*
+X167861554Y-68141708D01*
+X167826995Y-68160180D01*
+X167789499Y-68171555D01*
+X167744362Y-68176000D01*
+X167005638Y-68176000D01*
+X166960501Y-68171555D01*
+X166923005Y-68160180D01*
+X166888446Y-68141708D01*
+X166858153Y-68116847D01*
+X166833292Y-68086554D01*
+X166814820Y-68051995D01*
+X166803445Y-68014499D01*
+X166799000Y-67969362D01*
+X166799000Y-67330638D01*
+X166803445Y-67285501D01*
+X166814820Y-67248005D01*
+X166833292Y-67213446D01*
+X166858153Y-67183153D01*
+X166888446Y-67158292D01*
+X166923005Y-67139820D01*
+X166960501Y-67128445D01*
+X167005638Y-67124000D01*
+X167744362Y-67124000D01*
+X167789499Y-67128445D01*
+G37*
+G36*
+X166039499Y-67128445D02*
+G01*
+X166076995Y-67139820D01*
+X166111554Y-67158292D01*
+X166141847Y-67183153D01*
+X166166708Y-67213446D01*
+X166185180Y-67248005D01*
+X166196555Y-67285501D01*
+X166201000Y-67330638D01*
+X166201000Y-67969362D01*
+X166196555Y-68014499D01*
+X166185180Y-68051995D01*
+X166166708Y-68086554D01*
+X166141847Y-68116847D01*
+X166111554Y-68141708D01*
+X166076995Y-68160180D01*
+X166039499Y-68171555D01*
+X165994362Y-68176000D01*
+X165255638Y-68176000D01*
+X165210501Y-68171555D01*
+X165173005Y-68160180D01*
+X165138446Y-68141708D01*
+X165108153Y-68116847D01*
+X165083292Y-68086554D01*
+X165064820Y-68051995D01*
+X165053445Y-68014499D01*
+X165049000Y-67969362D01*
+X165049000Y-67330638D01*
+X165053445Y-67285501D01*
+X165064820Y-67248005D01*
+X165083292Y-67213446D01*
+X165108153Y-67183153D01*
+X165138446Y-67158292D01*
+X165173005Y-67139820D01*
+X165210501Y-67128445D01*
+X165255638Y-67124000D01*
+X165994362Y-67124000D01*
+X166039499Y-67128445D01*
+G37*
+G36*
+X161689499Y-67128445D02*
+G01*
+X161726995Y-67139820D01*
+X161761554Y-67158292D01*
+X161791847Y-67183153D01*
+X161816708Y-67213446D01*
+X161835180Y-67248005D01*
+X161846555Y-67285501D01*
+X161851000Y-67330638D01*
+X161851000Y-67969362D01*
+X161846555Y-68014499D01*
+X161835180Y-68051995D01*
+X161816708Y-68086554D01*
+X161791847Y-68116847D01*
+X161761554Y-68141708D01*
+X161726995Y-68160180D01*
+X161689499Y-68171555D01*
+X161644362Y-68176000D01*
+X160905638Y-68176000D01*
+X160860501Y-68171555D01*
+X160823005Y-68160180D01*
+X160788446Y-68141708D01*
+X160758153Y-68116847D01*
+X160733292Y-68086554D01*
+X160714820Y-68051995D01*
+X160703445Y-68014499D01*
+X160699000Y-67969362D01*
+X160699000Y-67330638D01*
+X160703445Y-67285501D01*
+X160714820Y-67248005D01*
+X160733292Y-67213446D01*
+X160758153Y-67183153D01*
+X160788446Y-67158292D01*
+X160823005Y-67139820D01*
+X160860501Y-67128445D01*
+X160905638Y-67124000D01*
+X161644362Y-67124000D01*
+X161689499Y-67128445D01*
+G37*
+G36*
+X117451000Y-67491000D02*
+G01*
+X115649000Y-67491000D01*
+X115649000Y-65689000D01*
+X117451000Y-65689000D01*
+X117451000Y-67491000D01*
+G37*
+G36*
+X110251000Y-67051000D02*
+G01*
+X108549000Y-67051000D01*
+X108549000Y-65349000D01*
+X110251000Y-65349000D01*
+X110251000Y-67051000D01*
+G37*
+G36*
+X159614499Y-65553445D02*
+G01*
+X159651995Y-65564820D01*
+X159686554Y-65583292D01*
+X159716847Y-65608153D01*
+X159741708Y-65638446D01*
+X159760180Y-65673005D01*
+X159771555Y-65710501D01*
+X159776000Y-65755638D01*
+X159776000Y-66494362D01*
+X159771555Y-66539499D01*
+X159760180Y-66576995D01*
+X159741708Y-66611554D01*
+X159716847Y-66641847D01*
+X159686554Y-66666708D01*
+X159651995Y-66685180D01*
+X159614499Y-66696555D01*
+X159569362Y-66701000D01*
+X158930638Y-66701000D01*
+X158885501Y-66696555D01*
+X158848005Y-66685180D01*
+X158813446Y-66666708D01*
+X158783153Y-66641847D01*
+X158758292Y-66611554D01*
+X158739820Y-66576995D01*
+X158728445Y-66539499D01*
+X158724000Y-66494362D01*
+X158724000Y-65755638D01*
+X158728445Y-65710501D01*
+X158739820Y-65673005D01*
+X158758292Y-65638446D01*
+X158783153Y-65608153D01*
+X158813446Y-65583292D01*
+X158848005Y-65564820D01*
+X158885501Y-65553445D01*
+X158930638Y-65549000D01*
+X159569362Y-65549000D01*
+X159614499Y-65553445D01*
+G37*
+G36*
+X103539499Y-65378445D02*
+G01*
+X103576995Y-65389820D01*
+X103611554Y-65408292D01*
+X103641847Y-65433153D01*
+X103666708Y-65463446D01*
+X103685180Y-65498005D01*
+X103696555Y-65535501D01*
+X103701000Y-65580638D01*
+X103701000Y-66219362D01*
+X103696555Y-66264499D01*
+X103685180Y-66301995D01*
+X103666708Y-66336554D01*
+X103641847Y-66366847D01*
+X103611554Y-66391708D01*
+X103576995Y-66410180D01*
+X103539499Y-66421555D01*
+X103494362Y-66426000D01*
+X102755638Y-66426000D01*
+X102710501Y-66421555D01*
+X102673005Y-66410180D01*
+X102638446Y-66391708D01*
+X102608153Y-66366847D01*
+X102583292Y-66336554D01*
+X102564820Y-66301995D01*
+X102553445Y-66264499D01*
+X102549000Y-66219362D01*
+X102549000Y-65580638D01*
+X102553445Y-65535501D01*
+X102564820Y-65498005D01*
+X102583292Y-65463446D01*
+X102608153Y-65433153D01*
+X102638446Y-65408292D01*
+X102673005Y-65389820D01*
+X102710501Y-65378445D01*
+X102755638Y-65374000D01*
+X103494362Y-65374000D01*
+X103539499Y-65378445D01*
+G37*
+G36*
+X105289499Y-65378445D02*
+G01*
+X105326995Y-65389820D01*
+X105361554Y-65408292D01*
+X105391847Y-65433153D01*
+X105416708Y-65463446D01*
+X105435180Y-65498005D01*
+X105446555Y-65535501D01*
+X105451000Y-65580638D01*
+X105451000Y-66219362D01*
+X105446555Y-66264499D01*
+X105435180Y-66301995D01*
+X105416708Y-66336554D01*
+X105391847Y-66366847D01*
+X105361554Y-66391708D01*
+X105326995Y-66410180D01*
+X105289499Y-66421555D01*
+X105244362Y-66426000D01*
+X104505638Y-66426000D01*
+X104460501Y-66421555D01*
+X104423005Y-66410180D01*
+X104388446Y-66391708D01*
+X104358153Y-66366847D01*
+X104333292Y-66336554D01*
+X104314820Y-66301995D01*
+X104303445Y-66264499D01*
+X104299000Y-66219362D01*
+X104299000Y-65580638D01*
+X104303445Y-65535501D01*
+X104314820Y-65498005D01*
+X104333292Y-65463446D01*
+X104358153Y-65433153D01*
+X104388446Y-65408292D01*
+X104423005Y-65389820D01*
+X104460501Y-65378445D01*
+X104505638Y-65374000D01*
+X105244362Y-65374000D01*
+X105289499Y-65378445D01*
+G37*
+G36*
+X130283642Y-63709781D02*
+G01*
+X130429414Y-63770162D01*
+X130429416Y-63770163D01*
+X130560608Y-63857822D01*
+X130672178Y-63969392D01*
+X130751317Y-64087833D01*
+X130759838Y-64100586D01*
+X130820219Y-64246358D01*
+X130851000Y-64401107D01*
+X130851000Y-64558893D01*
+X130820219Y-64713642D01*
+X130773266Y-64826995D01*
+X130759837Y-64859416D01*
+X130672178Y-64990608D01*
+X130560608Y-65102178D01*
+X130429416Y-65189837D01*
+X130429415Y-65189838D01*
+X130429414Y-65189838D01*
+X130283642Y-65250219D01*
+X130128893Y-65281000D01*
+X129971107Y-65281000D01*
+X129816358Y-65250219D01*
+X129670586Y-65189838D01*
+X129670585Y-65189838D01*
+X129670584Y-65189837D01*
+X129539392Y-65102178D01*
+X129427822Y-64990608D01*
+X129340163Y-64859416D01*
+X129326734Y-64826995D01*
+X129279781Y-64713642D01*
+X129249000Y-64558893D01*
+X129249000Y-64401107D01*
+X129279781Y-64246358D01*
+X129340162Y-64100586D01*
+X129348683Y-64087833D01*
+X129427822Y-63969392D01*
+X129539392Y-63857822D01*
+X129670584Y-63770163D01*
+X129670586Y-63770162D01*
+X129816358Y-63709781D01*
+X129971107Y-63679000D01*
+X130128893Y-63679000D01*
+X130283642Y-63709781D01*
+G37*
+G36*
+X132323642Y-63709781D02*
+G01*
+X132469414Y-63770162D01*
+X132469416Y-63770163D01*
+X132600608Y-63857822D01*
+X132712178Y-63969392D01*
+X132791317Y-64087833D01*
+X132799838Y-64100586D01*
+X132860219Y-64246358D01*
+X132891000Y-64401107D01*
+X132891000Y-64558893D01*
+X132860219Y-64713642D01*
+X132813266Y-64826995D01*
+X132799837Y-64859416D01*
+X132712178Y-64990608D01*
+X132600608Y-65102178D01*
+X132469416Y-65189837D01*
+X132469415Y-65189838D01*
+X132469414Y-65189838D01*
+X132323642Y-65250219D01*
+X132168893Y-65281000D01*
+X132011107Y-65281000D01*
+X131856358Y-65250219D01*
+X131710586Y-65189838D01*
+X131710585Y-65189838D01*
+X131710584Y-65189837D01*
+X131579392Y-65102178D01*
+X131467822Y-64990608D01*
+X131380163Y-64859416D01*
+X131366734Y-64826995D01*
+X131319781Y-64713642D01*
+X131289000Y-64558893D01*
+X131289000Y-64401107D01*
+X131319781Y-64246358D01*
+X131380162Y-64100586D01*
+X131388683Y-64087833D01*
+X131467822Y-63969392D01*
+X131579392Y-63857822D01*
+X131710584Y-63770163D01*
+X131710586Y-63770162D01*
+X131856358Y-63709781D01*
+X132011107Y-63679000D01*
+X132168893Y-63679000D01*
+X132323642Y-63709781D01*
+G37*
+G36*
+X128243642Y-63709781D02*
+G01*
+X128389414Y-63770162D01*
+X128389416Y-63770163D01*
+X128520608Y-63857822D01*
+X128632178Y-63969392D01*
+X128711317Y-64087833D01*
+X128719838Y-64100586D01*
+X128780219Y-64246358D01*
+X128811000Y-64401107D01*
+X128811000Y-64558893D01*
+X128780219Y-64713642D01*
+X128733266Y-64826995D01*
+X128719837Y-64859416D01*
+X128632178Y-64990608D01*
+X128520608Y-65102178D01*
+X128389416Y-65189837D01*
+X128389415Y-65189838D01*
+X128389414Y-65189838D01*
+X128243642Y-65250219D01*
+X128088893Y-65281000D01*
+X127931107Y-65281000D01*
+X127776358Y-65250219D01*
+X127630586Y-65189838D01*
+X127630585Y-65189838D01*
+X127630584Y-65189837D01*
+X127499392Y-65102178D01*
+X127387822Y-64990608D01*
+X127300163Y-64859416D01*
+X127286734Y-64826995D01*
+X127239781Y-64713642D01*
+X127209000Y-64558893D01*
+X127209000Y-64401107D01*
+X127239781Y-64246358D01*
+X127300162Y-64100586D01*
+X127308683Y-64087833D01*
+X127387822Y-63969392D01*
+X127499392Y-63857822D01*
+X127630584Y-63770163D01*
+X127630586Y-63770162D01*
+X127776358Y-63709781D01*
+X127931107Y-63679000D01*
+X128088893Y-63679000D01*
+X128243642Y-63709781D01*
+G37*
+G36*
+X125751000Y-63554558D02*
+G01*
+X125753402Y-63578944D01*
+X125760515Y-63602393D01*
+X125772066Y-63624004D01*
+X125787611Y-63642946D01*
+X125806553Y-63658491D01*
+X125828164Y-63670042D01*
+X125851613Y-63677155D01*
+X125875999Y-63679557D01*
+X125881649Y-63679000D01*
+X126048893Y-63679000D01*
+X126203642Y-63709781D01*
+X126349414Y-63770162D01*
+X126349416Y-63770163D01*
+X126480608Y-63857822D01*
+X126592178Y-63969392D01*
+X126671317Y-64087833D01*
+X126679838Y-64100586D01*
+X126740219Y-64246358D01*
+X126771000Y-64401107D01*
+X126771000Y-64558893D01*
+X126740219Y-64713642D01*
+X126693266Y-64826995D01*
+X126679837Y-64859416D01*
+X126592178Y-64990608D01*
+X126480608Y-65102178D01*
+X126349416Y-65189837D01*
+X126349415Y-65189838D01*
+X126349414Y-65189838D01*
+X126203642Y-65250219D01*
+X126048893Y-65281000D01*
+X125891107Y-65281000D01*
+X125736358Y-65250219D01*
+X125590586Y-65189838D01*
+X125590585Y-65189838D01*
+X125590584Y-65189837D01*
+X125459392Y-65102178D01*
+X125347822Y-64990608D01*
+X125260163Y-64859416D01*
+X125246734Y-64826995D01*
+X125199781Y-64713642D01*
+X125169000Y-64558893D01*
+X125169000Y-64401107D01*
+X125199781Y-64246358D01*
+X125260162Y-64100586D01*
+X125268683Y-64087833D01*
+X125347822Y-63969392D01*
+X125459392Y-63857822D01*
+X125590584Y-63770163D01*
+X125590586Y-63770162D01*
+X125659823Y-63741483D01*
+X125681434Y-63729932D01*
+X125700376Y-63714387D01*
+X125715921Y-63695445D01*
+X125727472Y-63673834D01*
+X125734585Y-63650385D01*
+X125736987Y-63625999D01*
+X125734585Y-63601613D01*
+X125727472Y-63578164D01*
+X125715921Y-63556553D01*
+X125700376Y-63537611D01*
+X125681434Y-63522066D01*
+X125659823Y-63510515D01*
+X125636374Y-63503402D01*
+X125611988Y-63501000D01*
+X124149000Y-63501000D01*
+X124149000Y-61899000D01*
+X125751000Y-61899000D01*
+X125751000Y-63554558D01*
+G37*
+G36*
+X148093642Y-63709781D02*
+G01*
+X148239414Y-63770162D01*
+X148239416Y-63770163D01*
+X148370608Y-63857822D01*
+X148482178Y-63969392D01*
+X148561317Y-64087833D01*
+X148569838Y-64100586D01*
+X148630219Y-64246358D01*
+X148661000Y-64401107D01*
+X148661000Y-64558893D01*
+X148630219Y-64713642D01*
+X148583266Y-64826995D01*
+X148569837Y-64859416D01*
+X148482178Y-64990608D01*
+X148370608Y-65102178D01*
+X148239416Y-65189837D01*
+X148239415Y-65189838D01*
+X148239414Y-65189838D01*
+X148093642Y-65250219D01*
+X147938893Y-65281000D01*
+X147781107Y-65281000D01*
+X147626358Y-65250219D01*
+X147480586Y-65189838D01*
+X147480585Y-65189838D01*
+X147480584Y-65189837D01*
+X147349392Y-65102178D01*
+X147237822Y-64990608D01*
+X147150163Y-64859416D01*
+X147136734Y-64826995D01*
+X147089781Y-64713642D01*
+X147059000Y-64558893D01*
+X147059000Y-64401107D01*
+X147089781Y-64246358D01*
+X147150162Y-64100586D01*
+X147158683Y-64087833D01*
+X147237822Y-63969392D01*
+X147349392Y-63857822D01*
+X147480584Y-63770163D01*
+X147480586Y-63770162D01*
+X147626358Y-63709781D01*
+X147781107Y-63679000D01*
+X147938893Y-63679000D01*
+X148093642Y-63709781D01*
+G37*
+G36*
+X152173642Y-63709781D02*
+G01*
+X152319414Y-63770162D01*
+X152319416Y-63770163D01*
+X152450608Y-63857822D01*
+X152562178Y-63969392D01*
+X152641317Y-64087833D01*
+X152649838Y-64100586D01*
+X152710219Y-64246358D01*
+X152741000Y-64401107D01*
+X152741000Y-64558893D01*
+X152710219Y-64713642D01*
+X152663266Y-64826995D01*
+X152649837Y-64859416D01*
+X152562178Y-64990608D01*
+X152450608Y-65102178D01*
+X152319416Y-65189837D01*
+X152319415Y-65189838D01*
+X152319414Y-65189838D01*
+X152173642Y-65250219D01*
+X152018893Y-65281000D01*
+X151861107Y-65281000D01*
+X151706358Y-65250219D01*
+X151560586Y-65189838D01*
+X151560585Y-65189838D01*
+X151560584Y-65189837D01*
+X151429392Y-65102178D01*
+X151317822Y-64990608D01*
+X151230163Y-64859416D01*
+X151216734Y-64826995D01*
+X151169781Y-64713642D01*
+X151139000Y-64558893D01*
+X151139000Y-64401107D01*
+X151169781Y-64246358D01*
+X151230162Y-64100586D01*
+X151238683Y-64087833D01*
+X151317822Y-63969392D01*
+X151429392Y-63857822D01*
+X151560584Y-63770163D01*
+X151560586Y-63770162D01*
+X151706358Y-63709781D01*
+X151861107Y-63679000D01*
+X152018893Y-63679000D01*
+X152173642Y-63709781D01*
+G37*
+G36*
+X150133642Y-63709781D02*
+G01*
+X150279414Y-63770162D01*
+X150279416Y-63770163D01*
+X150410608Y-63857822D01*
+X150522178Y-63969392D01*
+X150601317Y-64087833D01*
+X150609838Y-64100586D01*
+X150670219Y-64246358D01*
+X150701000Y-64401107D01*
+X150701000Y-64558893D01*
+X150670219Y-64713642D01*
+X150623266Y-64826995D01*
+X150609837Y-64859416D01*
+X150522178Y-64990608D01*
+X150410608Y-65102178D01*
+X150279416Y-65189837D01*
+X150279415Y-65189838D01*
+X150279414Y-65189838D01*
+X150133642Y-65250219D01*
+X149978893Y-65281000D01*
+X149821107Y-65281000D01*
+X149666358Y-65250219D01*
+X149520586Y-65189838D01*
+X149520585Y-65189838D01*
+X149520584Y-65189837D01*
+X149389392Y-65102178D01*
+X149277822Y-64990608D01*
+X149190163Y-64859416D01*
+X149176734Y-64826995D01*
+X149129781Y-64713642D01*
+X149099000Y-64558893D01*
+X149099000Y-64401107D01*
+X149129781Y-64246358D01*
+X149190162Y-64100586D01*
+X149198683Y-64087833D01*
+X149277822Y-63969392D01*
+X149389392Y-63857822D01*
+X149520584Y-63770163D01*
+X149520586Y-63770162D01*
+X149666358Y-63709781D01*
+X149821107Y-63679000D01*
+X149978893Y-63679000D01*
+X150133642Y-63709781D01*
+G37*
+G36*
+X145601000Y-63554558D02*
+G01*
+X145603402Y-63578944D01*
+X145610515Y-63602393D01*
+X145622066Y-63624004D01*
+X145637611Y-63642946D01*
+X145656553Y-63658491D01*
+X145678164Y-63670042D01*
+X145701613Y-63677155D01*
+X145725999Y-63679557D01*
+X145731649Y-63679000D01*
+X145898893Y-63679000D01*
+X146053642Y-63709781D01*
+X146199414Y-63770162D01*
+X146199416Y-63770163D01*
+X146330608Y-63857822D01*
+X146442178Y-63969392D01*
+X146521317Y-64087833D01*
+X146529838Y-64100586D01*
+X146590219Y-64246358D01*
+X146621000Y-64401107D01*
+X146621000Y-64558893D01*
+X146590219Y-64713642D01*
+X146543266Y-64826995D01*
+X146529837Y-64859416D01*
+X146442178Y-64990608D01*
+X146330608Y-65102178D01*
+X146199416Y-65189837D01*
+X146199415Y-65189838D01*
+X146199414Y-65189838D01*
+X146053642Y-65250219D01*
+X145898893Y-65281000D01*
+X145741107Y-65281000D01*
+X145586358Y-65250219D01*
+X145440586Y-65189838D01*
+X145440585Y-65189838D01*
+X145440584Y-65189837D01*
+X145309392Y-65102178D01*
+X145197822Y-64990608D01*
+X145110163Y-64859416D01*
+X145096734Y-64826995D01*
+X145049781Y-64713642D01*
+X145019000Y-64558893D01*
+X145019000Y-64401107D01*
+X145049781Y-64246358D01*
+X145110162Y-64100586D01*
+X145118683Y-64087833D01*
+X145197822Y-63969392D01*
+X145309392Y-63857822D01*
+X145440584Y-63770163D01*
+X145440586Y-63770162D01*
+X145509823Y-63741483D01*
+X145531434Y-63729932D01*
+X145550376Y-63714387D01*
+X145565921Y-63695445D01*
+X145577472Y-63673834D01*
+X145584585Y-63650385D01*
+X145586987Y-63625999D01*
+X145584585Y-63601613D01*
+X145577472Y-63578164D01*
+X145565921Y-63556553D01*
+X145550376Y-63537611D01*
+X145531434Y-63522066D01*
+X145509823Y-63510515D01*
+X145486374Y-63503402D01*
+X145461988Y-63501000D01*
+X143999000Y-63501000D01*
+X143999000Y-61899000D01*
+X145601000Y-61899000D01*
+X145601000Y-63554558D01*
+G37*
+G36*
+X116663512Y-63153927D02*
+G01*
+X116812812Y-63183624D01*
+X116976784Y-63251544D01*
+X117124354Y-63350147D01*
+X117249853Y-63475646D01*
+X117348456Y-63623216D01*
+X117416376Y-63787188D01*
+X117438132Y-63896565D01*
+X117450871Y-63960607D01*
+X117451000Y-63961259D01*
+X117451000Y-64138741D01*
+X117416376Y-64312812D01*
+X117348456Y-64476784D01*
+X117249853Y-64624354D01*
+X117124354Y-64749853D01*
+X116976784Y-64848456D01*
+X116812812Y-64916376D01*
+X116664145Y-64945947D01*
+X116638742Y-64951000D01*
+X116461258Y-64951000D01*
+X116435855Y-64945947D01*
+X116287188Y-64916376D01*
+X116123216Y-64848456D01*
+X115975646Y-64749853D01*
+X115850147Y-64624354D01*
+X115751544Y-64476784D01*
+X115683624Y-64312812D01*
+X115649000Y-64138741D01*
+X115649000Y-63961259D01*
+X115649130Y-63960607D01*
+X115661868Y-63896565D01*
+X115683624Y-63787188D01*
+X115751544Y-63623216D01*
+X115850147Y-63475646D01*
+X115975646Y-63350147D01*
+X116123216Y-63251544D01*
+X116287188Y-63183624D01*
+X116436488Y-63153927D01*
+X116461258Y-63149000D01*
+X116638742Y-63149000D01*
+X116663512Y-63153927D01*
+G37*
+G36*
+X159614499Y-63803445D02*
+G01*
+X159651995Y-63814820D01*
+X159686554Y-63833292D01*
+X159716847Y-63858153D01*
+X159741708Y-63888446D01*
+X159760180Y-63923005D01*
+X159771555Y-63960501D01*
+X159776000Y-64005638D01*
+X159776000Y-64744362D01*
+X159771555Y-64789499D01*
+X159760180Y-64826995D01*
+X159741708Y-64861554D01*
+X159716847Y-64891847D01*
+X159686554Y-64916708D01*
+X159651995Y-64935180D01*
+X159614499Y-64946555D01*
+X159569362Y-64951000D01*
+X158930638Y-64951000D01*
+X158885501Y-64946555D01*
+X158848005Y-64935180D01*
+X158813446Y-64916708D01*
+X158783153Y-64891847D01*
+X158758292Y-64861554D01*
+X158739820Y-64826995D01*
+X158728445Y-64789499D01*
+X158724000Y-64744362D01*
+X158724000Y-64005638D01*
+X158728445Y-63960501D01*
+X158739820Y-63923005D01*
+X158758292Y-63888446D01*
+X158783153Y-63858153D01*
+X158813446Y-63833292D01*
+X158848005Y-63814820D01*
+X158885501Y-63803445D01*
+X158930638Y-63799000D01*
+X159569362Y-63799000D01*
+X159614499Y-63803445D01*
+G37*
+G36*
+X156796564Y-62579389D02*
+G01*
+X156987833Y-62658615D01*
+X156987835Y-62658616D01*
+X157159973Y-62773635D01*
+X157306365Y-62920027D01*
+X157421385Y-63092167D01*
+X157500611Y-63283436D01*
+X157541000Y-63486484D01*
+X157541000Y-63693516D01*
+X157500611Y-63896564D01*
+X157432085Y-64062000D01*
+X157421384Y-64087835D01*
+X157306365Y-64259973D01*
+X157159973Y-64406365D01*
+X156987835Y-64521384D01*
+X156987834Y-64521385D01*
+X156987833Y-64521385D01*
+X156796564Y-64600611D01*
+X156593516Y-64641000D01*
+X156386484Y-64641000D01*
+X156183436Y-64600611D01*
+X155992167Y-64521385D01*
+X155992166Y-64521385D01*
+X155992165Y-64521384D01*
+X155820027Y-64406365D01*
+X155673635Y-64259973D01*
+X155558616Y-64087835D01*
+X155547915Y-64062000D01*
+X155479389Y-63896564D01*
+X155439000Y-63693516D01*
+X155439000Y-63486484D01*
+X155479389Y-63283436D01*
+X155558615Y-63092167D01*
+X155673635Y-62920027D01*
+X155820027Y-62773635D01*
+X155992165Y-62658616D01*
+X155992167Y-62658615D01*
+X156183436Y-62579389D01*
+X156386484Y-62539000D01*
+X156593516Y-62539000D01*
+X156796564Y-62579389D01*
+G37*
+G36*
+X140536564Y-62579389D02*
+G01*
+X140727833Y-62658615D01*
+X140727835Y-62658616D01*
+X140899973Y-62773635D01*
+X141046365Y-62920027D01*
+X141161385Y-63092167D01*
+X141240611Y-63283436D01*
+X141281000Y-63486484D01*
+X141281000Y-63693516D01*
+X141240611Y-63896564D01*
+X141172085Y-64062000D01*
+X141161384Y-64087835D01*
+X141046365Y-64259973D01*
+X140899973Y-64406365D01*
+X140727835Y-64521384D01*
+X140727834Y-64521385D01*
+X140727833Y-64521385D01*
+X140536564Y-64600611D01*
+X140333516Y-64641000D01*
+X140126484Y-64641000D01*
+X139923436Y-64600611D01*
+X139732167Y-64521385D01*
+X139732166Y-64521385D01*
+X139732165Y-64521384D01*
+X139560027Y-64406365D01*
+X139413635Y-64259973D01*
+X139298616Y-64087835D01*
+X139287915Y-64062000D01*
+X139219389Y-63896564D01*
+X139179000Y-63693516D01*
+X139179000Y-63486484D01*
+X139219389Y-63283436D01*
+X139298615Y-63092167D01*
+X139413635Y-62920027D01*
+X139560027Y-62773635D01*
+X139732165Y-62658616D01*
+X139732167Y-62658615D01*
+X139923436Y-62579389D01*
+X140126484Y-62539000D01*
+X140333516Y-62539000D01*
+X140536564Y-62579389D01*
+G37*
+G36*
+X136946564Y-62579389D02*
+G01*
+X137137833Y-62658615D01*
+X137137835Y-62658616D01*
+X137309973Y-62773635D01*
+X137456365Y-62920027D01*
+X137571385Y-63092167D01*
+X137650611Y-63283436D01*
+X137691000Y-63486484D01*
+X137691000Y-63693516D01*
+X137650611Y-63896564D01*
+X137582085Y-64062000D01*
+X137571384Y-64087835D01*
+X137456365Y-64259973D01*
+X137309973Y-64406365D01*
+X137137835Y-64521384D01*
+X137137834Y-64521385D01*
+X137137833Y-64521385D01*
+X136946564Y-64600611D01*
+X136743516Y-64641000D01*
+X136536484Y-64641000D01*
+X136333436Y-64600611D01*
+X136142167Y-64521385D01*
+X136142166Y-64521385D01*
+X136142165Y-64521384D01*
+X135970027Y-64406365D01*
+X135823635Y-64259973D01*
+X135708616Y-64087835D01*
+X135697915Y-64062000D01*
+X135629389Y-63896564D01*
+X135589000Y-63693516D01*
+X135589000Y-63486484D01*
+X135629389Y-63283436D01*
+X135708615Y-63092167D01*
+X135823635Y-62920027D01*
+X135970027Y-62773635D01*
+X136142165Y-62658616D01*
+X136142167Y-62658615D01*
+X136333436Y-62579389D01*
+X136536484Y-62539000D01*
+X136743516Y-62539000D01*
+X136946564Y-62579389D01*
+G37*
+G36*
+X120686564Y-62579389D02*
+G01*
+X120877833Y-62658615D01*
+X120877835Y-62658616D01*
+X121049973Y-62773635D01*
+X121196365Y-62920027D01*
+X121311385Y-63092167D01*
+X121390611Y-63283436D01*
+X121431000Y-63486484D01*
+X121431000Y-63693516D01*
+X121390611Y-63896564D01*
+X121322085Y-64062000D01*
+X121311384Y-64087835D01*
+X121196365Y-64259973D01*
+X121049973Y-64406365D01*
+X120877835Y-64521384D01*
+X120877834Y-64521385D01*
+X120877833Y-64521385D01*
+X120686564Y-64600611D01*
+X120483516Y-64641000D01*
+X120276484Y-64641000D01*
+X120073436Y-64600611D01*
+X119882167Y-64521385D01*
+X119882166Y-64521385D01*
+X119882165Y-64521384D01*
+X119710027Y-64406365D01*
+X119563635Y-64259973D01*
+X119448616Y-64087835D01*
+X119437915Y-64062000D01*
+X119369389Y-63896564D01*
+X119329000Y-63693516D01*
+X119329000Y-63486484D01*
+X119369389Y-63283436D01*
+X119448615Y-63092167D01*
+X119563635Y-62920027D01*
+X119710027Y-62773635D01*
+X119882165Y-62658616D01*
+X119882167Y-62658615D01*
+X120073436Y-62579389D01*
+X120276484Y-62539000D01*
+X120483516Y-62539000D01*
+X120686564Y-62579389D01*
+G37*
+G36*
+X102501000Y-64351000D02*
+G01*
+X100899000Y-64351000D01*
+X100899000Y-62249000D01*
+X102501000Y-62249000D01*
+X102501000Y-64351000D01*
+G37*
+G36*
+X104801000Y-64351000D02*
+G01*
+X103199000Y-64351000D01*
+X103199000Y-62249000D01*
+X104801000Y-62249000D01*
+X104801000Y-64351000D01*
+G37*
+G36*
+X107101000Y-64351000D02*
+G01*
+X105499000Y-64351000D01*
+X105499000Y-62249000D01*
+X107101000Y-62249000D01*
+X107101000Y-64351000D01*
+G37*
+G36*
+X98983642Y-62679781D02*
+G01*
+X99129414Y-62740162D01*
+X99129416Y-62740163D01*
+X99260608Y-62827822D01*
+X99372178Y-62939392D01*
+X99429843Y-63025695D01*
+X99459838Y-63070586D01*
+X99520219Y-63216358D01*
+X99551000Y-63371107D01*
+X99551000Y-63528893D01*
+X99520219Y-63683642D01*
+X99477328Y-63787189D01*
+X99459837Y-63829416D01*
+X99372178Y-63960608D01*
+X99260608Y-64072178D01*
+X99129416Y-64159837D01*
+X99129415Y-64159838D01*
+X99129414Y-64159838D01*
+X98983642Y-64220219D01*
+X98828893Y-64251000D01*
+X98671107Y-64251000D01*
+X98516358Y-64220219D01*
+X98370586Y-64159838D01*
+X98370585Y-64159838D01*
+X98370584Y-64159837D01*
+X98239392Y-64072178D01*
+X98127822Y-63960608D01*
+X98040163Y-63829416D01*
+X98022672Y-63787189D01*
+X97979781Y-63683642D01*
+X97949000Y-63528893D01*
+X97949000Y-63371107D01*
+X97979781Y-63216358D01*
+X98040162Y-63070586D01*
+X98070157Y-63025695D01*
+X98127822Y-62939392D01*
+X98239392Y-62827822D01*
+X98370584Y-62740163D01*
+X98370586Y-62740162D01*
+X98516358Y-62679781D01*
+X98671107Y-62649000D01*
+X98828893Y-62649000D01*
+X98983642Y-62679781D01*
+G37*
+G36*
+X166181632Y-56104677D02*
+G01*
+X166487005Y-56231167D01*
+X166918868Y-56410050D01*
+X167582362Y-56853383D01*
+X168146617Y-57417638D01*
+X168589950Y-58081132D01*
+X168720226Y-58395647D01*
+X168895323Y-58818368D01*
+X169051000Y-59601010D01*
+X169051000Y-60398990D01*
+X168895323Y-61181632D01*
+X168796066Y-61421258D01*
+X168589950Y-61918868D01*
+X168146617Y-62582362D01*
+X167582362Y-63146617D01*
+X166918868Y-63589950D01*
+X166553034Y-63741483D01*
+X166181632Y-63895323D01*
+X165398990Y-64051000D01*
+X164601010Y-64051000D01*
+X163818368Y-63895323D01*
+X163446966Y-63741483D01*
+X163081132Y-63589950D01*
+X162417638Y-63146617D01*
+X161853383Y-62582362D01*
+X161410050Y-61918868D01*
+X161203934Y-61421258D01*
+X161104677Y-61181632D01*
+X160949000Y-60398990D01*
+X160949000Y-59601010D01*
+X161104677Y-58818368D01*
+X161279774Y-58395647D01*
+X161410050Y-58081132D01*
+X161853383Y-57417638D01*
+X162417638Y-56853383D01*
+X163081132Y-56410050D01*
+X163512995Y-56231167D01*
+X163818368Y-56104677D01*
+X164601010Y-55949000D01*
+X165398990Y-55949000D01*
+X166181632Y-56104677D01*
+G37*
+G36*
+X76181632Y-56104677D02*
+G01*
+X76487005Y-56231167D01*
+X76918868Y-56410050D01*
+X77582362Y-56853383D01*
+X78146617Y-57417638D01*
+X78589950Y-58081132D01*
+X78720226Y-58395647D01*
+X78895323Y-58818368D01*
+X79051000Y-59601010D01*
+X79051000Y-60398990D01*
+X78895323Y-61181632D01*
+X78796066Y-61421258D01*
+X78589950Y-61918868D01*
+X78146617Y-62582362D01*
+X77582362Y-63146617D01*
+X76918868Y-63589950D01*
+X76553034Y-63741483D01*
+X76181632Y-63895323D01*
+X75398990Y-64051000D01*
+X74601010Y-64051000D01*
+X73818368Y-63895323D01*
+X73446966Y-63741483D01*
+X73081132Y-63589950D01*
+X72417638Y-63146617D01*
+X71853383Y-62582362D01*
+X71410050Y-61918868D01*
+X71203934Y-61421258D01*
+X71104677Y-61181632D01*
+X70949000Y-60398990D01*
+X70949000Y-59601010D01*
+X71104677Y-58818368D01*
+X71279774Y-58395647D01*
+X71410050Y-58081132D01*
+X71853383Y-57417638D01*
+X72417638Y-56853383D01*
+X73081132Y-56410050D01*
+X73512995Y-56231167D01*
+X73818368Y-56104677D01*
+X74601010Y-55949000D01*
+X75398990Y-55949000D01*
+X76181632Y-56104677D01*
+G37*
+G36*
+X127223642Y-61929781D02*
+G01*
+X127369414Y-61990162D01*
+X127369416Y-61990163D01*
+X127500608Y-62077822D01*
+X127612178Y-62189392D01*
+X127656704Y-62256031D01*
+X127699838Y-62320586D01*
+X127760219Y-62466358D01*
+X127791000Y-62621107D01*
+X127791000Y-62778893D01*
+X127760219Y-62933642D01*
+X127699838Y-63079414D01*
+X127699837Y-63079416D01*
+X127612178Y-63210608D01*
+X127500608Y-63322178D01*
+X127369416Y-63409837D01*
+X127369415Y-63409838D01*
+X127369414Y-63409838D01*
+X127223642Y-63470219D01*
+X127068893Y-63501000D01*
+X126911107Y-63501000D01*
+X126756358Y-63470219D01*
+X126610586Y-63409838D01*
+X126610585Y-63409838D01*
+X126610584Y-63409837D01*
+X126479392Y-63322178D01*
+X126367822Y-63210608D01*
+X126280163Y-63079416D01*
+X126280162Y-63079414D01*
+X126219781Y-62933642D01*
+X126189000Y-62778893D01*
+X126189000Y-62621107D01*
+X126219781Y-62466358D01*
+X126280162Y-62320586D01*
+X126323296Y-62256031D01*
+X126367822Y-62189392D01*
+X126479392Y-62077822D01*
+X126610584Y-61990163D01*
+X126610586Y-61990162D01*
+X126756358Y-61929781D01*
+X126911107Y-61899000D01*
+X127068893Y-61899000D01*
+X127223642Y-61929781D01*
+G37*
+G36*
+X129263642Y-61929781D02*
+G01*
+X129409414Y-61990162D01*
+X129409416Y-61990163D01*
+X129540608Y-62077822D01*
+X129652178Y-62189392D01*
+X129696704Y-62256031D01*
+X129739838Y-62320586D01*
+X129800219Y-62466358D01*
+X129831000Y-62621107D01*
+X129831000Y-62778893D01*
+X129800219Y-62933642D01*
+X129739838Y-63079414D01*
+X129739837Y-63079416D01*
+X129652178Y-63210608D01*
+X129540608Y-63322178D01*
+X129409416Y-63409837D01*
+X129409415Y-63409838D01*
+X129409414Y-63409838D01*
+X129263642Y-63470219D01*
+X129108893Y-63501000D01*
+X128951107Y-63501000D01*
+X128796358Y-63470219D01*
+X128650586Y-63409838D01*
+X128650585Y-63409838D01*
+X128650584Y-63409837D01*
+X128519392Y-63322178D01*
+X128407822Y-63210608D01*
+X128320163Y-63079416D01*
+X128320162Y-63079414D01*
+X128259781Y-62933642D01*
+X128229000Y-62778893D01*
+X128229000Y-62621107D01*
+X128259781Y-62466358D01*
+X128320162Y-62320586D01*
+X128363296Y-62256031D01*
+X128407822Y-62189392D01*
+X128519392Y-62077822D01*
+X128650584Y-61990163D01*
+X128650586Y-61990162D01*
+X128796358Y-61929781D01*
+X128951107Y-61899000D01*
+X129108893Y-61899000D01*
+X129263642Y-61929781D01*
+G37*
+G36*
+X151153642Y-61929781D02*
+G01*
+X151299414Y-61990162D01*
+X151299416Y-61990163D01*
+X151430608Y-62077822D01*
+X151542178Y-62189392D01*
+X151586704Y-62256031D01*
+X151629838Y-62320586D01*
+X151690219Y-62466358D01*
+X151721000Y-62621107D01*
+X151721000Y-62778893D01*
+X151690219Y-62933642D01*
+X151629838Y-63079414D01*
+X151629837Y-63079416D01*
+X151542178Y-63210608D01*
+X151430608Y-63322178D01*
+X151299416Y-63409837D01*
+X151299415Y-63409838D01*
+X151299414Y-63409838D01*
+X151153642Y-63470219D01*
+X150998893Y-63501000D01*
+X150841107Y-63501000D01*
+X150686358Y-63470219D01*
+X150540586Y-63409838D01*
+X150540585Y-63409838D01*
+X150540584Y-63409837D01*
+X150409392Y-63322178D01*
+X150297822Y-63210608D01*
+X150210163Y-63079416D01*
+X150210162Y-63079414D01*
+X150149781Y-62933642D01*
+X150119000Y-62778893D01*
+X150119000Y-62621107D01*
+X150149781Y-62466358D01*
+X150210162Y-62320586D01*
+X150253296Y-62256031D01*
+X150297822Y-62189392D01*
+X150409392Y-62077822D01*
+X150540584Y-61990163D01*
+X150540586Y-61990162D01*
+X150686358Y-61929781D01*
+X150841107Y-61899000D01*
+X150998893Y-61899000D01*
+X151153642Y-61929781D01*
+G37*
+G36*
+X149113642Y-61929781D02*
+G01*
+X149259414Y-61990162D01*
+X149259416Y-61990163D01*
+X149390608Y-62077822D01*
+X149502178Y-62189392D01*
+X149546704Y-62256031D01*
+X149589838Y-62320586D01*
+X149650219Y-62466358D01*
+X149681000Y-62621107D01*
+X149681000Y-62778893D01*
+X149650219Y-62933642D01*
+X149589838Y-63079414D01*
+X149589837Y-63079416D01*
+X149502178Y-63210608D01*
+X149390608Y-63322178D01*
+X149259416Y-63409837D01*
+X149259415Y-63409838D01*
+X149259414Y-63409838D01*
+X149113642Y-63470219D01*
+X148958893Y-63501000D01*
+X148801107Y-63501000D01*
+X148646358Y-63470219D01*
+X148500586Y-63409838D01*
+X148500585Y-63409838D01*
+X148500584Y-63409837D01*
+X148369392Y-63322178D01*
+X148257822Y-63210608D01*
+X148170163Y-63079416D01*
+X148170162Y-63079414D01*
+X148109781Y-62933642D01*
+X148079000Y-62778893D01*
+X148079000Y-62621107D01*
+X148109781Y-62466358D01*
+X148170162Y-62320586D01*
+X148213296Y-62256031D01*
+X148257822Y-62189392D01*
+X148369392Y-62077822D01*
+X148500584Y-61990163D01*
+X148500586Y-61990162D01*
+X148646358Y-61929781D01*
+X148801107Y-61899000D01*
+X148958893Y-61899000D01*
+X149113642Y-61929781D01*
+G37*
+G36*
+X147073642Y-61929781D02*
+G01*
+X147219414Y-61990162D01*
+X147219416Y-61990163D01*
+X147350608Y-62077822D01*
+X147462178Y-62189392D01*
+X147506704Y-62256031D01*
+X147549838Y-62320586D01*
+X147610219Y-62466358D01*
+X147641000Y-62621107D01*
+X147641000Y-62778893D01*
+X147610219Y-62933642D01*
+X147549838Y-63079414D01*
+X147549837Y-63079416D01*
+X147462178Y-63210608D01*
+X147350608Y-63322178D01*
+X147219416Y-63409837D01*
+X147219415Y-63409838D01*
+X147219414Y-63409838D01*
+X147073642Y-63470219D01*
+X146918893Y-63501000D01*
+X146761107Y-63501000D01*
+X146606358Y-63470219D01*
+X146460586Y-63409838D01*
+X146460585Y-63409838D01*
+X146460584Y-63409837D01*
+X146329392Y-63322178D01*
+X146217822Y-63210608D01*
+X146130163Y-63079416D01*
+X146130162Y-63079414D01*
+X146069781Y-62933642D01*
+X146039000Y-62778893D01*
+X146039000Y-62621107D01*
+X146069781Y-62466358D01*
+X146130162Y-62320586D01*
+X146173296Y-62256031D01*
+X146217822Y-62189392D01*
+X146329392Y-62077822D01*
+X146460584Y-61990163D01*
+X146460586Y-61990162D01*
+X146606358Y-61929781D01*
+X146761107Y-61899000D01*
+X146918893Y-61899000D01*
+X147073642Y-61929781D01*
+G37*
+G36*
+X131303642Y-61929781D02*
+G01*
+X131449414Y-61990162D01*
+X131449416Y-61990163D01*
+X131580608Y-62077822D01*
+X131692178Y-62189392D01*
+X131736704Y-62256031D01*
+X131779838Y-62320586D01*
+X131840219Y-62466358D01*
+X131871000Y-62621107D01*
+X131871000Y-62778893D01*
+X131840219Y-62933642D01*
+X131779838Y-63079414D01*
+X131779837Y-63079416D01*
+X131692178Y-63210608D01*
+X131580608Y-63322178D01*
+X131449416Y-63409837D01*
+X131449415Y-63409838D01*
+X131449414Y-63409838D01*
+X131303642Y-63470219D01*
+X131148893Y-63501000D01*
+X130991107Y-63501000D01*
+X130836358Y-63470219D01*
+X130690586Y-63409838D01*
+X130690585Y-63409838D01*
+X130690584Y-63409837D01*
+X130559392Y-63322178D01*
+X130447822Y-63210608D01*
+X130360163Y-63079416D01*
+X130360162Y-63079414D01*
+X130299781Y-62933642D01*
+X130269000Y-62778893D01*
+X130269000Y-62621107D01*
+X130299781Y-62466358D01*
+X130360162Y-62320586D01*
+X130403296Y-62256031D01*
+X130447822Y-62189392D01*
+X130559392Y-62077822D01*
+X130690584Y-61990163D01*
+X130690586Y-61990162D01*
+X130836358Y-61929781D01*
+X130991107Y-61899000D01*
+X131148893Y-61899000D01*
+X131303642Y-61929781D01*
+G37*
+G36*
+X89746031Y-60414207D02*
+G01*
+X89944145Y-60474305D01*
+X89944148Y-60474306D01*
+X90010030Y-60509521D01*
+X90126729Y-60571897D01*
+X90286765Y-60703235D01*
+X90418103Y-60863271D01*
+X90463939Y-60949025D01*
+X90515694Y-61045851D01*
+X90515694Y-61045852D01*
+X90515695Y-61045854D01*
+X90575793Y-61243968D01*
+X90591000Y-61398370D01*
+X90591000Y-62101629D01*
+X90575793Y-62256031D01*
+X90539286Y-62376376D01*
+X90515694Y-62454149D01*
+X90474114Y-62531939D01*
+X90418103Y-62636729D01*
+X90286765Y-62796765D01*
+X90126729Y-62928103D01*
+X90040975Y-62973939D01*
+X89944149Y-63025694D01*
+X89944146Y-63025695D01*
+X89746032Y-63085793D01*
+X89540000Y-63106085D01*
+X89333969Y-63085793D01*
+X89135855Y-63025695D01*
+X89135852Y-63025694D01*
+X89039026Y-62973939D01*
+X88953272Y-62928103D01*
+X88793236Y-62796765D01*
+X88661898Y-62636729D01*
+X88627586Y-62572535D01*
+X88564305Y-62454147D01*
+X88520110Y-62308456D01*
+X88504207Y-62256032D01*
+X88489000Y-62101630D01*
+X88489000Y-61398371D01*
+X88504207Y-61243969D01*
+X88564305Y-61045855D01*
+X88564306Y-61045852D01*
+X88599521Y-60979970D01*
+X88661897Y-60863271D01*
+X88793235Y-60703235D01*
+X88953271Y-60571897D01*
+X89039025Y-60526061D01*
+X89135851Y-60474306D01*
+X89135854Y-60474305D01*
+X89333968Y-60414207D01*
+X89540000Y-60393915D01*
+X89746031Y-60414207D01*
+G37*
+G36*
+X88051000Y-63101000D02*
+G01*
+X85949000Y-63101000D01*
+X85949000Y-60399000D01*
+X88051000Y-60399000D01*
+X88051000Y-63101000D01*
+G37*
+G36*
+X110175562Y-61128181D02*
+G01*
+X110210481Y-61138774D01*
+X110242663Y-61155976D01*
+X110270873Y-61179127D01*
+X110294024Y-61207337D01*
+X110311226Y-61239519D01*
+X110321819Y-61274438D01*
+X110326000Y-61316895D01*
+X110326000Y-62458105D01*
+X110321819Y-62500562D01*
+X110311226Y-62535481D01*
+X110294024Y-62567663D01*
+X110270873Y-62595873D01*
+X110242663Y-62619024D01*
+X110210481Y-62636226D01*
+X110175562Y-62646819D01*
+X110133105Y-62651000D01*
+X108666895Y-62651000D01*
+X108624438Y-62646819D01*
+X108589519Y-62636226D01*
+X108557337Y-62619024D01*
+X108529127Y-62595873D01*
+X108505976Y-62567663D01*
+X108488774Y-62535481D01*
+X108478181Y-62500562D01*
+X108474000Y-62458105D01*
+X108474000Y-61316895D01*
+X108478181Y-61274438D01*
+X108488774Y-61239519D01*
+X108505976Y-61207337D01*
+X108529127Y-61179127D01*
+X108557337Y-61155976D01*
+X108589519Y-61138774D01*
+X108624438Y-61128181D01*
+X108666895Y-61124000D01*
+X110133105Y-61124000D01*
+X110175562Y-61128181D01*
+G37*
+G36*
+X116663512Y-60613927D02*
+G01*
+X116812812Y-60643624D01*
+X116976784Y-60711544D01*
+X117124354Y-60810147D01*
+X117249853Y-60935646D01*
+X117348456Y-61083216D01*
+X117416376Y-61247188D01*
+X117451000Y-61421259D01*
+X117451000Y-61598741D01*
+X117416376Y-61772812D01*
+X117348456Y-61936784D01*
+X117249853Y-62084354D01*
+X117124354Y-62209853D01*
+X116976784Y-62308456D01*
+X116812812Y-62376376D01*
+X116663512Y-62406073D01*
+X116638742Y-62411000D01*
+X116461258Y-62411000D01*
+X116436488Y-62406073D01*
+X116287188Y-62376376D01*
+X116123216Y-62308456D01*
+X115975646Y-62209853D01*
+X115850147Y-62084354D01*
+X115751544Y-61936784D01*
+X115683624Y-61772812D01*
+X115649000Y-61598741D01*
+X115649000Y-61421259D01*
+X115683624Y-61247188D01*
+X115751544Y-61083216D01*
+X115850147Y-60935646D01*
+X115975646Y-60810147D01*
+X116123216Y-60711544D01*
+X116287188Y-60643624D01*
+X116436488Y-60613927D01*
+X116461258Y-60609000D01*
+X116638742Y-60609000D01*
+X116663512Y-60613927D01*
+G37*
+G36*
+X155036971Y-58516204D02*
+G01*
+X155198871Y-58548408D01*
+X155503883Y-58674748D01*
+X155778387Y-58858166D01*
+X156011834Y-59091613D01*
+X156195252Y-59366117D01*
+X156315163Y-59655608D01*
+X156321592Y-59671130D01*
+X156386000Y-59994927D01*
+X156386000Y-60325073D01*
+X156375294Y-60378893D01*
+X156321592Y-60648871D01*
+X156195252Y-60953883D01*
+X156011834Y-61228387D01*
+X155778387Y-61461834D01*
+X155503883Y-61645252D01*
+X155198871Y-61771592D01*
+X155076431Y-61795947D01*
+X154875073Y-61836000D01*
+X154544927Y-61836000D01*
+X154343569Y-61795947D01*
+X154221129Y-61771592D01*
+X153916117Y-61645252D01*
+X153641613Y-61461834D01*
+X153408166Y-61228387D01*
+X153224748Y-60953883D01*
+X153098408Y-60648871D01*
+X153044706Y-60378893D01*
+X153034000Y-60325073D01*
+X153034000Y-59994927D01*
+X153098408Y-59671130D01*
+X153104837Y-59655608D01*
+X153224748Y-59366117D01*
+X153408166Y-59091613D01*
+X153641613Y-58858166D01*
+X153916117Y-58674748D01*
+X154221129Y-58548408D01*
+X154383029Y-58516204D01*
+X154544927Y-58484000D01*
+X154875073Y-58484000D01*
+X155036971Y-58516204D01*
+G37*
+G36*
+X122486971Y-58516204D02*
+G01*
+X122648871Y-58548408D01*
+X122953883Y-58674748D01*
+X123228387Y-58858166D01*
+X123461834Y-59091613D01*
+X123645252Y-59366117D01*
+X123765163Y-59655608D01*
+X123771592Y-59671130D01*
+X123836000Y-59994927D01*
+X123836000Y-60325073D01*
+X123825294Y-60378893D01*
+X123771592Y-60648871D01*
+X123645252Y-60953883D01*
+X123461834Y-61228387D01*
+X123228387Y-61461834D01*
+X122953883Y-61645252D01*
+X122648871Y-61771592D01*
+X122526431Y-61795947D01*
+X122325073Y-61836000D01*
+X121994927Y-61836000D01*
+X121793569Y-61795947D01*
+X121671129Y-61771592D01*
+X121366117Y-61645252D01*
+X121091613Y-61461834D01*
+X120858166Y-61228387D01*
+X120674748Y-60953883D01*
+X120548408Y-60648871D01*
+X120494706Y-60378893D01*
+X120484000Y-60325073D01*
+X120484000Y-59994927D01*
+X120548408Y-59671130D01*
+X120554837Y-59655608D01*
+X120674748Y-59366117D01*
+X120858166Y-59091613D01*
+X121091613Y-58858166D01*
+X121366117Y-58674748D01*
+X121671129Y-58548408D01*
+X121833029Y-58516204D01*
+X121994927Y-58484000D01*
+X122325073Y-58484000D01*
+X122486971Y-58516204D01*
+G37*
+G36*
+X135186971Y-58516204D02*
+G01*
+X135348871Y-58548408D01*
+X135653883Y-58674748D01*
+X135928387Y-58858166D01*
+X136161834Y-59091613D01*
+X136345252Y-59366117D01*
+X136465163Y-59655608D01*
+X136471592Y-59671130D01*
+X136536000Y-59994927D01*
+X136536000Y-60325073D01*
+X136525294Y-60378893D01*
+X136471592Y-60648871D01*
+X136345252Y-60953883D01*
+X136161834Y-61228387D01*
+X135928387Y-61461834D01*
+X135653883Y-61645252D01*
+X135348871Y-61771592D01*
+X135226431Y-61795947D01*
+X135025073Y-61836000D01*
+X134694927Y-61836000D01*
+X134493569Y-61795947D01*
+X134371129Y-61771592D01*
+X134066117Y-61645252D01*
+X133791613Y-61461834D01*
+X133558166Y-61228387D01*
+X133374748Y-60953883D01*
+X133248408Y-60648871D01*
+X133194706Y-60378893D01*
+X133184000Y-60325073D01*
+X133184000Y-59994927D01*
+X133248408Y-59671130D01*
+X133254837Y-59655608D01*
+X133374748Y-59366117D01*
+X133558166Y-59091613D01*
+X133791613Y-58858166D01*
+X134066117Y-58674748D01*
+X134371129Y-58548408D01*
+X134533029Y-58516204D01*
+X134694927Y-58484000D01*
+X135025073Y-58484000D01*
+X135186971Y-58516204D01*
+G37*
+G36*
+X142336971Y-58516204D02*
+G01*
+X142498871Y-58548408D01*
+X142803883Y-58674748D01*
+X143078387Y-58858166D01*
+X143311834Y-59091613D01*
+X143495252Y-59366117D01*
+X143615163Y-59655608D01*
+X143621592Y-59671130D01*
+X143686000Y-59994927D01*
+X143686000Y-60325073D01*
+X143675294Y-60378893D01*
+X143621592Y-60648871D01*
+X143495252Y-60953883D01*
+X143311834Y-61228387D01*
+X143078387Y-61461834D01*
+X142803883Y-61645252D01*
+X142498871Y-61771592D01*
+X142376431Y-61795947D01*
+X142175073Y-61836000D01*
+X141844927Y-61836000D01*
+X141643569Y-61795947D01*
+X141521129Y-61771592D01*
+X141216117Y-61645252D01*
+X140941613Y-61461834D01*
+X140708166Y-61228387D01*
+X140524748Y-60953883D01*
+X140398408Y-60648871D01*
+X140344706Y-60378893D01*
+X140334000Y-60325073D01*
+X140334000Y-59994927D01*
+X140398408Y-59671130D01*
+X140404837Y-59655608D01*
+X140524748Y-59366117D01*
+X140708166Y-59091613D01*
+X140941613Y-58858166D01*
+X141216117Y-58674748D01*
+X141521129Y-58548408D01*
+X141683029Y-58516204D01*
+X141844927Y-58484000D01*
+X142175073Y-58484000D01*
+X142336971Y-58516204D01*
+G37*
+G36*
+X112314499Y-60653445D02*
+G01*
+X112351995Y-60664820D01*
+X112386554Y-60683292D01*
+X112416847Y-60708153D01*
+X112441708Y-60738446D01*
+X112460180Y-60773005D01*
+X112471555Y-60810501D01*
+X112476000Y-60855638D01*
+X112476000Y-61594362D01*
+X112471555Y-61639499D01*
+X112460180Y-61676995D01*
+X112441708Y-61711554D01*
+X112416847Y-61741847D01*
+X112386554Y-61766708D01*
+X112351995Y-61785180D01*
+X112314499Y-61796555D01*
+X112269362Y-61801000D01*
+X111630638Y-61801000D01*
+X111585501Y-61796555D01*
+X111548005Y-61785180D01*
+X111513446Y-61766708D01*
+X111483153Y-61741847D01*
+X111458292Y-61711554D01*
+X111439820Y-61676995D01*
+X111428445Y-61639499D01*
+X111424000Y-61594362D01*
+X111424000Y-60855638D01*
+X111428445Y-60810501D01*
+X111439820Y-60773005D01*
+X111458292Y-60738446D01*
+X111483153Y-60708153D01*
+X111513446Y-60683292D01*
+X111548005Y-60664820D01*
+X111585501Y-60653445D01*
+X111630638Y-60649000D01*
+X112269362Y-60649000D01*
+X112314499Y-60653445D01*
+G37*
+G36*
+X99033642Y-59529781D02*
+G01*
+X99179414Y-59590162D01*
+X99179416Y-59590163D01*
+X99310608Y-59677822D01*
+X99422178Y-59789392D01*
+X99509837Y-59920584D01*
+X99509838Y-59920586D01*
+X99570219Y-60066358D01*
+X99601000Y-60221107D01*
+X99601000Y-60378893D01*
+X99570219Y-60533642D01*
+X99524662Y-60643625D01*
+X99509837Y-60679416D01*
+X99422178Y-60810608D01*
+X99310608Y-60922178D01*
+X99179416Y-61009837D01*
+X99179415Y-61009838D01*
+X99179414Y-61009838D01*
+X99033642Y-61070219D01*
+X98878893Y-61101000D01*
+X98721107Y-61101000D01*
+X98566358Y-61070219D01*
+X98420586Y-61009838D01*
+X98420585Y-61009838D01*
+X98420584Y-61009837D01*
+X98289392Y-60922178D01*
+X98177822Y-60810608D01*
+X98090163Y-60679416D01*
+X98075338Y-60643625D01*
+X98029781Y-60533642D01*
+X97999000Y-60378893D01*
+X97999000Y-60221107D01*
+X98029781Y-60066358D01*
+X98090162Y-59920586D01*
+X98090163Y-59920584D01*
+X98177822Y-59789392D01*
+X98289392Y-59677822D01*
+X98420584Y-59590163D01*
+X98420586Y-59590162D01*
+X98566358Y-59529781D01*
+X98721107Y-59499000D01*
+X98878893Y-59499000D01*
+X99033642Y-59529781D01*
+G37*
+G36*
+X112314499Y-58903445D02*
+G01*
+X112351995Y-58914820D01*
+X112386554Y-58933292D01*
+X112416847Y-58958153D01*
+X112441708Y-58988446D01*
+X112460180Y-59023005D01*
+X112471555Y-59060501D01*
+X112476000Y-59105638D01*
+X112476000Y-59844362D01*
+X112471555Y-59889499D01*
+X112460180Y-59926995D01*
+X112441708Y-59961554D01*
+X112416847Y-59991847D01*
+X112386554Y-60016708D01*
+X112351995Y-60035180D01*
+X112314499Y-60046555D01*
+X112269362Y-60051000D01*
+X111630638Y-60051000D01*
+X111585501Y-60046555D01*
+X111548005Y-60035180D01*
+X111513446Y-60016708D01*
+X111483153Y-59991847D01*
+X111458292Y-59961554D01*
+X111439820Y-59926995D01*
+X111428445Y-59889499D01*
+X111424000Y-59844362D01*
+X111424000Y-59105638D01*
+X111428445Y-59060501D01*
+X111439820Y-59023005D01*
+X111458292Y-58988446D01*
+X111483153Y-58958153D01*
+X111513446Y-58933292D01*
+X111548005Y-58914820D01*
+X111585501Y-58903445D01*
+X111630638Y-58899000D01*
+X112269362Y-58899000D01*
+X112314499Y-58903445D01*
+G37*
+G36*
+X116663512Y-58073927D02*
+G01*
+X116812812Y-58103624D01*
+X116976784Y-58171544D01*
+X117124354Y-58270147D01*
+X117249853Y-58395646D01*
+X117348456Y-58543216D01*
+X117416376Y-58707188D01*
+X117451000Y-58881259D01*
+X117451000Y-59058741D01*
+X117416376Y-59232812D01*
+X117348456Y-59396784D01*
+X117249853Y-59544354D01*
+X117124354Y-59669853D01*
+X116976784Y-59768456D01*
+X116812812Y-59836376D01*
+X116663512Y-59866073D01*
+X116638742Y-59871000D01*
+X116461258Y-59871000D01*
+X116436488Y-59866073D01*
+X116287188Y-59836376D01*
+X116123216Y-59768456D01*
+X115975646Y-59669853D01*
+X115850147Y-59544354D01*
+X115751544Y-59396784D01*
+X115683624Y-59232812D01*
+X115649000Y-59058741D01*
+X115649000Y-58881259D01*
+X115683624Y-58707188D01*
+X115751544Y-58543216D01*
+X115850147Y-58395646D01*
+X115975646Y-58270147D01*
+X116123216Y-58171544D01*
+X116287188Y-58103624D01*
+X116436488Y-58073927D01*
+X116461258Y-58069000D01*
+X116638742Y-58069000D01*
+X116663512Y-58073927D01*
+G37*
+G36*
+X110175562Y-58153181D02*
+G01*
+X110210481Y-58163774D01*
+X110242663Y-58180976D01*
+X110270873Y-58204127D01*
+X110294024Y-58232337D01*
+X110311226Y-58264519D01*
+X110321819Y-58299438D01*
+X110326000Y-58341895D01*
+X110326000Y-59483105D01*
+X110321819Y-59525562D01*
+X110311226Y-59560481D01*
+X110294024Y-59592663D01*
+X110270873Y-59620873D01*
+X110242663Y-59644024D01*
+X110210481Y-59661226D01*
+X110175562Y-59671819D01*
+X110133105Y-59676000D01*
+X108666895Y-59676000D01*
+X108624438Y-59671819D01*
+X108589519Y-59661226D01*
+X108557337Y-59644024D01*
+X108529127Y-59620873D01*
+X108505976Y-59592663D01*
+X108488774Y-59560481D01*
+X108478181Y-59525562D01*
+X108474000Y-59483105D01*
+X108474000Y-58341895D01*
+X108478181Y-58299438D01*
+X108488774Y-58264519D01*
+X108505976Y-58232337D01*
+X108529127Y-58204127D01*
+X108557337Y-58180976D01*
+X108589519Y-58163774D01*
+X108624438Y-58153181D01*
+X108666895Y-58149000D01*
+X110133105Y-58149000D01*
+X110175562Y-58153181D01*
+G37*
+G36*
+X105951000Y-58051000D02*
+G01*
+X102049000Y-58051000D01*
+X102049000Y-55949000D01*
+X105951000Y-55949000D01*
+X105951000Y-58051000D01*
+G37*
+M02*
diff --git a/driver/gerber/driver-F_Paste.gbr b/driver/gerber/driver-F_Paste.gbr
index a8a0ab0..f388b3e 100644
--- a/driver/gerber/driver-F_Paste.gbr
+++ b/driver/gerber/driver-F_Paste.gbr
@@ -1,6201 +1,8149 @@
-G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.0-344-gd281f051e)*
-G04 #@! TF.CreationDate,2019-04-25T22:00:11+09:00*
-G04 #@! TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,rev?*
-G04 #@! TF.SameCoordinates,Original*
-G04 #@! TF.FileFunction,Paste,Top*
-G04 #@! TF.FilePolarity,Positive*
-%FSLAX46Y46*%
-G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW (5.1.0-344-gd281f051e)) date 2019-04-25 22:00:11*
-%MOMM*%
-%LPD*%
-G04 APERTURE LIST*
-%ADD10R,0.750000X1.200000*%
-%ADD11R,1.060000X0.650000*%
-%ADD12R,0.600000X1.550000*%
-%ADD13C,0.100000*%
-%ADD14C,0.950000*%
-%ADD15R,0.900000X0.800000*%
-%ADD16R,1.200000X0.750000*%
-%ADD17R,0.300000X1.400000*%
-%ADD18R,1.450000X0.450000*%
-%ADD19R,3.750000X4.700000*%
-%ADD20R,2.950000X0.850000*%
-%ADD21R,3.000000X0.850000*%
-%ADD22R,2.300000X3.500000*%
-%ADD23R,2.500000X2.100000*%
-%ADD24R,1.500000X2.100000*%
-%ADD25R,4.200000X2.400000*%
-%ADD26C,1.425000*%
-%ADD27C,1.525000*%
-%ADD28R,0.650000X1.560000*%
-%ADD29R,2.000000X3.800000*%
-%ADD30R,2.000000X1.500000*%
-%ADD31R,1.800000X2.100000*%
-%ADD32R,1.550000X0.600000*%
-%ADD33R,1.500000X0.600000*%
-G04 APERTURE END LIST*
-D10*
-X99700000Y-88250000D03*
-X99700000Y-86350000D03*
-D11*
-X103600000Y-90050000D03*
-X103600000Y-91950000D03*
-X101400000Y-91950000D03*
-X101400000Y-91000000D03*
-X101400000Y-90050000D03*
-D10*
-X92000000Y-91450000D03*
-X92000000Y-89550000D03*
-X131000000Y-72300000D03*
-X131000000Y-74200000D03*
-D12*
-X132845000Y-73050000D03*
-X134115000Y-73050000D03*
-X135385000Y-73050000D03*
-X136655000Y-73050000D03*
-X136655000Y-78450000D03*
-X135385000Y-78450000D03*
-X134115000Y-78450000D03*
-X132845000Y-78450000D03*
-D13*
-G36*
-X159510779Y-63851144D02*
-G01*
-X159533834Y-63854563D01*
-X159556443Y-63860227D01*
-X159578387Y-63868079D01*
-X159599457Y-63878044D01*
-X159619448Y-63890026D01*
-X159638168Y-63903910D01*
-X159655438Y-63919562D01*
-X159671090Y-63936832D01*
-X159684974Y-63955552D01*
-X159696956Y-63975543D01*
-X159706921Y-63996613D01*
-X159714773Y-64018557D01*
-X159720437Y-64041166D01*
-X159723856Y-64064221D01*
-X159725000Y-64087500D01*
-X159725000Y-64662500D01*
-X159723856Y-64685779D01*
-X159720437Y-64708834D01*
-X159714773Y-64731443D01*
-X159706921Y-64753387D01*
-X159696956Y-64774457D01*
-X159684974Y-64794448D01*
-X159671090Y-64813168D01*
-X159655438Y-64830438D01*
-X159638168Y-64846090D01*
-X159619448Y-64859974D01*
-X159599457Y-64871956D01*
-X159578387Y-64881921D01*
-X159556443Y-64889773D01*
-X159533834Y-64895437D01*
-X159510779Y-64898856D01*
-X159487500Y-64900000D01*
-X159012500Y-64900000D01*
-X158989221Y-64898856D01*
-X158966166Y-64895437D01*
-X158943557Y-64889773D01*
-X158921613Y-64881921D01*
-X158900543Y-64871956D01*
-X158880552Y-64859974D01*
-X158861832Y-64846090D01*
-X158844562Y-64830438D01*
-X158828910Y-64813168D01*
-X158815026Y-64794448D01*
-X158803044Y-64774457D01*
-X158793079Y-64753387D01*
-X158785227Y-64731443D01*
-X158779563Y-64708834D01*
-X158776144Y-64685779D01*
-X158775000Y-64662500D01*
-X158775000Y-64087500D01*
-X158776144Y-64064221D01*
-X158779563Y-64041166D01*
-X158785227Y-64018557D01*
-X158793079Y-63996613D01*
-X158803044Y-63975543D01*
-X158815026Y-63955552D01*
-X158828910Y-63936832D01*
-X158844562Y-63919562D01*
-X158861832Y-63903910D01*
-X158880552Y-63890026D01*
-X158900543Y-63878044D01*
-X158921613Y-63868079D01*
-X158943557Y-63860227D01*
-X158966166Y-63854563D01*
-X158989221Y-63851144D01*
-X159012500Y-63850000D01*
-X159487500Y-63850000D01*
-X159510779Y-63851144D01*
-X159510779Y-63851144D01*
-G37*
-D14*
-X159250000Y-64375000D03*
-D13*
-G36*
-X159510779Y-65601144D02*
-G01*
-X159533834Y-65604563D01*
-X159556443Y-65610227D01*
-X159578387Y-65618079D01*
-X159599457Y-65628044D01*
-X159619448Y-65640026D01*
-X159638168Y-65653910D01*
-X159655438Y-65669562D01*
-X159671090Y-65686832D01*
-X159684974Y-65705552D01*
-X159696956Y-65725543D01*
-X159706921Y-65746613D01*
-X159714773Y-65768557D01*
-X159720437Y-65791166D01*
-X159723856Y-65814221D01*
-X159725000Y-65837500D01*
-X159725000Y-66412500D01*
-X159723856Y-66435779D01*
-X159720437Y-66458834D01*
-X159714773Y-66481443D01*
-X159706921Y-66503387D01*
-X159696956Y-66524457D01*
-X159684974Y-66544448D01*
-X159671090Y-66563168D01*
-X159655438Y-66580438D01*
-X159638168Y-66596090D01*
-X159619448Y-66609974D01*
-X159599457Y-66621956D01*
-X159578387Y-66631921D01*
-X159556443Y-66639773D01*
-X159533834Y-66645437D01*
-X159510779Y-66648856D01*
-X159487500Y-66650000D01*
-X159012500Y-66650000D01*
-X158989221Y-66648856D01*
-X158966166Y-66645437D01*
-X158943557Y-66639773D01*
-X158921613Y-66631921D01*
-X158900543Y-66621956D01*
-X158880552Y-66609974D01*
-X158861832Y-66596090D01*
-X158844562Y-66580438D01*
-X158828910Y-66563168D01*
-X158815026Y-66544448D01*
-X158803044Y-66524457D01*
-X158793079Y-66503387D01*
-X158785227Y-66481443D01*
-X158779563Y-66458834D01*
-X158776144Y-66435779D01*
-X158775000Y-66412500D01*
-X158775000Y-65837500D01*
-X158776144Y-65814221D01*
-X158779563Y-65791166D01*
-X158785227Y-65768557D01*
-X158793079Y-65746613D01*
-X158803044Y-65725543D01*
-X158815026Y-65705552D01*
-X158828910Y-65686832D01*
-X158844562Y-65669562D01*
-X158861832Y-65653910D01*
-X158880552Y-65640026D01*
-X158900543Y-65628044D01*
-X158921613Y-65618079D01*
-X158943557Y-65610227D01*
-X158966166Y-65604563D01*
-X158989221Y-65601144D01*
-X159012500Y-65600000D01*
-X159487500Y-65600000D01*
-X159510779Y-65601144D01*
-X159510779Y-65601144D01*
-G37*
-D14*
-X159250000Y-66125000D03*
-D13*
-G36*
-X92935779Y-94026144D02*
-G01*
-X92958834Y-94029563D01*
-X92981443Y-94035227D01*
-X93003387Y-94043079D01*
-X93024457Y-94053044D01*
-X93044448Y-94065026D01*
-X93063168Y-94078910D01*
-X93080438Y-94094562D01*
-X93096090Y-94111832D01*
-X93109974Y-94130552D01*
-X93121956Y-94150543D01*
-X93131921Y-94171613D01*
-X93139773Y-94193557D01*
-X93145437Y-94216166D01*
-X93148856Y-94239221D01*
-X93150000Y-94262500D01*
-X93150000Y-94737500D01*
-X93148856Y-94760779D01*
-X93145437Y-94783834D01*
-X93139773Y-94806443D01*
-X93131921Y-94828387D01*
-X93121956Y-94849457D01*
-X93109974Y-94869448D01*
-X93096090Y-94888168D01*
-X93080438Y-94905438D01*
-X93063168Y-94921090D01*
-X93044448Y-94934974D01*
-X93024457Y-94946956D01*
-X93003387Y-94956921D01*
-X92981443Y-94964773D01*
-X92958834Y-94970437D01*
-X92935779Y-94973856D01*
-X92912500Y-94975000D01*
-X92337500Y-94975000D01*
-X92314221Y-94973856D01*
-X92291166Y-94970437D01*
-X92268557Y-94964773D01*
-X92246613Y-94956921D01*
-X92225543Y-94946956D01*
-X92205552Y-94934974D01*
-X92186832Y-94921090D01*
-X92169562Y-94905438D01*
-X92153910Y-94888168D01*
-X92140026Y-94869448D01*
-X92128044Y-94849457D01*
-X92118079Y-94828387D01*
-X92110227Y-94806443D01*
-X92104563Y-94783834D01*
-X92101144Y-94760779D01*
-X92100000Y-94737500D01*
-X92100000Y-94262500D01*
-X92101144Y-94239221D01*
-X92104563Y-94216166D01*
-X92110227Y-94193557D01*
-X92118079Y-94171613D01*
-X92128044Y-94150543D01*
-X92140026Y-94130552D01*
-X92153910Y-94111832D01*
-X92169562Y-94094562D01*
-X92186832Y-94078910D01*
-X92205552Y-94065026D01*
-X92225543Y-94053044D01*
-X92246613Y-94043079D01*
-X92268557Y-94035227D01*
-X92291166Y-94029563D01*
-X92314221Y-94026144D01*
-X92337500Y-94025000D01*
-X92912500Y-94025000D01*
-X92935779Y-94026144D01*
-X92935779Y-94026144D01*
-G37*
-D14*
-X92625000Y-94500000D03*
-D13*
-G36*
-X94685779Y-94026144D02*
-G01*
-X94708834Y-94029563D01*
-X94731443Y-94035227D01*
-X94753387Y-94043079D01*
-X94774457Y-94053044D01*
-X94794448Y-94065026D01*
-X94813168Y-94078910D01*
-X94830438Y-94094562D01*
-X94846090Y-94111832D01*
-X94859974Y-94130552D01*
-X94871956Y-94150543D01*
-X94881921Y-94171613D01*
-X94889773Y-94193557D01*
-X94895437Y-94216166D01*
-X94898856Y-94239221D01*
-X94900000Y-94262500D01*
-X94900000Y-94737500D01*
-X94898856Y-94760779D01*
-X94895437Y-94783834D01*
-X94889773Y-94806443D01*
-X94881921Y-94828387D01*
-X94871956Y-94849457D01*
-X94859974Y-94869448D01*
-X94846090Y-94888168D01*
-X94830438Y-94905438D01*
-X94813168Y-94921090D01*
-X94794448Y-94934974D01*
-X94774457Y-94946956D01*
-X94753387Y-94956921D01*
-X94731443Y-94964773D01*
-X94708834Y-94970437D01*
-X94685779Y-94973856D01*
-X94662500Y-94975000D01*
-X94087500Y-94975000D01*
-X94064221Y-94973856D01*
-X94041166Y-94970437D01*
-X94018557Y-94964773D01*
-X93996613Y-94956921D01*
-X93975543Y-94946956D01*
-X93955552Y-94934974D01*
-X93936832Y-94921090D01*
-X93919562Y-94905438D01*
-X93903910Y-94888168D01*
-X93890026Y-94869448D01*
-X93878044Y-94849457D01*
-X93868079Y-94828387D01*
-X93860227Y-94806443D01*
-X93854563Y-94783834D01*
-X93851144Y-94760779D01*
-X93850000Y-94737500D01*
-X93850000Y-94262500D01*
-X93851144Y-94239221D01*
-X93854563Y-94216166D01*
-X93860227Y-94193557D01*
-X93868079Y-94171613D01*
-X93878044Y-94150543D01*
-X93890026Y-94130552D01*
-X93903910Y-94111832D01*
-X93919562Y-94094562D01*
-X93936832Y-94078910D01*
-X93955552Y-94065026D01*
-X93975543Y-94053044D01*
-X93996613Y-94043079D01*
-X94018557Y-94035227D01*
-X94041166Y-94029563D01*
-X94064221Y-94026144D01*
-X94087500Y-94025000D01*
-X94662500Y-94025000D01*
-X94685779Y-94026144D01*
-X94685779Y-94026144D01*
-G37*
-D14*
-X94375000Y-94500000D03*
-D13*
-G36*
-X96435779Y-94026144D02*
-G01*
-X96458834Y-94029563D01*
-X96481443Y-94035227D01*
-X96503387Y-94043079D01*
-X96524457Y-94053044D01*
-X96544448Y-94065026D01*
-X96563168Y-94078910D01*
-X96580438Y-94094562D01*
-X96596090Y-94111832D01*
-X96609974Y-94130552D01*
-X96621956Y-94150543D01*
-X96631921Y-94171613D01*
-X96639773Y-94193557D01*
-X96645437Y-94216166D01*
-X96648856Y-94239221D01*
-X96650000Y-94262500D01*
-X96650000Y-94737500D01*
-X96648856Y-94760779D01*
-X96645437Y-94783834D01*
-X96639773Y-94806443D01*
-X96631921Y-94828387D01*
-X96621956Y-94849457D01*
-X96609974Y-94869448D01*
-X96596090Y-94888168D01*
-X96580438Y-94905438D01*
-X96563168Y-94921090D01*
-X96544448Y-94934974D01*
-X96524457Y-94946956D01*
-X96503387Y-94956921D01*
-X96481443Y-94964773D01*
-X96458834Y-94970437D01*
-X96435779Y-94973856D01*
-X96412500Y-94975000D01*
-X95837500Y-94975000D01*
-X95814221Y-94973856D01*
-X95791166Y-94970437D01*
-X95768557Y-94964773D01*
-X95746613Y-94956921D01*
-X95725543Y-94946956D01*
-X95705552Y-94934974D01*
-X95686832Y-94921090D01*
-X95669562Y-94905438D01*
-X95653910Y-94888168D01*
-X95640026Y-94869448D01*
-X95628044Y-94849457D01*
-X95618079Y-94828387D01*
-X95610227Y-94806443D01*
-X95604563Y-94783834D01*
-X95601144Y-94760779D01*
-X95600000Y-94737500D01*
-X95600000Y-94262500D01*
-X95601144Y-94239221D01*
-X95604563Y-94216166D01*
-X95610227Y-94193557D01*
-X95618079Y-94171613D01*
-X95628044Y-94150543D01*
-X95640026Y-94130552D01*
-X95653910Y-94111832D01*
-X95669562Y-94094562D01*
-X95686832Y-94078910D01*
-X95705552Y-94065026D01*
-X95725543Y-94053044D01*
-X95746613Y-94043079D01*
-X95768557Y-94035227D01*
-X95791166Y-94029563D01*
-X95814221Y-94026144D01*
-X95837500Y-94025000D01*
-X96412500Y-94025000D01*
-X96435779Y-94026144D01*
-X96435779Y-94026144D01*
-G37*
-D14*
-X96125000Y-94500000D03*
-D13*
-G36*
-X98185779Y-94026144D02*
-G01*
-X98208834Y-94029563D01*
-X98231443Y-94035227D01*
-X98253387Y-94043079D01*
-X98274457Y-94053044D01*
-X98294448Y-94065026D01*
-X98313168Y-94078910D01*
-X98330438Y-94094562D01*
-X98346090Y-94111832D01*
-X98359974Y-94130552D01*
-X98371956Y-94150543D01*
-X98381921Y-94171613D01*
-X98389773Y-94193557D01*
-X98395437Y-94216166D01*
-X98398856Y-94239221D01*
-X98400000Y-94262500D01*
-X98400000Y-94737500D01*
-X98398856Y-94760779D01*
-X98395437Y-94783834D01*
-X98389773Y-94806443D01*
-X98381921Y-94828387D01*
-X98371956Y-94849457D01*
-X98359974Y-94869448D01*
-X98346090Y-94888168D01*
-X98330438Y-94905438D01*
-X98313168Y-94921090D01*
-X98294448Y-94934974D01*
-X98274457Y-94946956D01*
-X98253387Y-94956921D01*
-X98231443Y-94964773D01*
-X98208834Y-94970437D01*
-X98185779Y-94973856D01*
-X98162500Y-94975000D01*
-X97587500Y-94975000D01*
-X97564221Y-94973856D01*
-X97541166Y-94970437D01*
-X97518557Y-94964773D01*
-X97496613Y-94956921D01*
-X97475543Y-94946956D01*
-X97455552Y-94934974D01*
-X97436832Y-94921090D01*
-X97419562Y-94905438D01*
-X97403910Y-94888168D01*
-X97390026Y-94869448D01*
-X97378044Y-94849457D01*
-X97368079Y-94828387D01*
-X97360227Y-94806443D01*
-X97354563Y-94783834D01*
-X97351144Y-94760779D01*
-X97350000Y-94737500D01*
-X97350000Y-94262500D01*
-X97351144Y-94239221D01*
-X97354563Y-94216166D01*
-X97360227Y-94193557D01*
-X97368079Y-94171613D01*
-X97378044Y-94150543D01*
-X97390026Y-94130552D01*
-X97403910Y-94111832D01*
-X97419562Y-94094562D01*
-X97436832Y-94078910D01*
-X97455552Y-94065026D01*
-X97475543Y-94053044D01*
-X97496613Y-94043079D01*
-X97518557Y-94035227D01*
-X97541166Y-94029563D01*
-X97564221Y-94026144D01*
-X97587500Y-94025000D01*
-X98162500Y-94025000D01*
-X98185779Y-94026144D01*
-X98185779Y-94026144D01*
-G37*
-D14*
-X97875000Y-94500000D03*
-D13*
-G36*
-X99760779Y-91351144D02*
-G01*
-X99783834Y-91354563D01*
-X99806443Y-91360227D01*
-X99828387Y-91368079D01*
-X99849457Y-91378044D01*
-X99869448Y-91390026D01*
-X99888168Y-91403910D01*
-X99905438Y-91419562D01*
-X99921090Y-91436832D01*
-X99934974Y-91455552D01*
-X99946956Y-91475543D01*
-X99956921Y-91496613D01*
-X99964773Y-91518557D01*
-X99970437Y-91541166D01*
-X99973856Y-91564221D01*
-X99975000Y-91587500D01*
-X99975000Y-92162500D01*
-X99973856Y-92185779D01*
-X99970437Y-92208834D01*
-X99964773Y-92231443D01*
-X99956921Y-92253387D01*
-X99946956Y-92274457D01*
-X99934974Y-92294448D01*
-X99921090Y-92313168D01*
-X99905438Y-92330438D01*
-X99888168Y-92346090D01*
-X99869448Y-92359974D01*
-X99849457Y-92371956D01*
-X99828387Y-92381921D01*
-X99806443Y-92389773D01*
-X99783834Y-92395437D01*
-X99760779Y-92398856D01*
-X99737500Y-92400000D01*
-X99262500Y-92400000D01*
-X99239221Y-92398856D01*
-X99216166Y-92395437D01*
-X99193557Y-92389773D01*
-X99171613Y-92381921D01*
-X99150543Y-92371956D01*
-X99130552Y-92359974D01*
-X99111832Y-92346090D01*
-X99094562Y-92330438D01*
-X99078910Y-92313168D01*
-X99065026Y-92294448D01*
-X99053044Y-92274457D01*
-X99043079Y-92253387D01*
-X99035227Y-92231443D01*
-X99029563Y-92208834D01*
-X99026144Y-92185779D01*
-X99025000Y-92162500D01*
-X99025000Y-91587500D01*
-X99026144Y-91564221D01*
-X99029563Y-91541166D01*
-X99035227Y-91518557D01*
-X99043079Y-91496613D01*
-X99053044Y-91475543D01*
-X99065026Y-91455552D01*
-X99078910Y-91436832D01*
-X99094562Y-91419562D01*
-X99111832Y-91403910D01*
-X99130552Y-91390026D01*
-X99150543Y-91378044D01*
-X99171613Y-91368079D01*
-X99193557Y-91360227D01*
-X99216166Y-91354563D01*
-X99239221Y-91351144D01*
-X99262500Y-91350000D01*
-X99737500Y-91350000D01*
-X99760779Y-91351144D01*
-X99760779Y-91351144D01*
-G37*
-D14*
-X99500000Y-91875000D03*
-D13*
-G36*
-X99760779Y-89601144D02*
-G01*
-X99783834Y-89604563D01*
-X99806443Y-89610227D01*
-X99828387Y-89618079D01*
-X99849457Y-89628044D01*
-X99869448Y-89640026D01*
-X99888168Y-89653910D01*
-X99905438Y-89669562D01*
-X99921090Y-89686832D01*
-X99934974Y-89705552D01*
-X99946956Y-89725543D01*
-X99956921Y-89746613D01*
-X99964773Y-89768557D01*
-X99970437Y-89791166D01*
-X99973856Y-89814221D01*
-X99975000Y-89837500D01*
-X99975000Y-90412500D01*
-X99973856Y-90435779D01*
-X99970437Y-90458834D01*
-X99964773Y-90481443D01*
-X99956921Y-90503387D01*
-X99946956Y-90524457D01*
-X99934974Y-90544448D01*
-X99921090Y-90563168D01*
-X99905438Y-90580438D01*
-X99888168Y-90596090D01*
-X99869448Y-90609974D01*
-X99849457Y-90621956D01*
-X99828387Y-90631921D01*
-X99806443Y-90639773D01*
-X99783834Y-90645437D01*
-X99760779Y-90648856D01*
-X99737500Y-90650000D01*
-X99262500Y-90650000D01*
-X99239221Y-90648856D01*
-X99216166Y-90645437D01*
-X99193557Y-90639773D01*
-X99171613Y-90631921D01*
-X99150543Y-90621956D01*
-X99130552Y-90609974D01*
-X99111832Y-90596090D01*
-X99094562Y-90580438D01*
-X99078910Y-90563168D01*
-X99065026Y-90544448D01*
-X99053044Y-90524457D01*
-X99043079Y-90503387D01*
-X99035227Y-90481443D01*
-X99029563Y-90458834D01*
-X99026144Y-90435779D01*
-X99025000Y-90412500D01*
-X99025000Y-89837500D01*
-X99026144Y-89814221D01*
-X99029563Y-89791166D01*
-X99035227Y-89768557D01*
-X99043079Y-89746613D01*
-X99053044Y-89725543D01*
-X99065026Y-89705552D01*
-X99078910Y-89686832D01*
-X99094562Y-89669562D01*
-X99111832Y-89653910D01*
-X99130552Y-89640026D01*
-X99150543Y-89628044D01*
-X99171613Y-89618079D01*
-X99193557Y-89610227D01*
-X99216166Y-89604563D01*
-X99239221Y-89601144D01*
-X99262500Y-89600000D01*
-X99737500Y-89600000D01*
-X99760779Y-89601144D01*
-X99760779Y-89601144D01*
-G37*
-D14*
-X99500000Y-90125000D03*
-D13*
-G36*
-X105185779Y-94026144D02*
-G01*
-X105208834Y-94029563D01*
-X105231443Y-94035227D01*
-X105253387Y-94043079D01*
-X105274457Y-94053044D01*
-X105294448Y-94065026D01*
-X105313168Y-94078910D01*
-X105330438Y-94094562D01*
-X105346090Y-94111832D01*
-X105359974Y-94130552D01*
-X105371956Y-94150543D01*
-X105381921Y-94171613D01*
-X105389773Y-94193557D01*
-X105395437Y-94216166D01*
-X105398856Y-94239221D01*
-X105400000Y-94262500D01*
-X105400000Y-94737500D01*
-X105398856Y-94760779D01*
-X105395437Y-94783834D01*
-X105389773Y-94806443D01*
-X105381921Y-94828387D01*
-X105371956Y-94849457D01*
-X105359974Y-94869448D01*
-X105346090Y-94888168D01*
-X105330438Y-94905438D01*
-X105313168Y-94921090D01*
-X105294448Y-94934974D01*
-X105274457Y-94946956D01*
-X105253387Y-94956921D01*
-X105231443Y-94964773D01*
-X105208834Y-94970437D01*
-X105185779Y-94973856D01*
-X105162500Y-94975000D01*
-X104587500Y-94975000D01*
-X104564221Y-94973856D01*
-X104541166Y-94970437D01*
-X104518557Y-94964773D01*
-X104496613Y-94956921D01*
-X104475543Y-94946956D01*
-X104455552Y-94934974D01*
-X104436832Y-94921090D01*
-X104419562Y-94905438D01*
-X104403910Y-94888168D01*
-X104390026Y-94869448D01*
-X104378044Y-94849457D01*
-X104368079Y-94828387D01*
-X104360227Y-94806443D01*
-X104354563Y-94783834D01*
-X104351144Y-94760779D01*
-X104350000Y-94737500D01*
-X104350000Y-94262500D01*
-X104351144Y-94239221D01*
-X104354563Y-94216166D01*
-X104360227Y-94193557D01*
-X104368079Y-94171613D01*
-X104378044Y-94150543D01*
-X104390026Y-94130552D01*
-X104403910Y-94111832D01*
-X104419562Y-94094562D01*
-X104436832Y-94078910D01*
-X104455552Y-94065026D01*
-X104475543Y-94053044D01*
-X104496613Y-94043079D01*
-X104518557Y-94035227D01*
-X104541166Y-94029563D01*
-X104564221Y-94026144D01*
-X104587500Y-94025000D01*
-X105162500Y-94025000D01*
-X105185779Y-94026144D01*
-X105185779Y-94026144D01*
-G37*
-D14*
-X104875000Y-94500000D03*
-D13*
-G36*
-X103435779Y-94026144D02*
-G01*
-X103458834Y-94029563D01*
-X103481443Y-94035227D01*
-X103503387Y-94043079D01*
-X103524457Y-94053044D01*
-X103544448Y-94065026D01*
-X103563168Y-94078910D01*
-X103580438Y-94094562D01*
-X103596090Y-94111832D01*
-X103609974Y-94130552D01*
-X103621956Y-94150543D01*
-X103631921Y-94171613D01*
-X103639773Y-94193557D01*
-X103645437Y-94216166D01*
-X103648856Y-94239221D01*
-X103650000Y-94262500D01*
-X103650000Y-94737500D01*
-X103648856Y-94760779D01*
-X103645437Y-94783834D01*
-X103639773Y-94806443D01*
-X103631921Y-94828387D01*
-X103621956Y-94849457D01*
-X103609974Y-94869448D01*
-X103596090Y-94888168D01*
-X103580438Y-94905438D01*
-X103563168Y-94921090D01*
-X103544448Y-94934974D01*
-X103524457Y-94946956D01*
-X103503387Y-94956921D01*
-X103481443Y-94964773D01*
-X103458834Y-94970437D01*
-X103435779Y-94973856D01*
-X103412500Y-94975000D01*
-X102837500Y-94975000D01*
-X102814221Y-94973856D01*
-X102791166Y-94970437D01*
-X102768557Y-94964773D01*
-X102746613Y-94956921D01*
-X102725543Y-94946956D01*
-X102705552Y-94934974D01*
-X102686832Y-94921090D01*
-X102669562Y-94905438D01*
-X102653910Y-94888168D01*
-X102640026Y-94869448D01*
-X102628044Y-94849457D01*
-X102618079Y-94828387D01*
-X102610227Y-94806443D01*
-X102604563Y-94783834D01*
-X102601144Y-94760779D01*
-X102600000Y-94737500D01*
-X102600000Y-94262500D01*
-X102601144Y-94239221D01*
-X102604563Y-94216166D01*
-X102610227Y-94193557D01*
-X102618079Y-94171613D01*
-X102628044Y-94150543D01*
-X102640026Y-94130552D01*
-X102653910Y-94111832D01*
-X102669562Y-94094562D01*
-X102686832Y-94078910D01*
-X102705552Y-94065026D01*
-X102725543Y-94053044D01*
-X102746613Y-94043079D01*
-X102768557Y-94035227D01*
-X102791166Y-94029563D01*
-X102814221Y-94026144D01*
-X102837500Y-94025000D01*
-X103412500Y-94025000D01*
-X103435779Y-94026144D01*
-X103435779Y-94026144D01*
-G37*
-D14*
-X103125000Y-94500000D03*
-D13*
-G36*
-X101685779Y-94026144D02*
-G01*
-X101708834Y-94029563D01*
-X101731443Y-94035227D01*
-X101753387Y-94043079D01*
-X101774457Y-94053044D01*
-X101794448Y-94065026D01*
-X101813168Y-94078910D01*
-X101830438Y-94094562D01*
-X101846090Y-94111832D01*
-X101859974Y-94130552D01*
-X101871956Y-94150543D01*
-X101881921Y-94171613D01*
-X101889773Y-94193557D01*
-X101895437Y-94216166D01*
-X101898856Y-94239221D01*
-X101900000Y-94262500D01*
-X101900000Y-94737500D01*
-X101898856Y-94760779D01*
-X101895437Y-94783834D01*
-X101889773Y-94806443D01*
-X101881921Y-94828387D01*
-X101871956Y-94849457D01*
-X101859974Y-94869448D01*
-X101846090Y-94888168D01*
-X101830438Y-94905438D01*
-X101813168Y-94921090D01*
-X101794448Y-94934974D01*
-X101774457Y-94946956D01*
-X101753387Y-94956921D01*
-X101731443Y-94964773D01*
-X101708834Y-94970437D01*
-X101685779Y-94973856D01*
-X101662500Y-94975000D01*
-X101087500Y-94975000D01*
-X101064221Y-94973856D01*
-X101041166Y-94970437D01*
-X101018557Y-94964773D01*
-X100996613Y-94956921D01*
-X100975543Y-94946956D01*
-X100955552Y-94934974D01*
-X100936832Y-94921090D01*
-X100919562Y-94905438D01*
-X100903910Y-94888168D01*
-X100890026Y-94869448D01*
-X100878044Y-94849457D01*
-X100868079Y-94828387D01*
-X100860227Y-94806443D01*
-X100854563Y-94783834D01*
-X100851144Y-94760779D01*
-X100850000Y-94737500D01*
-X100850000Y-94262500D01*
-X100851144Y-94239221D01*
-X100854563Y-94216166D01*
-X100860227Y-94193557D01*
-X100868079Y-94171613D01*
-X100878044Y-94150543D01*
-X100890026Y-94130552D01*
-X100903910Y-94111832D01*
-X100919562Y-94094562D01*
-X100936832Y-94078910D01*
-X100955552Y-94065026D01*
-X100975543Y-94053044D01*
-X100996613Y-94043079D01*
-X101018557Y-94035227D01*
-X101041166Y-94029563D01*
-X101064221Y-94026144D01*
-X101087500Y-94025000D01*
-X101662500Y-94025000D01*
-X101685779Y-94026144D01*
-X101685779Y-94026144D01*
-G37*
-D14*
-X101375000Y-94500000D03*
-D13*
-G36*
-X99935779Y-94026144D02*
-G01*
-X99958834Y-94029563D01*
-X99981443Y-94035227D01*
-X100003387Y-94043079D01*
-X100024457Y-94053044D01*
-X100044448Y-94065026D01*
-X100063168Y-94078910D01*
-X100080438Y-94094562D01*
-X100096090Y-94111832D01*
-X100109974Y-94130552D01*
-X100121956Y-94150543D01*
-X100131921Y-94171613D01*
-X100139773Y-94193557D01*
-X100145437Y-94216166D01*
-X100148856Y-94239221D01*
-X100150000Y-94262500D01*
-X100150000Y-94737500D01*
-X100148856Y-94760779D01*
-X100145437Y-94783834D01*
-X100139773Y-94806443D01*
-X100131921Y-94828387D01*
-X100121956Y-94849457D01*
-X100109974Y-94869448D01*
-X100096090Y-94888168D01*
-X100080438Y-94905438D01*
-X100063168Y-94921090D01*
-X100044448Y-94934974D01*
-X100024457Y-94946956D01*
-X100003387Y-94956921D01*
-X99981443Y-94964773D01*
-X99958834Y-94970437D01*
-X99935779Y-94973856D01*
-X99912500Y-94975000D01*
-X99337500Y-94975000D01*
-X99314221Y-94973856D01*
-X99291166Y-94970437D01*
-X99268557Y-94964773D01*
-X99246613Y-94956921D01*
-X99225543Y-94946956D01*
-X99205552Y-94934974D01*
-X99186832Y-94921090D01*
-X99169562Y-94905438D01*
-X99153910Y-94888168D01*
-X99140026Y-94869448D01*
-X99128044Y-94849457D01*
-X99118079Y-94828387D01*
-X99110227Y-94806443D01*
-X99104563Y-94783834D01*
-X99101144Y-94760779D01*
-X99100000Y-94737500D01*
-X99100000Y-94262500D01*
-X99101144Y-94239221D01*
-X99104563Y-94216166D01*
-X99110227Y-94193557D01*
-X99118079Y-94171613D01*
-X99128044Y-94150543D01*
-X99140026Y-94130552D01*
-X99153910Y-94111832D01*
-X99169562Y-94094562D01*
-X99186832Y-94078910D01*
-X99205552Y-94065026D01*
-X99225543Y-94053044D01*
-X99246613Y-94043079D01*
-X99268557Y-94035227D01*
-X99291166Y-94029563D01*
-X99314221Y-94026144D01*
-X99337500Y-94025000D01*
-X99912500Y-94025000D01*
-X99935779Y-94026144D01*
-X99935779Y-94026144D01*
-G37*
-D14*
-X99625000Y-94500000D03*
-D13*
-G36*
-X105085779Y-87826144D02*
-G01*
-X105108834Y-87829563D01*
-X105131443Y-87835227D01*
-X105153387Y-87843079D01*
-X105174457Y-87853044D01*
-X105194448Y-87865026D01*
-X105213168Y-87878910D01*
-X105230438Y-87894562D01*
-X105246090Y-87911832D01*
-X105259974Y-87930552D01*
-X105271956Y-87950543D01*
-X105281921Y-87971613D01*
-X105289773Y-87993557D01*
-X105295437Y-88016166D01*
-X105298856Y-88039221D01*
-X105300000Y-88062500D01*
-X105300000Y-88537500D01*
-X105298856Y-88560779D01*
-X105295437Y-88583834D01*
-X105289773Y-88606443D01*
-X105281921Y-88628387D01*
-X105271956Y-88649457D01*
-X105259974Y-88669448D01*
-X105246090Y-88688168D01*
-X105230438Y-88705438D01*
-X105213168Y-88721090D01*
-X105194448Y-88734974D01*
-X105174457Y-88746956D01*
-X105153387Y-88756921D01*
-X105131443Y-88764773D01*
-X105108834Y-88770437D01*
-X105085779Y-88773856D01*
-X105062500Y-88775000D01*
-X104487500Y-88775000D01*
-X104464221Y-88773856D01*
-X104441166Y-88770437D01*
-X104418557Y-88764773D01*
-X104396613Y-88756921D01*
-X104375543Y-88746956D01*
-X104355552Y-88734974D01*
-X104336832Y-88721090D01*
-X104319562Y-88705438D01*
-X104303910Y-88688168D01*
-X104290026Y-88669448D01*
-X104278044Y-88649457D01*
-X104268079Y-88628387D01*
-X104260227Y-88606443D01*
-X104254563Y-88583834D01*
-X104251144Y-88560779D01*
-X104250000Y-88537500D01*
-X104250000Y-88062500D01*
-X104251144Y-88039221D01*
-X104254563Y-88016166D01*
-X104260227Y-87993557D01*
-X104268079Y-87971613D01*
-X104278044Y-87950543D01*
-X104290026Y-87930552D01*
-X104303910Y-87911832D01*
-X104319562Y-87894562D01*
-X104336832Y-87878910D01*
-X104355552Y-87865026D01*
-X104375543Y-87853044D01*
-X104396613Y-87843079D01*
-X104418557Y-87835227D01*
-X104441166Y-87829563D01*
-X104464221Y-87826144D01*
-X104487500Y-87825000D01*
-X105062500Y-87825000D01*
-X105085779Y-87826144D01*
-X105085779Y-87826144D01*
-G37*
-D14*
-X104775000Y-88300000D03*
-D13*
-G36*
-X103335779Y-87826144D02*
-G01*
-X103358834Y-87829563D01*
-X103381443Y-87835227D01*
-X103403387Y-87843079D01*
-X103424457Y-87853044D01*
-X103444448Y-87865026D01*
-X103463168Y-87878910D01*
-X103480438Y-87894562D01*
-X103496090Y-87911832D01*
-X103509974Y-87930552D01*
-X103521956Y-87950543D01*
-X103531921Y-87971613D01*
-X103539773Y-87993557D01*
-X103545437Y-88016166D01*
-X103548856Y-88039221D01*
-X103550000Y-88062500D01*
-X103550000Y-88537500D01*
-X103548856Y-88560779D01*
-X103545437Y-88583834D01*
-X103539773Y-88606443D01*
-X103531921Y-88628387D01*
-X103521956Y-88649457D01*
-X103509974Y-88669448D01*
-X103496090Y-88688168D01*
-X103480438Y-88705438D01*
-X103463168Y-88721090D01*
-X103444448Y-88734974D01*
-X103424457Y-88746956D01*
-X103403387Y-88756921D01*
-X103381443Y-88764773D01*
-X103358834Y-88770437D01*
-X103335779Y-88773856D01*
-X103312500Y-88775000D01*
-X102737500Y-88775000D01*
-X102714221Y-88773856D01*
-X102691166Y-88770437D01*
-X102668557Y-88764773D01*
-X102646613Y-88756921D01*
-X102625543Y-88746956D01*
-X102605552Y-88734974D01*
-X102586832Y-88721090D01*
-X102569562Y-88705438D01*
-X102553910Y-88688168D01*
-X102540026Y-88669448D01*
-X102528044Y-88649457D01*
-X102518079Y-88628387D01*
-X102510227Y-88606443D01*
-X102504563Y-88583834D01*
-X102501144Y-88560779D01*
-X102500000Y-88537500D01*
-X102500000Y-88062500D01*
-X102501144Y-88039221D01*
-X102504563Y-88016166D01*
-X102510227Y-87993557D01*
-X102518079Y-87971613D01*
-X102528044Y-87950543D01*
-X102540026Y-87930552D01*
-X102553910Y-87911832D01*
-X102569562Y-87894562D01*
-X102586832Y-87878910D01*
-X102605552Y-87865026D01*
-X102625543Y-87853044D01*
-X102646613Y-87843079D01*
-X102668557Y-87835227D01*
-X102691166Y-87829563D01*
-X102714221Y-87826144D01*
-X102737500Y-87825000D01*
-X103312500Y-87825000D01*
-X103335779Y-87826144D01*
-X103335779Y-87826144D01*
-G37*
-D14*
-X103025000Y-88300000D03*
-D13*
-G36*
-X101560779Y-85901144D02*
-G01*
-X101583834Y-85904563D01*
-X101606443Y-85910227D01*
-X101628387Y-85918079D01*
-X101649457Y-85928044D01*
-X101669448Y-85940026D01*
-X101688168Y-85953910D01*
-X101705438Y-85969562D01*
-X101721090Y-85986832D01*
-X101734974Y-86005552D01*
-X101746956Y-86025543D01*
-X101756921Y-86046613D01*
-X101764773Y-86068557D01*
-X101770437Y-86091166D01*
-X101773856Y-86114221D01*
-X101775000Y-86137500D01*
-X101775000Y-86712500D01*
-X101773856Y-86735779D01*
-X101770437Y-86758834D01*
-X101764773Y-86781443D01*
-X101756921Y-86803387D01*
-X101746956Y-86824457D01*
-X101734974Y-86844448D01*
-X101721090Y-86863168D01*
-X101705438Y-86880438D01*
-X101688168Y-86896090D01*
-X101669448Y-86909974D01*
-X101649457Y-86921956D01*
-X101628387Y-86931921D01*
-X101606443Y-86939773D01*
-X101583834Y-86945437D01*
-X101560779Y-86948856D01*
-X101537500Y-86950000D01*
-X101062500Y-86950000D01*
-X101039221Y-86948856D01*
-X101016166Y-86945437D01*
-X100993557Y-86939773D01*
-X100971613Y-86931921D01*
-X100950543Y-86921956D01*
-X100930552Y-86909974D01*
-X100911832Y-86896090D01*
-X100894562Y-86880438D01*
-X100878910Y-86863168D01*
-X100865026Y-86844448D01*
-X100853044Y-86824457D01*
-X100843079Y-86803387D01*
-X100835227Y-86781443D01*
-X100829563Y-86758834D01*
-X100826144Y-86735779D01*
-X100825000Y-86712500D01*
-X100825000Y-86137500D01*
-X100826144Y-86114221D01*
-X100829563Y-86091166D01*
-X100835227Y-86068557D01*
-X100843079Y-86046613D01*
-X100853044Y-86025543D01*
-X100865026Y-86005552D01*
-X100878910Y-85986832D01*
-X100894562Y-85969562D01*
-X100911832Y-85953910D01*
-X100930552Y-85940026D01*
-X100950543Y-85928044D01*
-X100971613Y-85918079D01*
-X100993557Y-85910227D01*
-X101016166Y-85904563D01*
-X101039221Y-85901144D01*
-X101062500Y-85900000D01*
-X101537500Y-85900000D01*
-X101560779Y-85901144D01*
-X101560779Y-85901144D01*
-G37*
-D14*
-X101300000Y-86425000D03*
-D13*
-G36*
-X101560779Y-87651144D02*
-G01*
-X101583834Y-87654563D01*
-X101606443Y-87660227D01*
-X101628387Y-87668079D01*
-X101649457Y-87678044D01*
-X101669448Y-87690026D01*
-X101688168Y-87703910D01*
-X101705438Y-87719562D01*
-X101721090Y-87736832D01*
-X101734974Y-87755552D01*
-X101746956Y-87775543D01*
-X101756921Y-87796613D01*
-X101764773Y-87818557D01*
-X101770437Y-87841166D01*
-X101773856Y-87864221D01*
-X101775000Y-87887500D01*
-X101775000Y-88462500D01*
-X101773856Y-88485779D01*
-X101770437Y-88508834D01*
-X101764773Y-88531443D01*
-X101756921Y-88553387D01*
-X101746956Y-88574457D01*
-X101734974Y-88594448D01*
-X101721090Y-88613168D01*
-X101705438Y-88630438D01*
-X101688168Y-88646090D01*
-X101669448Y-88659974D01*
-X101649457Y-88671956D01*
-X101628387Y-88681921D01*
-X101606443Y-88689773D01*
-X101583834Y-88695437D01*
-X101560779Y-88698856D01*
-X101537500Y-88700000D01*
-X101062500Y-88700000D01*
-X101039221Y-88698856D01*
-X101016166Y-88695437D01*
-X100993557Y-88689773D01*
-X100971613Y-88681921D01*
-X100950543Y-88671956D01*
-X100930552Y-88659974D01*
-X100911832Y-88646090D01*
-X100894562Y-88630438D01*
-X100878910Y-88613168D01*
-X100865026Y-88594448D01*
-X100853044Y-88574457D01*
-X100843079Y-88553387D01*
-X100835227Y-88531443D01*
-X100829563Y-88508834D01*
-X100826144Y-88485779D01*
-X100825000Y-88462500D01*
-X100825000Y-87887500D01*
-X100826144Y-87864221D01*
-X100829563Y-87841166D01*
-X100835227Y-87818557D01*
-X100843079Y-87796613D01*
-X100853044Y-87775543D01*
-X100865026Y-87755552D01*
-X100878910Y-87736832D01*
-X100894562Y-87719562D01*
-X100911832Y-87703910D01*
-X100930552Y-87690026D01*
-X100950543Y-87678044D01*
-X100971613Y-87668079D01*
-X100993557Y-87660227D01*
-X101016166Y-87654563D01*
-X101039221Y-87651144D01*
-X101062500Y-87650000D01*
-X101537500Y-87650000D01*
-X101560779Y-87651144D01*
-X101560779Y-87651144D01*
-G37*
-D14*
-X101300000Y-88175000D03*
-D13*
-G36*
-X134260779Y-89801144D02*
-G01*
-X134283834Y-89804563D01*
-X134306443Y-89810227D01*
-X134328387Y-89818079D01*
-X134349457Y-89828044D01*
-X134369448Y-89840026D01*
-X134388168Y-89853910D01*
-X134405438Y-89869562D01*
-X134421090Y-89886832D01*
-X134434974Y-89905552D01*
-X134446956Y-89925543D01*
-X134456921Y-89946613D01*
-X134464773Y-89968557D01*
-X134470437Y-89991166D01*
-X134473856Y-90014221D01*
-X134475000Y-90037500D01*
-X134475000Y-90612500D01*
-X134473856Y-90635779D01*
-X134470437Y-90658834D01*
-X134464773Y-90681443D01*
-X134456921Y-90703387D01*
-X134446956Y-90724457D01*
-X134434974Y-90744448D01*
-X134421090Y-90763168D01*
-X134405438Y-90780438D01*
-X134388168Y-90796090D01*
-X134369448Y-90809974D01*
-X134349457Y-90821956D01*
-X134328387Y-90831921D01*
-X134306443Y-90839773D01*
-X134283834Y-90845437D01*
-X134260779Y-90848856D01*
-X134237500Y-90850000D01*
-X133762500Y-90850000D01*
-X133739221Y-90848856D01*
-X133716166Y-90845437D01*
-X133693557Y-90839773D01*
-X133671613Y-90831921D01*
-X133650543Y-90821956D01*
-X133630552Y-90809974D01*
-X133611832Y-90796090D01*
-X133594562Y-90780438D01*
-X133578910Y-90763168D01*
-X133565026Y-90744448D01*
-X133553044Y-90724457D01*
-X133543079Y-90703387D01*
-X133535227Y-90681443D01*
-X133529563Y-90658834D01*
-X133526144Y-90635779D01*
-X133525000Y-90612500D01*
-X133525000Y-90037500D01*
-X133526144Y-90014221D01*
-X133529563Y-89991166D01*
-X133535227Y-89968557D01*
-X133543079Y-89946613D01*
-X133553044Y-89925543D01*
-X133565026Y-89905552D01*
-X133578910Y-89886832D01*
-X133594562Y-89869562D01*
-X133611832Y-89853910D01*
-X133630552Y-89840026D01*
-X133650543Y-89828044D01*
-X133671613Y-89818079D01*
-X133693557Y-89810227D01*
-X133716166Y-89804563D01*
-X133739221Y-89801144D01*
-X133762500Y-89800000D01*
-X134237500Y-89800000D01*
-X134260779Y-89801144D01*
-X134260779Y-89801144D01*
-G37*
-D14*
-X134000000Y-90325000D03*
-D13*
-G36*
-X134260779Y-91551144D02*
-G01*
-X134283834Y-91554563D01*
-X134306443Y-91560227D01*
-X134328387Y-91568079D01*
-X134349457Y-91578044D01*
-X134369448Y-91590026D01*
-X134388168Y-91603910D01*
-X134405438Y-91619562D01*
-X134421090Y-91636832D01*
-X134434974Y-91655552D01*
-X134446956Y-91675543D01*
-X134456921Y-91696613D01*
-X134464773Y-91718557D01*
-X134470437Y-91741166D01*
-X134473856Y-91764221D01*
-X134475000Y-91787500D01*
-X134475000Y-92362500D01*
-X134473856Y-92385779D01*
-X134470437Y-92408834D01*
-X134464773Y-92431443D01*
-X134456921Y-92453387D01*
-X134446956Y-92474457D01*
-X134434974Y-92494448D01*
-X134421090Y-92513168D01*
-X134405438Y-92530438D01*
-X134388168Y-92546090D01*
-X134369448Y-92559974D01*
-X134349457Y-92571956D01*
-X134328387Y-92581921D01*
-X134306443Y-92589773D01*
-X134283834Y-92595437D01*
-X134260779Y-92598856D01*
-X134237500Y-92600000D01*
-X133762500Y-92600000D01*
-X133739221Y-92598856D01*
-X133716166Y-92595437D01*
-X133693557Y-92589773D01*
-X133671613Y-92581921D01*
-X133650543Y-92571956D01*
-X133630552Y-92559974D01*
-X133611832Y-92546090D01*
-X133594562Y-92530438D01*
-X133578910Y-92513168D01*
-X133565026Y-92494448D01*
-X133553044Y-92474457D01*
-X133543079Y-92453387D01*
-X133535227Y-92431443D01*
-X133529563Y-92408834D01*
-X133526144Y-92385779D01*
-X133525000Y-92362500D01*
-X133525000Y-91787500D01*
-X133526144Y-91764221D01*
-X133529563Y-91741166D01*
-X133535227Y-91718557D01*
-X133543079Y-91696613D01*
-X133553044Y-91675543D01*
-X133565026Y-91655552D01*
-X133578910Y-91636832D01*
-X133594562Y-91619562D01*
-X133611832Y-91603910D01*
-X133630552Y-91590026D01*
-X133650543Y-91578044D01*
-X133671613Y-91568079D01*
-X133693557Y-91560227D01*
-X133716166Y-91554563D01*
-X133739221Y-91551144D01*
-X133762500Y-91550000D01*
-X134237500Y-91550000D01*
-X134260779Y-91551144D01*
-X134260779Y-91551144D01*
-G37*
-D14*
-X134000000Y-92075000D03*
-D13*
-G36*
-X140585779Y-85926144D02*
-G01*
-X140608834Y-85929563D01*
-X140631443Y-85935227D01*
-X140653387Y-85943079D01*
-X140674457Y-85953044D01*
-X140694448Y-85965026D01*
-X140713168Y-85978910D01*
-X140730438Y-85994562D01*
-X140746090Y-86011832D01*
-X140759974Y-86030552D01*
-X140771956Y-86050543D01*
-X140781921Y-86071613D01*
-X140789773Y-86093557D01*
-X140795437Y-86116166D01*
-X140798856Y-86139221D01*
-X140800000Y-86162500D01*
-X140800000Y-86637500D01*
-X140798856Y-86660779D01*
-X140795437Y-86683834D01*
-X140789773Y-86706443D01*
-X140781921Y-86728387D01*
-X140771956Y-86749457D01*
-X140759974Y-86769448D01*
-X140746090Y-86788168D01*
-X140730438Y-86805438D01*
-X140713168Y-86821090D01*
-X140694448Y-86834974D01*
-X140674457Y-86846956D01*
-X140653387Y-86856921D01*
-X140631443Y-86864773D01*
-X140608834Y-86870437D01*
-X140585779Y-86873856D01*
-X140562500Y-86875000D01*
-X139987500Y-86875000D01*
-X139964221Y-86873856D01*
-X139941166Y-86870437D01*
-X139918557Y-86864773D01*
-X139896613Y-86856921D01*
-X139875543Y-86846956D01*
-X139855552Y-86834974D01*
-X139836832Y-86821090D01*
-X139819562Y-86805438D01*
-X139803910Y-86788168D01*
-X139790026Y-86769448D01*
-X139778044Y-86749457D01*
-X139768079Y-86728387D01*
-X139760227Y-86706443D01*
-X139754563Y-86683834D01*
-X139751144Y-86660779D01*
-X139750000Y-86637500D01*
-X139750000Y-86162500D01*
-X139751144Y-86139221D01*
-X139754563Y-86116166D01*
-X139760227Y-86093557D01*
-X139768079Y-86071613D01*
-X139778044Y-86050543D01*
-X139790026Y-86030552D01*
-X139803910Y-86011832D01*
-X139819562Y-85994562D01*
-X139836832Y-85978910D01*
-X139855552Y-85965026D01*
-X139875543Y-85953044D01*
-X139896613Y-85943079D01*
-X139918557Y-85935227D01*
-X139941166Y-85929563D01*
-X139964221Y-85926144D01*
-X139987500Y-85925000D01*
-X140562500Y-85925000D01*
-X140585779Y-85926144D01*
-X140585779Y-85926144D01*
-G37*
-D14*
-X140275000Y-86400000D03*
-D13*
-G36*
-X138835779Y-85926144D02*
-G01*
-X138858834Y-85929563D01*
-X138881443Y-85935227D01*
-X138903387Y-85943079D01*
-X138924457Y-85953044D01*
-X138944448Y-85965026D01*
-X138963168Y-85978910D01*
-X138980438Y-85994562D01*
-X138996090Y-86011832D01*
-X139009974Y-86030552D01*
-X139021956Y-86050543D01*
-X139031921Y-86071613D01*
-X139039773Y-86093557D01*
-X139045437Y-86116166D01*
-X139048856Y-86139221D01*
-X139050000Y-86162500D01*
-X139050000Y-86637500D01*
-X139048856Y-86660779D01*
-X139045437Y-86683834D01*
-X139039773Y-86706443D01*
-X139031921Y-86728387D01*
-X139021956Y-86749457D01*
-X139009974Y-86769448D01*
-X138996090Y-86788168D01*
-X138980438Y-86805438D01*
-X138963168Y-86821090D01*
-X138944448Y-86834974D01*
-X138924457Y-86846956D01*
-X138903387Y-86856921D01*
-X138881443Y-86864773D01*
-X138858834Y-86870437D01*
-X138835779Y-86873856D01*
-X138812500Y-86875000D01*
-X138237500Y-86875000D01*
-X138214221Y-86873856D01*
-X138191166Y-86870437D01*
-X138168557Y-86864773D01*
-X138146613Y-86856921D01*
-X138125543Y-86846956D01*
-X138105552Y-86834974D01*
-X138086832Y-86821090D01*
-X138069562Y-86805438D01*
-X138053910Y-86788168D01*
-X138040026Y-86769448D01*
-X138028044Y-86749457D01*
-X138018079Y-86728387D01*
-X138010227Y-86706443D01*
-X138004563Y-86683834D01*
-X138001144Y-86660779D01*
-X138000000Y-86637500D01*
-X138000000Y-86162500D01*
-X138001144Y-86139221D01*
-X138004563Y-86116166D01*
-X138010227Y-86093557D01*
-X138018079Y-86071613D01*
-X138028044Y-86050543D01*
-X138040026Y-86030552D01*
-X138053910Y-86011832D01*
-X138069562Y-85994562D01*
-X138086832Y-85978910D01*
-X138105552Y-85965026D01*
-X138125543Y-85953044D01*
-X138146613Y-85943079D01*
-X138168557Y-85935227D01*
-X138191166Y-85929563D01*
-X138214221Y-85926144D01*
-X138237500Y-85925000D01*
-X138812500Y-85925000D01*
-X138835779Y-85926144D01*
-X138835779Y-85926144D01*
-G37*
-D14*
-X138525000Y-86400000D03*
-D15*
-X105100000Y-91300000D03*
-X107100000Y-90350000D03*
-X107100000Y-92250000D03*
-D10*
-X97600000Y-89850000D03*
-X97600000Y-91750000D03*
-X122200000Y-119050000D03*
-X122200000Y-120950000D03*
-D16*
-X95250000Y-79800000D03*
-X93350000Y-79800000D03*
-D17*
-X96000000Y-87300000D03*
-X95500000Y-87300000D03*
-X95000000Y-87300000D03*
-X94500000Y-87300000D03*
-X94000000Y-87300000D03*
-X94000000Y-91700000D03*
-X94500000Y-91700000D03*
-X95000000Y-91700000D03*
-X95500000Y-91700000D03*
-X96000000Y-91700000D03*
-D18*
-X119450000Y-75475000D03*
-X119450000Y-76125000D03*
-X119450000Y-76775000D03*
-X119450000Y-77425000D03*
-X119450000Y-78075000D03*
-X119450000Y-78725000D03*
-X119450000Y-79375000D03*
-X119450000Y-80025000D03*
-X119450000Y-80675000D03*
-X119450000Y-81325000D03*
-X125350000Y-81325000D03*
-X125350000Y-80675000D03*
-X125350000Y-80025000D03*
-X125350000Y-79375000D03*
-X125350000Y-78725000D03*
-X125350000Y-78075000D03*
-X125350000Y-77425000D03*
-X125350000Y-76775000D03*
-X125350000Y-76125000D03*
-X125350000Y-75475000D03*
-D13*
-G36*
-X111035779Y-66626144D02*
-G01*
-X111058834Y-66629563D01*
-X111081443Y-66635227D01*
-X111103387Y-66643079D01*
-X111124457Y-66653044D01*
-X111144448Y-66665026D01*
-X111163168Y-66678910D01*
-X111180438Y-66694562D01*
-X111196090Y-66711832D01*
-X111209974Y-66730552D01*
-X111221956Y-66750543D01*
-X111231921Y-66771613D01*
-X111239773Y-66793557D01*
-X111245437Y-66816166D01*
-X111248856Y-66839221D01*
-X111250000Y-66862500D01*
-X111250000Y-67337500D01*
-X111248856Y-67360779D01*
-X111245437Y-67383834D01*
-X111239773Y-67406443D01*
-X111231921Y-67428387D01*
-X111221956Y-67449457D01*
-X111209974Y-67469448D01*
-X111196090Y-67488168D01*
-X111180438Y-67505438D01*
-X111163168Y-67521090D01*
-X111144448Y-67534974D01*
-X111124457Y-67546956D01*
-X111103387Y-67556921D01*
-X111081443Y-67564773D01*
-X111058834Y-67570437D01*
-X111035779Y-67573856D01*
-X111012500Y-67575000D01*
-X110437500Y-67575000D01*
-X110414221Y-67573856D01*
-X110391166Y-67570437D01*
-X110368557Y-67564773D01*
-X110346613Y-67556921D01*
-X110325543Y-67546956D01*
-X110305552Y-67534974D01*
-X110286832Y-67521090D01*
-X110269562Y-67505438D01*
-X110253910Y-67488168D01*
-X110240026Y-67469448D01*
-X110228044Y-67449457D01*
-X110218079Y-67428387D01*
-X110210227Y-67406443D01*
-X110204563Y-67383834D01*
-X110201144Y-67360779D01*
-X110200000Y-67337500D01*
-X110200000Y-66862500D01*
-X110201144Y-66839221D01*
-X110204563Y-66816166D01*
-X110210227Y-66793557D01*
-X110218079Y-66771613D01*
-X110228044Y-66750543D01*
-X110240026Y-66730552D01*
-X110253910Y-66711832D01*
-X110269562Y-66694562D01*
-X110286832Y-66678910D01*
-X110305552Y-66665026D01*
-X110325543Y-66653044D01*
-X110346613Y-66643079D01*
-X110368557Y-66635227D01*
-X110391166Y-66629563D01*
-X110414221Y-66626144D01*
-X110437500Y-66625000D01*
-X111012500Y-66625000D01*
-X111035779Y-66626144D01*
-X111035779Y-66626144D01*
-G37*
-D14*
-X110725000Y-67100000D03*
-D13*
-G36*
-X112785779Y-66626144D02*
-G01*
-X112808834Y-66629563D01*
-X112831443Y-66635227D01*
-X112853387Y-66643079D01*
-X112874457Y-66653044D01*
-X112894448Y-66665026D01*
-X112913168Y-66678910D01*
-X112930438Y-66694562D01*
-X112946090Y-66711832D01*
-X112959974Y-66730552D01*
-X112971956Y-66750543D01*
-X112981921Y-66771613D01*
-X112989773Y-66793557D01*
-X112995437Y-66816166D01*
-X112998856Y-66839221D01*
-X113000000Y-66862500D01*
-X113000000Y-67337500D01*
-X112998856Y-67360779D01*
-X112995437Y-67383834D01*
-X112989773Y-67406443D01*
-X112981921Y-67428387D01*
-X112971956Y-67449457D01*
-X112959974Y-67469448D01*
-X112946090Y-67488168D01*
-X112930438Y-67505438D01*
-X112913168Y-67521090D01*
-X112894448Y-67534974D01*
-X112874457Y-67546956D01*
-X112853387Y-67556921D01*
-X112831443Y-67564773D01*
-X112808834Y-67570437D01*
-X112785779Y-67573856D01*
-X112762500Y-67575000D01*
-X112187500Y-67575000D01*
-X112164221Y-67573856D01*
-X112141166Y-67570437D01*
-X112118557Y-67564773D01*
-X112096613Y-67556921D01*
-X112075543Y-67546956D01*
-X112055552Y-67534974D01*
-X112036832Y-67521090D01*
-X112019562Y-67505438D01*
-X112003910Y-67488168D01*
-X111990026Y-67469448D01*
-X111978044Y-67449457D01*
-X111968079Y-67428387D01*
-X111960227Y-67406443D01*
-X111954563Y-67383834D01*
-X111951144Y-67360779D01*
-X111950000Y-67337500D01*
-X111950000Y-66862500D01*
-X111951144Y-66839221D01*
-X111954563Y-66816166D01*
-X111960227Y-66793557D01*
-X111968079Y-66771613D01*
-X111978044Y-66750543D01*
-X111990026Y-66730552D01*
-X112003910Y-66711832D01*
-X112019562Y-66694562D01*
-X112036832Y-66678910D01*
-X112055552Y-66665026D01*
-X112075543Y-66653044D01*
-X112096613Y-66643079D01*
-X112118557Y-66635227D01*
-X112141166Y-66629563D01*
-X112164221Y-66626144D01*
-X112187500Y-66625000D01*
-X112762500Y-66625000D01*
-X112785779Y-66626144D01*
-X112785779Y-66626144D01*
-G37*
-D14*
-X112475000Y-67100000D03*
-D19*
-X129450000Y-100000000D03*
-D20*
-X133500000Y-101905000D03*
-X133500000Y-100635000D03*
-X133500000Y-99365000D03*
-X133500000Y-98095000D03*
-D21*
-X126525000Y-98095000D03*
-X126525000Y-99365000D03*
-X126525000Y-100635000D03*
-X126525000Y-101905000D03*
-D19*
-X129450000Y-140000000D03*
-D20*
-X133500000Y-141905000D03*
-X133500000Y-140635000D03*
-X133500000Y-139365000D03*
-X133500000Y-138095000D03*
-D21*
-X126525000Y-138095000D03*
-X126525000Y-139365000D03*
-X126525000Y-140635000D03*
-X126525000Y-141905000D03*
-D19*
-X130550000Y-113333300D03*
-D20*
-X126500000Y-111428300D03*
-X126500000Y-112698300D03*
-X126500000Y-113968300D03*
-X126500000Y-115238300D03*
-D21*
-X133475000Y-115238300D03*
-X133475000Y-113968300D03*
-X133475000Y-112698300D03*
-X133475000Y-111428300D03*
-D19*
-X130550000Y-126666700D03*
-D20*
-X126500000Y-124761700D03*
-X126500000Y-126031700D03*
-X126500000Y-127301700D03*
-X126500000Y-128571700D03*
-D21*
-X133475000Y-128571700D03*
-X133475000Y-127301700D03*
-X133475000Y-126031700D03*
-X133475000Y-124761700D03*
-D16*
-X106750000Y-67100000D03*
-X108650000Y-67100000D03*
-X85250000Y-67950000D03*
-X83350000Y-67950000D03*
-X112524999Y-71174999D03*
-X110624999Y-71174999D03*
-D10*
-X128600000Y-77350000D03*
-X128600000Y-79250000D03*
-X156000000Y-90850000D03*
-X156000000Y-92750000D03*
-X80750000Y-82700000D03*
-X80750000Y-80800000D03*
-D16*
-X95250000Y-77900000D03*
-X93350000Y-77900000D03*
-X95250000Y-76100000D03*
-X93350000Y-76100000D03*
-X114450000Y-80450000D03*
-X112550000Y-80450000D03*
-X108850000Y-91500000D03*
-X110750000Y-91500000D03*
-D10*
-X109200000Y-77000000D03*
-X109200000Y-75100000D03*
-D16*
-X123650000Y-85900000D03*
-X125550000Y-85900000D03*
-X140450000Y-94250000D03*
-X138550000Y-94250000D03*
-X129950000Y-87900000D03*
-X131850000Y-87900000D03*
-X145050000Y-87900000D03*
-X146950000Y-87900000D03*
-X130000000Y-93300000D03*
-X131900000Y-93300000D03*
-X146950000Y-93300000D03*
-X145050000Y-93300000D03*
-D22*
-X102800000Y-67500000D03*
-X102800000Y-72900000D03*
-D23*
-X133470000Y-152000000D03*
-D24*
-X136130000Y-152000000D03*
-X86330000Y-152000000D03*
-D23*
-X83670000Y-152000000D03*
-D24*
-X86330000Y-147000000D03*
-D23*
-X83670000Y-147000000D03*
-X133470000Y-147000000D03*
-D24*
-X136130000Y-147000000D03*
-D25*
-X91000000Y-67650000D03*
-X91000000Y-72150000D03*
-D13*
-G36*
-X107135779Y-68626144D02*
-G01*
-X107158834Y-68629563D01*
-X107181443Y-68635227D01*
-X107203387Y-68643079D01*
-X107224457Y-68653044D01*
-X107244448Y-68665026D01*
-X107263168Y-68678910D01*
-X107280438Y-68694562D01*
-X107296090Y-68711832D01*
-X107309974Y-68730552D01*
-X107321956Y-68750543D01*
-X107331921Y-68771613D01*
-X107339773Y-68793557D01*
-X107345437Y-68816166D01*
-X107348856Y-68839221D01*
-X107350000Y-68862500D01*
-X107350000Y-69337500D01*
-X107348856Y-69360779D01*
-X107345437Y-69383834D01*
-X107339773Y-69406443D01*
-X107331921Y-69428387D01*
-X107321956Y-69449457D01*
-X107309974Y-69469448D01*
-X107296090Y-69488168D01*
-X107280438Y-69505438D01*
-X107263168Y-69521090D01*
-X107244448Y-69534974D01*
-X107224457Y-69546956D01*
-X107203387Y-69556921D01*
-X107181443Y-69564773D01*
-X107158834Y-69570437D01*
-X107135779Y-69573856D01*
-X107112500Y-69575000D01*
-X106537500Y-69575000D01*
-X106514221Y-69573856D01*
-X106491166Y-69570437D01*
-X106468557Y-69564773D01*
-X106446613Y-69556921D01*
-X106425543Y-69546956D01*
-X106405552Y-69534974D01*
-X106386832Y-69521090D01*
-X106369562Y-69505438D01*
-X106353910Y-69488168D01*
-X106340026Y-69469448D01*
-X106328044Y-69449457D01*
-X106318079Y-69428387D01*
-X106310227Y-69406443D01*
-X106304563Y-69383834D01*
-X106301144Y-69360779D01*
-X106300000Y-69337500D01*
-X106300000Y-68862500D01*
-X106301144Y-68839221D01*
-X106304563Y-68816166D01*
-X106310227Y-68793557D01*
-X106318079Y-68771613D01*
-X106328044Y-68750543D01*
-X106340026Y-68730552D01*
-X106353910Y-68711832D01*
-X106369562Y-68694562D01*
-X106386832Y-68678910D01*
-X106405552Y-68665026D01*
-X106425543Y-68653044D01*
-X106446613Y-68643079D01*
-X106468557Y-68635227D01*
-X106491166Y-68629563D01*
-X106514221Y-68626144D01*
-X106537500Y-68625000D01*
-X107112500Y-68625000D01*
-X107135779Y-68626144D01*
-X107135779Y-68626144D01*
-G37*
-D14*
-X106825000Y-69100000D03*
-D13*
-G36*
-X108885779Y-68626144D02*
-G01*
-X108908834Y-68629563D01*
-X108931443Y-68635227D01*
-X108953387Y-68643079D01*
-X108974457Y-68653044D01*
-X108994448Y-68665026D01*
-X109013168Y-68678910D01*
-X109030438Y-68694562D01*
-X109046090Y-68711832D01*
-X109059974Y-68730552D01*
-X109071956Y-68750543D01*
-X109081921Y-68771613D01*
-X109089773Y-68793557D01*
-X109095437Y-68816166D01*
-X109098856Y-68839221D01*
-X109100000Y-68862500D01*
-X109100000Y-69337500D01*
-X109098856Y-69360779D01*
-X109095437Y-69383834D01*
-X109089773Y-69406443D01*
-X109081921Y-69428387D01*
-X109071956Y-69449457D01*
-X109059974Y-69469448D01*
-X109046090Y-69488168D01*
-X109030438Y-69505438D01*
-X109013168Y-69521090D01*
-X108994448Y-69534974D01*
-X108974457Y-69546956D01*
-X108953387Y-69556921D01*
-X108931443Y-69564773D01*
-X108908834Y-69570437D01*
-X108885779Y-69573856D01*
-X108862500Y-69575000D01*
-X108287500Y-69575000D01*
-X108264221Y-69573856D01*
-X108241166Y-69570437D01*
-X108218557Y-69564773D01*
-X108196613Y-69556921D01*
-X108175543Y-69546956D01*
-X108155552Y-69534974D01*
-X108136832Y-69521090D01*
-X108119562Y-69505438D01*
-X108103910Y-69488168D01*
-X108090026Y-69469448D01*
-X108078044Y-69449457D01*
-X108068079Y-69428387D01*
-X108060227Y-69406443D01*
-X108054563Y-69383834D01*
-X108051144Y-69360779D01*
-X108050000Y-69337500D01*
-X108050000Y-68862500D01*
-X108051144Y-68839221D01*
-X108054563Y-68816166D01*
-X108060227Y-68793557D01*
-X108068079Y-68771613D01*
-X108078044Y-68750543D01*
-X108090026Y-68730552D01*
-X108103910Y-68711832D01*
-X108119562Y-68694562D01*
-X108136832Y-68678910D01*
-X108155552Y-68665026D01*
-X108175543Y-68653044D01*
-X108196613Y-68643079D01*
-X108218557Y-68635227D01*
-X108241166Y-68629563D01*
-X108264221Y-68626144D01*
-X108287500Y-68625000D01*
-X108862500Y-68625000D01*
-X108885779Y-68626144D01*
-X108885779Y-68626144D01*
-G37*
-D14*
-X108575000Y-69100000D03*
-D13*
-G36*
-X107160779Y-70601144D02*
-G01*
-X107183834Y-70604563D01*
-X107206443Y-70610227D01*
-X107228387Y-70618079D01*
-X107249457Y-70628044D01*
-X107269448Y-70640026D01*
-X107288168Y-70653910D01*
-X107305438Y-70669562D01*
-X107321090Y-70686832D01*
-X107334974Y-70705552D01*
-X107346956Y-70725543D01*
-X107356921Y-70746613D01*
-X107364773Y-70768557D01*
-X107370437Y-70791166D01*
-X107373856Y-70814221D01*
-X107375000Y-70837500D01*
-X107375000Y-71412500D01*
-X107373856Y-71435779D01*
-X107370437Y-71458834D01*
-X107364773Y-71481443D01*
-X107356921Y-71503387D01*
-X107346956Y-71524457D01*
-X107334974Y-71544448D01*
-X107321090Y-71563168D01*
-X107305438Y-71580438D01*
-X107288168Y-71596090D01*
-X107269448Y-71609974D01*
-X107249457Y-71621956D01*
-X107228387Y-71631921D01*
-X107206443Y-71639773D01*
-X107183834Y-71645437D01*
-X107160779Y-71648856D01*
-X107137500Y-71650000D01*
-X106662500Y-71650000D01*
-X106639221Y-71648856D01*
-X106616166Y-71645437D01*
-X106593557Y-71639773D01*
-X106571613Y-71631921D01*
-X106550543Y-71621956D01*
-X106530552Y-71609974D01*
-X106511832Y-71596090D01*
-X106494562Y-71580438D01*
-X106478910Y-71563168D01*
-X106465026Y-71544448D01*
-X106453044Y-71524457D01*
-X106443079Y-71503387D01*
-X106435227Y-71481443D01*
-X106429563Y-71458834D01*
-X106426144Y-71435779D01*
-X106425000Y-71412500D01*
-X106425000Y-70837500D01*
-X106426144Y-70814221D01*
-X106429563Y-70791166D01*
-X106435227Y-70768557D01*
-X106443079Y-70746613D01*
-X106453044Y-70725543D01*
-X106465026Y-70705552D01*
-X106478910Y-70686832D01*
-X106494562Y-70669562D01*
-X106511832Y-70653910D01*
-X106530552Y-70640026D01*
-X106550543Y-70628044D01*
-X106571613Y-70618079D01*
-X106593557Y-70610227D01*
-X106616166Y-70604563D01*
-X106639221Y-70601144D01*
-X106662500Y-70600000D01*
-X107137500Y-70600000D01*
-X107160779Y-70601144D01*
-X107160779Y-70601144D01*
-G37*
-D14*
-X106900000Y-71125000D03*
-D13*
-G36*
-X107160779Y-72351144D02*
-G01*
-X107183834Y-72354563D01*
-X107206443Y-72360227D01*
-X107228387Y-72368079D01*
-X107249457Y-72378044D01*
-X107269448Y-72390026D01*
-X107288168Y-72403910D01*
-X107305438Y-72419562D01*
-X107321090Y-72436832D01*
-X107334974Y-72455552D01*
-X107346956Y-72475543D01*
-X107356921Y-72496613D01*
-X107364773Y-72518557D01*
-X107370437Y-72541166D01*
-X107373856Y-72564221D01*
-X107375000Y-72587500D01*
-X107375000Y-73162500D01*
-X107373856Y-73185779D01*
-X107370437Y-73208834D01*
-X107364773Y-73231443D01*
-X107356921Y-73253387D01*
-X107346956Y-73274457D01*
-X107334974Y-73294448D01*
-X107321090Y-73313168D01*
-X107305438Y-73330438D01*
-X107288168Y-73346090D01*
-X107269448Y-73359974D01*
-X107249457Y-73371956D01*
-X107228387Y-73381921D01*
-X107206443Y-73389773D01*
-X107183834Y-73395437D01*
-X107160779Y-73398856D01*
-X107137500Y-73400000D01*
-X106662500Y-73400000D01*
-X106639221Y-73398856D01*
-X106616166Y-73395437D01*
-X106593557Y-73389773D01*
-X106571613Y-73381921D01*
-X106550543Y-73371956D01*
-X106530552Y-73359974D01*
-X106511832Y-73346090D01*
-X106494562Y-73330438D01*
-X106478910Y-73313168D01*
-X106465026Y-73294448D01*
-X106453044Y-73274457D01*
-X106443079Y-73253387D01*
-X106435227Y-73231443D01*
-X106429563Y-73208834D01*
-X106426144Y-73185779D01*
-X106425000Y-73162500D01*
-X106425000Y-72587500D01*
-X106426144Y-72564221D01*
-X106429563Y-72541166D01*
-X106435227Y-72518557D01*
-X106443079Y-72496613D01*
-X106453044Y-72475543D01*
-X106465026Y-72455552D01*
-X106478910Y-72436832D01*
-X106494562Y-72419562D01*
-X106511832Y-72403910D01*
-X106530552Y-72390026D01*
-X106550543Y-72378044D01*
-X106571613Y-72368079D01*
-X106593557Y-72360227D01*
-X106616166Y-72354563D01*
-X106639221Y-72351144D01*
-X106662500Y-72350000D01*
-X107137500Y-72350000D01*
-X107160779Y-72351144D01*
-X107160779Y-72351144D01*
-G37*
-D14*
-X106900000Y-72875000D03*
-D13*
-G36*
-X111035779Y-68626144D02*
-G01*
-X111058834Y-68629563D01*
-X111081443Y-68635227D01*
-X111103387Y-68643079D01*
-X111124457Y-68653044D01*
-X111144448Y-68665026D01*
-X111163168Y-68678910D01*
-X111180438Y-68694562D01*
-X111196090Y-68711832D01*
-X111209974Y-68730552D01*
-X111221956Y-68750543D01*
-X111231921Y-68771613D01*
-X111239773Y-68793557D01*
-X111245437Y-68816166D01*
-X111248856Y-68839221D01*
-X111250000Y-68862500D01*
-X111250000Y-69337500D01*
-X111248856Y-69360779D01*
-X111245437Y-69383834D01*
-X111239773Y-69406443D01*
-X111231921Y-69428387D01*
-X111221956Y-69449457D01*
-X111209974Y-69469448D01*
-X111196090Y-69488168D01*
-X111180438Y-69505438D01*
-X111163168Y-69521090D01*
-X111144448Y-69534974D01*
-X111124457Y-69546956D01*
-X111103387Y-69556921D01*
-X111081443Y-69564773D01*
-X111058834Y-69570437D01*
-X111035779Y-69573856D01*
-X111012500Y-69575000D01*
-X110437500Y-69575000D01*
-X110414221Y-69573856D01*
-X110391166Y-69570437D01*
-X110368557Y-69564773D01*
-X110346613Y-69556921D01*
-X110325543Y-69546956D01*
-X110305552Y-69534974D01*
-X110286832Y-69521090D01*
-X110269562Y-69505438D01*
-X110253910Y-69488168D01*
-X110240026Y-69469448D01*
-X110228044Y-69449457D01*
-X110218079Y-69428387D01*
-X110210227Y-69406443D01*
-X110204563Y-69383834D01*
-X110201144Y-69360779D01*
-X110200000Y-69337500D01*
-X110200000Y-68862500D01*
-X110201144Y-68839221D01*
-X110204563Y-68816166D01*
-X110210227Y-68793557D01*
-X110218079Y-68771613D01*
-X110228044Y-68750543D01*
-X110240026Y-68730552D01*
-X110253910Y-68711832D01*
-X110269562Y-68694562D01*
-X110286832Y-68678910D01*
-X110305552Y-68665026D01*
-X110325543Y-68653044D01*
-X110346613Y-68643079D01*
-X110368557Y-68635227D01*
-X110391166Y-68629563D01*
-X110414221Y-68626144D01*
-X110437500Y-68625000D01*
-X111012500Y-68625000D01*
-X111035779Y-68626144D01*
-X111035779Y-68626144D01*
-G37*
-D14*
-X110725000Y-69100000D03*
-D13*
-G36*
-X112785779Y-68626144D02*
-G01*
-X112808834Y-68629563D01*
-X112831443Y-68635227D01*
-X112853387Y-68643079D01*
-X112874457Y-68653044D01*
-X112894448Y-68665026D01*
-X112913168Y-68678910D01*
-X112930438Y-68694562D01*
-X112946090Y-68711832D01*
-X112959974Y-68730552D01*
-X112971956Y-68750543D01*
-X112981921Y-68771613D01*
-X112989773Y-68793557D01*
-X112995437Y-68816166D01*
-X112998856Y-68839221D01*
-X113000000Y-68862500D01*
-X113000000Y-69337500D01*
-X112998856Y-69360779D01*
-X112995437Y-69383834D01*
-X112989773Y-69406443D01*
-X112981921Y-69428387D01*
-X112971956Y-69449457D01*
-X112959974Y-69469448D01*
-X112946090Y-69488168D01*
-X112930438Y-69505438D01*
-X112913168Y-69521090D01*
-X112894448Y-69534974D01*
-X112874457Y-69546956D01*
-X112853387Y-69556921D01*
-X112831443Y-69564773D01*
-X112808834Y-69570437D01*
-X112785779Y-69573856D01*
-X112762500Y-69575000D01*
-X112187500Y-69575000D01*
-X112164221Y-69573856D01*
-X112141166Y-69570437D01*
-X112118557Y-69564773D01*
-X112096613Y-69556921D01*
-X112075543Y-69546956D01*
-X112055552Y-69534974D01*
-X112036832Y-69521090D01*
-X112019562Y-69505438D01*
-X112003910Y-69488168D01*
-X111990026Y-69469448D01*
-X111978044Y-69449457D01*
-X111968079Y-69428387D01*
-X111960227Y-69406443D01*
-X111954563Y-69383834D01*
-X111951144Y-69360779D01*
-X111950000Y-69337500D01*
-X111950000Y-68862500D01*
-X111951144Y-68839221D01*
-X111954563Y-68816166D01*
-X111960227Y-68793557D01*
-X111968079Y-68771613D01*
-X111978044Y-68750543D01*
-X111990026Y-68730552D01*
-X112003910Y-68711832D01*
-X112019562Y-68694562D01*
-X112036832Y-68678910D01*
-X112055552Y-68665026D01*
-X112075543Y-68653044D01*
-X112096613Y-68643079D01*
-X112118557Y-68635227D01*
-X112141166Y-68629563D01*
-X112164221Y-68626144D01*
-X112187500Y-68625000D01*
-X112762500Y-68625000D01*
-X112785779Y-68626144D01*
-X112785779Y-68626144D01*
-G37*
-D14*
-X112475000Y-69100000D03*
-D13*
-G36*
-X72199504Y-81126204D02*
-G01*
-X72223773Y-81129804D01*
-X72247571Y-81135765D01*
-X72270671Y-81144030D01*
-X72292849Y-81154520D01*
-X72313893Y-81167133D01*
-X72333598Y-81181747D01*
-X72351777Y-81198223D01*
-X72368253Y-81216402D01*
-X72382867Y-81236107D01*
-X72395480Y-81257151D01*
-X72405970Y-81279329D01*
-X72414235Y-81302429D01*
-X72420196Y-81326227D01*
-X72423796Y-81350496D01*
-X72425000Y-81375000D01*
-X72425000Y-82625000D01*
-X72423796Y-82649504D01*
-X72420196Y-82673773D01*
-X72414235Y-82697571D01*
-X72405970Y-82720671D01*
-X72395480Y-82742849D01*
-X72382867Y-82763893D01*
-X72368253Y-82783598D01*
-X72351777Y-82801777D01*
-X72333598Y-82818253D01*
-X72313893Y-82832867D01*
-X72292849Y-82845480D01*
-X72270671Y-82855970D01*
-X72247571Y-82864235D01*
-X72223773Y-82870196D01*
-X72199504Y-82873796D01*
-X72175000Y-82875000D01*
-X71250000Y-82875000D01*
-X71225496Y-82873796D01*
-X71201227Y-82870196D01*
-X71177429Y-82864235D01*
-X71154329Y-82855970D01*
-X71132151Y-82845480D01*
-X71111107Y-82832867D01*
-X71091402Y-82818253D01*
-X71073223Y-82801777D01*
-X71056747Y-82783598D01*
-X71042133Y-82763893D01*
-X71029520Y-82742849D01*
-X71019030Y-82720671D01*
-X71010765Y-82697571D01*
-X71004804Y-82673773D01*
-X71001204Y-82649504D01*
-X71000000Y-82625000D01*
-X71000000Y-81375000D01*
-X71001204Y-81350496D01*
-X71004804Y-81326227D01*
-X71010765Y-81302429D01*
-X71019030Y-81279329D01*
-X71029520Y-81257151D01*
-X71042133Y-81236107D01*
-X71056747Y-81216402D01*
-X71073223Y-81198223D01*
-X71091402Y-81181747D01*
-X71111107Y-81167133D01*
-X71132151Y-81154520D01*
-X71154329Y-81144030D01*
-X71177429Y-81135765D01*
-X71201227Y-81129804D01*
-X71225496Y-81126204D01*
-X71250000Y-81125000D01*
-X72175000Y-81125000D01*
-X72199504Y-81126204D01*
-X72199504Y-81126204D01*
-G37*
-D26*
-X71712500Y-82000000D03*
-D13*
-G36*
-X75174504Y-81126204D02*
-G01*
-X75198773Y-81129804D01*
-X75222571Y-81135765D01*
-X75245671Y-81144030D01*
-X75267849Y-81154520D01*
-X75288893Y-81167133D01*
-X75308598Y-81181747D01*
-X75326777Y-81198223D01*
-X75343253Y-81216402D01*
-X75357867Y-81236107D01*
-X75370480Y-81257151D01*
-X75380970Y-81279329D01*
-X75389235Y-81302429D01*
-X75395196Y-81326227D01*
-X75398796Y-81350496D01*
-X75400000Y-81375000D01*
-X75400000Y-82625000D01*
-X75398796Y-82649504D01*
-X75395196Y-82673773D01*
-X75389235Y-82697571D01*
-X75380970Y-82720671D01*
-X75370480Y-82742849D01*
-X75357867Y-82763893D01*
-X75343253Y-82783598D01*
-X75326777Y-82801777D01*
-X75308598Y-82818253D01*
-X75288893Y-82832867D01*
-X75267849Y-82845480D01*
-X75245671Y-82855970D01*
-X75222571Y-82864235D01*
-X75198773Y-82870196D01*
-X75174504Y-82873796D01*
-X75150000Y-82875000D01*
-X74225000Y-82875000D01*
-X74200496Y-82873796D01*
-X74176227Y-82870196D01*
-X74152429Y-82864235D01*
-X74129329Y-82855970D01*
-X74107151Y-82845480D01*
-X74086107Y-82832867D01*
-X74066402Y-82818253D01*
-X74048223Y-82801777D01*
-X74031747Y-82783598D01*
-X74017133Y-82763893D01*
-X74004520Y-82742849D01*
-X73994030Y-82720671D01*
-X73985765Y-82697571D01*
-X73979804Y-82673773D01*
-X73976204Y-82649504D01*
-X73975000Y-82625000D01*
-X73975000Y-81375000D01*
-X73976204Y-81350496D01*
-X73979804Y-81326227D01*
-X73985765Y-81302429D01*
-X73994030Y-81279329D01*
-X74004520Y-81257151D01*
-X74017133Y-81236107D01*
-X74031747Y-81216402D01*
-X74048223Y-81198223D01*
-X74066402Y-81181747D01*
-X74086107Y-81167133D01*
-X74107151Y-81154520D01*
-X74129329Y-81144030D01*
-X74152429Y-81135765D01*
-X74176227Y-81129804D01*
-X74200496Y-81126204D01*
-X74225000Y-81125000D01*
-X75150000Y-81125000D01*
-X75174504Y-81126204D01*
-X75174504Y-81126204D01*
-G37*
-D26*
-X74687500Y-82000000D03*
-D13*
-G36*
-X116235779Y-92676144D02*
-G01*
-X116258834Y-92679563D01*
-X116281443Y-92685227D01*
-X116303387Y-92693079D01*
-X116324457Y-92703044D01*
-X116344448Y-92715026D01*
-X116363168Y-92728910D01*
-X116380438Y-92744562D01*
-X116396090Y-92761832D01*
-X116409974Y-92780552D01*
-X116421956Y-92800543D01*
-X116431921Y-92821613D01*
-X116439773Y-92843557D01*
-X116445437Y-92866166D01*
-X116448856Y-92889221D01*
-X116450000Y-92912500D01*
-X116450000Y-93387500D01*
-X116448856Y-93410779D01*
-X116445437Y-93433834D01*
-X116439773Y-93456443D01*
-X116431921Y-93478387D01*
-X116421956Y-93499457D01*
-X116409974Y-93519448D01*
-X116396090Y-93538168D01*
-X116380438Y-93555438D01*
-X116363168Y-93571090D01*
-X116344448Y-93584974D01*
-X116324457Y-93596956D01*
-X116303387Y-93606921D01*
-X116281443Y-93614773D01*
-X116258834Y-93620437D01*
-X116235779Y-93623856D01*
-X116212500Y-93625000D01*
-X115637500Y-93625000D01*
-X115614221Y-93623856D01*
-X115591166Y-93620437D01*
-X115568557Y-93614773D01*
-X115546613Y-93606921D01*
-X115525543Y-93596956D01*
-X115505552Y-93584974D01*
-X115486832Y-93571090D01*
-X115469562Y-93555438D01*
-X115453910Y-93538168D01*
-X115440026Y-93519448D01*
-X115428044Y-93499457D01*
-X115418079Y-93478387D01*
-X115410227Y-93456443D01*
-X115404563Y-93433834D01*
-X115401144Y-93410779D01*
-X115400000Y-93387500D01*
-X115400000Y-92912500D01*
-X115401144Y-92889221D01*
-X115404563Y-92866166D01*
-X115410227Y-92843557D01*
-X115418079Y-92821613D01*
-X115428044Y-92800543D01*
-X115440026Y-92780552D01*
-X115453910Y-92761832D01*
-X115469562Y-92744562D01*
-X115486832Y-92728910D01*
-X115505552Y-92715026D01*
-X115525543Y-92703044D01*
-X115546613Y-92693079D01*
-X115568557Y-92685227D01*
-X115591166Y-92679563D01*
-X115614221Y-92676144D01*
-X115637500Y-92675000D01*
-X116212500Y-92675000D01*
-X116235779Y-92676144D01*
-X116235779Y-92676144D01*
-G37*
-D14*
-X115925000Y-93150000D03*
-D13*
-G36*
-X117985779Y-92676144D02*
-G01*
-X118008834Y-92679563D01*
-X118031443Y-92685227D01*
-X118053387Y-92693079D01*
-X118074457Y-92703044D01*
-X118094448Y-92715026D01*
-X118113168Y-92728910D01*
-X118130438Y-92744562D01*
-X118146090Y-92761832D01*
-X118159974Y-92780552D01*
-X118171956Y-92800543D01*
-X118181921Y-92821613D01*
-X118189773Y-92843557D01*
-X118195437Y-92866166D01*
-X118198856Y-92889221D01*
-X118200000Y-92912500D01*
-X118200000Y-93387500D01*
-X118198856Y-93410779D01*
-X118195437Y-93433834D01*
-X118189773Y-93456443D01*
-X118181921Y-93478387D01*
-X118171956Y-93499457D01*
-X118159974Y-93519448D01*
-X118146090Y-93538168D01*
-X118130438Y-93555438D01*
-X118113168Y-93571090D01*
-X118094448Y-93584974D01*
-X118074457Y-93596956D01*
-X118053387Y-93606921D01*
-X118031443Y-93614773D01*
-X118008834Y-93620437D01*
-X117985779Y-93623856D01*
-X117962500Y-93625000D01*
-X117387500Y-93625000D01*
-X117364221Y-93623856D01*
-X117341166Y-93620437D01*
-X117318557Y-93614773D01*
-X117296613Y-93606921D01*
-X117275543Y-93596956D01*
-X117255552Y-93584974D01*
-X117236832Y-93571090D01*
-X117219562Y-93555438D01*
-X117203910Y-93538168D01*
-X117190026Y-93519448D01*
-X117178044Y-93499457D01*
-X117168079Y-93478387D01*
-X117160227Y-93456443D01*
-X117154563Y-93433834D01*
-X117151144Y-93410779D01*
-X117150000Y-93387500D01*
-X117150000Y-92912500D01*
-X117151144Y-92889221D01*
-X117154563Y-92866166D01*
-X117160227Y-92843557D01*
-X117168079Y-92821613D01*
-X117178044Y-92800543D01*
-X117190026Y-92780552D01*
-X117203910Y-92761832D01*
-X117219562Y-92744562D01*
-X117236832Y-92728910D01*
-X117255552Y-92715026D01*
-X117275543Y-92703044D01*
-X117296613Y-92693079D01*
-X117318557Y-92685227D01*
-X117341166Y-92679563D01*
-X117364221Y-92676144D01*
-X117387500Y-92675000D01*
-X117962500Y-92675000D01*
-X117985779Y-92676144D01*
-X117985779Y-92676144D01*
-G37*
-D14*
-X117675000Y-93150000D03*
-D13*
-G36*
-X115335779Y-91026144D02*
-G01*
-X115358834Y-91029563D01*
-X115381443Y-91035227D01*
-X115403387Y-91043079D01*
-X115424457Y-91053044D01*
-X115444448Y-91065026D01*
-X115463168Y-91078910D01*
-X115480438Y-91094562D01*
-X115496090Y-91111832D01*
-X115509974Y-91130552D01*
-X115521956Y-91150543D01*
-X115531921Y-91171613D01*
-X115539773Y-91193557D01*
-X115545437Y-91216166D01*
-X115548856Y-91239221D01*
-X115550000Y-91262500D01*
-X115550000Y-91737500D01*
-X115548856Y-91760779D01*
-X115545437Y-91783834D01*
-X115539773Y-91806443D01*
-X115531921Y-91828387D01*
-X115521956Y-91849457D01*
-X115509974Y-91869448D01*
-X115496090Y-91888168D01*
-X115480438Y-91905438D01*
-X115463168Y-91921090D01*
-X115444448Y-91934974D01*
-X115424457Y-91946956D01*
-X115403387Y-91956921D01*
-X115381443Y-91964773D01*
-X115358834Y-91970437D01*
-X115335779Y-91973856D01*
-X115312500Y-91975000D01*
-X114737500Y-91975000D01*
-X114714221Y-91973856D01*
-X114691166Y-91970437D01*
-X114668557Y-91964773D01*
-X114646613Y-91956921D01*
-X114625543Y-91946956D01*
-X114605552Y-91934974D01*
-X114586832Y-91921090D01*
-X114569562Y-91905438D01*
-X114553910Y-91888168D01*
-X114540026Y-91869448D01*
-X114528044Y-91849457D01*
-X114518079Y-91828387D01*
-X114510227Y-91806443D01*
-X114504563Y-91783834D01*
-X114501144Y-91760779D01*
-X114500000Y-91737500D01*
-X114500000Y-91262500D01*
-X114501144Y-91239221D01*
-X114504563Y-91216166D01*
-X114510227Y-91193557D01*
-X114518079Y-91171613D01*
-X114528044Y-91150543D01*
-X114540026Y-91130552D01*
-X114553910Y-91111832D01*
-X114569562Y-91094562D01*
-X114586832Y-91078910D01*
-X114605552Y-91065026D01*
-X114625543Y-91053044D01*
-X114646613Y-91043079D01*
-X114668557Y-91035227D01*
-X114691166Y-91029563D01*
-X114714221Y-91026144D01*
-X114737500Y-91025000D01*
-X115312500Y-91025000D01*
-X115335779Y-91026144D01*
-X115335779Y-91026144D01*
-G37*
-D14*
-X115025000Y-91500000D03*
-D13*
-G36*
-X113585779Y-91026144D02*
-G01*
-X113608834Y-91029563D01*
-X113631443Y-91035227D01*
-X113653387Y-91043079D01*
-X113674457Y-91053044D01*
-X113694448Y-91065026D01*
-X113713168Y-91078910D01*
-X113730438Y-91094562D01*
-X113746090Y-91111832D01*
-X113759974Y-91130552D01*
-X113771956Y-91150543D01*
-X113781921Y-91171613D01*
-X113789773Y-91193557D01*
-X113795437Y-91216166D01*
-X113798856Y-91239221D01*
-X113800000Y-91262500D01*
-X113800000Y-91737500D01*
-X113798856Y-91760779D01*
-X113795437Y-91783834D01*
-X113789773Y-91806443D01*
-X113781921Y-91828387D01*
-X113771956Y-91849457D01*
-X113759974Y-91869448D01*
-X113746090Y-91888168D01*
-X113730438Y-91905438D01*
-X113713168Y-91921090D01*
-X113694448Y-91934974D01*
-X113674457Y-91946956D01*
-X113653387Y-91956921D01*
-X113631443Y-91964773D01*
-X113608834Y-91970437D01*
-X113585779Y-91973856D01*
-X113562500Y-91975000D01*
-X112987500Y-91975000D01*
-X112964221Y-91973856D01*
-X112941166Y-91970437D01*
-X112918557Y-91964773D01*
-X112896613Y-91956921D01*
-X112875543Y-91946956D01*
-X112855552Y-91934974D01*
-X112836832Y-91921090D01*
-X112819562Y-91905438D01*
-X112803910Y-91888168D01*
-X112790026Y-91869448D01*
-X112778044Y-91849457D01*
-X112768079Y-91828387D01*
-X112760227Y-91806443D01*
-X112754563Y-91783834D01*
-X112751144Y-91760779D01*
-X112750000Y-91737500D01*
-X112750000Y-91262500D01*
-X112751144Y-91239221D01*
-X112754563Y-91216166D01*
-X112760227Y-91193557D01*
-X112768079Y-91171613D01*
-X112778044Y-91150543D01*
-X112790026Y-91130552D01*
-X112803910Y-91111832D01*
-X112819562Y-91094562D01*
-X112836832Y-91078910D01*
-X112855552Y-91065026D01*
-X112875543Y-91053044D01*
-X112896613Y-91043079D01*
-X112918557Y-91035227D01*
-X112941166Y-91029563D01*
-X112964221Y-91026144D01*
-X112987500Y-91025000D01*
-X113562500Y-91025000D01*
-X113585779Y-91026144D01*
-X113585779Y-91026144D01*
-G37*
-D14*
-X113275000Y-91500000D03*
-D13*
-G36*
-X110060779Y-79201144D02*
-G01*
-X110083834Y-79204563D01*
-X110106443Y-79210227D01*
-X110128387Y-79218079D01*
-X110149457Y-79228044D01*
-X110169448Y-79240026D01*
-X110188168Y-79253910D01*
-X110205438Y-79269562D01*
-X110221090Y-79286832D01*
-X110234974Y-79305552D01*
-X110246956Y-79325543D01*
-X110256921Y-79346613D01*
-X110264773Y-79368557D01*
-X110270437Y-79391166D01*
-X110273856Y-79414221D01*
-X110275000Y-79437500D01*
-X110275000Y-80012500D01*
-X110273856Y-80035779D01*
-X110270437Y-80058834D01*
-X110264773Y-80081443D01*
-X110256921Y-80103387D01*
-X110246956Y-80124457D01*
-X110234974Y-80144448D01*
-X110221090Y-80163168D01*
-X110205438Y-80180438D01*
-X110188168Y-80196090D01*
-X110169448Y-80209974D01*
-X110149457Y-80221956D01*
-X110128387Y-80231921D01*
-X110106443Y-80239773D01*
-X110083834Y-80245437D01*
-X110060779Y-80248856D01*
-X110037500Y-80250000D01*
-X109562500Y-80250000D01*
-X109539221Y-80248856D01*
-X109516166Y-80245437D01*
-X109493557Y-80239773D01*
-X109471613Y-80231921D01*
-X109450543Y-80221956D01*
-X109430552Y-80209974D01*
-X109411832Y-80196090D01*
-X109394562Y-80180438D01*
-X109378910Y-80163168D01*
-X109365026Y-80144448D01*
-X109353044Y-80124457D01*
-X109343079Y-80103387D01*
-X109335227Y-80081443D01*
-X109329563Y-80058834D01*
-X109326144Y-80035779D01*
-X109325000Y-80012500D01*
-X109325000Y-79437500D01*
-X109326144Y-79414221D01*
-X109329563Y-79391166D01*
-X109335227Y-79368557D01*
-X109343079Y-79346613D01*
-X109353044Y-79325543D01*
-X109365026Y-79305552D01*
-X109378910Y-79286832D01*
-X109394562Y-79269562D01*
-X109411832Y-79253910D01*
-X109430552Y-79240026D01*
-X109450543Y-79228044D01*
-X109471613Y-79218079D01*
-X109493557Y-79210227D01*
-X109516166Y-79204563D01*
-X109539221Y-79201144D01*
-X109562500Y-79200000D01*
-X110037500Y-79200000D01*
-X110060779Y-79201144D01*
-X110060779Y-79201144D01*
-G37*
-D14*
-X109800000Y-79725000D03*
-D13*
-G36*
-X110060779Y-80951144D02*
-G01*
-X110083834Y-80954563D01*
-X110106443Y-80960227D01*
-X110128387Y-80968079D01*
-X110149457Y-80978044D01*
-X110169448Y-80990026D01*
-X110188168Y-81003910D01*
-X110205438Y-81019562D01*
-X110221090Y-81036832D01*
-X110234974Y-81055552D01*
-X110246956Y-81075543D01*
-X110256921Y-81096613D01*
-X110264773Y-81118557D01*
-X110270437Y-81141166D01*
-X110273856Y-81164221D01*
-X110275000Y-81187500D01*
-X110275000Y-81762500D01*
-X110273856Y-81785779D01*
-X110270437Y-81808834D01*
-X110264773Y-81831443D01*
-X110256921Y-81853387D01*
-X110246956Y-81874457D01*
-X110234974Y-81894448D01*
-X110221090Y-81913168D01*
-X110205438Y-81930438D01*
-X110188168Y-81946090D01*
-X110169448Y-81959974D01*
-X110149457Y-81971956D01*
-X110128387Y-81981921D01*
-X110106443Y-81989773D01*
-X110083834Y-81995437D01*
-X110060779Y-81998856D01*
-X110037500Y-82000000D01*
-X109562500Y-82000000D01*
-X109539221Y-81998856D01*
-X109516166Y-81995437D01*
-X109493557Y-81989773D01*
-X109471613Y-81981921D01*
-X109450543Y-81971956D01*
-X109430552Y-81959974D01*
-X109411832Y-81946090D01*
-X109394562Y-81930438D01*
-X109378910Y-81913168D01*
-X109365026Y-81894448D01*
-X109353044Y-81874457D01*
-X109343079Y-81853387D01*
-X109335227Y-81831443D01*
-X109329563Y-81808834D01*
-X109326144Y-81785779D01*
-X109325000Y-81762500D01*
-X109325000Y-81187500D01*
-X109326144Y-81164221D01*
-X109329563Y-81141166D01*
-X109335227Y-81118557D01*
-X109343079Y-81096613D01*
-X109353044Y-81075543D01*
-X109365026Y-81055552D01*
-X109378910Y-81036832D01*
-X109394562Y-81019562D01*
-X109411832Y-81003910D01*
-X109430552Y-80990026D01*
-X109450543Y-80978044D01*
-X109471613Y-80968079D01*
-X109493557Y-80960227D01*
-X109516166Y-80954563D01*
-X109539221Y-80951144D01*
-X109562500Y-80950000D01*
-X110037500Y-80950000D01*
-X110060779Y-80951144D01*
-X110060779Y-80951144D01*
-G37*
-D14*
-X109800000Y-81475000D03*
-D13*
-G36*
-X129235779Y-149726144D02*
-G01*
-X129258834Y-149729563D01*
-X129281443Y-149735227D01*
-X129303387Y-149743079D01*
-X129324457Y-149753044D01*
-X129344448Y-149765026D01*
-X129363168Y-149778910D01*
-X129380438Y-149794562D01*
-X129396090Y-149811832D01*
-X129409974Y-149830552D01*
-X129421956Y-149850543D01*
-X129431921Y-149871613D01*
-X129439773Y-149893557D01*
-X129445437Y-149916166D01*
-X129448856Y-149939221D01*
-X129450000Y-149962500D01*
-X129450000Y-150437500D01*
-X129448856Y-150460779D01*
-X129445437Y-150483834D01*
-X129439773Y-150506443D01*
-X129431921Y-150528387D01*
-X129421956Y-150549457D01*
-X129409974Y-150569448D01*
-X129396090Y-150588168D01*
-X129380438Y-150605438D01*
-X129363168Y-150621090D01*
-X129344448Y-150634974D01*
-X129324457Y-150646956D01*
-X129303387Y-150656921D01*
-X129281443Y-150664773D01*
-X129258834Y-150670437D01*
-X129235779Y-150673856D01*
-X129212500Y-150675000D01*
-X128637500Y-150675000D01*
-X128614221Y-150673856D01*
-X128591166Y-150670437D01*
-X128568557Y-150664773D01*
-X128546613Y-150656921D01*
-X128525543Y-150646956D01*
-X128505552Y-150634974D01*
-X128486832Y-150621090D01*
-X128469562Y-150605438D01*
-X128453910Y-150588168D01*
-X128440026Y-150569448D01*
-X128428044Y-150549457D01*
-X128418079Y-150528387D01*
-X128410227Y-150506443D01*
-X128404563Y-150483834D01*
-X128401144Y-150460779D01*
-X128400000Y-150437500D01*
-X128400000Y-149962500D01*
-X128401144Y-149939221D01*
-X128404563Y-149916166D01*
-X128410227Y-149893557D01*
-X128418079Y-149871613D01*
-X128428044Y-149850543D01*
-X128440026Y-149830552D01*
-X128453910Y-149811832D01*
-X128469562Y-149794562D01*
-X128486832Y-149778910D01*
-X128505552Y-149765026D01*
-X128525543Y-149753044D01*
-X128546613Y-149743079D01*
-X128568557Y-149735227D01*
-X128591166Y-149729563D01*
-X128614221Y-149726144D01*
-X128637500Y-149725000D01*
-X129212500Y-149725000D01*
-X129235779Y-149726144D01*
-X129235779Y-149726144D01*
-G37*
-D14*
-X128925000Y-150200000D03*
-D13*
-G36*
-X130985779Y-149726144D02*
-G01*
-X131008834Y-149729563D01*
-X131031443Y-149735227D01*
-X131053387Y-149743079D01*
-X131074457Y-149753044D01*
-X131094448Y-149765026D01*
-X131113168Y-149778910D01*
-X131130438Y-149794562D01*
-X131146090Y-149811832D01*
-X131159974Y-149830552D01*
-X131171956Y-149850543D01*
-X131181921Y-149871613D01*
-X131189773Y-149893557D01*
-X131195437Y-149916166D01*
-X131198856Y-149939221D01*
-X131200000Y-149962500D01*
-X131200000Y-150437500D01*
-X131198856Y-150460779D01*
-X131195437Y-150483834D01*
-X131189773Y-150506443D01*
-X131181921Y-150528387D01*
-X131171956Y-150549457D01*
-X131159974Y-150569448D01*
-X131146090Y-150588168D01*
-X131130438Y-150605438D01*
-X131113168Y-150621090D01*
-X131094448Y-150634974D01*
-X131074457Y-150646956D01*
-X131053387Y-150656921D01*
-X131031443Y-150664773D01*
-X131008834Y-150670437D01*
-X130985779Y-150673856D01*
-X130962500Y-150675000D01*
-X130387500Y-150675000D01*
-X130364221Y-150673856D01*
-X130341166Y-150670437D01*
-X130318557Y-150664773D01*
-X130296613Y-150656921D01*
-X130275543Y-150646956D01*
-X130255552Y-150634974D01*
-X130236832Y-150621090D01*
-X130219562Y-150605438D01*
-X130203910Y-150588168D01*
-X130190026Y-150569448D01*
-X130178044Y-150549457D01*
-X130168079Y-150528387D01*
-X130160227Y-150506443D01*
-X130154563Y-150483834D01*
-X130151144Y-150460779D01*
-X130150000Y-150437500D01*
-X130150000Y-149962500D01*
-X130151144Y-149939221D01*
-X130154563Y-149916166D01*
-X130160227Y-149893557D01*
-X130168079Y-149871613D01*
-X130178044Y-149850543D01*
-X130190026Y-149830552D01*
-X130203910Y-149811832D01*
-X130219562Y-149794562D01*
-X130236832Y-149778910D01*
-X130255552Y-149765026D01*
-X130275543Y-149753044D01*
-X130296613Y-149743079D01*
-X130318557Y-149735227D01*
-X130341166Y-149729563D01*
-X130364221Y-149726144D01*
-X130387500Y-149725000D01*
-X130962500Y-149725000D01*
-X130985779Y-149726144D01*
-X130985779Y-149726144D01*
-G37*
-D14*
-X130675000Y-150200000D03*
-D13*
-G36*
-X81310779Y-150601144D02*
-G01*
-X81333834Y-150604563D01*
-X81356443Y-150610227D01*
-X81378387Y-150618079D01*
-X81399457Y-150628044D01*
-X81419448Y-150640026D01*
-X81438168Y-150653910D01*
-X81455438Y-150669562D01*
-X81471090Y-150686832D01*
-X81484974Y-150705552D01*
-X81496956Y-150725543D01*
-X81506921Y-150746613D01*
-X81514773Y-150768557D01*
-X81520437Y-150791166D01*
-X81523856Y-150814221D01*
-X81525000Y-150837500D01*
-X81525000Y-151412500D01*
-X81523856Y-151435779D01*
-X81520437Y-151458834D01*
-X81514773Y-151481443D01*
-X81506921Y-151503387D01*
-X81496956Y-151524457D01*
-X81484974Y-151544448D01*
-X81471090Y-151563168D01*
-X81455438Y-151580438D01*
-X81438168Y-151596090D01*
-X81419448Y-151609974D01*
-X81399457Y-151621956D01*
-X81378387Y-151631921D01*
-X81356443Y-151639773D01*
-X81333834Y-151645437D01*
-X81310779Y-151648856D01*
-X81287500Y-151650000D01*
-X80812500Y-151650000D01*
-X80789221Y-151648856D01*
-X80766166Y-151645437D01*
-X80743557Y-151639773D01*
-X80721613Y-151631921D01*
-X80700543Y-151621956D01*
-X80680552Y-151609974D01*
-X80661832Y-151596090D01*
-X80644562Y-151580438D01*
-X80628910Y-151563168D01*
-X80615026Y-151544448D01*
-X80603044Y-151524457D01*
-X80593079Y-151503387D01*
-X80585227Y-151481443D01*
-X80579563Y-151458834D01*
-X80576144Y-151435779D01*
-X80575000Y-151412500D01*
-X80575000Y-150837500D01*
-X80576144Y-150814221D01*
-X80579563Y-150791166D01*
-X80585227Y-150768557D01*
-X80593079Y-150746613D01*
-X80603044Y-150725543D01*
-X80615026Y-150705552D01*
-X80628910Y-150686832D01*
-X80644562Y-150669562D01*
-X80661832Y-150653910D01*
-X80680552Y-150640026D01*
-X80700543Y-150628044D01*
-X80721613Y-150618079D01*
-X80743557Y-150610227D01*
-X80766166Y-150604563D01*
-X80789221Y-150601144D01*
-X80812500Y-150600000D01*
-X81287500Y-150600000D01*
-X81310779Y-150601144D01*
-X81310779Y-150601144D01*
-G37*
-D14*
-X81050000Y-151125000D03*
-D13*
-G36*
-X81310779Y-152351144D02*
-G01*
-X81333834Y-152354563D01*
-X81356443Y-152360227D01*
-X81378387Y-152368079D01*
-X81399457Y-152378044D01*
-X81419448Y-152390026D01*
-X81438168Y-152403910D01*
-X81455438Y-152419562D01*
-X81471090Y-152436832D01*
-X81484974Y-152455552D01*
-X81496956Y-152475543D01*
-X81506921Y-152496613D01*
-X81514773Y-152518557D01*
-X81520437Y-152541166D01*
-X81523856Y-152564221D01*
-X81525000Y-152587500D01*
-X81525000Y-153162500D01*
-X81523856Y-153185779D01*
-X81520437Y-153208834D01*
-X81514773Y-153231443D01*
-X81506921Y-153253387D01*
-X81496956Y-153274457D01*
-X81484974Y-153294448D01*
-X81471090Y-153313168D01*
-X81455438Y-153330438D01*
-X81438168Y-153346090D01*
-X81419448Y-153359974D01*
-X81399457Y-153371956D01*
-X81378387Y-153381921D01*
-X81356443Y-153389773D01*
-X81333834Y-153395437D01*
-X81310779Y-153398856D01*
-X81287500Y-153400000D01*
-X80812500Y-153400000D01*
-X80789221Y-153398856D01*
-X80766166Y-153395437D01*
-X80743557Y-153389773D01*
-X80721613Y-153381921D01*
-X80700543Y-153371956D01*
-X80680552Y-153359974D01*
-X80661832Y-153346090D01*
-X80644562Y-153330438D01*
-X80628910Y-153313168D01*
-X80615026Y-153294448D01*
-X80603044Y-153274457D01*
-X80593079Y-153253387D01*
-X80585227Y-153231443D01*
-X80579563Y-153208834D01*
-X80576144Y-153185779D01*
-X80575000Y-153162500D01*
-X80575000Y-152587500D01*
-X80576144Y-152564221D01*
-X80579563Y-152541166D01*
-X80585227Y-152518557D01*
-X80593079Y-152496613D01*
-X80603044Y-152475543D01*
-X80615026Y-152455552D01*
-X80628910Y-152436832D01*
-X80644562Y-152419562D01*
-X80661832Y-152403910D01*
-X80680552Y-152390026D01*
-X80700543Y-152378044D01*
-X80721613Y-152368079D01*
-X80743557Y-152360227D01*
-X80766166Y-152354563D01*
-X80789221Y-152351144D01*
-X80812500Y-152350000D01*
-X81287500Y-152350000D01*
-X81310779Y-152351144D01*
-X81310779Y-152351144D01*
-G37*
-D14*
-X81050000Y-152875000D03*
-D13*
-G36*
-X81360779Y-145601144D02*
-G01*
-X81383834Y-145604563D01*
-X81406443Y-145610227D01*
-X81428387Y-145618079D01*
-X81449457Y-145628044D01*
-X81469448Y-145640026D01*
-X81488168Y-145653910D01*
-X81505438Y-145669562D01*
-X81521090Y-145686832D01*
-X81534974Y-145705552D01*
-X81546956Y-145725543D01*
-X81556921Y-145746613D01*
-X81564773Y-145768557D01*
-X81570437Y-145791166D01*
-X81573856Y-145814221D01*
-X81575000Y-145837500D01*
-X81575000Y-146412500D01*
-X81573856Y-146435779D01*
-X81570437Y-146458834D01*
-X81564773Y-146481443D01*
-X81556921Y-146503387D01*
-X81546956Y-146524457D01*
-X81534974Y-146544448D01*
-X81521090Y-146563168D01*
-X81505438Y-146580438D01*
-X81488168Y-146596090D01*
-X81469448Y-146609974D01*
-X81449457Y-146621956D01*
-X81428387Y-146631921D01*
-X81406443Y-146639773D01*
-X81383834Y-146645437D01*
-X81360779Y-146648856D01*
-X81337500Y-146650000D01*
-X80862500Y-146650000D01*
-X80839221Y-146648856D01*
-X80816166Y-146645437D01*
-X80793557Y-146639773D01*
-X80771613Y-146631921D01*
-X80750543Y-146621956D01*
-X80730552Y-146609974D01*
-X80711832Y-146596090D01*
-X80694562Y-146580438D01*
-X80678910Y-146563168D01*
-X80665026Y-146544448D01*
-X80653044Y-146524457D01*
-X80643079Y-146503387D01*
-X80635227Y-146481443D01*
-X80629563Y-146458834D01*
-X80626144Y-146435779D01*
-X80625000Y-146412500D01*
-X80625000Y-145837500D01*
-X80626144Y-145814221D01*
-X80629563Y-145791166D01*
-X80635227Y-145768557D01*
-X80643079Y-145746613D01*
-X80653044Y-145725543D01*
-X80665026Y-145705552D01*
-X80678910Y-145686832D01*
-X80694562Y-145669562D01*
-X80711832Y-145653910D01*
-X80730552Y-145640026D01*
-X80750543Y-145628044D01*
-X80771613Y-145618079D01*
-X80793557Y-145610227D01*
-X80816166Y-145604563D01*
-X80839221Y-145601144D01*
-X80862500Y-145600000D01*
-X81337500Y-145600000D01*
-X81360779Y-145601144D01*
-X81360779Y-145601144D01*
-G37*
-D14*
-X81100000Y-146125000D03*
-D13*
-G36*
-X81360779Y-147351144D02*
-G01*
-X81383834Y-147354563D01*
-X81406443Y-147360227D01*
-X81428387Y-147368079D01*
-X81449457Y-147378044D01*
-X81469448Y-147390026D01*
-X81488168Y-147403910D01*
-X81505438Y-147419562D01*
-X81521090Y-147436832D01*
-X81534974Y-147455552D01*
-X81546956Y-147475543D01*
-X81556921Y-147496613D01*
-X81564773Y-147518557D01*
-X81570437Y-147541166D01*
-X81573856Y-147564221D01*
-X81575000Y-147587500D01*
-X81575000Y-148162500D01*
-X81573856Y-148185779D01*
-X81570437Y-148208834D01*
-X81564773Y-148231443D01*
-X81556921Y-148253387D01*
-X81546956Y-148274457D01*
-X81534974Y-148294448D01*
-X81521090Y-148313168D01*
-X81505438Y-148330438D01*
-X81488168Y-148346090D01*
-X81469448Y-148359974D01*
-X81449457Y-148371956D01*
-X81428387Y-148381921D01*
-X81406443Y-148389773D01*
-X81383834Y-148395437D01*
-X81360779Y-148398856D01*
-X81337500Y-148400000D01*
-X80862500Y-148400000D01*
-X80839221Y-148398856D01*
-X80816166Y-148395437D01*
-X80793557Y-148389773D01*
-X80771613Y-148381921D01*
-X80750543Y-148371956D01*
-X80730552Y-148359974D01*
-X80711832Y-148346090D01*
-X80694562Y-148330438D01*
-X80678910Y-148313168D01*
-X80665026Y-148294448D01*
-X80653044Y-148274457D01*
-X80643079Y-148253387D01*
-X80635227Y-148231443D01*
-X80629563Y-148208834D01*
-X80626144Y-148185779D01*
-X80625000Y-148162500D01*
-X80625000Y-147587500D01*
-X80626144Y-147564221D01*
-X80629563Y-147541166D01*
-X80635227Y-147518557D01*
-X80643079Y-147496613D01*
-X80653044Y-147475543D01*
-X80665026Y-147455552D01*
-X80678910Y-147436832D01*
-X80694562Y-147419562D01*
-X80711832Y-147403910D01*
-X80730552Y-147390026D01*
-X80750543Y-147378044D01*
-X80771613Y-147368079D01*
-X80793557Y-147360227D01*
-X80816166Y-147354563D01*
-X80839221Y-147351144D01*
-X80862500Y-147350000D01*
-X81337500Y-147350000D01*
-X81360779Y-147351144D01*
-X81360779Y-147351144D01*
-G37*
-D14*
-X81100000Y-147875000D03*
-D13*
-G36*
-X130985779Y-151726144D02*
-G01*
-X131008834Y-151729563D01*
-X131031443Y-151735227D01*
-X131053387Y-151743079D01*
-X131074457Y-151753044D01*
-X131094448Y-151765026D01*
-X131113168Y-151778910D01*
-X131130438Y-151794562D01*
-X131146090Y-151811832D01*
-X131159974Y-151830552D01*
-X131171956Y-151850543D01*
-X131181921Y-151871613D01*
-X131189773Y-151893557D01*
-X131195437Y-151916166D01*
-X131198856Y-151939221D01*
-X131200000Y-151962500D01*
-X131200000Y-152437500D01*
-X131198856Y-152460779D01*
-X131195437Y-152483834D01*
-X131189773Y-152506443D01*
-X131181921Y-152528387D01*
-X131171956Y-152549457D01*
-X131159974Y-152569448D01*
-X131146090Y-152588168D01*
-X131130438Y-152605438D01*
-X131113168Y-152621090D01*
-X131094448Y-152634974D01*
-X131074457Y-152646956D01*
-X131053387Y-152656921D01*
-X131031443Y-152664773D01*
-X131008834Y-152670437D01*
-X130985779Y-152673856D01*
-X130962500Y-152675000D01*
-X130387500Y-152675000D01*
-X130364221Y-152673856D01*
-X130341166Y-152670437D01*
-X130318557Y-152664773D01*
-X130296613Y-152656921D01*
-X130275543Y-152646956D01*
-X130255552Y-152634974D01*
-X130236832Y-152621090D01*
-X130219562Y-152605438D01*
-X130203910Y-152588168D01*
-X130190026Y-152569448D01*
-X130178044Y-152549457D01*
-X130168079Y-152528387D01*
-X130160227Y-152506443D01*
-X130154563Y-152483834D01*
-X130151144Y-152460779D01*
-X130150000Y-152437500D01*
-X130150000Y-151962500D01*
-X130151144Y-151939221D01*
-X130154563Y-151916166D01*
-X130160227Y-151893557D01*
-X130168079Y-151871613D01*
-X130178044Y-151850543D01*
-X130190026Y-151830552D01*
-X130203910Y-151811832D01*
-X130219562Y-151794562D01*
-X130236832Y-151778910D01*
-X130255552Y-151765026D01*
-X130275543Y-151753044D01*
-X130296613Y-151743079D01*
-X130318557Y-151735227D01*
-X130341166Y-151729563D01*
-X130364221Y-151726144D01*
-X130387500Y-151725000D01*
-X130962500Y-151725000D01*
-X130985779Y-151726144D01*
-X130985779Y-151726144D01*
-G37*
-D14*
-X130675000Y-152200000D03*
-D13*
-G36*
-X129235779Y-151726144D02*
-G01*
-X129258834Y-151729563D01*
-X129281443Y-151735227D01*
-X129303387Y-151743079D01*
-X129324457Y-151753044D01*
-X129344448Y-151765026D01*
-X129363168Y-151778910D01*
-X129380438Y-151794562D01*
-X129396090Y-151811832D01*
-X129409974Y-151830552D01*
-X129421956Y-151850543D01*
-X129431921Y-151871613D01*
-X129439773Y-151893557D01*
-X129445437Y-151916166D01*
-X129448856Y-151939221D01*
-X129450000Y-151962500D01*
-X129450000Y-152437500D01*
-X129448856Y-152460779D01*
-X129445437Y-152483834D01*
-X129439773Y-152506443D01*
-X129431921Y-152528387D01*
-X129421956Y-152549457D01*
-X129409974Y-152569448D01*
-X129396090Y-152588168D01*
-X129380438Y-152605438D01*
-X129363168Y-152621090D01*
-X129344448Y-152634974D01*
-X129324457Y-152646956D01*
-X129303387Y-152656921D01*
-X129281443Y-152664773D01*
-X129258834Y-152670437D01*
-X129235779Y-152673856D01*
-X129212500Y-152675000D01*
-X128637500Y-152675000D01*
-X128614221Y-152673856D01*
-X128591166Y-152670437D01*
-X128568557Y-152664773D01*
-X128546613Y-152656921D01*
-X128525543Y-152646956D01*
-X128505552Y-152634974D01*
-X128486832Y-152621090D01*
-X128469562Y-152605438D01*
-X128453910Y-152588168D01*
-X128440026Y-152569448D01*
-X128428044Y-152549457D01*
-X128418079Y-152528387D01*
-X128410227Y-152506443D01*
-X128404563Y-152483834D01*
-X128401144Y-152460779D01*
-X128400000Y-152437500D01*
-X128400000Y-151962500D01*
-X128401144Y-151939221D01*
-X128404563Y-151916166D01*
-X128410227Y-151893557D01*
-X128418079Y-151871613D01*
-X128428044Y-151850543D01*
-X128440026Y-151830552D01*
-X128453910Y-151811832D01*
-X128469562Y-151794562D01*
-X128486832Y-151778910D01*
-X128505552Y-151765026D01*
-X128525543Y-151753044D01*
-X128546613Y-151743079D01*
-X128568557Y-151735227D01*
-X128591166Y-151729563D01*
-X128614221Y-151726144D01*
-X128637500Y-151725000D01*
-X129212500Y-151725000D01*
-X129235779Y-151726144D01*
-X129235779Y-151726144D01*
-G37*
-D14*
-X128925000Y-152200000D03*
-D13*
-G36*
-X132085779Y-89226144D02*
-G01*
-X132108834Y-89229563D01*
-X132131443Y-89235227D01*
-X132153387Y-89243079D01*
-X132174457Y-89253044D01*
-X132194448Y-89265026D01*
-X132213168Y-89278910D01*
-X132230438Y-89294562D01*
-X132246090Y-89311832D01*
-X132259974Y-89330552D01*
-X132271956Y-89350543D01*
-X132281921Y-89371613D01*
-X132289773Y-89393557D01*
-X132295437Y-89416166D01*
-X132298856Y-89439221D01*
-X132300000Y-89462500D01*
-X132300000Y-89937500D01*
-X132298856Y-89960779D01*
-X132295437Y-89983834D01*
-X132289773Y-90006443D01*
-X132281921Y-90028387D01*
-X132271956Y-90049457D01*
-X132259974Y-90069448D01*
-X132246090Y-90088168D01*
-X132230438Y-90105438D01*
-X132213168Y-90121090D01*
-X132194448Y-90134974D01*
-X132174457Y-90146956D01*
-X132153387Y-90156921D01*
-X132131443Y-90164773D01*
-X132108834Y-90170437D01*
-X132085779Y-90173856D01*
-X132062500Y-90175000D01*
-X131487500Y-90175000D01*
-X131464221Y-90173856D01*
-X131441166Y-90170437D01*
-X131418557Y-90164773D01*
-X131396613Y-90156921D01*
-X131375543Y-90146956D01*
-X131355552Y-90134974D01*
-X131336832Y-90121090D01*
-X131319562Y-90105438D01*
-X131303910Y-90088168D01*
-X131290026Y-90069448D01*
-X131278044Y-90049457D01*
-X131268079Y-90028387D01*
-X131260227Y-90006443D01*
-X131254563Y-89983834D01*
-X131251144Y-89960779D01*
-X131250000Y-89937500D01*
-X131250000Y-89462500D01*
-X131251144Y-89439221D01*
-X131254563Y-89416166D01*
-X131260227Y-89393557D01*
-X131268079Y-89371613D01*
-X131278044Y-89350543D01*
-X131290026Y-89330552D01*
-X131303910Y-89311832D01*
-X131319562Y-89294562D01*
-X131336832Y-89278910D01*
-X131355552Y-89265026D01*
-X131375543Y-89253044D01*
-X131396613Y-89243079D01*
-X131418557Y-89235227D01*
-X131441166Y-89229563D01*
-X131464221Y-89226144D01*
-X131487500Y-89225000D01*
-X132062500Y-89225000D01*
-X132085779Y-89226144D01*
-X132085779Y-89226144D01*
-G37*
-D14*
-X131775000Y-89700000D03*
-D13*
-G36*
-X130335779Y-89226144D02*
-G01*
-X130358834Y-89229563D01*
-X130381443Y-89235227D01*
-X130403387Y-89243079D01*
-X130424457Y-89253044D01*
-X130444448Y-89265026D01*
-X130463168Y-89278910D01*
-X130480438Y-89294562D01*
-X130496090Y-89311832D01*
-X130509974Y-89330552D01*
-X130521956Y-89350543D01*
-X130531921Y-89371613D01*
-X130539773Y-89393557D01*
-X130545437Y-89416166D01*
-X130548856Y-89439221D01*
-X130550000Y-89462500D01*
-X130550000Y-89937500D01*
-X130548856Y-89960779D01*
-X130545437Y-89983834D01*
-X130539773Y-90006443D01*
-X130531921Y-90028387D01*
-X130521956Y-90049457D01*
-X130509974Y-90069448D01*
-X130496090Y-90088168D01*
-X130480438Y-90105438D01*
-X130463168Y-90121090D01*
-X130444448Y-90134974D01*
-X130424457Y-90146956D01*
-X130403387Y-90156921D01*
-X130381443Y-90164773D01*
-X130358834Y-90170437D01*
-X130335779Y-90173856D01*
-X130312500Y-90175000D01*
-X129737500Y-90175000D01*
-X129714221Y-90173856D01*
-X129691166Y-90170437D01*
-X129668557Y-90164773D01*
-X129646613Y-90156921D01*
-X129625543Y-90146956D01*
-X129605552Y-90134974D01*
-X129586832Y-90121090D01*
-X129569562Y-90105438D01*
-X129553910Y-90088168D01*
-X129540026Y-90069448D01*
-X129528044Y-90049457D01*
-X129518079Y-90028387D01*
-X129510227Y-90006443D01*
-X129504563Y-89983834D01*
-X129501144Y-89960779D01*
-X129500000Y-89937500D01*
-X129500000Y-89462500D01*
-X129501144Y-89439221D01*
-X129504563Y-89416166D01*
-X129510227Y-89393557D01*
-X129518079Y-89371613D01*
-X129528044Y-89350543D01*
-X129540026Y-89330552D01*
-X129553910Y-89311832D01*
-X129569562Y-89294562D01*
-X129586832Y-89278910D01*
-X129605552Y-89265026D01*
-X129625543Y-89253044D01*
-X129646613Y-89243079D01*
-X129668557Y-89235227D01*
-X129691166Y-89229563D01*
-X129714221Y-89226144D01*
-X129737500Y-89225000D01*
-X130312500Y-89225000D01*
-X130335779Y-89226144D01*
-X130335779Y-89226144D01*
-G37*
-D14*
-X130025000Y-89700000D03*
-D13*
-G36*
-X145435779Y-89226144D02*
-G01*
-X145458834Y-89229563D01*
-X145481443Y-89235227D01*
-X145503387Y-89243079D01*
-X145524457Y-89253044D01*
-X145544448Y-89265026D01*
-X145563168Y-89278910D01*
-X145580438Y-89294562D01*
-X145596090Y-89311832D01*
-X145609974Y-89330552D01*
-X145621956Y-89350543D01*
-X145631921Y-89371613D01*
-X145639773Y-89393557D01*
-X145645437Y-89416166D01*
-X145648856Y-89439221D01*
-X145650000Y-89462500D01*
-X145650000Y-89937500D01*
-X145648856Y-89960779D01*
-X145645437Y-89983834D01*
-X145639773Y-90006443D01*
-X145631921Y-90028387D01*
-X145621956Y-90049457D01*
-X145609974Y-90069448D01*
-X145596090Y-90088168D01*
-X145580438Y-90105438D01*
-X145563168Y-90121090D01*
-X145544448Y-90134974D01*
-X145524457Y-90146956D01*
-X145503387Y-90156921D01*
-X145481443Y-90164773D01*
-X145458834Y-90170437D01*
-X145435779Y-90173856D01*
-X145412500Y-90175000D01*
-X144837500Y-90175000D01*
-X144814221Y-90173856D01*
-X144791166Y-90170437D01*
-X144768557Y-90164773D01*
-X144746613Y-90156921D01*
-X144725543Y-90146956D01*
-X144705552Y-90134974D01*
-X144686832Y-90121090D01*
-X144669562Y-90105438D01*
-X144653910Y-90088168D01*
-X144640026Y-90069448D01*
-X144628044Y-90049457D01*
-X144618079Y-90028387D01*
-X144610227Y-90006443D01*
-X144604563Y-89983834D01*
-X144601144Y-89960779D01*
-X144600000Y-89937500D01*
-X144600000Y-89462500D01*
-X144601144Y-89439221D01*
-X144604563Y-89416166D01*
-X144610227Y-89393557D01*
-X144618079Y-89371613D01*
-X144628044Y-89350543D01*
-X144640026Y-89330552D01*
-X144653910Y-89311832D01*
-X144669562Y-89294562D01*
-X144686832Y-89278910D01*
-X144705552Y-89265026D01*
-X144725543Y-89253044D01*
-X144746613Y-89243079D01*
-X144768557Y-89235227D01*
-X144791166Y-89229563D01*
-X144814221Y-89226144D01*
-X144837500Y-89225000D01*
-X145412500Y-89225000D01*
-X145435779Y-89226144D01*
-X145435779Y-89226144D01*
-G37*
-D14*
-X145125000Y-89700000D03*
-D13*
-G36*
-X147185779Y-89226144D02*
-G01*
-X147208834Y-89229563D01*
-X147231443Y-89235227D01*
-X147253387Y-89243079D01*
-X147274457Y-89253044D01*
-X147294448Y-89265026D01*
-X147313168Y-89278910D01*
-X147330438Y-89294562D01*
-X147346090Y-89311832D01*
-X147359974Y-89330552D01*
-X147371956Y-89350543D01*
-X147381921Y-89371613D01*
-X147389773Y-89393557D01*
-X147395437Y-89416166D01*
-X147398856Y-89439221D01*
-X147400000Y-89462500D01*
-X147400000Y-89937500D01*
-X147398856Y-89960779D01*
-X147395437Y-89983834D01*
-X147389773Y-90006443D01*
-X147381921Y-90028387D01*
-X147371956Y-90049457D01*
-X147359974Y-90069448D01*
-X147346090Y-90088168D01*
-X147330438Y-90105438D01*
-X147313168Y-90121090D01*
-X147294448Y-90134974D01*
-X147274457Y-90146956D01*
-X147253387Y-90156921D01*
-X147231443Y-90164773D01*
-X147208834Y-90170437D01*
-X147185779Y-90173856D01*
-X147162500Y-90175000D01*
-X146587500Y-90175000D01*
-X146564221Y-90173856D01*
-X146541166Y-90170437D01*
-X146518557Y-90164773D01*
-X146496613Y-90156921D01*
-X146475543Y-90146956D01*
-X146455552Y-90134974D01*
-X146436832Y-90121090D01*
-X146419562Y-90105438D01*
-X146403910Y-90088168D01*
-X146390026Y-90069448D01*
-X146378044Y-90049457D01*
-X146368079Y-90028387D01*
-X146360227Y-90006443D01*
-X146354563Y-89983834D01*
-X146351144Y-89960779D01*
-X146350000Y-89937500D01*
-X146350000Y-89462500D01*
-X146351144Y-89439221D01*
-X146354563Y-89416166D01*
-X146360227Y-89393557D01*
-X146368079Y-89371613D01*
-X146378044Y-89350543D01*
-X146390026Y-89330552D01*
-X146403910Y-89311832D01*
-X146419562Y-89294562D01*
-X146436832Y-89278910D01*
-X146455552Y-89265026D01*
-X146475543Y-89253044D01*
-X146496613Y-89243079D01*
-X146518557Y-89235227D01*
-X146541166Y-89229563D01*
-X146564221Y-89226144D01*
-X146587500Y-89225000D01*
-X147162500Y-89225000D01*
-X147185779Y-89226144D01*
-X147185779Y-89226144D01*
-G37*
-D14*
-X146875000Y-89700000D03*
-D13*
-G36*
-X132085779Y-91026144D02*
-G01*
-X132108834Y-91029563D01*
-X132131443Y-91035227D01*
-X132153387Y-91043079D01*
-X132174457Y-91053044D01*
-X132194448Y-91065026D01*
-X132213168Y-91078910D01*
-X132230438Y-91094562D01*
-X132246090Y-91111832D01*
-X132259974Y-91130552D01*
-X132271956Y-91150543D01*
-X132281921Y-91171613D01*
-X132289773Y-91193557D01*
-X132295437Y-91216166D01*
-X132298856Y-91239221D01*
-X132300000Y-91262500D01*
-X132300000Y-91737500D01*
-X132298856Y-91760779D01*
-X132295437Y-91783834D01*
-X132289773Y-91806443D01*
-X132281921Y-91828387D01*
-X132271956Y-91849457D01*
-X132259974Y-91869448D01*
-X132246090Y-91888168D01*
-X132230438Y-91905438D01*
-X132213168Y-91921090D01*
-X132194448Y-91934974D01*
-X132174457Y-91946956D01*
-X132153387Y-91956921D01*
-X132131443Y-91964773D01*
-X132108834Y-91970437D01*
-X132085779Y-91973856D01*
-X132062500Y-91975000D01*
-X131487500Y-91975000D01*
-X131464221Y-91973856D01*
-X131441166Y-91970437D01*
-X131418557Y-91964773D01*
-X131396613Y-91956921D01*
-X131375543Y-91946956D01*
-X131355552Y-91934974D01*
-X131336832Y-91921090D01*
-X131319562Y-91905438D01*
-X131303910Y-91888168D01*
-X131290026Y-91869448D01*
-X131278044Y-91849457D01*
-X131268079Y-91828387D01*
-X131260227Y-91806443D01*
-X131254563Y-91783834D01*
-X131251144Y-91760779D01*
-X131250000Y-91737500D01*
-X131250000Y-91262500D01*
-X131251144Y-91239221D01*
-X131254563Y-91216166D01*
-X131260227Y-91193557D01*
-X131268079Y-91171613D01*
-X131278044Y-91150543D01*
-X131290026Y-91130552D01*
-X131303910Y-91111832D01*
-X131319562Y-91094562D01*
-X131336832Y-91078910D01*
-X131355552Y-91065026D01*
-X131375543Y-91053044D01*
-X131396613Y-91043079D01*
-X131418557Y-91035227D01*
-X131441166Y-91029563D01*
-X131464221Y-91026144D01*
-X131487500Y-91025000D01*
-X132062500Y-91025000D01*
-X132085779Y-91026144D01*
-X132085779Y-91026144D01*
-G37*
-D14*
-X131775000Y-91500000D03*
-D13*
-G36*
-X130335779Y-91026144D02*
-G01*
-X130358834Y-91029563D01*
-X130381443Y-91035227D01*
-X130403387Y-91043079D01*
-X130424457Y-91053044D01*
-X130444448Y-91065026D01*
-X130463168Y-91078910D01*
-X130480438Y-91094562D01*
-X130496090Y-91111832D01*
-X130509974Y-91130552D01*
-X130521956Y-91150543D01*
-X130531921Y-91171613D01*
-X130539773Y-91193557D01*
-X130545437Y-91216166D01*
-X130548856Y-91239221D01*
-X130550000Y-91262500D01*
-X130550000Y-91737500D01*
-X130548856Y-91760779D01*
-X130545437Y-91783834D01*
-X130539773Y-91806443D01*
-X130531921Y-91828387D01*
-X130521956Y-91849457D01*
-X130509974Y-91869448D01*
-X130496090Y-91888168D01*
-X130480438Y-91905438D01*
-X130463168Y-91921090D01*
-X130444448Y-91934974D01*
-X130424457Y-91946956D01*
-X130403387Y-91956921D01*
-X130381443Y-91964773D01*
-X130358834Y-91970437D01*
-X130335779Y-91973856D01*
-X130312500Y-91975000D01*
-X129737500Y-91975000D01*
-X129714221Y-91973856D01*
-X129691166Y-91970437D01*
-X129668557Y-91964773D01*
-X129646613Y-91956921D01*
-X129625543Y-91946956D01*
-X129605552Y-91934974D01*
-X129586832Y-91921090D01*
-X129569562Y-91905438D01*
-X129553910Y-91888168D01*
-X129540026Y-91869448D01*
-X129528044Y-91849457D01*
-X129518079Y-91828387D01*
-X129510227Y-91806443D01*
-X129504563Y-91783834D01*
-X129501144Y-91760779D01*
-X129500000Y-91737500D01*
-X129500000Y-91262500D01*
-X129501144Y-91239221D01*
-X129504563Y-91216166D01*
-X129510227Y-91193557D01*
-X129518079Y-91171613D01*
-X129528044Y-91150543D01*
-X129540026Y-91130552D01*
-X129553910Y-91111832D01*
-X129569562Y-91094562D01*
-X129586832Y-91078910D01*
-X129605552Y-91065026D01*
-X129625543Y-91053044D01*
-X129646613Y-91043079D01*
-X129668557Y-91035227D01*
-X129691166Y-91029563D01*
-X129714221Y-91026144D01*
-X129737500Y-91025000D01*
-X130312500Y-91025000D01*
-X130335779Y-91026144D01*
-X130335779Y-91026144D01*
-G37*
-D14*
-X130025000Y-91500000D03*
-D13*
-G36*
-X145435779Y-91026144D02*
-G01*
-X145458834Y-91029563D01*
-X145481443Y-91035227D01*
-X145503387Y-91043079D01*
-X145524457Y-91053044D01*
-X145544448Y-91065026D01*
-X145563168Y-91078910D01*
-X145580438Y-91094562D01*
-X145596090Y-91111832D01*
-X145609974Y-91130552D01*
-X145621956Y-91150543D01*
-X145631921Y-91171613D01*
-X145639773Y-91193557D01*
-X145645437Y-91216166D01*
-X145648856Y-91239221D01*
-X145650000Y-91262500D01*
-X145650000Y-91737500D01*
-X145648856Y-91760779D01*
-X145645437Y-91783834D01*
-X145639773Y-91806443D01*
-X145631921Y-91828387D01*
-X145621956Y-91849457D01*
-X145609974Y-91869448D01*
-X145596090Y-91888168D01*
-X145580438Y-91905438D01*
-X145563168Y-91921090D01*
-X145544448Y-91934974D01*
-X145524457Y-91946956D01*
-X145503387Y-91956921D01*
-X145481443Y-91964773D01*
-X145458834Y-91970437D01*
-X145435779Y-91973856D01*
-X145412500Y-91975000D01*
-X144837500Y-91975000D01*
-X144814221Y-91973856D01*
-X144791166Y-91970437D01*
-X144768557Y-91964773D01*
-X144746613Y-91956921D01*
-X144725543Y-91946956D01*
-X144705552Y-91934974D01*
-X144686832Y-91921090D01*
-X144669562Y-91905438D01*
-X144653910Y-91888168D01*
-X144640026Y-91869448D01*
-X144628044Y-91849457D01*
-X144618079Y-91828387D01*
-X144610227Y-91806443D01*
-X144604563Y-91783834D01*
-X144601144Y-91760779D01*
-X144600000Y-91737500D01*
-X144600000Y-91262500D01*
-X144601144Y-91239221D01*
-X144604563Y-91216166D01*
-X144610227Y-91193557D01*
-X144618079Y-91171613D01*
-X144628044Y-91150543D01*
-X144640026Y-91130552D01*
-X144653910Y-91111832D01*
-X144669562Y-91094562D01*
-X144686832Y-91078910D01*
-X144705552Y-91065026D01*
-X144725543Y-91053044D01*
-X144746613Y-91043079D01*
-X144768557Y-91035227D01*
-X144791166Y-91029563D01*
-X144814221Y-91026144D01*
-X144837500Y-91025000D01*
-X145412500Y-91025000D01*
-X145435779Y-91026144D01*
-X145435779Y-91026144D01*
-G37*
-D14*
-X145125000Y-91500000D03*
-D13*
-G36*
-X147185779Y-91026144D02*
-G01*
-X147208834Y-91029563D01*
-X147231443Y-91035227D01*
-X147253387Y-91043079D01*
-X147274457Y-91053044D01*
-X147294448Y-91065026D01*
-X147313168Y-91078910D01*
-X147330438Y-91094562D01*
-X147346090Y-91111832D01*
-X147359974Y-91130552D01*
-X147371956Y-91150543D01*
-X147381921Y-91171613D01*
-X147389773Y-91193557D01*
-X147395437Y-91216166D01*
-X147398856Y-91239221D01*
-X147400000Y-91262500D01*
-X147400000Y-91737500D01*
-X147398856Y-91760779D01*
-X147395437Y-91783834D01*
-X147389773Y-91806443D01*
-X147381921Y-91828387D01*
-X147371956Y-91849457D01*
-X147359974Y-91869448D01*
-X147346090Y-91888168D01*
-X147330438Y-91905438D01*
-X147313168Y-91921090D01*
-X147294448Y-91934974D01*
-X147274457Y-91946956D01*
-X147253387Y-91956921D01*
-X147231443Y-91964773D01*
-X147208834Y-91970437D01*
-X147185779Y-91973856D01*
-X147162500Y-91975000D01*
-X146587500Y-91975000D01*
-X146564221Y-91973856D01*
-X146541166Y-91970437D01*
-X146518557Y-91964773D01*
-X146496613Y-91956921D01*
-X146475543Y-91946956D01*
-X146455552Y-91934974D01*
-X146436832Y-91921090D01*
-X146419562Y-91905438D01*
-X146403910Y-91888168D01*
-X146390026Y-91869448D01*
-X146378044Y-91849457D01*
-X146368079Y-91828387D01*
-X146360227Y-91806443D01*
-X146354563Y-91783834D01*
-X146351144Y-91760779D01*
-X146350000Y-91737500D01*
-X146350000Y-91262500D01*
-X146351144Y-91239221D01*
-X146354563Y-91216166D01*
-X146360227Y-91193557D01*
-X146368079Y-91171613D01*
-X146378044Y-91150543D01*
-X146390026Y-91130552D01*
-X146403910Y-91111832D01*
-X146419562Y-91094562D01*
-X146436832Y-91078910D01*
-X146455552Y-91065026D01*
-X146475543Y-91053044D01*
-X146496613Y-91043079D01*
-X146518557Y-91035227D01*
-X146541166Y-91029563D01*
-X146564221Y-91026144D01*
-X146587500Y-91025000D01*
-X147162500Y-91025000D01*
-X147185779Y-91026144D01*
-X147185779Y-91026144D01*
-G37*
-D14*
-X146875000Y-91500000D03*
-D13*
-G36*
-X139260779Y-75951144D02*
-G01*
-X139283834Y-75954563D01*
-X139306443Y-75960227D01*
-X139328387Y-75968079D01*
-X139349457Y-75978044D01*
-X139369448Y-75990026D01*
-X139388168Y-76003910D01*
-X139405438Y-76019562D01*
-X139421090Y-76036832D01*
-X139434974Y-76055552D01*
-X139446956Y-76075543D01*
-X139456921Y-76096613D01*
-X139464773Y-76118557D01*
-X139470437Y-76141166D01*
-X139473856Y-76164221D01*
-X139475000Y-76187500D01*
-X139475000Y-76762500D01*
-X139473856Y-76785779D01*
-X139470437Y-76808834D01*
-X139464773Y-76831443D01*
-X139456921Y-76853387D01*
-X139446956Y-76874457D01*
-X139434974Y-76894448D01*
-X139421090Y-76913168D01*
-X139405438Y-76930438D01*
-X139388168Y-76946090D01*
-X139369448Y-76959974D01*
-X139349457Y-76971956D01*
-X139328387Y-76981921D01*
-X139306443Y-76989773D01*
-X139283834Y-76995437D01*
-X139260779Y-76998856D01*
-X139237500Y-77000000D01*
-X138762500Y-77000000D01*
-X138739221Y-76998856D01*
-X138716166Y-76995437D01*
-X138693557Y-76989773D01*
-X138671613Y-76981921D01*
-X138650543Y-76971956D01*
-X138630552Y-76959974D01*
-X138611832Y-76946090D01*
-X138594562Y-76930438D01*
-X138578910Y-76913168D01*
-X138565026Y-76894448D01*
-X138553044Y-76874457D01*
-X138543079Y-76853387D01*
-X138535227Y-76831443D01*
-X138529563Y-76808834D01*
-X138526144Y-76785779D01*
-X138525000Y-76762500D01*
-X138525000Y-76187500D01*
-X138526144Y-76164221D01*
-X138529563Y-76141166D01*
-X138535227Y-76118557D01*
-X138543079Y-76096613D01*
-X138553044Y-76075543D01*
-X138565026Y-76055552D01*
-X138578910Y-76036832D01*
-X138594562Y-76019562D01*
-X138611832Y-76003910D01*
-X138630552Y-75990026D01*
-X138650543Y-75978044D01*
-X138671613Y-75968079D01*
-X138693557Y-75960227D01*
-X138716166Y-75954563D01*
-X138739221Y-75951144D01*
-X138762500Y-75950000D01*
-X139237500Y-75950000D01*
-X139260779Y-75951144D01*
-X139260779Y-75951144D01*
-G37*
-D14*
-X139000000Y-76475000D03*
-D13*
-G36*
-X139260779Y-74201144D02*
-G01*
-X139283834Y-74204563D01*
-X139306443Y-74210227D01*
-X139328387Y-74218079D01*
-X139349457Y-74228044D01*
-X139369448Y-74240026D01*
-X139388168Y-74253910D01*
-X139405438Y-74269562D01*
-X139421090Y-74286832D01*
-X139434974Y-74305552D01*
-X139446956Y-74325543D01*
-X139456921Y-74346613D01*
-X139464773Y-74368557D01*
-X139470437Y-74391166D01*
-X139473856Y-74414221D01*
-X139475000Y-74437500D01*
-X139475000Y-75012500D01*
-X139473856Y-75035779D01*
-X139470437Y-75058834D01*
-X139464773Y-75081443D01*
-X139456921Y-75103387D01*
-X139446956Y-75124457D01*
-X139434974Y-75144448D01*
-X139421090Y-75163168D01*
-X139405438Y-75180438D01*
-X139388168Y-75196090D01*
-X139369448Y-75209974D01*
-X139349457Y-75221956D01*
-X139328387Y-75231921D01*
-X139306443Y-75239773D01*
-X139283834Y-75245437D01*
-X139260779Y-75248856D01*
-X139237500Y-75250000D01*
-X138762500Y-75250000D01*
-X138739221Y-75248856D01*
-X138716166Y-75245437D01*
-X138693557Y-75239773D01*
-X138671613Y-75231921D01*
-X138650543Y-75221956D01*
-X138630552Y-75209974D01*
-X138611832Y-75196090D01*
-X138594562Y-75180438D01*
-X138578910Y-75163168D01*
-X138565026Y-75144448D01*
-X138553044Y-75124457D01*
-X138543079Y-75103387D01*
-X138535227Y-75081443D01*
-X138529563Y-75058834D01*
-X138526144Y-75035779D01*
-X138525000Y-75012500D01*
-X138525000Y-74437500D01*
-X138526144Y-74414221D01*
-X138529563Y-74391166D01*
-X138535227Y-74368557D01*
-X138543079Y-74346613D01*
-X138553044Y-74325543D01*
-X138565026Y-74305552D01*
-X138578910Y-74286832D01*
-X138594562Y-74269562D01*
-X138611832Y-74253910D01*
-X138630552Y-74240026D01*
-X138650543Y-74228044D01*
-X138671613Y-74218079D01*
-X138693557Y-74210227D01*
-X138716166Y-74204563D01*
-X138739221Y-74201144D01*
-X138762500Y-74200000D01*
-X139237500Y-74200000D01*
-X139260779Y-74201144D01*
-X139260779Y-74201144D01*
-G37*
-D14*
-X139000000Y-74725000D03*
-D13*
-G36*
-X126560779Y-71301144D02*
-G01*
-X126583834Y-71304563D01*
-X126606443Y-71310227D01*
-X126628387Y-71318079D01*
-X126649457Y-71328044D01*
-X126669448Y-71340026D01*
-X126688168Y-71353910D01*
-X126705438Y-71369562D01*
-X126721090Y-71386832D01*
-X126734974Y-71405552D01*
-X126746956Y-71425543D01*
-X126756921Y-71446613D01*
-X126764773Y-71468557D01*
-X126770437Y-71491166D01*
-X126773856Y-71514221D01*
-X126775000Y-71537500D01*
-X126775000Y-72112500D01*
-X126773856Y-72135779D01*
-X126770437Y-72158834D01*
-X126764773Y-72181443D01*
-X126756921Y-72203387D01*
-X126746956Y-72224457D01*
-X126734974Y-72244448D01*
-X126721090Y-72263168D01*
-X126705438Y-72280438D01*
-X126688168Y-72296090D01*
-X126669448Y-72309974D01*
-X126649457Y-72321956D01*
-X126628387Y-72331921D01*
-X126606443Y-72339773D01*
-X126583834Y-72345437D01*
-X126560779Y-72348856D01*
-X126537500Y-72350000D01*
-X126062500Y-72350000D01*
-X126039221Y-72348856D01*
-X126016166Y-72345437D01*
-X125993557Y-72339773D01*
-X125971613Y-72331921D01*
-X125950543Y-72321956D01*
-X125930552Y-72309974D01*
-X125911832Y-72296090D01*
-X125894562Y-72280438D01*
-X125878910Y-72263168D01*
-X125865026Y-72244448D01*
-X125853044Y-72224457D01*
-X125843079Y-72203387D01*
-X125835227Y-72181443D01*
-X125829563Y-72158834D01*
-X125826144Y-72135779D01*
-X125825000Y-72112500D01*
-X125825000Y-71537500D01*
-X125826144Y-71514221D01*
-X125829563Y-71491166D01*
-X125835227Y-71468557D01*
-X125843079Y-71446613D01*
-X125853044Y-71425543D01*
-X125865026Y-71405552D01*
-X125878910Y-71386832D01*
-X125894562Y-71369562D01*
-X125911832Y-71353910D01*
-X125930552Y-71340026D01*
-X125950543Y-71328044D01*
-X125971613Y-71318079D01*
-X125993557Y-71310227D01*
-X126016166Y-71304563D01*
-X126039221Y-71301144D01*
-X126062500Y-71300000D01*
-X126537500Y-71300000D01*
-X126560779Y-71301144D01*
-X126560779Y-71301144D01*
-G37*
-D14*
-X126300000Y-71825000D03*
-D13*
-G36*
-X126560779Y-73051144D02*
-G01*
-X126583834Y-73054563D01*
-X126606443Y-73060227D01*
-X126628387Y-73068079D01*
-X126649457Y-73078044D01*
-X126669448Y-73090026D01*
-X126688168Y-73103910D01*
-X126705438Y-73119562D01*
-X126721090Y-73136832D01*
-X126734974Y-73155552D01*
-X126746956Y-73175543D01*
-X126756921Y-73196613D01*
-X126764773Y-73218557D01*
-X126770437Y-73241166D01*
-X126773856Y-73264221D01*
-X126775000Y-73287500D01*
-X126775000Y-73862500D01*
-X126773856Y-73885779D01*
-X126770437Y-73908834D01*
-X126764773Y-73931443D01*
-X126756921Y-73953387D01*
-X126746956Y-73974457D01*
-X126734974Y-73994448D01*
-X126721090Y-74013168D01*
-X126705438Y-74030438D01*
-X126688168Y-74046090D01*
-X126669448Y-74059974D01*
-X126649457Y-74071956D01*
-X126628387Y-74081921D01*
-X126606443Y-74089773D01*
-X126583834Y-74095437D01*
-X126560779Y-74098856D01*
-X126537500Y-74100000D01*
-X126062500Y-74100000D01*
-X126039221Y-74098856D01*
-X126016166Y-74095437D01*
-X125993557Y-74089773D01*
-X125971613Y-74081921D01*
-X125950543Y-74071956D01*
-X125930552Y-74059974D01*
-X125911832Y-74046090D01*
-X125894562Y-74030438D01*
-X125878910Y-74013168D01*
-X125865026Y-73994448D01*
-X125853044Y-73974457D01*
-X125843079Y-73953387D01*
-X125835227Y-73931443D01*
-X125829563Y-73908834D01*
-X125826144Y-73885779D01*
-X125825000Y-73862500D01*
-X125825000Y-73287500D01*
-X125826144Y-73264221D01*
-X125829563Y-73241166D01*
-X125835227Y-73218557D01*
-X125843079Y-73196613D01*
-X125853044Y-73175543D01*
-X125865026Y-73155552D01*
-X125878910Y-73136832D01*
-X125894562Y-73119562D01*
-X125911832Y-73103910D01*
-X125930552Y-73090026D01*
-X125950543Y-73078044D01*
-X125971613Y-73068079D01*
-X125993557Y-73060227D01*
-X126016166Y-73054563D01*
-X126039221Y-73051144D01*
-X126062500Y-73050000D01*
-X126537500Y-73050000D01*
-X126560779Y-73051144D01*
-X126560779Y-73051144D01*
-G37*
-D14*
-X126300000Y-73575000D03*
-D13*
-G36*
-X128260779Y-73051144D02*
-G01*
-X128283834Y-73054563D01*
-X128306443Y-73060227D01*
-X128328387Y-73068079D01*
-X128349457Y-73078044D01*
-X128369448Y-73090026D01*
-X128388168Y-73103910D01*
-X128405438Y-73119562D01*
-X128421090Y-73136832D01*
-X128434974Y-73155552D01*
-X128446956Y-73175543D01*
-X128456921Y-73196613D01*
-X128464773Y-73218557D01*
-X128470437Y-73241166D01*
-X128473856Y-73264221D01*
-X128475000Y-73287500D01*
-X128475000Y-73862500D01*
-X128473856Y-73885779D01*
-X128470437Y-73908834D01*
-X128464773Y-73931443D01*
-X128456921Y-73953387D01*
-X128446956Y-73974457D01*
-X128434974Y-73994448D01*
-X128421090Y-74013168D01*
-X128405438Y-74030438D01*
-X128388168Y-74046090D01*
-X128369448Y-74059974D01*
-X128349457Y-74071956D01*
-X128328387Y-74081921D01*
-X128306443Y-74089773D01*
-X128283834Y-74095437D01*
-X128260779Y-74098856D01*
-X128237500Y-74100000D01*
-X127762500Y-74100000D01*
-X127739221Y-74098856D01*
-X127716166Y-74095437D01*
-X127693557Y-74089773D01*
-X127671613Y-74081921D01*
-X127650543Y-74071956D01*
-X127630552Y-74059974D01*
-X127611832Y-74046090D01*
-X127594562Y-74030438D01*
-X127578910Y-74013168D01*
-X127565026Y-73994448D01*
-X127553044Y-73974457D01*
-X127543079Y-73953387D01*
-X127535227Y-73931443D01*
-X127529563Y-73908834D01*
-X127526144Y-73885779D01*
-X127525000Y-73862500D01*
-X127525000Y-73287500D01*
-X127526144Y-73264221D01*
-X127529563Y-73241166D01*
-X127535227Y-73218557D01*
-X127543079Y-73196613D01*
-X127553044Y-73175543D01*
-X127565026Y-73155552D01*
-X127578910Y-73136832D01*
-X127594562Y-73119562D01*
-X127611832Y-73103910D01*
-X127630552Y-73090026D01*
-X127650543Y-73078044D01*
-X127671613Y-73068079D01*
-X127693557Y-73060227D01*
-X127716166Y-73054563D01*
-X127739221Y-73051144D01*
-X127762500Y-73050000D01*
-X128237500Y-73050000D01*
-X128260779Y-73051144D01*
-X128260779Y-73051144D01*
-G37*
-D14*
-X128000000Y-73575000D03*
-D13*
-G36*
-X128260779Y-71301144D02*
-G01*
-X128283834Y-71304563D01*
-X128306443Y-71310227D01*
-X128328387Y-71318079D01*
-X128349457Y-71328044D01*
-X128369448Y-71340026D01*
-X128388168Y-71353910D01*
-X128405438Y-71369562D01*
-X128421090Y-71386832D01*
-X128434974Y-71405552D01*
-X128446956Y-71425543D01*
-X128456921Y-71446613D01*
-X128464773Y-71468557D01*
-X128470437Y-71491166D01*
-X128473856Y-71514221D01*
-X128475000Y-71537500D01*
-X128475000Y-72112500D01*
-X128473856Y-72135779D01*
-X128470437Y-72158834D01*
-X128464773Y-72181443D01*
-X128456921Y-72203387D01*
-X128446956Y-72224457D01*
-X128434974Y-72244448D01*
-X128421090Y-72263168D01*
-X128405438Y-72280438D01*
-X128388168Y-72296090D01*
-X128369448Y-72309974D01*
-X128349457Y-72321956D01*
-X128328387Y-72331921D01*
-X128306443Y-72339773D01*
-X128283834Y-72345437D01*
-X128260779Y-72348856D01*
-X128237500Y-72350000D01*
-X127762500Y-72350000D01*
-X127739221Y-72348856D01*
-X127716166Y-72345437D01*
-X127693557Y-72339773D01*
-X127671613Y-72331921D01*
-X127650543Y-72321956D01*
-X127630552Y-72309974D01*
-X127611832Y-72296090D01*
-X127594562Y-72280438D01*
-X127578910Y-72263168D01*
-X127565026Y-72244448D01*
-X127553044Y-72224457D01*
-X127543079Y-72203387D01*
-X127535227Y-72181443D01*
-X127529563Y-72158834D01*
-X127526144Y-72135779D01*
-X127525000Y-72112500D01*
-X127525000Y-71537500D01*
-X127526144Y-71514221D01*
-X127529563Y-71491166D01*
-X127535227Y-71468557D01*
-X127543079Y-71446613D01*
-X127553044Y-71425543D01*
-X127565026Y-71405552D01*
-X127578910Y-71386832D01*
-X127594562Y-71369562D01*
-X127611832Y-71353910D01*
-X127630552Y-71340026D01*
-X127650543Y-71328044D01*
-X127671613Y-71318079D01*
-X127693557Y-71310227D01*
-X127716166Y-71304563D01*
-X127739221Y-71301144D01*
-X127762500Y-71300000D01*
-X128237500Y-71300000D01*
-X128260779Y-71301144D01*
-X128260779Y-71301144D01*
-G37*
-D14*
-X128000000Y-71825000D03*
-D13*
-G36*
-X93049505Y-119326204D02*
-G01*
-X93073773Y-119329804D01*
-X93097572Y-119335765D01*
-X93120671Y-119344030D01*
-X93142850Y-119354520D01*
-X93163893Y-119367132D01*
-X93183599Y-119381747D01*
-X93201777Y-119398223D01*
-X93218253Y-119416401D01*
-X93232868Y-119436107D01*
-X93245480Y-119457150D01*
-X93255970Y-119479329D01*
-X93264235Y-119502428D01*
-X93270196Y-119526227D01*
-X93273796Y-119550495D01*
-X93275000Y-119574999D01*
-X93275000Y-122425001D01*
-X93273796Y-122449505D01*
-X93270196Y-122473773D01*
-X93264235Y-122497572D01*
-X93255970Y-122520671D01*
-X93245480Y-122542850D01*
-X93232868Y-122563893D01*
-X93218253Y-122583599D01*
-X93201777Y-122601777D01*
-X93183599Y-122618253D01*
-X93163893Y-122632868D01*
-X93142850Y-122645480D01*
-X93120671Y-122655970D01*
-X93097572Y-122664235D01*
-X93073773Y-122670196D01*
-X93049505Y-122673796D01*
-X93025001Y-122675000D01*
-X91999999Y-122675000D01*
-X91975495Y-122673796D01*
-X91951227Y-122670196D01*
-X91927428Y-122664235D01*
-X91904329Y-122655970D01*
-X91882150Y-122645480D01*
-X91861107Y-122632868D01*
-X91841401Y-122618253D01*
-X91823223Y-122601777D01*
-X91806747Y-122583599D01*
-X91792132Y-122563893D01*
-X91779520Y-122542850D01*
-X91769030Y-122520671D01*
-X91760765Y-122497572D01*
-X91754804Y-122473773D01*
-X91751204Y-122449505D01*
-X91750000Y-122425001D01*
-X91750000Y-119574999D01*
-X91751204Y-119550495D01*
-X91754804Y-119526227D01*
-X91760765Y-119502428D01*
-X91769030Y-119479329D01*
-X91779520Y-119457150D01*
-X91792132Y-119436107D01*
-X91806747Y-119416401D01*
-X91823223Y-119398223D01*
-X91841401Y-119381747D01*
-X91861107Y-119367132D01*
-X91882150Y-119354520D01*
-X91904329Y-119344030D01*
-X91927428Y-119335765D01*
-X91951227Y-119329804D01*
-X91975495Y-119326204D01*
-X91999999Y-119325000D01*
-X93025001Y-119325000D01*
-X93049505Y-119326204D01*
-X93049505Y-119326204D01*
-G37*
-D27*
-X92512500Y-121000000D03*
-D13*
-G36*
-X99024505Y-119326204D02*
-G01*
-X99048773Y-119329804D01*
-X99072572Y-119335765D01*
-X99095671Y-119344030D01*
-X99117850Y-119354520D01*
-X99138893Y-119367132D01*
-X99158599Y-119381747D01*
-X99176777Y-119398223D01*
-X99193253Y-119416401D01*
-X99207868Y-119436107D01*
-X99220480Y-119457150D01*
-X99230970Y-119479329D01*
-X99239235Y-119502428D01*
-X99245196Y-119526227D01*
-X99248796Y-119550495D01*
-X99250000Y-119574999D01*
-X99250000Y-122425001D01*
-X99248796Y-122449505D01*
-X99245196Y-122473773D01*
-X99239235Y-122497572D01*
-X99230970Y-122520671D01*
-X99220480Y-122542850D01*
-X99207868Y-122563893D01*
-X99193253Y-122583599D01*
-X99176777Y-122601777D01*
-X99158599Y-122618253D01*
-X99138893Y-122632868D01*
-X99117850Y-122645480D01*
-X99095671Y-122655970D01*
-X99072572Y-122664235D01*
-X99048773Y-122670196D01*
-X99024505Y-122673796D01*
-X99000001Y-122675000D01*
-X97974999Y-122675000D01*
-X97950495Y-122673796D01*
-X97926227Y-122670196D01*
-X97902428Y-122664235D01*
-X97879329Y-122655970D01*
-X97857150Y-122645480D01*
-X97836107Y-122632868D01*
-X97816401Y-122618253D01*
-X97798223Y-122601777D01*
-X97781747Y-122583599D01*
-X97767132Y-122563893D01*
-X97754520Y-122542850D01*
-X97744030Y-122520671D01*
-X97735765Y-122497572D01*
-X97729804Y-122473773D01*
-X97726204Y-122449505D01*
-X97725000Y-122425001D01*
-X97725000Y-119574999D01*
-X97726204Y-119550495D01*
-X97729804Y-119526227D01*
-X97735765Y-119502428D01*
-X97744030Y-119479329D01*
-X97754520Y-119457150D01*
-X97767132Y-119436107D01*
-X97781747Y-119416401D01*
-X97798223Y-119398223D01*
-X97816401Y-119381747D01*
-X97836107Y-119367132D01*
-X97857150Y-119354520D01*
-X97879329Y-119344030D01*
-X97902428Y-119335765D01*
-X97926227Y-119329804D01*
-X97950495Y-119326204D01*
-X97974999Y-119325000D01*
-X99000001Y-119325000D01*
-X99024505Y-119326204D01*
-X99024505Y-119326204D01*
-G37*
-D27*
-X98487500Y-121000000D03*
-D13*
-G36*
-X99024505Y-96326204D02*
-G01*
-X99048773Y-96329804D01*
-X99072572Y-96335765D01*
-X99095671Y-96344030D01*
-X99117850Y-96354520D01*
-X99138893Y-96367132D01*
-X99158599Y-96381747D01*
-X99176777Y-96398223D01*
-X99193253Y-96416401D01*
-X99207868Y-96436107D01*
-X99220480Y-96457150D01*
-X99230970Y-96479329D01*
-X99239235Y-96502428D01*
-X99245196Y-96526227D01*
-X99248796Y-96550495D01*
-X99250000Y-96574999D01*
-X99250000Y-99425001D01*
-X99248796Y-99449505D01*
-X99245196Y-99473773D01*
-X99239235Y-99497572D01*
-X99230970Y-99520671D01*
-X99220480Y-99542850D01*
-X99207868Y-99563893D01*
-X99193253Y-99583599D01*
-X99176777Y-99601777D01*
-X99158599Y-99618253D01*
-X99138893Y-99632868D01*
-X99117850Y-99645480D01*
-X99095671Y-99655970D01*
-X99072572Y-99664235D01*
-X99048773Y-99670196D01*
-X99024505Y-99673796D01*
-X99000001Y-99675000D01*
-X97974999Y-99675000D01*
-X97950495Y-99673796D01*
-X97926227Y-99670196D01*
-X97902428Y-99664235D01*
-X97879329Y-99655970D01*
-X97857150Y-99645480D01*
-X97836107Y-99632868D01*
-X97816401Y-99618253D01*
-X97798223Y-99601777D01*
-X97781747Y-99583599D01*
-X97767132Y-99563893D01*
-X97754520Y-99542850D01*
-X97744030Y-99520671D01*
-X97735765Y-99497572D01*
-X97729804Y-99473773D01*
-X97726204Y-99449505D01*
-X97725000Y-99425001D01*
-X97725000Y-96574999D01*
-X97726204Y-96550495D01*
-X97729804Y-96526227D01*
-X97735765Y-96502428D01*
-X97744030Y-96479329D01*
-X97754520Y-96457150D01*
-X97767132Y-96436107D01*
-X97781747Y-96416401D01*
-X97798223Y-96398223D01*
-X97816401Y-96381747D01*
-X97836107Y-96367132D01*
-X97857150Y-96354520D01*
-X97879329Y-96344030D01*
-X97902428Y-96335765D01*
-X97926227Y-96329804D01*
-X97950495Y-96326204D01*
-X97974999Y-96325000D01*
-X99000001Y-96325000D01*
-X99024505Y-96326204D01*
-X99024505Y-96326204D01*
-G37*
-D27*
-X98487500Y-98000000D03*
-D13*
-G36*
-X93049505Y-96326204D02*
-G01*
-X93073773Y-96329804D01*
-X93097572Y-96335765D01*
-X93120671Y-96344030D01*
-X93142850Y-96354520D01*
-X93163893Y-96367132D01*
-X93183599Y-96381747D01*
-X93201777Y-96398223D01*
-X93218253Y-96416401D01*
-X93232868Y-96436107D01*
-X93245480Y-96457150D01*
-X93255970Y-96479329D01*
-X93264235Y-96502428D01*
-X93270196Y-96526227D01*
-X93273796Y-96550495D01*
-X93275000Y-96574999D01*
-X93275000Y-99425001D01*
-X93273796Y-99449505D01*
-X93270196Y-99473773D01*
-X93264235Y-99497572D01*
-X93255970Y-99520671D01*
-X93245480Y-99542850D01*
-X93232868Y-99563893D01*
-X93218253Y-99583599D01*
-X93201777Y-99601777D01*
-X93183599Y-99618253D01*
-X93163893Y-99632868D01*
-X93142850Y-99645480D01*
-X93120671Y-99655970D01*
-X93097572Y-99664235D01*
-X93073773Y-99670196D01*
-X93049505Y-99673796D01*
-X93025001Y-99675000D01*
-X91999999Y-99675000D01*
-X91975495Y-99673796D01*
-X91951227Y-99670196D01*
-X91927428Y-99664235D01*
-X91904329Y-99655970D01*
-X91882150Y-99645480D01*
-X91861107Y-99632868D01*
-X91841401Y-99618253D01*
-X91823223Y-99601777D01*
-X91806747Y-99583599D01*
-X91792132Y-99563893D01*
-X91779520Y-99542850D01*
-X91769030Y-99520671D01*
-X91760765Y-99497572D01*
-X91754804Y-99473773D01*
-X91751204Y-99449505D01*
-X91750000Y-99425001D01*
-X91750000Y-96574999D01*
-X91751204Y-96550495D01*
-X91754804Y-96526227D01*
-X91760765Y-96502428D01*
-X91769030Y-96479329D01*
-X91779520Y-96457150D01*
-X91792132Y-96436107D01*
-X91806747Y-96416401D01*
-X91823223Y-96398223D01*
-X91841401Y-96381747D01*
-X91861107Y-96367132D01*
-X91882150Y-96354520D01*
-X91904329Y-96344030D01*
-X91927428Y-96335765D01*
-X91951227Y-96329804D01*
-X91975495Y-96326204D01*
-X91999999Y-96325000D01*
-X93025001Y-96325000D01*
-X93049505Y-96326204D01*
-X93049505Y-96326204D01*
-G37*
-D27*
-X92512500Y-98000000D03*
-D13*
-G36*
-X99024505Y-107826204D02*
-G01*
-X99048773Y-107829804D01*
-X99072572Y-107835765D01*
-X99095671Y-107844030D01*
-X99117850Y-107854520D01*
-X99138893Y-107867132D01*
-X99158599Y-107881747D01*
-X99176777Y-107898223D01*
-X99193253Y-107916401D01*
-X99207868Y-107936107D01*
-X99220480Y-107957150D01*
-X99230970Y-107979329D01*
-X99239235Y-108002428D01*
-X99245196Y-108026227D01*
-X99248796Y-108050495D01*
-X99250000Y-108074999D01*
-X99250000Y-110925001D01*
-X99248796Y-110949505D01*
-X99245196Y-110973773D01*
-X99239235Y-110997572D01*
-X99230970Y-111020671D01*
-X99220480Y-111042850D01*
-X99207868Y-111063893D01*
-X99193253Y-111083599D01*
-X99176777Y-111101777D01*
-X99158599Y-111118253D01*
-X99138893Y-111132868D01*
-X99117850Y-111145480D01*
-X99095671Y-111155970D01*
-X99072572Y-111164235D01*
-X99048773Y-111170196D01*
-X99024505Y-111173796D01*
-X99000001Y-111175000D01*
-X97974999Y-111175000D01*
-X97950495Y-111173796D01*
-X97926227Y-111170196D01*
-X97902428Y-111164235D01*
-X97879329Y-111155970D01*
-X97857150Y-111145480D01*
-X97836107Y-111132868D01*
-X97816401Y-111118253D01*
-X97798223Y-111101777D01*
-X97781747Y-111083599D01*
-X97767132Y-111063893D01*
-X97754520Y-111042850D01*
-X97744030Y-111020671D01*
-X97735765Y-110997572D01*
-X97729804Y-110973773D01*
-X97726204Y-110949505D01*
-X97725000Y-110925001D01*
-X97725000Y-108074999D01*
-X97726204Y-108050495D01*
-X97729804Y-108026227D01*
-X97735765Y-108002428D01*
-X97744030Y-107979329D01*
-X97754520Y-107957150D01*
-X97767132Y-107936107D01*
-X97781747Y-107916401D01*
-X97798223Y-107898223D01*
-X97816401Y-107881747D01*
-X97836107Y-107867132D01*
-X97857150Y-107854520D01*
-X97879329Y-107844030D01*
-X97902428Y-107835765D01*
-X97926227Y-107829804D01*
-X97950495Y-107826204D01*
-X97974999Y-107825000D01*
-X99000001Y-107825000D01*
-X99024505Y-107826204D01*
-X99024505Y-107826204D01*
-G37*
-D27*
-X98487500Y-109500000D03*
-D13*
-G36*
-X93049505Y-107826204D02*
-G01*
-X93073773Y-107829804D01*
-X93097572Y-107835765D01*
-X93120671Y-107844030D01*
-X93142850Y-107854520D01*
-X93163893Y-107867132D01*
-X93183599Y-107881747D01*
-X93201777Y-107898223D01*
-X93218253Y-107916401D01*
-X93232868Y-107936107D01*
-X93245480Y-107957150D01*
-X93255970Y-107979329D01*
-X93264235Y-108002428D01*
-X93270196Y-108026227D01*
-X93273796Y-108050495D01*
-X93275000Y-108074999D01*
-X93275000Y-110925001D01*
-X93273796Y-110949505D01*
-X93270196Y-110973773D01*
-X93264235Y-110997572D01*
-X93255970Y-111020671D01*
-X93245480Y-111042850D01*
-X93232868Y-111063893D01*
-X93218253Y-111083599D01*
-X93201777Y-111101777D01*
-X93183599Y-111118253D01*
-X93163893Y-111132868D01*
-X93142850Y-111145480D01*
-X93120671Y-111155970D01*
-X93097572Y-111164235D01*
-X93073773Y-111170196D01*
-X93049505Y-111173796D01*
-X93025001Y-111175000D01*
-X91999999Y-111175000D01*
-X91975495Y-111173796D01*
-X91951227Y-111170196D01*
-X91927428Y-111164235D01*
-X91904329Y-111155970D01*
-X91882150Y-111145480D01*
-X91861107Y-111132868D01*
-X91841401Y-111118253D01*
-X91823223Y-111101777D01*
-X91806747Y-111083599D01*
-X91792132Y-111063893D01*
-X91779520Y-111042850D01*
-X91769030Y-111020671D01*
-X91760765Y-110997572D01*
-X91754804Y-110973773D01*
-X91751204Y-110949505D01*
-X91750000Y-110925001D01*
-X91750000Y-108074999D01*
-X91751204Y-108050495D01*
-X91754804Y-108026227D01*
-X91760765Y-108002428D01*
-X91769030Y-107979329D01*
-X91779520Y-107957150D01*
-X91792132Y-107936107D01*
-X91806747Y-107916401D01*
-X91823223Y-107898223D01*
-X91841401Y-107881747D01*
-X91861107Y-107867132D01*
-X91882150Y-107854520D01*
-X91904329Y-107844030D01*
-X91927428Y-107835765D01*
-X91951227Y-107829804D01*
-X91975495Y-107826204D01*
-X91999999Y-107825000D01*
-X93025001Y-107825000D01*
-X93049505Y-107826204D01*
-X93049505Y-107826204D01*
-G37*
-D27*
-X92512500Y-109500000D03*
-D13*
-G36*
-X93049505Y-101826204D02*
-G01*
-X93073773Y-101829804D01*
-X93097572Y-101835765D01*
-X93120671Y-101844030D01*
-X93142850Y-101854520D01*
-X93163893Y-101867132D01*
-X93183599Y-101881747D01*
-X93201777Y-101898223D01*
-X93218253Y-101916401D01*
-X93232868Y-101936107D01*
-X93245480Y-101957150D01*
-X93255970Y-101979329D01*
-X93264235Y-102002428D01*
-X93270196Y-102026227D01*
-X93273796Y-102050495D01*
-X93275000Y-102074999D01*
-X93275000Y-104925001D01*
-X93273796Y-104949505D01*
-X93270196Y-104973773D01*
-X93264235Y-104997572D01*
-X93255970Y-105020671D01*
-X93245480Y-105042850D01*
-X93232868Y-105063893D01*
-X93218253Y-105083599D01*
-X93201777Y-105101777D01*
-X93183599Y-105118253D01*
-X93163893Y-105132868D01*
-X93142850Y-105145480D01*
-X93120671Y-105155970D01*
-X93097572Y-105164235D01*
-X93073773Y-105170196D01*
-X93049505Y-105173796D01*
-X93025001Y-105175000D01*
-X91999999Y-105175000D01*
-X91975495Y-105173796D01*
-X91951227Y-105170196D01*
-X91927428Y-105164235D01*
-X91904329Y-105155970D01*
-X91882150Y-105145480D01*
-X91861107Y-105132868D01*
-X91841401Y-105118253D01*
-X91823223Y-105101777D01*
-X91806747Y-105083599D01*
-X91792132Y-105063893D01*
-X91779520Y-105042850D01*
-X91769030Y-105020671D01*
-X91760765Y-104997572D01*
-X91754804Y-104973773D01*
-X91751204Y-104949505D01*
-X91750000Y-104925001D01*
-X91750000Y-102074999D01*
-X91751204Y-102050495D01*
-X91754804Y-102026227D01*
-X91760765Y-102002428D01*
-X91769030Y-101979329D01*
-X91779520Y-101957150D01*
-X91792132Y-101936107D01*
-X91806747Y-101916401D01*
-X91823223Y-101898223D01*
-X91841401Y-101881747D01*
-X91861107Y-101867132D01*
-X91882150Y-101854520D01*
-X91904329Y-101844030D01*
-X91927428Y-101835765D01*
-X91951227Y-101829804D01*
-X91975495Y-101826204D01*
-X91999999Y-101825000D01*
-X93025001Y-101825000D01*
-X93049505Y-101826204D01*
-X93049505Y-101826204D01*
-G37*
-D27*
-X92512500Y-103500000D03*
-D13*
-G36*
-X99024505Y-101826204D02*
-G01*
-X99048773Y-101829804D01*
-X99072572Y-101835765D01*
-X99095671Y-101844030D01*
-X99117850Y-101854520D01*
-X99138893Y-101867132D01*
-X99158599Y-101881747D01*
-X99176777Y-101898223D01*
-X99193253Y-101916401D01*
-X99207868Y-101936107D01*
-X99220480Y-101957150D01*
-X99230970Y-101979329D01*
-X99239235Y-102002428D01*
-X99245196Y-102026227D01*
-X99248796Y-102050495D01*
-X99250000Y-102074999D01*
-X99250000Y-104925001D01*
-X99248796Y-104949505D01*
-X99245196Y-104973773D01*
-X99239235Y-104997572D01*
-X99230970Y-105020671D01*
-X99220480Y-105042850D01*
-X99207868Y-105063893D01*
-X99193253Y-105083599D01*
-X99176777Y-105101777D01*
-X99158599Y-105118253D01*
-X99138893Y-105132868D01*
-X99117850Y-105145480D01*
-X99095671Y-105155970D01*
-X99072572Y-105164235D01*
-X99048773Y-105170196D01*
-X99024505Y-105173796D01*
-X99000001Y-105175000D01*
-X97974999Y-105175000D01*
-X97950495Y-105173796D01*
-X97926227Y-105170196D01*
-X97902428Y-105164235D01*
-X97879329Y-105155970D01*
-X97857150Y-105145480D01*
-X97836107Y-105132868D01*
-X97816401Y-105118253D01*
-X97798223Y-105101777D01*
-X97781747Y-105083599D01*
-X97767132Y-105063893D01*
-X97754520Y-105042850D01*
-X97744030Y-105020671D01*
-X97735765Y-104997572D01*
-X97729804Y-104973773D01*
-X97726204Y-104949505D01*
-X97725000Y-104925001D01*
-X97725000Y-102074999D01*
-X97726204Y-102050495D01*
-X97729804Y-102026227D01*
-X97735765Y-102002428D01*
-X97744030Y-101979329D01*
-X97754520Y-101957150D01*
-X97767132Y-101936107D01*
-X97781747Y-101916401D01*
-X97798223Y-101898223D01*
-X97816401Y-101881747D01*
-X97836107Y-101867132D01*
-X97857150Y-101854520D01*
-X97879329Y-101844030D01*
-X97902428Y-101835765D01*
-X97926227Y-101829804D01*
-X97950495Y-101826204D01*
-X97974999Y-101825000D01*
-X99000001Y-101825000D01*
-X99024505Y-101826204D01*
-X99024505Y-101826204D01*
-G37*
-D27*
-X98487500Y-103500000D03*
-D13*
-G36*
-X99024505Y-113826204D02*
-G01*
-X99048773Y-113829804D01*
-X99072572Y-113835765D01*
-X99095671Y-113844030D01*
-X99117850Y-113854520D01*
-X99138893Y-113867132D01*
-X99158599Y-113881747D01*
-X99176777Y-113898223D01*
-X99193253Y-113916401D01*
-X99207868Y-113936107D01*
-X99220480Y-113957150D01*
-X99230970Y-113979329D01*
-X99239235Y-114002428D01*
-X99245196Y-114026227D01*
-X99248796Y-114050495D01*
-X99250000Y-114074999D01*
-X99250000Y-116925001D01*
-X99248796Y-116949505D01*
-X99245196Y-116973773D01*
-X99239235Y-116997572D01*
-X99230970Y-117020671D01*
-X99220480Y-117042850D01*
-X99207868Y-117063893D01*
-X99193253Y-117083599D01*
-X99176777Y-117101777D01*
-X99158599Y-117118253D01*
-X99138893Y-117132868D01*
-X99117850Y-117145480D01*
-X99095671Y-117155970D01*
-X99072572Y-117164235D01*
-X99048773Y-117170196D01*
-X99024505Y-117173796D01*
-X99000001Y-117175000D01*
-X97974999Y-117175000D01*
-X97950495Y-117173796D01*
-X97926227Y-117170196D01*
-X97902428Y-117164235D01*
-X97879329Y-117155970D01*
-X97857150Y-117145480D01*
-X97836107Y-117132868D01*
-X97816401Y-117118253D01*
-X97798223Y-117101777D01*
-X97781747Y-117083599D01*
-X97767132Y-117063893D01*
-X97754520Y-117042850D01*
-X97744030Y-117020671D01*
-X97735765Y-116997572D01*
-X97729804Y-116973773D01*
-X97726204Y-116949505D01*
-X97725000Y-116925001D01*
-X97725000Y-114074999D01*
-X97726204Y-114050495D01*
-X97729804Y-114026227D01*
-X97735765Y-114002428D01*
-X97744030Y-113979329D01*
-X97754520Y-113957150D01*
-X97767132Y-113936107D01*
-X97781747Y-113916401D01*
-X97798223Y-113898223D01*
-X97816401Y-113881747D01*
-X97836107Y-113867132D01*
-X97857150Y-113854520D01*
-X97879329Y-113844030D01*
-X97902428Y-113835765D01*
-X97926227Y-113829804D01*
-X97950495Y-113826204D01*
-X97974999Y-113825000D01*
-X99000001Y-113825000D01*
-X99024505Y-113826204D01*
-X99024505Y-113826204D01*
-G37*
-D27*
-X98487500Y-115500000D03*
-D13*
-G36*
-X93049505Y-113826204D02*
-G01*
-X93073773Y-113829804D01*
-X93097572Y-113835765D01*
-X93120671Y-113844030D01*
-X93142850Y-113854520D01*
-X93163893Y-113867132D01*
-X93183599Y-113881747D01*
-X93201777Y-113898223D01*
-X93218253Y-113916401D01*
-X93232868Y-113936107D01*
-X93245480Y-113957150D01*
-X93255970Y-113979329D01*
-X93264235Y-114002428D01*
-X93270196Y-114026227D01*
-X93273796Y-114050495D01*
-X93275000Y-114074999D01*
-X93275000Y-116925001D01*
-X93273796Y-116949505D01*
-X93270196Y-116973773D01*
-X93264235Y-116997572D01*
-X93255970Y-117020671D01*
-X93245480Y-117042850D01*
-X93232868Y-117063893D01*
-X93218253Y-117083599D01*
-X93201777Y-117101777D01*
-X93183599Y-117118253D01*
-X93163893Y-117132868D01*
-X93142850Y-117145480D01*
-X93120671Y-117155970D01*
-X93097572Y-117164235D01*
-X93073773Y-117170196D01*
-X93049505Y-117173796D01*
-X93025001Y-117175000D01*
-X91999999Y-117175000D01*
-X91975495Y-117173796D01*
-X91951227Y-117170196D01*
-X91927428Y-117164235D01*
-X91904329Y-117155970D01*
-X91882150Y-117145480D01*
-X91861107Y-117132868D01*
-X91841401Y-117118253D01*
-X91823223Y-117101777D01*
-X91806747Y-117083599D01*
-X91792132Y-117063893D01*
-X91779520Y-117042850D01*
-X91769030Y-117020671D01*
-X91760765Y-116997572D01*
-X91754804Y-116973773D01*
-X91751204Y-116949505D01*
-X91750000Y-116925001D01*
-X91750000Y-114074999D01*
-X91751204Y-114050495D01*
-X91754804Y-114026227D01*
-X91760765Y-114002428D01*
-X91769030Y-113979329D01*
-X91779520Y-113957150D01*
-X91792132Y-113936107D01*
-X91806747Y-113916401D01*
-X91823223Y-113898223D01*
-X91841401Y-113881747D01*
-X91861107Y-113867132D01*
-X91882150Y-113854520D01*
-X91904329Y-113844030D01*
-X91927428Y-113835765D01*
-X91951227Y-113829804D01*
-X91975495Y-113826204D01*
-X91999999Y-113825000D01*
-X93025001Y-113825000D01*
-X93049505Y-113826204D01*
-X93049505Y-113826204D01*
-G37*
-D27*
-X92512500Y-115500000D03*
-D28*
-X96350000Y-71250000D03*
-X97300000Y-71250000D03*
-X98250000Y-71250000D03*
-X98250000Y-68550000D03*
-X96350000Y-68550000D03*
-X97300000Y-68550000D03*
-D29*
-X89850000Y-79100000D03*
-D30*
-X83550000Y-79100000D03*
-X83550000Y-81400000D03*
-X83550000Y-76800000D03*
-D31*
-X112250000Y-74500000D03*
-X112250000Y-77400000D03*
-X114550000Y-77400000D03*
-X114550000Y-74500000D03*
-D32*
-X127300000Y-88295000D03*
-X127300000Y-89565000D03*
-X127300000Y-90835000D03*
-X127300000Y-92105000D03*
-X121900000Y-92105000D03*
-X121900000Y-90835000D03*
-X121900000Y-89565000D03*
-X121900000Y-88295000D03*
-X136900000Y-88295000D03*
-X136900000Y-89565000D03*
-X136900000Y-90835000D03*
-X136900000Y-92105000D03*
-X142300000Y-92105000D03*
-X142300000Y-90835000D03*
-X142300000Y-89565000D03*
-X142300000Y-88295000D03*
-D13*
-G36*
-X83249504Y-69776204D02*
-G01*
-X83273773Y-69779804D01*
-X83297571Y-69785765D01*
-X83320671Y-69794030D01*
-X83342849Y-69804520D01*
-X83363893Y-69817133D01*
-X83383598Y-69831747D01*
-X83401777Y-69848223D01*
-X83418253Y-69866402D01*
-X83432867Y-69886107D01*
-X83445480Y-69907151D01*
-X83455970Y-69929329D01*
-X83464235Y-69952429D01*
-X83470196Y-69976227D01*
-X83473796Y-70000496D01*
-X83475000Y-70025000D01*
-X83475000Y-71275000D01*
-X83473796Y-71299504D01*
-X83470196Y-71323773D01*
-X83464235Y-71347571D01*
-X83455970Y-71370671D01*
-X83445480Y-71392849D01*
-X83432867Y-71413893D01*
-X83418253Y-71433598D01*
-X83401777Y-71451777D01*
-X83383598Y-71468253D01*
-X83363893Y-71482867D01*
-X83342849Y-71495480D01*
-X83320671Y-71505970D01*
-X83297571Y-71514235D01*
-X83273773Y-71520196D01*
-X83249504Y-71523796D01*
-X83225000Y-71525000D01*
-X82300000Y-71525000D01*
-X82275496Y-71523796D01*
-X82251227Y-71520196D01*
-X82227429Y-71514235D01*
-X82204329Y-71505970D01*
-X82182151Y-71495480D01*
-X82161107Y-71482867D01*
-X82141402Y-71468253D01*
-X82123223Y-71451777D01*
-X82106747Y-71433598D01*
-X82092133Y-71413893D01*
-X82079520Y-71392849D01*
-X82069030Y-71370671D01*
-X82060765Y-71347571D01*
-X82054804Y-71323773D01*
-X82051204Y-71299504D01*
-X82050000Y-71275000D01*
-X82050000Y-70025000D01*
-X82051204Y-70000496D01*
-X82054804Y-69976227D01*
-X82060765Y-69952429D01*
-X82069030Y-69929329D01*
-X82079520Y-69907151D01*
-X82092133Y-69886107D01*
-X82106747Y-69866402D01*
-X82123223Y-69848223D01*
-X82141402Y-69831747D01*
-X82161107Y-69817133D01*
-X82182151Y-69804520D01*
-X82204329Y-69794030D01*
-X82227429Y-69785765D01*
-X82251227Y-69779804D01*
-X82275496Y-69776204D01*
-X82300000Y-69775000D01*
-X83225000Y-69775000D01*
-X83249504Y-69776204D01*
-X83249504Y-69776204D01*
-G37*
-D26*
-X82762500Y-70650000D03*
-D13*
-G36*
-X86224504Y-69776204D02*
-G01*
-X86248773Y-69779804D01*
-X86272571Y-69785765D01*
-X86295671Y-69794030D01*
-X86317849Y-69804520D01*
-X86338893Y-69817133D01*
-X86358598Y-69831747D01*
-X86376777Y-69848223D01*
-X86393253Y-69866402D01*
-X86407867Y-69886107D01*
-X86420480Y-69907151D01*
-X86430970Y-69929329D01*
-X86439235Y-69952429D01*
-X86445196Y-69976227D01*
-X86448796Y-70000496D01*
-X86450000Y-70025000D01*
-X86450000Y-71275000D01*
-X86448796Y-71299504D01*
-X86445196Y-71323773D01*
-X86439235Y-71347571D01*
-X86430970Y-71370671D01*
-X86420480Y-71392849D01*
-X86407867Y-71413893D01*
-X86393253Y-71433598D01*
-X86376777Y-71451777D01*
-X86358598Y-71468253D01*
-X86338893Y-71482867D01*
-X86317849Y-71495480D01*
-X86295671Y-71505970D01*
-X86272571Y-71514235D01*
-X86248773Y-71520196D01*
-X86224504Y-71523796D01*
-X86200000Y-71525000D01*
-X85275000Y-71525000D01*
-X85250496Y-71523796D01*
-X85226227Y-71520196D01*
-X85202429Y-71514235D01*
-X85179329Y-71505970D01*
-X85157151Y-71495480D01*
-X85136107Y-71482867D01*
-X85116402Y-71468253D01*
-X85098223Y-71451777D01*
-X85081747Y-71433598D01*
-X85067133Y-71413893D01*
-X85054520Y-71392849D01*
-X85044030Y-71370671D01*
-X85035765Y-71347571D01*
-X85029804Y-71323773D01*
-X85026204Y-71299504D01*
-X85025000Y-71275000D01*
-X85025000Y-70025000D01*
-X85026204Y-70000496D01*
-X85029804Y-69976227D01*
-X85035765Y-69952429D01*
-X85044030Y-69929329D01*
-X85054520Y-69907151D01*
-X85067133Y-69886107D01*
-X85081747Y-69866402D01*
-X85098223Y-69848223D01*
-X85116402Y-69831747D01*
-X85136107Y-69817133D01*
-X85157151Y-69804520D01*
-X85179329Y-69794030D01*
-X85202429Y-69785765D01*
-X85226227Y-69779804D01*
-X85250496Y-69776204D01*
-X85275000Y-69775000D01*
-X86200000Y-69775000D01*
-X86224504Y-69776204D01*
-X86224504Y-69776204D01*
-G37*
-D26*
-X85737500Y-70650000D03*
-D18*
-X128800000Y-120974950D03*
-X128800000Y-120324950D03*
-X128800000Y-119674950D03*
-X128800000Y-119024950D03*
-X124400000Y-119024950D03*
-X124400000Y-119674950D03*
-X124400000Y-120324950D03*
-X124400000Y-120974950D03*
-D13*
-G36*
-X165935779Y-68676144D02*
-G01*
-X165958834Y-68679563D01*
-X165981443Y-68685227D01*
-X166003387Y-68693079D01*
-X166024457Y-68703044D01*
-X166044448Y-68715026D01*
-X166063168Y-68728910D01*
-X166080438Y-68744562D01*
-X166096090Y-68761832D01*
-X166109974Y-68780552D01*
-X166121956Y-68800543D01*
-X166131921Y-68821613D01*
-X166139773Y-68843557D01*
-X166145437Y-68866166D01*
-X166148856Y-68889221D01*
-X166150000Y-68912500D01*
-X166150000Y-69387500D01*
-X166148856Y-69410779D01*
-X166145437Y-69433834D01*
-X166139773Y-69456443D01*
-X166131921Y-69478387D01*
-X166121956Y-69499457D01*
-X166109974Y-69519448D01*
-X166096090Y-69538168D01*
-X166080438Y-69555438D01*
-X166063168Y-69571090D01*
-X166044448Y-69584974D01*
-X166024457Y-69596956D01*
-X166003387Y-69606921D01*
-X165981443Y-69614773D01*
-X165958834Y-69620437D01*
-X165935779Y-69623856D01*
-X165912500Y-69625000D01*
-X165337500Y-69625000D01*
-X165314221Y-69623856D01*
-X165291166Y-69620437D01*
-X165268557Y-69614773D01*
-X165246613Y-69606921D01*
-X165225543Y-69596956D01*
-X165205552Y-69584974D01*
-X165186832Y-69571090D01*
-X165169562Y-69555438D01*
-X165153910Y-69538168D01*
-X165140026Y-69519448D01*
-X165128044Y-69499457D01*
-X165118079Y-69478387D01*
-X165110227Y-69456443D01*
-X165104563Y-69433834D01*
-X165101144Y-69410779D01*
-X165100000Y-69387500D01*
-X165100000Y-68912500D01*
-X165101144Y-68889221D01*
-X165104563Y-68866166D01*
-X165110227Y-68843557D01*
-X165118079Y-68821613D01*
-X165128044Y-68800543D01*
-X165140026Y-68780552D01*
-X165153910Y-68761832D01*
-X165169562Y-68744562D01*
-X165186832Y-68728910D01*
-X165205552Y-68715026D01*
-X165225543Y-68703044D01*
-X165246613Y-68693079D01*
-X165268557Y-68685227D01*
-X165291166Y-68679563D01*
-X165314221Y-68676144D01*
-X165337500Y-68675000D01*
-X165912500Y-68675000D01*
-X165935779Y-68676144D01*
-X165935779Y-68676144D01*
-G37*
-D14*
-X165625000Y-69150000D03*
-D13*
-G36*
-X167685779Y-68676144D02*
-G01*
-X167708834Y-68679563D01*
-X167731443Y-68685227D01*
-X167753387Y-68693079D01*
-X167774457Y-68703044D01*
-X167794448Y-68715026D01*
-X167813168Y-68728910D01*
-X167830438Y-68744562D01*
-X167846090Y-68761832D01*
-X167859974Y-68780552D01*
-X167871956Y-68800543D01*
-X167881921Y-68821613D01*
-X167889773Y-68843557D01*
-X167895437Y-68866166D01*
-X167898856Y-68889221D01*
-X167900000Y-68912500D01*
-X167900000Y-69387500D01*
-X167898856Y-69410779D01*
-X167895437Y-69433834D01*
-X167889773Y-69456443D01*
-X167881921Y-69478387D01*
-X167871956Y-69499457D01*
-X167859974Y-69519448D01*
-X167846090Y-69538168D01*
-X167830438Y-69555438D01*
-X167813168Y-69571090D01*
-X167794448Y-69584974D01*
-X167774457Y-69596956D01*
-X167753387Y-69606921D01*
-X167731443Y-69614773D01*
-X167708834Y-69620437D01*
-X167685779Y-69623856D01*
-X167662500Y-69625000D01*
-X167087500Y-69625000D01*
-X167064221Y-69623856D01*
-X167041166Y-69620437D01*
-X167018557Y-69614773D01*
-X166996613Y-69606921D01*
-X166975543Y-69596956D01*
-X166955552Y-69584974D01*
-X166936832Y-69571090D01*
-X166919562Y-69555438D01*
-X166903910Y-69538168D01*
-X166890026Y-69519448D01*
-X166878044Y-69499457D01*
-X166868079Y-69478387D01*
-X166860227Y-69456443D01*
-X166854563Y-69433834D01*
-X166851144Y-69410779D01*
-X166850000Y-69387500D01*
-X166850000Y-68912500D01*
-X166851144Y-68889221D01*
-X166854563Y-68866166D01*
-X166860227Y-68843557D01*
-X166868079Y-68821613D01*
-X166878044Y-68800543D01*
-X166890026Y-68780552D01*
-X166903910Y-68761832D01*
-X166919562Y-68744562D01*
-X166936832Y-68728910D01*
-X166955552Y-68715026D01*
-X166975543Y-68703044D01*
-X166996613Y-68693079D01*
-X167018557Y-68685227D01*
-X167041166Y-68679563D01*
-X167064221Y-68676144D01*
-X167087500Y-68675000D01*
-X167662500Y-68675000D01*
-X167685779Y-68676144D01*
-X167685779Y-68676144D01*
-G37*
-D14*
-X167375000Y-69150000D03*
-D13*
-G36*
-X167685779Y-67176144D02*
-G01*
-X167708834Y-67179563D01*
-X167731443Y-67185227D01*
-X167753387Y-67193079D01*
-X167774457Y-67203044D01*
-X167794448Y-67215026D01*
-X167813168Y-67228910D01*
-X167830438Y-67244562D01*
-X167846090Y-67261832D01*
-X167859974Y-67280552D01*
-X167871956Y-67300543D01*
-X167881921Y-67321613D01*
-X167889773Y-67343557D01*
-X167895437Y-67366166D01*
-X167898856Y-67389221D01*
-X167900000Y-67412500D01*
-X167900000Y-67887500D01*
-X167898856Y-67910779D01*
-X167895437Y-67933834D01*
-X167889773Y-67956443D01*
-X167881921Y-67978387D01*
-X167871956Y-67999457D01*
-X167859974Y-68019448D01*
-X167846090Y-68038168D01*
-X167830438Y-68055438D01*
-X167813168Y-68071090D01*
-X167794448Y-68084974D01*
-X167774457Y-68096956D01*
-X167753387Y-68106921D01*
-X167731443Y-68114773D01*
-X167708834Y-68120437D01*
-X167685779Y-68123856D01*
-X167662500Y-68125000D01*
-X167087500Y-68125000D01*
-X167064221Y-68123856D01*
-X167041166Y-68120437D01*
-X167018557Y-68114773D01*
-X166996613Y-68106921D01*
-X166975543Y-68096956D01*
-X166955552Y-68084974D01*
-X166936832Y-68071090D01*
-X166919562Y-68055438D01*
-X166903910Y-68038168D01*
-X166890026Y-68019448D01*
-X166878044Y-67999457D01*
-X166868079Y-67978387D01*
-X166860227Y-67956443D01*
-X166854563Y-67933834D01*
-X166851144Y-67910779D01*
-X166850000Y-67887500D01*
-X166850000Y-67412500D01*
-X166851144Y-67389221D01*
-X166854563Y-67366166D01*
-X166860227Y-67343557D01*
-X166868079Y-67321613D01*
-X166878044Y-67300543D01*
-X166890026Y-67280552D01*
-X166903910Y-67261832D01*
-X166919562Y-67244562D01*
-X166936832Y-67228910D01*
-X166955552Y-67215026D01*
-X166975543Y-67203044D01*
-X166996613Y-67193079D01*
-X167018557Y-67185227D01*
-X167041166Y-67179563D01*
-X167064221Y-67176144D01*
-X167087500Y-67175000D01*
-X167662500Y-67175000D01*
-X167685779Y-67176144D01*
-X167685779Y-67176144D01*
-G37*
-D14*
-X167375000Y-67650000D03*
-D13*
-G36*
-X165935779Y-67176144D02*
-G01*
-X165958834Y-67179563D01*
-X165981443Y-67185227D01*
-X166003387Y-67193079D01*
-X166024457Y-67203044D01*
-X166044448Y-67215026D01*
-X166063168Y-67228910D01*
-X166080438Y-67244562D01*
-X166096090Y-67261832D01*
-X166109974Y-67280552D01*
-X166121956Y-67300543D01*
-X166131921Y-67321613D01*
-X166139773Y-67343557D01*
-X166145437Y-67366166D01*
-X166148856Y-67389221D01*
-X166150000Y-67412500D01*
-X166150000Y-67887500D01*
-X166148856Y-67910779D01*
-X166145437Y-67933834D01*
-X166139773Y-67956443D01*
-X166131921Y-67978387D01*
-X166121956Y-67999457D01*
-X166109974Y-68019448D01*
-X166096090Y-68038168D01*
-X166080438Y-68055438D01*
-X166063168Y-68071090D01*
-X166044448Y-68084974D01*
-X166024457Y-68096956D01*
-X166003387Y-68106921D01*
-X165981443Y-68114773D01*
-X165958834Y-68120437D01*
-X165935779Y-68123856D01*
-X165912500Y-68125000D01*
-X165337500Y-68125000D01*
-X165314221Y-68123856D01*
-X165291166Y-68120437D01*
-X165268557Y-68114773D01*
-X165246613Y-68106921D01*
-X165225543Y-68096956D01*
-X165205552Y-68084974D01*
-X165186832Y-68071090D01*
-X165169562Y-68055438D01*
-X165153910Y-68038168D01*
-X165140026Y-68019448D01*
-X165128044Y-67999457D01*
-X165118079Y-67978387D01*
-X165110227Y-67956443D01*
-X165104563Y-67933834D01*
-X165101144Y-67910779D01*
-X165100000Y-67887500D01*
-X165100000Y-67412500D01*
-X165101144Y-67389221D01*
-X165104563Y-67366166D01*
-X165110227Y-67343557D01*
-X165118079Y-67321613D01*
-X165128044Y-67300543D01*
-X165140026Y-67280552D01*
-X165153910Y-67261832D01*
-X165169562Y-67244562D01*
-X165186832Y-67228910D01*
-X165205552Y-67215026D01*
-X165225543Y-67203044D01*
-X165246613Y-67193079D01*
-X165268557Y-67185227D01*
-X165291166Y-67179563D01*
-X165314221Y-67176144D01*
-X165337500Y-67175000D01*
-X165912500Y-67175000D01*
-X165935779Y-67176144D01*
-X165935779Y-67176144D01*
-G37*
-D14*
-X165625000Y-67650000D03*
-D33*
-X164100000Y-92795000D03*
-X164100000Y-91525000D03*
-X164100000Y-90255000D03*
-X164100000Y-88985000D03*
-X164100000Y-87715000D03*
-X164100000Y-86445000D03*
-X164100000Y-85175000D03*
-X164100000Y-83905000D03*
-X158700000Y-83905000D03*
-X158700000Y-85175000D03*
-X158700000Y-86445000D03*
-X158700000Y-87715000D03*
-X158700000Y-88985000D03*
-X158700000Y-90255000D03*
-X158700000Y-91525000D03*
-X158700000Y-92795000D03*
-D13*
-G36*
-X163335779Y-68676144D02*
-G01*
-X163358834Y-68679563D01*
-X163381443Y-68685227D01*
-X163403387Y-68693079D01*
-X163424457Y-68703044D01*
-X163444448Y-68715026D01*
-X163463168Y-68728910D01*
-X163480438Y-68744562D01*
-X163496090Y-68761832D01*
-X163509974Y-68780552D01*
-X163521956Y-68800543D01*
-X163531921Y-68821613D01*
-X163539773Y-68843557D01*
-X163545437Y-68866166D01*
-X163548856Y-68889221D01*
-X163550000Y-68912500D01*
-X163550000Y-69387500D01*
-X163548856Y-69410779D01*
-X163545437Y-69433834D01*
-X163539773Y-69456443D01*
-X163531921Y-69478387D01*
-X163521956Y-69499457D01*
-X163509974Y-69519448D01*
-X163496090Y-69538168D01*
-X163480438Y-69555438D01*
-X163463168Y-69571090D01*
-X163444448Y-69584974D01*
-X163424457Y-69596956D01*
-X163403387Y-69606921D01*
-X163381443Y-69614773D01*
-X163358834Y-69620437D01*
-X163335779Y-69623856D01*
-X163312500Y-69625000D01*
-X162737500Y-69625000D01*
-X162714221Y-69623856D01*
-X162691166Y-69620437D01*
-X162668557Y-69614773D01*
-X162646613Y-69606921D01*
-X162625543Y-69596956D01*
-X162605552Y-69584974D01*
-X162586832Y-69571090D01*
-X162569562Y-69555438D01*
-X162553910Y-69538168D01*
-X162540026Y-69519448D01*
-X162528044Y-69499457D01*
-X162518079Y-69478387D01*
-X162510227Y-69456443D01*
-X162504563Y-69433834D01*
-X162501144Y-69410779D01*
-X162500000Y-69387500D01*
-X162500000Y-68912500D01*
-X162501144Y-68889221D01*
-X162504563Y-68866166D01*
-X162510227Y-68843557D01*
-X162518079Y-68821613D01*
-X162528044Y-68800543D01*
-X162540026Y-68780552D01*
-X162553910Y-68761832D01*
-X162569562Y-68744562D01*
-X162586832Y-68728910D01*
-X162605552Y-68715026D01*
-X162625543Y-68703044D01*
-X162646613Y-68693079D01*
-X162668557Y-68685227D01*
-X162691166Y-68679563D01*
-X162714221Y-68676144D01*
-X162737500Y-68675000D01*
-X163312500Y-68675000D01*
-X163335779Y-68676144D01*
-X163335779Y-68676144D01*
-G37*
-D14*
-X163025000Y-69150000D03*
-D13*
-G36*
-X161585779Y-68676144D02*
-G01*
-X161608834Y-68679563D01*
-X161631443Y-68685227D01*
-X161653387Y-68693079D01*
-X161674457Y-68703044D01*
-X161694448Y-68715026D01*
-X161713168Y-68728910D01*
-X161730438Y-68744562D01*
-X161746090Y-68761832D01*
-X161759974Y-68780552D01*
-X161771956Y-68800543D01*
-X161781921Y-68821613D01*
-X161789773Y-68843557D01*
-X161795437Y-68866166D01*
-X161798856Y-68889221D01*
-X161800000Y-68912500D01*
-X161800000Y-69387500D01*
-X161798856Y-69410779D01*
-X161795437Y-69433834D01*
-X161789773Y-69456443D01*
-X161781921Y-69478387D01*
-X161771956Y-69499457D01*
-X161759974Y-69519448D01*
-X161746090Y-69538168D01*
-X161730438Y-69555438D01*
-X161713168Y-69571090D01*
-X161694448Y-69584974D01*
-X161674457Y-69596956D01*
-X161653387Y-69606921D01*
-X161631443Y-69614773D01*
-X161608834Y-69620437D01*
-X161585779Y-69623856D01*
-X161562500Y-69625000D01*
-X160987500Y-69625000D01*
-X160964221Y-69623856D01*
-X160941166Y-69620437D01*
-X160918557Y-69614773D01*
-X160896613Y-69606921D01*
-X160875543Y-69596956D01*
-X160855552Y-69584974D01*
-X160836832Y-69571090D01*
-X160819562Y-69555438D01*
-X160803910Y-69538168D01*
-X160790026Y-69519448D01*
-X160778044Y-69499457D01*
-X160768079Y-69478387D01*
-X160760227Y-69456443D01*
-X160754563Y-69433834D01*
-X160751144Y-69410779D01*
-X160750000Y-69387500D01*
-X160750000Y-68912500D01*
-X160751144Y-68889221D01*
-X160754563Y-68866166D01*
-X160760227Y-68843557D01*
-X160768079Y-68821613D01*
-X160778044Y-68800543D01*
-X160790026Y-68780552D01*
-X160803910Y-68761832D01*
-X160819562Y-68744562D01*
-X160836832Y-68728910D01*
-X160855552Y-68715026D01*
-X160875543Y-68703044D01*
-X160896613Y-68693079D01*
-X160918557Y-68685227D01*
-X160941166Y-68679563D01*
-X160964221Y-68676144D01*
-X160987500Y-68675000D01*
-X161562500Y-68675000D01*
-X161585779Y-68676144D01*
-X161585779Y-68676144D01*
-G37*
-D14*
-X161275000Y-69150000D03*
-D13*
-G36*
-X161585779Y-67176144D02*
-G01*
-X161608834Y-67179563D01*
-X161631443Y-67185227D01*
-X161653387Y-67193079D01*
-X161674457Y-67203044D01*
-X161694448Y-67215026D01*
-X161713168Y-67228910D01*
-X161730438Y-67244562D01*
-X161746090Y-67261832D01*
-X161759974Y-67280552D01*
-X161771956Y-67300543D01*
-X161781921Y-67321613D01*
-X161789773Y-67343557D01*
-X161795437Y-67366166D01*
-X161798856Y-67389221D01*
-X161800000Y-67412500D01*
-X161800000Y-67887500D01*
-X161798856Y-67910779D01*
-X161795437Y-67933834D01*
-X161789773Y-67956443D01*
-X161781921Y-67978387D01*
-X161771956Y-67999457D01*
-X161759974Y-68019448D01*
-X161746090Y-68038168D01*
-X161730438Y-68055438D01*
-X161713168Y-68071090D01*
-X161694448Y-68084974D01*
-X161674457Y-68096956D01*
-X161653387Y-68106921D01*
-X161631443Y-68114773D01*
-X161608834Y-68120437D01*
-X161585779Y-68123856D01*
-X161562500Y-68125000D01*
-X160987500Y-68125000D01*
-X160964221Y-68123856D01*
-X160941166Y-68120437D01*
-X160918557Y-68114773D01*
-X160896613Y-68106921D01*
-X160875543Y-68096956D01*
-X160855552Y-68084974D01*
-X160836832Y-68071090D01*
-X160819562Y-68055438D01*
-X160803910Y-68038168D01*
-X160790026Y-68019448D01*
-X160778044Y-67999457D01*
-X160768079Y-67978387D01*
-X160760227Y-67956443D01*
-X160754563Y-67933834D01*
-X160751144Y-67910779D01*
-X160750000Y-67887500D01*
-X160750000Y-67412500D01*
-X160751144Y-67389221D01*
-X160754563Y-67366166D01*
-X160760227Y-67343557D01*
-X160768079Y-67321613D01*
-X160778044Y-67300543D01*
-X160790026Y-67280552D01*
-X160803910Y-67261832D01*
-X160819562Y-67244562D01*
-X160836832Y-67228910D01*
-X160855552Y-67215026D01*
-X160875543Y-67203044D01*
-X160896613Y-67193079D01*
-X160918557Y-67185227D01*
-X160941166Y-67179563D01*
-X160964221Y-67176144D01*
-X160987500Y-67175000D01*
-X161562500Y-67175000D01*
-X161585779Y-67176144D01*
-X161585779Y-67176144D01*
-G37*
-D14*
-X161275000Y-67650000D03*
-D13*
-G36*
-X163335779Y-67176144D02*
-G01*
-X163358834Y-67179563D01*
-X163381443Y-67185227D01*
-X163403387Y-67193079D01*
-X163424457Y-67203044D01*
-X163444448Y-67215026D01*
-X163463168Y-67228910D01*
-X163480438Y-67244562D01*
-X163496090Y-67261832D01*
-X163509974Y-67280552D01*
-X163521956Y-67300543D01*
-X163531921Y-67321613D01*
-X163539773Y-67343557D01*
-X163545437Y-67366166D01*
-X163548856Y-67389221D01*
-X163550000Y-67412500D01*
-X163550000Y-67887500D01*
-X163548856Y-67910779D01*
-X163545437Y-67933834D01*
-X163539773Y-67956443D01*
-X163531921Y-67978387D01*
-X163521956Y-67999457D01*
-X163509974Y-68019448D01*
-X163496090Y-68038168D01*
-X163480438Y-68055438D01*
-X163463168Y-68071090D01*
-X163444448Y-68084974D01*
-X163424457Y-68096956D01*
-X163403387Y-68106921D01*
-X163381443Y-68114773D01*
-X163358834Y-68120437D01*
-X163335779Y-68123856D01*
-X163312500Y-68125000D01*
-X162737500Y-68125000D01*
-X162714221Y-68123856D01*
-X162691166Y-68120437D01*
-X162668557Y-68114773D01*
-X162646613Y-68106921D01*
-X162625543Y-68096956D01*
-X162605552Y-68084974D01*
-X162586832Y-68071090D01*
-X162569562Y-68055438D01*
-X162553910Y-68038168D01*
-X162540026Y-68019448D01*
-X162528044Y-67999457D01*
-X162518079Y-67978387D01*
-X162510227Y-67956443D01*
-X162504563Y-67933834D01*
-X162501144Y-67910779D01*
-X162500000Y-67887500D01*
-X162500000Y-67412500D01*
-X162501144Y-67389221D01*
-X162504563Y-67366166D01*
-X162510227Y-67343557D01*
-X162518079Y-67321613D01*
-X162528044Y-67300543D01*
-X162540026Y-67280552D01*
-X162553910Y-67261832D01*
-X162569562Y-67244562D01*
-X162586832Y-67228910D01*
-X162605552Y-67215026D01*
-X162625543Y-67203044D01*
-X162646613Y-67193079D01*
-X162668557Y-67185227D01*
-X162691166Y-67179563D01*
-X162714221Y-67176144D01*
-X162737500Y-67175000D01*
-X163312500Y-67175000D01*
-X163335779Y-67176144D01*
-X163335779Y-67176144D01*
-G37*
-D14*
-X163025000Y-67650000D03*
-M02*
+G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5)-3*
+G04 #@! TF.CreationDate,2020-05-02T20:20:03+02:00*
+G04 #@! TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,rev?*
+G04 #@! TF.SameCoordinates,Original*
+G04 #@! TF.FileFunction,Paste,Top*
+G04 #@! TF.FilePolarity,Positive*
+%FSLAX46Y46*%
+G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
+G04 Created by KiCad (PCBNEW (5.1.5)-3) date 2020-05-02 20:20:03*
+%MOMM*%
+%LPD*%
+G04 APERTURE LIST*
+%ADD10C,0.100000*%
+%ADD11R,0.750000X1.200000*%
+%ADD12R,1.500000X2.000000*%
+%ADD13R,3.800000X2.000000*%
+%ADD14R,2.200000X3.900000*%
+%ADD15R,1.800000X2.500000*%
+%ADD16R,2.500000X1.800000*%
+%ADD17R,1.060000X0.650000*%
+%ADD18R,0.600000X1.550000*%
+%ADD19R,0.300000X1.400000*%
+%ADD20R,1.450000X0.450000*%
+%ADD21R,3.750000X4.700000*%
+%ADD22R,2.950000X0.850000*%
+%ADD23R,3.000000X0.850000*%
+%ADD24R,1.200000X0.750000*%
+%ADD25R,2.500000X2.100000*%
+%ADD26R,1.500000X2.100000*%
+%ADD27R,1.800000X2.100000*%
+%ADD28R,1.500000X0.600000*%
+G04 APERTURE END LIST*
+D10*
+G36*
+X144085779Y-85176144D02*
+G01*
+X144108834Y-85179563D01*
+X144131443Y-85185227D01*
+X144153387Y-85193079D01*
+X144174457Y-85203044D01*
+X144194448Y-85215026D01*
+X144213168Y-85228910D01*
+X144230438Y-85244562D01*
+X144246090Y-85261832D01*
+X144259974Y-85280552D01*
+X144271956Y-85300543D01*
+X144281921Y-85321613D01*
+X144289773Y-85343557D01*
+X144295437Y-85366166D01*
+X144298856Y-85389221D01*
+X144300000Y-85412500D01*
+X144300000Y-85887500D01*
+X144298856Y-85910779D01*
+X144295437Y-85933834D01*
+X144289773Y-85956443D01*
+X144281921Y-85978387D01*
+X144271956Y-85999457D01*
+X144259974Y-86019448D01*
+X144246090Y-86038168D01*
+X144230438Y-86055438D01*
+X144213168Y-86071090D01*
+X144194448Y-86084974D01*
+X144174457Y-86096956D01*
+X144153387Y-86106921D01*
+X144131443Y-86114773D01*
+X144108834Y-86120437D01*
+X144085779Y-86123856D01*
+X144062500Y-86125000D01*
+X143487500Y-86125000D01*
+X143464221Y-86123856D01*
+X143441166Y-86120437D01*
+X143418557Y-86114773D01*
+X143396613Y-86106921D01*
+X143375543Y-86096956D01*
+X143355552Y-86084974D01*
+X143336832Y-86071090D01*
+X143319562Y-86055438D01*
+X143303910Y-86038168D01*
+X143290026Y-86019448D01*
+X143278044Y-85999457D01*
+X143268079Y-85978387D01*
+X143260227Y-85956443D01*
+X143254563Y-85933834D01*
+X143251144Y-85910779D01*
+X143250000Y-85887500D01*
+X143250000Y-85412500D01*
+X143251144Y-85389221D01*
+X143254563Y-85366166D01*
+X143260227Y-85343557D01*
+X143268079Y-85321613D01*
+X143278044Y-85300543D01*
+X143290026Y-85280552D01*
+X143303910Y-85261832D01*
+X143319562Y-85244562D01*
+X143336832Y-85228910D01*
+X143355552Y-85215026D01*
+X143375543Y-85203044D01*
+X143396613Y-85193079D01*
+X143418557Y-85185227D01*
+X143441166Y-85179563D01*
+X143464221Y-85176144D01*
+X143487500Y-85175000D01*
+X144062500Y-85175000D01*
+X144085779Y-85176144D01*
+G37*
+G36*
+X145835779Y-85176144D02*
+G01*
+X145858834Y-85179563D01*
+X145881443Y-85185227D01*
+X145903387Y-85193079D01*
+X145924457Y-85203044D01*
+X145944448Y-85215026D01*
+X145963168Y-85228910D01*
+X145980438Y-85244562D01*
+X145996090Y-85261832D01*
+X146009974Y-85280552D01*
+X146021956Y-85300543D01*
+X146031921Y-85321613D01*
+X146039773Y-85343557D01*
+X146045437Y-85366166D01*
+X146048856Y-85389221D01*
+X146050000Y-85412500D01*
+X146050000Y-85887500D01*
+X146048856Y-85910779D01*
+X146045437Y-85933834D01*
+X146039773Y-85956443D01*
+X146031921Y-85978387D01*
+X146021956Y-85999457D01*
+X146009974Y-86019448D01*
+X145996090Y-86038168D01*
+X145980438Y-86055438D01*
+X145963168Y-86071090D01*
+X145944448Y-86084974D01*
+X145924457Y-86096956D01*
+X145903387Y-86106921D01*
+X145881443Y-86114773D01*
+X145858834Y-86120437D01*
+X145835779Y-86123856D01*
+X145812500Y-86125000D01*
+X145237500Y-86125000D01*
+X145214221Y-86123856D01*
+X145191166Y-86120437D01*
+X145168557Y-86114773D01*
+X145146613Y-86106921D01*
+X145125543Y-86096956D01*
+X145105552Y-86084974D01*
+X145086832Y-86071090D01*
+X145069562Y-86055438D01*
+X145053910Y-86038168D01*
+X145040026Y-86019448D01*
+X145028044Y-85999457D01*
+X145018079Y-85978387D01*
+X145010227Y-85956443D01*
+X145004563Y-85933834D01*
+X145001144Y-85910779D01*
+X145000000Y-85887500D01*
+X145000000Y-85412500D01*
+X145001144Y-85389221D01*
+X145004563Y-85366166D01*
+X145010227Y-85343557D01*
+X145018079Y-85321613D01*
+X145028044Y-85300543D01*
+X145040026Y-85280552D01*
+X145053910Y-85261832D01*
+X145069562Y-85244562D01*
+X145086832Y-85228910D01*
+X145105552Y-85215026D01*
+X145125543Y-85203044D01*
+X145146613Y-85193079D01*
+X145168557Y-85185227D01*
+X145191166Y-85179563D01*
+X145214221Y-85176144D01*
+X145237500Y-85175000D01*
+X145812500Y-85175000D01*
+X145835779Y-85176144D01*
+G37*
+G36*
+X96064703Y-75295722D02*
+G01*
+X96079264Y-75297882D01*
+X96093543Y-75301459D01*
+X96107403Y-75306418D01*
+X96120710Y-75312712D01*
+X96133336Y-75320280D01*
+X96145159Y-75329048D01*
+X96156066Y-75338934D01*
+X96165952Y-75349841D01*
+X96174720Y-75361664D01*
+X96182288Y-75374290D01*
+X96188582Y-75387597D01*
+X96193541Y-75401457D01*
+X96197118Y-75415736D01*
+X96199278Y-75430297D01*
+X96200000Y-75445000D01*
+X96200000Y-75745000D01*
+X96199278Y-75759703D01*
+X96197118Y-75774264D01*
+X96193541Y-75788543D01*
+X96188582Y-75802403D01*
+X96182288Y-75815710D01*
+X96174720Y-75828336D01*
+X96165952Y-75840159D01*
+X96156066Y-75851066D01*
+X96145159Y-75860952D01*
+X96133336Y-75869720D01*
+X96120710Y-75877288D01*
+X96107403Y-75883582D01*
+X96093543Y-75888541D01*
+X96079264Y-75892118D01*
+X96064703Y-75894278D01*
+X96050000Y-75895000D01*
+X94400000Y-75895000D01*
+X94385297Y-75894278D01*
+X94370736Y-75892118D01*
+X94356457Y-75888541D01*
+X94342597Y-75883582D01*
+X94329290Y-75877288D01*
+X94316664Y-75869720D01*
+X94304841Y-75860952D01*
+X94293934Y-75851066D01*
+X94284048Y-75840159D01*
+X94275280Y-75828336D01*
+X94267712Y-75815710D01*
+X94261418Y-75802403D01*
+X94256459Y-75788543D01*
+X94252882Y-75774264D01*
+X94250722Y-75759703D01*
+X94250000Y-75745000D01*
+X94250000Y-75445000D01*
+X94250722Y-75430297D01*
+X94252882Y-75415736D01*
+X94256459Y-75401457D01*
+X94261418Y-75387597D01*
+X94267712Y-75374290D01*
+X94275280Y-75361664D01*
+X94284048Y-75349841D01*
+X94293934Y-75338934D01*
+X94304841Y-75329048D01*
+X94316664Y-75320280D01*
+X94329290Y-75312712D01*
+X94342597Y-75306418D01*
+X94356457Y-75301459D01*
+X94370736Y-75297882D01*
+X94385297Y-75295722D01*
+X94400000Y-75295000D01*
+X96050000Y-75295000D01*
+X96064703Y-75295722D01*
+G37*
+G36*
+X96064703Y-76565722D02*
+G01*
+X96079264Y-76567882D01*
+X96093543Y-76571459D01*
+X96107403Y-76576418D01*
+X96120710Y-76582712D01*
+X96133336Y-76590280D01*
+X96145159Y-76599048D01*
+X96156066Y-76608934D01*
+X96165952Y-76619841D01*
+X96174720Y-76631664D01*
+X96182288Y-76644290D01*
+X96188582Y-76657597D01*
+X96193541Y-76671457D01*
+X96197118Y-76685736D01*
+X96199278Y-76700297D01*
+X96200000Y-76715000D01*
+X96200000Y-77015000D01*
+X96199278Y-77029703D01*
+X96197118Y-77044264D01*
+X96193541Y-77058543D01*
+X96188582Y-77072403D01*
+X96182288Y-77085710D01*
+X96174720Y-77098336D01*
+X96165952Y-77110159D01*
+X96156066Y-77121066D01*
+X96145159Y-77130952D01*
+X96133336Y-77139720D01*
+X96120710Y-77147288D01*
+X96107403Y-77153582D01*
+X96093543Y-77158541D01*
+X96079264Y-77162118D01*
+X96064703Y-77164278D01*
+X96050000Y-77165000D01*
+X94400000Y-77165000D01*
+X94385297Y-77164278D01*
+X94370736Y-77162118D01*
+X94356457Y-77158541D01*
+X94342597Y-77153582D01*
+X94329290Y-77147288D01*
+X94316664Y-77139720D01*
+X94304841Y-77130952D01*
+X94293934Y-77121066D01*
+X94284048Y-77110159D01*
+X94275280Y-77098336D01*
+X94267712Y-77085710D01*
+X94261418Y-77072403D01*
+X94256459Y-77058543D01*
+X94252882Y-77044264D01*
+X94250722Y-77029703D01*
+X94250000Y-77015000D01*
+X94250000Y-76715000D01*
+X94250722Y-76700297D01*
+X94252882Y-76685736D01*
+X94256459Y-76671457D01*
+X94261418Y-76657597D01*
+X94267712Y-76644290D01*
+X94275280Y-76631664D01*
+X94284048Y-76619841D01*
+X94293934Y-76608934D01*
+X94304841Y-76599048D01*
+X94316664Y-76590280D01*
+X94329290Y-76582712D01*
+X94342597Y-76576418D01*
+X94356457Y-76571459D01*
+X94370736Y-76567882D01*
+X94385297Y-76565722D01*
+X94400000Y-76565000D01*
+X96050000Y-76565000D01*
+X96064703Y-76565722D01*
+G37*
+G36*
+X96064703Y-77835722D02*
+G01*
+X96079264Y-77837882D01*
+X96093543Y-77841459D01*
+X96107403Y-77846418D01*
+X96120710Y-77852712D01*
+X96133336Y-77860280D01*
+X96145159Y-77869048D01*
+X96156066Y-77878934D01*
+X96165952Y-77889841D01*
+X96174720Y-77901664D01*
+X96182288Y-77914290D01*
+X96188582Y-77927597D01*
+X96193541Y-77941457D01*
+X96197118Y-77955736D01*
+X96199278Y-77970297D01*
+X96200000Y-77985000D01*
+X96200000Y-78285000D01*
+X96199278Y-78299703D01*
+X96197118Y-78314264D01*
+X96193541Y-78328543D01*
+X96188582Y-78342403D01*
+X96182288Y-78355710D01*
+X96174720Y-78368336D01*
+X96165952Y-78380159D01*
+X96156066Y-78391066D01*
+X96145159Y-78400952D01*
+X96133336Y-78409720D01*
+X96120710Y-78417288D01*
+X96107403Y-78423582D01*
+X96093543Y-78428541D01*
+X96079264Y-78432118D01*
+X96064703Y-78434278D01*
+X96050000Y-78435000D01*
+X94400000Y-78435000D01*
+X94385297Y-78434278D01*
+X94370736Y-78432118D01*
+X94356457Y-78428541D01*
+X94342597Y-78423582D01*
+X94329290Y-78417288D01*
+X94316664Y-78409720D01*
+X94304841Y-78400952D01*
+X94293934Y-78391066D01*
+X94284048Y-78380159D01*
+X94275280Y-78368336D01*
+X94267712Y-78355710D01*
+X94261418Y-78342403D01*
+X94256459Y-78328543D01*
+X94252882Y-78314264D01*
+X94250722Y-78299703D01*
+X94250000Y-78285000D01*
+X94250000Y-77985000D01*
+X94250722Y-77970297D01*
+X94252882Y-77955736D01*
+X94256459Y-77941457D01*
+X94261418Y-77927597D01*
+X94267712Y-77914290D01*
+X94275280Y-77901664D01*
+X94284048Y-77889841D01*
+X94293934Y-77878934D01*
+X94304841Y-77869048D01*
+X94316664Y-77860280D01*
+X94329290Y-77852712D01*
+X94342597Y-77846418D01*
+X94356457Y-77841459D01*
+X94370736Y-77837882D01*
+X94385297Y-77835722D01*
+X94400000Y-77835000D01*
+X96050000Y-77835000D01*
+X96064703Y-77835722D01*
+G37*
+G36*
+X96064703Y-79105722D02*
+G01*
+X96079264Y-79107882D01*
+X96093543Y-79111459D01*
+X96107403Y-79116418D01*
+X96120710Y-79122712D01*
+X96133336Y-79130280D01*
+X96145159Y-79139048D01*
+X96156066Y-79148934D01*
+X96165952Y-79159841D01*
+X96174720Y-79171664D01*
+X96182288Y-79184290D01*
+X96188582Y-79197597D01*
+X96193541Y-79211457D01*
+X96197118Y-79225736D01*
+X96199278Y-79240297D01*
+X96200000Y-79255000D01*
+X96200000Y-79555000D01*
+X96199278Y-79569703D01*
+X96197118Y-79584264D01*
+X96193541Y-79598543D01*
+X96188582Y-79612403D01*
+X96182288Y-79625710D01*
+X96174720Y-79638336D01*
+X96165952Y-79650159D01*
+X96156066Y-79661066D01*
+X96145159Y-79670952D01*
+X96133336Y-79679720D01*
+X96120710Y-79687288D01*
+X96107403Y-79693582D01*
+X96093543Y-79698541D01*
+X96079264Y-79702118D01*
+X96064703Y-79704278D01*
+X96050000Y-79705000D01*
+X94400000Y-79705000D01*
+X94385297Y-79704278D01*
+X94370736Y-79702118D01*
+X94356457Y-79698541D01*
+X94342597Y-79693582D01*
+X94329290Y-79687288D01*
+X94316664Y-79679720D01*
+X94304841Y-79670952D01*
+X94293934Y-79661066D01*
+X94284048Y-79650159D01*
+X94275280Y-79638336D01*
+X94267712Y-79625710D01*
+X94261418Y-79612403D01*
+X94256459Y-79598543D01*
+X94252882Y-79584264D01*
+X94250722Y-79569703D01*
+X94250000Y-79555000D01*
+X94250000Y-79255000D01*
+X94250722Y-79240297D01*
+X94252882Y-79225736D01*
+X94256459Y-79211457D01*
+X94261418Y-79197597D01*
+X94267712Y-79184290D01*
+X94275280Y-79171664D01*
+X94284048Y-79159841D01*
+X94293934Y-79148934D01*
+X94304841Y-79139048D01*
+X94316664Y-79130280D01*
+X94329290Y-79122712D01*
+X94342597Y-79116418D01*
+X94356457Y-79111459D01*
+X94370736Y-79107882D01*
+X94385297Y-79105722D01*
+X94400000Y-79105000D01*
+X96050000Y-79105000D01*
+X96064703Y-79105722D01*
+G37*
+G36*
+X91114703Y-79105722D02*
+G01*
+X91129264Y-79107882D01*
+X91143543Y-79111459D01*
+X91157403Y-79116418D01*
+X91170710Y-79122712D01*
+X91183336Y-79130280D01*
+X91195159Y-79139048D01*
+X91206066Y-79148934D01*
+X91215952Y-79159841D01*
+X91224720Y-79171664D01*
+X91232288Y-79184290D01*
+X91238582Y-79197597D01*
+X91243541Y-79211457D01*
+X91247118Y-79225736D01*
+X91249278Y-79240297D01*
+X91250000Y-79255000D01*
+X91250000Y-79555000D01*
+X91249278Y-79569703D01*
+X91247118Y-79584264D01*
+X91243541Y-79598543D01*
+X91238582Y-79612403D01*
+X91232288Y-79625710D01*
+X91224720Y-79638336D01*
+X91215952Y-79650159D01*
+X91206066Y-79661066D01*
+X91195159Y-79670952D01*
+X91183336Y-79679720D01*
+X91170710Y-79687288D01*
+X91157403Y-79693582D01*
+X91143543Y-79698541D01*
+X91129264Y-79702118D01*
+X91114703Y-79704278D01*
+X91100000Y-79705000D01*
+X89450000Y-79705000D01*
+X89435297Y-79704278D01*
+X89420736Y-79702118D01*
+X89406457Y-79698541D01*
+X89392597Y-79693582D01*
+X89379290Y-79687288D01*
+X89366664Y-79679720D01*
+X89354841Y-79670952D01*
+X89343934Y-79661066D01*
+X89334048Y-79650159D01*
+X89325280Y-79638336D01*
+X89317712Y-79625710D01*
+X89311418Y-79612403D01*
+X89306459Y-79598543D01*
+X89302882Y-79584264D01*
+X89300722Y-79569703D01*
+X89300000Y-79555000D01*
+X89300000Y-79255000D01*
+X89300722Y-79240297D01*
+X89302882Y-79225736D01*
+X89306459Y-79211457D01*
+X89311418Y-79197597D01*
+X89317712Y-79184290D01*
+X89325280Y-79171664D01*
+X89334048Y-79159841D01*
+X89343934Y-79148934D01*
+X89354841Y-79139048D01*
+X89366664Y-79130280D01*
+X89379290Y-79122712D01*
+X89392597Y-79116418D01*
+X89406457Y-79111459D01*
+X89420736Y-79107882D01*
+X89435297Y-79105722D01*
+X89450000Y-79105000D01*
+X91100000Y-79105000D01*
+X91114703Y-79105722D01*
+G37*
+G36*
+X91114703Y-77835722D02*
+G01*
+X91129264Y-77837882D01*
+X91143543Y-77841459D01*
+X91157403Y-77846418D01*
+X91170710Y-77852712D01*
+X91183336Y-77860280D01*
+X91195159Y-77869048D01*
+X91206066Y-77878934D01*
+X91215952Y-77889841D01*
+X91224720Y-77901664D01*
+X91232288Y-77914290D01*
+X91238582Y-77927597D01*
+X91243541Y-77941457D01*
+X91247118Y-77955736D01*
+X91249278Y-77970297D01*
+X91250000Y-77985000D01*
+X91250000Y-78285000D01*
+X91249278Y-78299703D01*
+X91247118Y-78314264D01*
+X91243541Y-78328543D01*
+X91238582Y-78342403D01*
+X91232288Y-78355710D01*
+X91224720Y-78368336D01*
+X91215952Y-78380159D01*
+X91206066Y-78391066D01*
+X91195159Y-78400952D01*
+X91183336Y-78409720D01*
+X91170710Y-78417288D01*
+X91157403Y-78423582D01*
+X91143543Y-78428541D01*
+X91129264Y-78432118D01*
+X91114703Y-78434278D01*
+X91100000Y-78435000D01*
+X89450000Y-78435000D01*
+X89435297Y-78434278D01*
+X89420736Y-78432118D01*
+X89406457Y-78428541D01*
+X89392597Y-78423582D01*
+X89379290Y-78417288D01*
+X89366664Y-78409720D01*
+X89354841Y-78400952D01*
+X89343934Y-78391066D01*
+X89334048Y-78380159D01*
+X89325280Y-78368336D01*
+X89317712Y-78355710D01*
+X89311418Y-78342403D01*
+X89306459Y-78328543D01*
+X89302882Y-78314264D01*
+X89300722Y-78299703D01*
+X89300000Y-78285000D01*
+X89300000Y-77985000D01*
+X89300722Y-77970297D01*
+X89302882Y-77955736D01*
+X89306459Y-77941457D01*
+X89311418Y-77927597D01*
+X89317712Y-77914290D01*
+X89325280Y-77901664D01*
+X89334048Y-77889841D01*
+X89343934Y-77878934D01*
+X89354841Y-77869048D01*
+X89366664Y-77860280D01*
+X89379290Y-77852712D01*
+X89392597Y-77846418D01*
+X89406457Y-77841459D01*
+X89420736Y-77837882D01*
+X89435297Y-77835722D01*
+X89450000Y-77835000D01*
+X91100000Y-77835000D01*
+X91114703Y-77835722D01*
+G37*
+G36*
+X91114703Y-76565722D02*
+G01*
+X91129264Y-76567882D01*
+X91143543Y-76571459D01*
+X91157403Y-76576418D01*
+X91170710Y-76582712D01*
+X91183336Y-76590280D01*
+X91195159Y-76599048D01*
+X91206066Y-76608934D01*
+X91215952Y-76619841D01*
+X91224720Y-76631664D01*
+X91232288Y-76644290D01*
+X91238582Y-76657597D01*
+X91243541Y-76671457D01*
+X91247118Y-76685736D01*
+X91249278Y-76700297D01*
+X91250000Y-76715000D01*
+X91250000Y-77015000D01*
+X91249278Y-77029703D01*
+X91247118Y-77044264D01*
+X91243541Y-77058543D01*
+X91238582Y-77072403D01*
+X91232288Y-77085710D01*
+X91224720Y-77098336D01*
+X91215952Y-77110159D01*
+X91206066Y-77121066D01*
+X91195159Y-77130952D01*
+X91183336Y-77139720D01*
+X91170710Y-77147288D01*
+X91157403Y-77153582D01*
+X91143543Y-77158541D01*
+X91129264Y-77162118D01*
+X91114703Y-77164278D01*
+X91100000Y-77165000D01*
+X89450000Y-77165000D01*
+X89435297Y-77164278D01*
+X89420736Y-77162118D01*
+X89406457Y-77158541D01*
+X89392597Y-77153582D01*
+X89379290Y-77147288D01*
+X89366664Y-77139720D01*
+X89354841Y-77130952D01*
+X89343934Y-77121066D01*
+X89334048Y-77110159D01*
+X89325280Y-77098336D01*
+X89317712Y-77085710D01*
+X89311418Y-77072403D01*
+X89306459Y-77058543D01*
+X89302882Y-77044264D01*
+X89300722Y-77029703D01*
+X89300000Y-77015000D01*
+X89300000Y-76715000D01*
+X89300722Y-76700297D01*
+X89302882Y-76685736D01*
+X89306459Y-76671457D01*
+X89311418Y-76657597D01*
+X89317712Y-76644290D01*
+X89325280Y-76631664D01*
+X89334048Y-76619841D01*
+X89343934Y-76608934D01*
+X89354841Y-76599048D01*
+X89366664Y-76590280D01*
+X89379290Y-76582712D01*
+X89392597Y-76576418D01*
+X89406457Y-76571459D01*
+X89420736Y-76567882D01*
+X89435297Y-76565722D01*
+X89450000Y-76565000D01*
+X91100000Y-76565000D01*
+X91114703Y-76565722D01*
+G37*
+G36*
+X91114703Y-75295722D02*
+G01*
+X91129264Y-75297882D01*
+X91143543Y-75301459D01*
+X91157403Y-75306418D01*
+X91170710Y-75312712D01*
+X91183336Y-75320280D01*
+X91195159Y-75329048D01*
+X91206066Y-75338934D01*
+X91215952Y-75349841D01*
+X91224720Y-75361664D01*
+X91232288Y-75374290D01*
+X91238582Y-75387597D01*
+X91243541Y-75401457D01*
+X91247118Y-75415736D01*
+X91249278Y-75430297D01*
+X91250000Y-75445000D01*
+X91250000Y-75745000D01*
+X91249278Y-75759703D01*
+X91247118Y-75774264D01*
+X91243541Y-75788543D01*
+X91238582Y-75802403D01*
+X91232288Y-75815710D01*
+X91224720Y-75828336D01*
+X91215952Y-75840159D01*
+X91206066Y-75851066D01*
+X91195159Y-75860952D01*
+X91183336Y-75869720D01*
+X91170710Y-75877288D01*
+X91157403Y-75883582D01*
+X91143543Y-75888541D01*
+X91129264Y-75892118D01*
+X91114703Y-75894278D01*
+X91100000Y-75895000D01*
+X89450000Y-75895000D01*
+X89435297Y-75894278D01*
+X89420736Y-75892118D01*
+X89406457Y-75888541D01*
+X89392597Y-75883582D01*
+X89379290Y-75877288D01*
+X89366664Y-75869720D01*
+X89354841Y-75860952D01*
+X89343934Y-75851066D01*
+X89334048Y-75840159D01*
+X89325280Y-75828336D01*
+X89317712Y-75815710D01*
+X89311418Y-75802403D01*
+X89306459Y-75788543D01*
+X89302882Y-75774264D01*
+X89300722Y-75759703D01*
+X89300000Y-75745000D01*
+X89300000Y-75445000D01*
+X89300722Y-75430297D01*
+X89302882Y-75415736D01*
+X89306459Y-75401457D01*
+X89311418Y-75387597D01*
+X89317712Y-75374290D01*
+X89325280Y-75361664D01*
+X89334048Y-75349841D01*
+X89343934Y-75338934D01*
+X89354841Y-75329048D01*
+X89366664Y-75320280D01*
+X89379290Y-75312712D01*
+X89392597Y-75306418D01*
+X89406457Y-75301459D01*
+X89420736Y-75297882D01*
+X89435297Y-75295722D01*
+X89450000Y-75295000D01*
+X91100000Y-75295000D01*
+X91114703Y-75295722D01*
+G37*
+G36*
+X102999504Y-67276204D02*
+G01*
+X103023773Y-67279804D01*
+X103047571Y-67285765D01*
+X103070671Y-67294030D01*
+X103092849Y-67304520D01*
+X103113893Y-67317133D01*
+X103133598Y-67331747D01*
+X103151777Y-67348223D01*
+X103168253Y-67366402D01*
+X103182867Y-67386107D01*
+X103195480Y-67407151D01*
+X103205970Y-67429329D01*
+X103214235Y-67452429D01*
+X103220196Y-67476227D01*
+X103223796Y-67500496D01*
+X103225000Y-67525000D01*
+X103225000Y-68775000D01*
+X103223796Y-68799504D01*
+X103220196Y-68823773D01*
+X103214235Y-68847571D01*
+X103205970Y-68870671D01*
+X103195480Y-68892849D01*
+X103182867Y-68913893D01*
+X103168253Y-68933598D01*
+X103151777Y-68951777D01*
+X103133598Y-68968253D01*
+X103113893Y-68982867D01*
+X103092849Y-68995480D01*
+X103070671Y-69005970D01*
+X103047571Y-69014235D01*
+X103023773Y-69020196D01*
+X102999504Y-69023796D01*
+X102975000Y-69025000D01*
+X102050000Y-69025000D01*
+X102025496Y-69023796D01*
+X102001227Y-69020196D01*
+X101977429Y-69014235D01*
+X101954329Y-69005970D01*
+X101932151Y-68995480D01*
+X101911107Y-68982867D01*
+X101891402Y-68968253D01*
+X101873223Y-68951777D01*
+X101856747Y-68933598D01*
+X101842133Y-68913893D01*
+X101829520Y-68892849D01*
+X101819030Y-68870671D01*
+X101810765Y-68847571D01*
+X101804804Y-68823773D01*
+X101801204Y-68799504D01*
+X101800000Y-68775000D01*
+X101800000Y-67525000D01*
+X101801204Y-67500496D01*
+X101804804Y-67476227D01*
+X101810765Y-67452429D01*
+X101819030Y-67429329D01*
+X101829520Y-67407151D01*
+X101842133Y-67386107D01*
+X101856747Y-67366402D01*
+X101873223Y-67348223D01*
+X101891402Y-67331747D01*
+X101911107Y-67317133D01*
+X101932151Y-67304520D01*
+X101954329Y-67294030D01*
+X101977429Y-67285765D01*
+X102001227Y-67279804D01*
+X102025496Y-67276204D01*
+X102050000Y-67275000D01*
+X102975000Y-67275000D01*
+X102999504Y-67276204D01*
+G37*
+G36*
+X105974504Y-67276204D02*
+G01*
+X105998773Y-67279804D01*
+X106022571Y-67285765D01*
+X106045671Y-67294030D01*
+X106067849Y-67304520D01*
+X106088893Y-67317133D01*
+X106108598Y-67331747D01*
+X106126777Y-67348223D01*
+X106143253Y-67366402D01*
+X106157867Y-67386107D01*
+X106170480Y-67407151D01*
+X106180970Y-67429329D01*
+X106189235Y-67452429D01*
+X106195196Y-67476227D01*
+X106198796Y-67500496D01*
+X106200000Y-67525000D01*
+X106200000Y-68775000D01*
+X106198796Y-68799504D01*
+X106195196Y-68823773D01*
+X106189235Y-68847571D01*
+X106180970Y-68870671D01*
+X106170480Y-68892849D01*
+X106157867Y-68913893D01*
+X106143253Y-68933598D01*
+X106126777Y-68951777D01*
+X106108598Y-68968253D01*
+X106088893Y-68982867D01*
+X106067849Y-68995480D01*
+X106045671Y-69005970D01*
+X106022571Y-69014235D01*
+X105998773Y-69020196D01*
+X105974504Y-69023796D01*
+X105950000Y-69025000D01*
+X105025000Y-69025000D01*
+X105000496Y-69023796D01*
+X104976227Y-69020196D01*
+X104952429Y-69014235D01*
+X104929329Y-69005970D01*
+X104907151Y-68995480D01*
+X104886107Y-68982867D01*
+X104866402Y-68968253D01*
+X104848223Y-68951777D01*
+X104831747Y-68933598D01*
+X104817133Y-68913893D01*
+X104804520Y-68892849D01*
+X104794030Y-68870671D01*
+X104785765Y-68847571D01*
+X104779804Y-68823773D01*
+X104776204Y-68799504D01*
+X104775000Y-68775000D01*
+X104775000Y-67525000D01*
+X104776204Y-67500496D01*
+X104779804Y-67476227D01*
+X104785765Y-67452429D01*
+X104794030Y-67429329D01*
+X104804520Y-67407151D01*
+X104817133Y-67386107D01*
+X104831747Y-67366402D01*
+X104848223Y-67348223D01*
+X104866402Y-67331747D01*
+X104886107Y-67317133D01*
+X104907151Y-67304520D01*
+X104929329Y-67294030D01*
+X104952429Y-67285765D01*
+X104976227Y-67279804D01*
+X105000496Y-67276204D01*
+X105025000Y-67275000D01*
+X105950000Y-67275000D01*
+X105974504Y-67276204D01*
+G37*
+D11*
+X92000000Y-89550000D03*
+X92000000Y-91450000D03*
+D10*
+G36*
+X112210779Y-58951144D02*
+G01*
+X112233834Y-58954563D01*
+X112256443Y-58960227D01*
+X112278387Y-58968079D01*
+X112299457Y-58978044D01*
+X112319448Y-58990026D01*
+X112338168Y-59003910D01*
+X112355438Y-59019562D01*
+X112371090Y-59036832D01*
+X112384974Y-59055552D01*
+X112396956Y-59075543D01*
+X112406921Y-59096613D01*
+X112414773Y-59118557D01*
+X112420437Y-59141166D01*
+X112423856Y-59164221D01*
+X112425000Y-59187500D01*
+X112425000Y-59762500D01*
+X112423856Y-59785779D01*
+X112420437Y-59808834D01*
+X112414773Y-59831443D01*
+X112406921Y-59853387D01*
+X112396956Y-59874457D01*
+X112384974Y-59894448D01*
+X112371090Y-59913168D01*
+X112355438Y-59930438D01*
+X112338168Y-59946090D01*
+X112319448Y-59959974D01*
+X112299457Y-59971956D01*
+X112278387Y-59981921D01*
+X112256443Y-59989773D01*
+X112233834Y-59995437D01*
+X112210779Y-59998856D01*
+X112187500Y-60000000D01*
+X111712500Y-60000000D01*
+X111689221Y-59998856D01*
+X111666166Y-59995437D01*
+X111643557Y-59989773D01*
+X111621613Y-59981921D01*
+X111600543Y-59971956D01*
+X111580552Y-59959974D01*
+X111561832Y-59946090D01*
+X111544562Y-59930438D01*
+X111528910Y-59913168D01*
+X111515026Y-59894448D01*
+X111503044Y-59874457D01*
+X111493079Y-59853387D01*
+X111485227Y-59831443D01*
+X111479563Y-59808834D01*
+X111476144Y-59785779D01*
+X111475000Y-59762500D01*
+X111475000Y-59187500D01*
+X111476144Y-59164221D01*
+X111479563Y-59141166D01*
+X111485227Y-59118557D01*
+X111493079Y-59096613D01*
+X111503044Y-59075543D01*
+X111515026Y-59055552D01*
+X111528910Y-59036832D01*
+X111544562Y-59019562D01*
+X111561832Y-59003910D01*
+X111580552Y-58990026D01*
+X111600543Y-58978044D01*
+X111621613Y-58968079D01*
+X111643557Y-58960227D01*
+X111666166Y-58954563D01*
+X111689221Y-58951144D01*
+X111712500Y-58950000D01*
+X112187500Y-58950000D01*
+X112210779Y-58951144D01*
+G37*
+G36*
+X112210779Y-60701144D02*
+G01*
+X112233834Y-60704563D01*
+X112256443Y-60710227D01*
+X112278387Y-60718079D01*
+X112299457Y-60728044D01*
+X112319448Y-60740026D01*
+X112338168Y-60753910D01*
+X112355438Y-60769562D01*
+X112371090Y-60786832D01*
+X112384974Y-60805552D01*
+X112396956Y-60825543D01*
+X112406921Y-60846613D01*
+X112414773Y-60868557D01*
+X112420437Y-60891166D01*
+X112423856Y-60914221D01*
+X112425000Y-60937500D01*
+X112425000Y-61512500D01*
+X112423856Y-61535779D01*
+X112420437Y-61558834D01*
+X112414773Y-61581443D01*
+X112406921Y-61603387D01*
+X112396956Y-61624457D01*
+X112384974Y-61644448D01*
+X112371090Y-61663168D01*
+X112355438Y-61680438D01*
+X112338168Y-61696090D01*
+X112319448Y-61709974D01*
+X112299457Y-61721956D01*
+X112278387Y-61731921D01*
+X112256443Y-61739773D01*
+X112233834Y-61745437D01*
+X112210779Y-61748856D01*
+X112187500Y-61750000D01*
+X111712500Y-61750000D01*
+X111689221Y-61748856D01*
+X111666166Y-61745437D01*
+X111643557Y-61739773D01*
+X111621613Y-61731921D01*
+X111600543Y-61721956D01*
+X111580552Y-61709974D01*
+X111561832Y-61696090D01*
+X111544562Y-61680438D01*
+X111528910Y-61663168D01*
+X111515026Y-61644448D01*
+X111503044Y-61624457D01*
+X111493079Y-61603387D01*
+X111485227Y-61581443D01*
+X111479563Y-61558834D01*
+X111476144Y-61535779D01*
+X111475000Y-61512500D01*
+X111475000Y-60937500D01*
+X111476144Y-60914221D01*
+X111479563Y-60891166D01*
+X111485227Y-60868557D01*
+X111493079Y-60846613D01*
+X111503044Y-60825543D01*
+X111515026Y-60805552D01*
+X111528910Y-60786832D01*
+X111544562Y-60769562D01*
+X111561832Y-60753910D01*
+X111580552Y-60740026D01*
+X111600543Y-60728044D01*
+X111621613Y-60718079D01*
+X111643557Y-60710227D01*
+X111666166Y-60704563D01*
+X111689221Y-60701144D01*
+X111712500Y-60700000D01*
+X112187500Y-60700000D01*
+X112210779Y-60701144D01*
+G37*
+G36*
+X103435779Y-65426144D02*
+G01*
+X103458834Y-65429563D01*
+X103481443Y-65435227D01*
+X103503387Y-65443079D01*
+X103524457Y-65453044D01*
+X103544448Y-65465026D01*
+X103563168Y-65478910D01*
+X103580438Y-65494562D01*
+X103596090Y-65511832D01*
+X103609974Y-65530552D01*
+X103621956Y-65550543D01*
+X103631921Y-65571613D01*
+X103639773Y-65593557D01*
+X103645437Y-65616166D01*
+X103648856Y-65639221D01*
+X103650000Y-65662500D01*
+X103650000Y-66137500D01*
+X103648856Y-66160779D01*
+X103645437Y-66183834D01*
+X103639773Y-66206443D01*
+X103631921Y-66228387D01*
+X103621956Y-66249457D01*
+X103609974Y-66269448D01*
+X103596090Y-66288168D01*
+X103580438Y-66305438D01*
+X103563168Y-66321090D01*
+X103544448Y-66334974D01*
+X103524457Y-66346956D01*
+X103503387Y-66356921D01*
+X103481443Y-66364773D01*
+X103458834Y-66370437D01*
+X103435779Y-66373856D01*
+X103412500Y-66375000D01*
+X102837500Y-66375000D01*
+X102814221Y-66373856D01*
+X102791166Y-66370437D01*
+X102768557Y-66364773D01*
+X102746613Y-66356921D01*
+X102725543Y-66346956D01*
+X102705552Y-66334974D01*
+X102686832Y-66321090D01*
+X102669562Y-66305438D01*
+X102653910Y-66288168D01*
+X102640026Y-66269448D01*
+X102628044Y-66249457D01*
+X102618079Y-66228387D01*
+X102610227Y-66206443D01*
+X102604563Y-66183834D01*
+X102601144Y-66160779D01*
+X102600000Y-66137500D01*
+X102600000Y-65662500D01*
+X102601144Y-65639221D01*
+X102604563Y-65616166D01*
+X102610227Y-65593557D01*
+X102618079Y-65571613D01*
+X102628044Y-65550543D01*
+X102640026Y-65530552D01*
+X102653910Y-65511832D01*
+X102669562Y-65494562D01*
+X102686832Y-65478910D01*
+X102705552Y-65465026D01*
+X102725543Y-65453044D01*
+X102746613Y-65443079D01*
+X102768557Y-65435227D01*
+X102791166Y-65429563D01*
+X102814221Y-65426144D01*
+X102837500Y-65425000D01*
+X103412500Y-65425000D01*
+X103435779Y-65426144D01*
+G37*
+G36*
+X105185779Y-65426144D02*
+G01*
+X105208834Y-65429563D01*
+X105231443Y-65435227D01*
+X105253387Y-65443079D01*
+X105274457Y-65453044D01*
+X105294448Y-65465026D01*
+X105313168Y-65478910D01*
+X105330438Y-65494562D01*
+X105346090Y-65511832D01*
+X105359974Y-65530552D01*
+X105371956Y-65550543D01*
+X105381921Y-65571613D01*
+X105389773Y-65593557D01*
+X105395437Y-65616166D01*
+X105398856Y-65639221D01*
+X105400000Y-65662500D01*
+X105400000Y-66137500D01*
+X105398856Y-66160779D01*
+X105395437Y-66183834D01*
+X105389773Y-66206443D01*
+X105381921Y-66228387D01*
+X105371956Y-66249457D01*
+X105359974Y-66269448D01*
+X105346090Y-66288168D01*
+X105330438Y-66305438D01*
+X105313168Y-66321090D01*
+X105294448Y-66334974D01*
+X105274457Y-66346956D01*
+X105253387Y-66356921D01*
+X105231443Y-66364773D01*
+X105208834Y-66370437D01*
+X105185779Y-66373856D01*
+X105162500Y-66375000D01*
+X104587500Y-66375000D01*
+X104564221Y-66373856D01*
+X104541166Y-66370437D01*
+X104518557Y-66364773D01*
+X104496613Y-66356921D01*
+X104475543Y-66346956D01*
+X104455552Y-66334974D01*
+X104436832Y-66321090D01*
+X104419562Y-66305438D01*
+X104403910Y-66288168D01*
+X104390026Y-66269448D01*
+X104378044Y-66249457D01*
+X104368079Y-66228387D01*
+X104360227Y-66206443D01*
+X104354563Y-66183834D01*
+X104351144Y-66160779D01*
+X104350000Y-66137500D01*
+X104350000Y-65662500D01*
+X104351144Y-65639221D01*
+X104354563Y-65616166D01*
+X104360227Y-65593557D01*
+X104368079Y-65571613D01*
+X104378044Y-65550543D01*
+X104390026Y-65530552D01*
+X104403910Y-65511832D01*
+X104419562Y-65494562D01*
+X104436832Y-65478910D01*
+X104455552Y-65465026D01*
+X104475543Y-65453044D01*
+X104496613Y-65443079D01*
+X104518557Y-65435227D01*
+X104541166Y-65429563D01*
+X104564221Y-65426144D01*
+X104587500Y-65425000D01*
+X105162500Y-65425000D01*
+X105185779Y-65426144D01*
+G37*
+G36*
+X126685779Y-84526144D02*
+G01*
+X126708834Y-84529563D01*
+X126731443Y-84535227D01*
+X126753387Y-84543079D01*
+X126774457Y-84553044D01*
+X126794448Y-84565026D01*
+X126813168Y-84578910D01*
+X126830438Y-84594562D01*
+X126846090Y-84611832D01*
+X126859974Y-84630552D01*
+X126871956Y-84650543D01*
+X126881921Y-84671613D01*
+X126889773Y-84693557D01*
+X126895437Y-84716166D01*
+X126898856Y-84739221D01*
+X126900000Y-84762500D01*
+X126900000Y-85237500D01*
+X126898856Y-85260779D01*
+X126895437Y-85283834D01*
+X126889773Y-85306443D01*
+X126881921Y-85328387D01*
+X126871956Y-85349457D01*
+X126859974Y-85369448D01*
+X126846090Y-85388168D01*
+X126830438Y-85405438D01*
+X126813168Y-85421090D01*
+X126794448Y-85434974D01*
+X126774457Y-85446956D01*
+X126753387Y-85456921D01*
+X126731443Y-85464773D01*
+X126708834Y-85470437D01*
+X126685779Y-85473856D01*
+X126662500Y-85475000D01*
+X126087500Y-85475000D01*
+X126064221Y-85473856D01*
+X126041166Y-85470437D01*
+X126018557Y-85464773D01*
+X125996613Y-85456921D01*
+X125975543Y-85446956D01*
+X125955552Y-85434974D01*
+X125936832Y-85421090D01*
+X125919562Y-85405438D01*
+X125903910Y-85388168D01*
+X125890026Y-85369448D01*
+X125878044Y-85349457D01*
+X125868079Y-85328387D01*
+X125860227Y-85306443D01*
+X125854563Y-85283834D01*
+X125851144Y-85260779D01*
+X125850000Y-85237500D01*
+X125850000Y-84762500D01*
+X125851144Y-84739221D01*
+X125854563Y-84716166D01*
+X125860227Y-84693557D01*
+X125868079Y-84671613D01*
+X125878044Y-84650543D01*
+X125890026Y-84630552D01*
+X125903910Y-84611832D01*
+X125919562Y-84594562D01*
+X125936832Y-84578910D01*
+X125955552Y-84565026D01*
+X125975543Y-84553044D01*
+X125996613Y-84543079D01*
+X126018557Y-84535227D01*
+X126041166Y-84529563D01*
+X126064221Y-84526144D01*
+X126087500Y-84525000D01*
+X126662500Y-84525000D01*
+X126685779Y-84526144D01*
+G37*
+G36*
+X128435779Y-84526144D02*
+G01*
+X128458834Y-84529563D01*
+X128481443Y-84535227D01*
+X128503387Y-84543079D01*
+X128524457Y-84553044D01*
+X128544448Y-84565026D01*
+X128563168Y-84578910D01*
+X128580438Y-84594562D01*
+X128596090Y-84611832D01*
+X128609974Y-84630552D01*
+X128621956Y-84650543D01*
+X128631921Y-84671613D01*
+X128639773Y-84693557D01*
+X128645437Y-84716166D01*
+X128648856Y-84739221D01*
+X128650000Y-84762500D01*
+X128650000Y-85237500D01*
+X128648856Y-85260779D01*
+X128645437Y-85283834D01*
+X128639773Y-85306443D01*
+X128631921Y-85328387D01*
+X128621956Y-85349457D01*
+X128609974Y-85369448D01*
+X128596090Y-85388168D01*
+X128580438Y-85405438D01*
+X128563168Y-85421090D01*
+X128544448Y-85434974D01*
+X128524457Y-85446956D01*
+X128503387Y-85456921D01*
+X128481443Y-85464773D01*
+X128458834Y-85470437D01*
+X128435779Y-85473856D01*
+X128412500Y-85475000D01*
+X127837500Y-85475000D01*
+X127814221Y-85473856D01*
+X127791166Y-85470437D01*
+X127768557Y-85464773D01*
+X127746613Y-85456921D01*
+X127725543Y-85446956D01*
+X127705552Y-85434974D01*
+X127686832Y-85421090D01*
+X127669562Y-85405438D01*
+X127653910Y-85388168D01*
+X127640026Y-85369448D01*
+X127628044Y-85349457D01*
+X127618079Y-85328387D01*
+X127610227Y-85306443D01*
+X127604563Y-85283834D01*
+X127601144Y-85260779D01*
+X127600000Y-85237500D01*
+X127600000Y-84762500D01*
+X127601144Y-84739221D01*
+X127604563Y-84716166D01*
+X127610227Y-84693557D01*
+X127618079Y-84671613D01*
+X127628044Y-84650543D01*
+X127640026Y-84630552D01*
+X127653910Y-84611832D01*
+X127669562Y-84594562D01*
+X127686832Y-84578910D01*
+X127705552Y-84565026D01*
+X127725543Y-84553044D01*
+X127746613Y-84543079D01*
+X127768557Y-84535227D01*
+X127791166Y-84529563D01*
+X127814221Y-84526144D01*
+X127837500Y-84525000D01*
+X128412500Y-84525000D01*
+X128435779Y-84526144D01*
+G37*
+G36*
+X122349504Y-93776204D02*
+G01*
+X122373773Y-93779804D01*
+X122397571Y-93785765D01*
+X122420671Y-93794030D01*
+X122442849Y-93804520D01*
+X122463893Y-93817133D01*
+X122483598Y-93831747D01*
+X122501777Y-93848223D01*
+X122518253Y-93866402D01*
+X122532867Y-93886107D01*
+X122545480Y-93907151D01*
+X122555970Y-93929329D01*
+X122564235Y-93952429D01*
+X122570196Y-93976227D01*
+X122573796Y-94000496D01*
+X122575000Y-94025000D01*
+X122575000Y-94950000D01*
+X122573796Y-94974504D01*
+X122570196Y-94998773D01*
+X122564235Y-95022571D01*
+X122555970Y-95045671D01*
+X122545480Y-95067849D01*
+X122532867Y-95088893D01*
+X122518253Y-95108598D01*
+X122501777Y-95126777D01*
+X122483598Y-95143253D01*
+X122463893Y-95157867D01*
+X122442849Y-95170480D01*
+X122420671Y-95180970D01*
+X122397571Y-95189235D01*
+X122373773Y-95195196D01*
+X122349504Y-95198796D01*
+X122325000Y-95200000D01*
+X121075000Y-95200000D01*
+X121050496Y-95198796D01*
+X121026227Y-95195196D01*
+X121002429Y-95189235D01*
+X120979329Y-95180970D01*
+X120957151Y-95170480D01*
+X120936107Y-95157867D01*
+X120916402Y-95143253D01*
+X120898223Y-95126777D01*
+X120881747Y-95108598D01*
+X120867133Y-95088893D01*
+X120854520Y-95067849D01*
+X120844030Y-95045671D01*
+X120835765Y-95022571D01*
+X120829804Y-94998773D01*
+X120826204Y-94974504D01*
+X120825000Y-94950000D01*
+X120825000Y-94025000D01*
+X120826204Y-94000496D01*
+X120829804Y-93976227D01*
+X120835765Y-93952429D01*
+X120844030Y-93929329D01*
+X120854520Y-93907151D01*
+X120867133Y-93886107D01*
+X120881747Y-93866402D01*
+X120898223Y-93848223D01*
+X120916402Y-93831747D01*
+X120936107Y-93817133D01*
+X120957151Y-93804520D01*
+X120979329Y-93794030D01*
+X121002429Y-93785765D01*
+X121026227Y-93779804D01*
+X121050496Y-93776204D01*
+X121075000Y-93775000D01*
+X122325000Y-93775000D01*
+X122349504Y-93776204D01*
+G37*
+G36*
+X122349504Y-90801204D02*
+G01*
+X122373773Y-90804804D01*
+X122397571Y-90810765D01*
+X122420671Y-90819030D01*
+X122442849Y-90829520D01*
+X122463893Y-90842133D01*
+X122483598Y-90856747D01*
+X122501777Y-90873223D01*
+X122518253Y-90891402D01*
+X122532867Y-90911107D01*
+X122545480Y-90932151D01*
+X122555970Y-90954329D01*
+X122564235Y-90977429D01*
+X122570196Y-91001227D01*
+X122573796Y-91025496D01*
+X122575000Y-91050000D01*
+X122575000Y-91975000D01*
+X122573796Y-91999504D01*
+X122570196Y-92023773D01*
+X122564235Y-92047571D01*
+X122555970Y-92070671D01*
+X122545480Y-92092849D01*
+X122532867Y-92113893D01*
+X122518253Y-92133598D01*
+X122501777Y-92151777D01*
+X122483598Y-92168253D01*
+X122463893Y-92182867D01*
+X122442849Y-92195480D01*
+X122420671Y-92205970D01*
+X122397571Y-92214235D01*
+X122373773Y-92220196D01*
+X122349504Y-92223796D01*
+X122325000Y-92225000D01*
+X121075000Y-92225000D01*
+X121050496Y-92223796D01*
+X121026227Y-92220196D01*
+X121002429Y-92214235D01*
+X120979329Y-92205970D01*
+X120957151Y-92195480D01*
+X120936107Y-92182867D01*
+X120916402Y-92168253D01*
+X120898223Y-92151777D01*
+X120881747Y-92133598D01*
+X120867133Y-92113893D01*
+X120854520Y-92092849D01*
+X120844030Y-92070671D01*
+X120835765Y-92047571D01*
+X120829804Y-92023773D01*
+X120826204Y-91999504D01*
+X120825000Y-91975000D01*
+X120825000Y-91050000D01*
+X120826204Y-91025496D01*
+X120829804Y-91001227D01*
+X120835765Y-90977429D01*
+X120844030Y-90954329D01*
+X120854520Y-90932151D01*
+X120867133Y-90911107D01*
+X120881747Y-90891402D01*
+X120898223Y-90873223D01*
+X120916402Y-90856747D01*
+X120936107Y-90842133D01*
+X120957151Y-90829520D01*
+X120979329Y-90819030D01*
+X121002429Y-90810765D01*
+X121026227Y-90804804D01*
+X121050496Y-90801204D01*
+X121075000Y-90800000D01*
+X122325000Y-90800000D01*
+X122349504Y-90801204D01*
+G37*
+D12*
+X101700000Y-63300000D03*
+X106300000Y-63300000D03*
+X104000000Y-63300000D03*
+D13*
+X104000000Y-57000000D03*
+D10*
+G36*
+X141619703Y-91750722D02*
+G01*
+X141634264Y-91752882D01*
+X141648543Y-91756459D01*
+X141662403Y-91761418D01*
+X141675710Y-91767712D01*
+X141688336Y-91775280D01*
+X141700159Y-91784048D01*
+X141711066Y-91793934D01*
+X141720952Y-91804841D01*
+X141729720Y-91816664D01*
+X141737288Y-91829290D01*
+X141743582Y-91842597D01*
+X141748541Y-91856457D01*
+X141752118Y-91870736D01*
+X141754278Y-91885297D01*
+X141755000Y-91900000D01*
+X141755000Y-93550000D01*
+X141754278Y-93564703D01*
+X141752118Y-93579264D01*
+X141748541Y-93593543D01*
+X141743582Y-93607403D01*
+X141737288Y-93620710D01*
+X141729720Y-93633336D01*
+X141720952Y-93645159D01*
+X141711066Y-93656066D01*
+X141700159Y-93665952D01*
+X141688336Y-93674720D01*
+X141675710Y-93682288D01*
+X141662403Y-93688582D01*
+X141648543Y-93693541D01*
+X141634264Y-93697118D01*
+X141619703Y-93699278D01*
+X141605000Y-93700000D01*
+X141305000Y-93700000D01*
+X141290297Y-93699278D01*
+X141275736Y-93697118D01*
+X141261457Y-93693541D01*
+X141247597Y-93688582D01*
+X141234290Y-93682288D01*
+X141221664Y-93674720D01*
+X141209841Y-93665952D01*
+X141198934Y-93656066D01*
+X141189048Y-93645159D01*
+X141180280Y-93633336D01*
+X141172712Y-93620710D01*
+X141166418Y-93607403D01*
+X141161459Y-93593543D01*
+X141157882Y-93579264D01*
+X141155722Y-93564703D01*
+X141155000Y-93550000D01*
+X141155000Y-91900000D01*
+X141155722Y-91885297D01*
+X141157882Y-91870736D01*
+X141161459Y-91856457D01*
+X141166418Y-91842597D01*
+X141172712Y-91829290D01*
+X141180280Y-91816664D01*
+X141189048Y-91804841D01*
+X141198934Y-91793934D01*
+X141209841Y-91784048D01*
+X141221664Y-91775280D01*
+X141234290Y-91767712D01*
+X141247597Y-91761418D01*
+X141261457Y-91756459D01*
+X141275736Y-91752882D01*
+X141290297Y-91750722D01*
+X141305000Y-91750000D01*
+X141605000Y-91750000D01*
+X141619703Y-91750722D01*
+G37*
+G36*
+X140349703Y-91750722D02*
+G01*
+X140364264Y-91752882D01*
+X140378543Y-91756459D01*
+X140392403Y-91761418D01*
+X140405710Y-91767712D01*
+X140418336Y-91775280D01*
+X140430159Y-91784048D01*
+X140441066Y-91793934D01*
+X140450952Y-91804841D01*
+X140459720Y-91816664D01*
+X140467288Y-91829290D01*
+X140473582Y-91842597D01*
+X140478541Y-91856457D01*
+X140482118Y-91870736D01*
+X140484278Y-91885297D01*
+X140485000Y-91900000D01*
+X140485000Y-93550000D01*
+X140484278Y-93564703D01*
+X140482118Y-93579264D01*
+X140478541Y-93593543D01*
+X140473582Y-93607403D01*
+X140467288Y-93620710D01*
+X140459720Y-93633336D01*
+X140450952Y-93645159D01*
+X140441066Y-93656066D01*
+X140430159Y-93665952D01*
+X140418336Y-93674720D01*
+X140405710Y-93682288D01*
+X140392403Y-93688582D01*
+X140378543Y-93693541D01*
+X140364264Y-93697118D01*
+X140349703Y-93699278D01*
+X140335000Y-93700000D01*
+X140035000Y-93700000D01*
+X140020297Y-93699278D01*
+X140005736Y-93697118D01*
+X139991457Y-93693541D01*
+X139977597Y-93688582D01*
+X139964290Y-93682288D01*
+X139951664Y-93674720D01*
+X139939841Y-93665952D01*
+X139928934Y-93656066D01*
+X139919048Y-93645159D01*
+X139910280Y-93633336D01*
+X139902712Y-93620710D01*
+X139896418Y-93607403D01*
+X139891459Y-93593543D01*
+X139887882Y-93579264D01*
+X139885722Y-93564703D01*
+X139885000Y-93550000D01*
+X139885000Y-91900000D01*
+X139885722Y-91885297D01*
+X139887882Y-91870736D01*
+X139891459Y-91856457D01*
+X139896418Y-91842597D01*
+X139902712Y-91829290D01*
+X139910280Y-91816664D01*
+X139919048Y-91804841D01*
+X139928934Y-91793934D01*
+X139939841Y-91784048D01*
+X139951664Y-91775280D01*
+X139964290Y-91767712D01*
+X139977597Y-91761418D01*
+X139991457Y-91756459D01*
+X140005736Y-91752882D01*
+X140020297Y-91750722D01*
+X140035000Y-91750000D01*
+X140335000Y-91750000D01*
+X140349703Y-91750722D01*
+G37*
+G36*
+X139079703Y-91750722D02*
+G01*
+X139094264Y-91752882D01*
+X139108543Y-91756459D01*
+X139122403Y-91761418D01*
+X139135710Y-91767712D01*
+X139148336Y-91775280D01*
+X139160159Y-91784048D01*
+X139171066Y-91793934D01*
+X139180952Y-91804841D01*
+X139189720Y-91816664D01*
+X139197288Y-91829290D01*
+X139203582Y-91842597D01*
+X139208541Y-91856457D01*
+X139212118Y-91870736D01*
+X139214278Y-91885297D01*
+X139215000Y-91900000D01*
+X139215000Y-93550000D01*
+X139214278Y-93564703D01*
+X139212118Y-93579264D01*
+X139208541Y-93593543D01*
+X139203582Y-93607403D01*
+X139197288Y-93620710D01*
+X139189720Y-93633336D01*
+X139180952Y-93645159D01*
+X139171066Y-93656066D01*
+X139160159Y-93665952D01*
+X139148336Y-93674720D01*
+X139135710Y-93682288D01*
+X139122403Y-93688582D01*
+X139108543Y-93693541D01*
+X139094264Y-93697118D01*
+X139079703Y-93699278D01*
+X139065000Y-93700000D01*
+X138765000Y-93700000D01*
+X138750297Y-93699278D01*
+X138735736Y-93697118D01*
+X138721457Y-93693541D01*
+X138707597Y-93688582D01*
+X138694290Y-93682288D01*
+X138681664Y-93674720D01*
+X138669841Y-93665952D01*
+X138658934Y-93656066D01*
+X138649048Y-93645159D01*
+X138640280Y-93633336D01*
+X138632712Y-93620710D01*
+X138626418Y-93607403D01*
+X138621459Y-93593543D01*
+X138617882Y-93579264D01*
+X138615722Y-93564703D01*
+X138615000Y-93550000D01*
+X138615000Y-91900000D01*
+X138615722Y-91885297D01*
+X138617882Y-91870736D01*
+X138621459Y-91856457D01*
+X138626418Y-91842597D01*
+X138632712Y-91829290D01*
+X138640280Y-91816664D01*
+X138649048Y-91804841D01*
+X138658934Y-91793934D01*
+X138669841Y-91784048D01*
+X138681664Y-91775280D01*
+X138694290Y-91767712D01*
+X138707597Y-91761418D01*
+X138721457Y-91756459D01*
+X138735736Y-91752882D01*
+X138750297Y-91750722D01*
+X138765000Y-91750000D01*
+X139065000Y-91750000D01*
+X139079703Y-91750722D01*
+G37*
+G36*
+X137809703Y-91750722D02*
+G01*
+X137824264Y-91752882D01*
+X137838543Y-91756459D01*
+X137852403Y-91761418D01*
+X137865710Y-91767712D01*
+X137878336Y-91775280D01*
+X137890159Y-91784048D01*
+X137901066Y-91793934D01*
+X137910952Y-91804841D01*
+X137919720Y-91816664D01*
+X137927288Y-91829290D01*
+X137933582Y-91842597D01*
+X137938541Y-91856457D01*
+X137942118Y-91870736D01*
+X137944278Y-91885297D01*
+X137945000Y-91900000D01*
+X137945000Y-93550000D01*
+X137944278Y-93564703D01*
+X137942118Y-93579264D01*
+X137938541Y-93593543D01*
+X137933582Y-93607403D01*
+X137927288Y-93620710D01*
+X137919720Y-93633336D01*
+X137910952Y-93645159D01*
+X137901066Y-93656066D01*
+X137890159Y-93665952D01*
+X137878336Y-93674720D01*
+X137865710Y-93682288D01*
+X137852403Y-93688582D01*
+X137838543Y-93693541D01*
+X137824264Y-93697118D01*
+X137809703Y-93699278D01*
+X137795000Y-93700000D01*
+X137495000Y-93700000D01*
+X137480297Y-93699278D01*
+X137465736Y-93697118D01*
+X137451457Y-93693541D01*
+X137437597Y-93688582D01*
+X137424290Y-93682288D01*
+X137411664Y-93674720D01*
+X137399841Y-93665952D01*
+X137388934Y-93656066D01*
+X137379048Y-93645159D01*
+X137370280Y-93633336D01*
+X137362712Y-93620710D01*
+X137356418Y-93607403D01*
+X137351459Y-93593543D01*
+X137347882Y-93579264D01*
+X137345722Y-93564703D01*
+X137345000Y-93550000D01*
+X137345000Y-91900000D01*
+X137345722Y-91885297D01*
+X137347882Y-91870736D01*
+X137351459Y-91856457D01*
+X137356418Y-91842597D01*
+X137362712Y-91829290D01*
+X137370280Y-91816664D01*
+X137379048Y-91804841D01*
+X137388934Y-91793934D01*
+X137399841Y-91784048D01*
+X137411664Y-91775280D01*
+X137424290Y-91767712D01*
+X137437597Y-91761418D01*
+X137451457Y-91756459D01*
+X137465736Y-91752882D01*
+X137480297Y-91750722D01*
+X137495000Y-91750000D01*
+X137795000Y-91750000D01*
+X137809703Y-91750722D01*
+G37*
+G36*
+X137809703Y-86800722D02*
+G01*
+X137824264Y-86802882D01*
+X137838543Y-86806459D01*
+X137852403Y-86811418D01*
+X137865710Y-86817712D01*
+X137878336Y-86825280D01*
+X137890159Y-86834048D01*
+X137901066Y-86843934D01*
+X137910952Y-86854841D01*
+X137919720Y-86866664D01*
+X137927288Y-86879290D01*
+X137933582Y-86892597D01*
+X137938541Y-86906457D01*
+X137942118Y-86920736D01*
+X137944278Y-86935297D01*
+X137945000Y-86950000D01*
+X137945000Y-88600000D01*
+X137944278Y-88614703D01*
+X137942118Y-88629264D01*
+X137938541Y-88643543D01*
+X137933582Y-88657403D01*
+X137927288Y-88670710D01*
+X137919720Y-88683336D01*
+X137910952Y-88695159D01*
+X137901066Y-88706066D01*
+X137890159Y-88715952D01*
+X137878336Y-88724720D01*
+X137865710Y-88732288D01*
+X137852403Y-88738582D01*
+X137838543Y-88743541D01*
+X137824264Y-88747118D01*
+X137809703Y-88749278D01*
+X137795000Y-88750000D01*
+X137495000Y-88750000D01*
+X137480297Y-88749278D01*
+X137465736Y-88747118D01*
+X137451457Y-88743541D01*
+X137437597Y-88738582D01*
+X137424290Y-88732288D01*
+X137411664Y-88724720D01*
+X137399841Y-88715952D01*
+X137388934Y-88706066D01*
+X137379048Y-88695159D01*
+X137370280Y-88683336D01*
+X137362712Y-88670710D01*
+X137356418Y-88657403D01*
+X137351459Y-88643543D01*
+X137347882Y-88629264D01*
+X137345722Y-88614703D01*
+X137345000Y-88600000D01*
+X137345000Y-86950000D01*
+X137345722Y-86935297D01*
+X137347882Y-86920736D01*
+X137351459Y-86906457D01*
+X137356418Y-86892597D01*
+X137362712Y-86879290D01*
+X137370280Y-86866664D01*
+X137379048Y-86854841D01*
+X137388934Y-86843934D01*
+X137399841Y-86834048D01*
+X137411664Y-86825280D01*
+X137424290Y-86817712D01*
+X137437597Y-86811418D01*
+X137451457Y-86806459D01*
+X137465736Y-86802882D01*
+X137480297Y-86800722D01*
+X137495000Y-86800000D01*
+X137795000Y-86800000D01*
+X137809703Y-86800722D01*
+G37*
+G36*
+X139079703Y-86800722D02*
+G01*
+X139094264Y-86802882D01*
+X139108543Y-86806459D01*
+X139122403Y-86811418D01*
+X139135710Y-86817712D01*
+X139148336Y-86825280D01*
+X139160159Y-86834048D01*
+X139171066Y-86843934D01*
+X139180952Y-86854841D01*
+X139189720Y-86866664D01*
+X139197288Y-86879290D01*
+X139203582Y-86892597D01*
+X139208541Y-86906457D01*
+X139212118Y-86920736D01*
+X139214278Y-86935297D01*
+X139215000Y-86950000D01*
+X139215000Y-88600000D01*
+X139214278Y-88614703D01*
+X139212118Y-88629264D01*
+X139208541Y-88643543D01*
+X139203582Y-88657403D01*
+X139197288Y-88670710D01*
+X139189720Y-88683336D01*
+X139180952Y-88695159D01*
+X139171066Y-88706066D01*
+X139160159Y-88715952D01*
+X139148336Y-88724720D01*
+X139135710Y-88732288D01*
+X139122403Y-88738582D01*
+X139108543Y-88743541D01*
+X139094264Y-88747118D01*
+X139079703Y-88749278D01*
+X139065000Y-88750000D01*
+X138765000Y-88750000D01*
+X138750297Y-88749278D01*
+X138735736Y-88747118D01*
+X138721457Y-88743541D01*
+X138707597Y-88738582D01*
+X138694290Y-88732288D01*
+X138681664Y-88724720D01*
+X138669841Y-88715952D01*
+X138658934Y-88706066D01*
+X138649048Y-88695159D01*
+X138640280Y-88683336D01*
+X138632712Y-88670710D01*
+X138626418Y-88657403D01*
+X138621459Y-88643543D01*
+X138617882Y-88629264D01*
+X138615722Y-88614703D01*
+X138615000Y-88600000D01*
+X138615000Y-86950000D01*
+X138615722Y-86935297D01*
+X138617882Y-86920736D01*
+X138621459Y-86906457D01*
+X138626418Y-86892597D01*
+X138632712Y-86879290D01*
+X138640280Y-86866664D01*
+X138649048Y-86854841D01*
+X138658934Y-86843934D01*
+X138669841Y-86834048D01*
+X138681664Y-86825280D01*
+X138694290Y-86817712D01*
+X138707597Y-86811418D01*
+X138721457Y-86806459D01*
+X138735736Y-86802882D01*
+X138750297Y-86800722D01*
+X138765000Y-86800000D01*
+X139065000Y-86800000D01*
+X139079703Y-86800722D01*
+G37*
+G36*
+X140349703Y-86800722D02*
+G01*
+X140364264Y-86802882D01*
+X140378543Y-86806459D01*
+X140392403Y-86811418D01*
+X140405710Y-86817712D01*
+X140418336Y-86825280D01*
+X140430159Y-86834048D01*
+X140441066Y-86843934D01*
+X140450952Y-86854841D01*
+X140459720Y-86866664D01*
+X140467288Y-86879290D01*
+X140473582Y-86892597D01*
+X140478541Y-86906457D01*
+X140482118Y-86920736D01*
+X140484278Y-86935297D01*
+X140485000Y-86950000D01*
+X140485000Y-88600000D01*
+X140484278Y-88614703D01*
+X140482118Y-88629264D01*
+X140478541Y-88643543D01*
+X140473582Y-88657403D01*
+X140467288Y-88670710D01*
+X140459720Y-88683336D01*
+X140450952Y-88695159D01*
+X140441066Y-88706066D01*
+X140430159Y-88715952D01*
+X140418336Y-88724720D01*
+X140405710Y-88732288D01*
+X140392403Y-88738582D01*
+X140378543Y-88743541D01*
+X140364264Y-88747118D01*
+X140349703Y-88749278D01*
+X140335000Y-88750000D01*
+X140035000Y-88750000D01*
+X140020297Y-88749278D01*
+X140005736Y-88747118D01*
+X139991457Y-88743541D01*
+X139977597Y-88738582D01*
+X139964290Y-88732288D01*
+X139951664Y-88724720D01*
+X139939841Y-88715952D01*
+X139928934Y-88706066D01*
+X139919048Y-88695159D01*
+X139910280Y-88683336D01*
+X139902712Y-88670710D01*
+X139896418Y-88657403D01*
+X139891459Y-88643543D01*
+X139887882Y-88629264D01*
+X139885722Y-88614703D01*
+X139885000Y-88600000D01*
+X139885000Y-86950000D01*
+X139885722Y-86935297D01*
+X139887882Y-86920736D01*
+X139891459Y-86906457D01*
+X139896418Y-86892597D01*
+X139902712Y-86879290D01*
+X139910280Y-86866664D01*
+X139919048Y-86854841D01*
+X139928934Y-86843934D01*
+X139939841Y-86834048D01*
+X139951664Y-86825280D01*
+X139964290Y-86817712D01*
+X139977597Y-86811418D01*
+X139991457Y-86806459D01*
+X140005736Y-86802882D01*
+X140020297Y-86800722D01*
+X140035000Y-86800000D01*
+X140335000Y-86800000D01*
+X140349703Y-86800722D01*
+G37*
+G36*
+X141619703Y-86800722D02*
+G01*
+X141634264Y-86802882D01*
+X141648543Y-86806459D01*
+X141662403Y-86811418D01*
+X141675710Y-86817712D01*
+X141688336Y-86825280D01*
+X141700159Y-86834048D01*
+X141711066Y-86843934D01*
+X141720952Y-86854841D01*
+X141729720Y-86866664D01*
+X141737288Y-86879290D01*
+X141743582Y-86892597D01*
+X141748541Y-86906457D01*
+X141752118Y-86920736D01*
+X141754278Y-86935297D01*
+X141755000Y-86950000D01*
+X141755000Y-88600000D01*
+X141754278Y-88614703D01*
+X141752118Y-88629264D01*
+X141748541Y-88643543D01*
+X141743582Y-88657403D01*
+X141737288Y-88670710D01*
+X141729720Y-88683336D01*
+X141720952Y-88695159D01*
+X141711066Y-88706066D01*
+X141700159Y-88715952D01*
+X141688336Y-88724720D01*
+X141675710Y-88732288D01*
+X141662403Y-88738582D01*
+X141648543Y-88743541D01*
+X141634264Y-88747118D01*
+X141619703Y-88749278D01*
+X141605000Y-88750000D01*
+X141305000Y-88750000D01*
+X141290297Y-88749278D01*
+X141275736Y-88747118D01*
+X141261457Y-88743541D01*
+X141247597Y-88738582D01*
+X141234290Y-88732288D01*
+X141221664Y-88724720D01*
+X141209841Y-88715952D01*
+X141198934Y-88706066D01*
+X141189048Y-88695159D01*
+X141180280Y-88683336D01*
+X141172712Y-88670710D01*
+X141166418Y-88657403D01*
+X141161459Y-88643543D01*
+X141157882Y-88629264D01*
+X141155722Y-88614703D01*
+X141155000Y-88600000D01*
+X141155000Y-86950000D01*
+X141155722Y-86935297D01*
+X141157882Y-86920736D01*
+X141161459Y-86906457D01*
+X141166418Y-86892597D01*
+X141172712Y-86879290D01*
+X141180280Y-86866664D01*
+X141189048Y-86854841D01*
+X141198934Y-86843934D01*
+X141209841Y-86834048D01*
+X141221664Y-86825280D01*
+X141234290Y-86817712D01*
+X141247597Y-86811418D01*
+X141261457Y-86806459D01*
+X141275736Y-86802882D01*
+X141290297Y-86800722D01*
+X141305000Y-86800000D01*
+X141605000Y-86800000D01*
+X141619703Y-86800722D01*
+G37*
+G36*
+X128169703Y-91750722D02*
+G01*
+X128184264Y-91752882D01*
+X128198543Y-91756459D01*
+X128212403Y-91761418D01*
+X128225710Y-91767712D01*
+X128238336Y-91775280D01*
+X128250159Y-91784048D01*
+X128261066Y-91793934D01*
+X128270952Y-91804841D01*
+X128279720Y-91816664D01*
+X128287288Y-91829290D01*
+X128293582Y-91842597D01*
+X128298541Y-91856457D01*
+X128302118Y-91870736D01*
+X128304278Y-91885297D01*
+X128305000Y-91900000D01*
+X128305000Y-93550000D01*
+X128304278Y-93564703D01*
+X128302118Y-93579264D01*
+X128298541Y-93593543D01*
+X128293582Y-93607403D01*
+X128287288Y-93620710D01*
+X128279720Y-93633336D01*
+X128270952Y-93645159D01*
+X128261066Y-93656066D01*
+X128250159Y-93665952D01*
+X128238336Y-93674720D01*
+X128225710Y-93682288D01*
+X128212403Y-93688582D01*
+X128198543Y-93693541D01*
+X128184264Y-93697118D01*
+X128169703Y-93699278D01*
+X128155000Y-93700000D01*
+X127855000Y-93700000D01*
+X127840297Y-93699278D01*
+X127825736Y-93697118D01*
+X127811457Y-93693541D01*
+X127797597Y-93688582D01*
+X127784290Y-93682288D01*
+X127771664Y-93674720D01*
+X127759841Y-93665952D01*
+X127748934Y-93656066D01*
+X127739048Y-93645159D01*
+X127730280Y-93633336D01*
+X127722712Y-93620710D01*
+X127716418Y-93607403D01*
+X127711459Y-93593543D01*
+X127707882Y-93579264D01*
+X127705722Y-93564703D01*
+X127705000Y-93550000D01*
+X127705000Y-91900000D01*
+X127705722Y-91885297D01*
+X127707882Y-91870736D01*
+X127711459Y-91856457D01*
+X127716418Y-91842597D01*
+X127722712Y-91829290D01*
+X127730280Y-91816664D01*
+X127739048Y-91804841D01*
+X127748934Y-91793934D01*
+X127759841Y-91784048D01*
+X127771664Y-91775280D01*
+X127784290Y-91767712D01*
+X127797597Y-91761418D01*
+X127811457Y-91756459D01*
+X127825736Y-91752882D01*
+X127840297Y-91750722D01*
+X127855000Y-91750000D01*
+X128155000Y-91750000D01*
+X128169703Y-91750722D01*
+G37*
+G36*
+X126899703Y-91750722D02*
+G01*
+X126914264Y-91752882D01*
+X126928543Y-91756459D01*
+X126942403Y-91761418D01*
+X126955710Y-91767712D01*
+X126968336Y-91775280D01*
+X126980159Y-91784048D01*
+X126991066Y-91793934D01*
+X127000952Y-91804841D01*
+X127009720Y-91816664D01*
+X127017288Y-91829290D01*
+X127023582Y-91842597D01*
+X127028541Y-91856457D01*
+X127032118Y-91870736D01*
+X127034278Y-91885297D01*
+X127035000Y-91900000D01*
+X127035000Y-93550000D01*
+X127034278Y-93564703D01*
+X127032118Y-93579264D01*
+X127028541Y-93593543D01*
+X127023582Y-93607403D01*
+X127017288Y-93620710D01*
+X127009720Y-93633336D01*
+X127000952Y-93645159D01*
+X126991066Y-93656066D01*
+X126980159Y-93665952D01*
+X126968336Y-93674720D01*
+X126955710Y-93682288D01*
+X126942403Y-93688582D01*
+X126928543Y-93693541D01*
+X126914264Y-93697118D01*
+X126899703Y-93699278D01*
+X126885000Y-93700000D01*
+X126585000Y-93700000D01*
+X126570297Y-93699278D01*
+X126555736Y-93697118D01*
+X126541457Y-93693541D01*
+X126527597Y-93688582D01*
+X126514290Y-93682288D01*
+X126501664Y-93674720D01*
+X126489841Y-93665952D01*
+X126478934Y-93656066D01*
+X126469048Y-93645159D01*
+X126460280Y-93633336D01*
+X126452712Y-93620710D01*
+X126446418Y-93607403D01*
+X126441459Y-93593543D01*
+X126437882Y-93579264D01*
+X126435722Y-93564703D01*
+X126435000Y-93550000D01*
+X126435000Y-91900000D01*
+X126435722Y-91885297D01*
+X126437882Y-91870736D01*
+X126441459Y-91856457D01*
+X126446418Y-91842597D01*
+X126452712Y-91829290D01*
+X126460280Y-91816664D01*
+X126469048Y-91804841D01*
+X126478934Y-91793934D01*
+X126489841Y-91784048D01*
+X126501664Y-91775280D01*
+X126514290Y-91767712D01*
+X126527597Y-91761418D01*
+X126541457Y-91756459D01*
+X126555736Y-91752882D01*
+X126570297Y-91750722D01*
+X126585000Y-91750000D01*
+X126885000Y-91750000D01*
+X126899703Y-91750722D01*
+G37*
+G36*
+X125629703Y-91750722D02*
+G01*
+X125644264Y-91752882D01*
+X125658543Y-91756459D01*
+X125672403Y-91761418D01*
+X125685710Y-91767712D01*
+X125698336Y-91775280D01*
+X125710159Y-91784048D01*
+X125721066Y-91793934D01*
+X125730952Y-91804841D01*
+X125739720Y-91816664D01*
+X125747288Y-91829290D01*
+X125753582Y-91842597D01*
+X125758541Y-91856457D01*
+X125762118Y-91870736D01*
+X125764278Y-91885297D01*
+X125765000Y-91900000D01*
+X125765000Y-93550000D01*
+X125764278Y-93564703D01*
+X125762118Y-93579264D01*
+X125758541Y-93593543D01*
+X125753582Y-93607403D01*
+X125747288Y-93620710D01*
+X125739720Y-93633336D01*
+X125730952Y-93645159D01*
+X125721066Y-93656066D01*
+X125710159Y-93665952D01*
+X125698336Y-93674720D01*
+X125685710Y-93682288D01*
+X125672403Y-93688582D01*
+X125658543Y-93693541D01*
+X125644264Y-93697118D01*
+X125629703Y-93699278D01*
+X125615000Y-93700000D01*
+X125315000Y-93700000D01*
+X125300297Y-93699278D01*
+X125285736Y-93697118D01*
+X125271457Y-93693541D01*
+X125257597Y-93688582D01*
+X125244290Y-93682288D01*
+X125231664Y-93674720D01*
+X125219841Y-93665952D01*
+X125208934Y-93656066D01*
+X125199048Y-93645159D01*
+X125190280Y-93633336D01*
+X125182712Y-93620710D01*
+X125176418Y-93607403D01*
+X125171459Y-93593543D01*
+X125167882Y-93579264D01*
+X125165722Y-93564703D01*
+X125165000Y-93550000D01*
+X125165000Y-91900000D01*
+X125165722Y-91885297D01*
+X125167882Y-91870736D01*
+X125171459Y-91856457D01*
+X125176418Y-91842597D01*
+X125182712Y-91829290D01*
+X125190280Y-91816664D01*
+X125199048Y-91804841D01*
+X125208934Y-91793934D01*
+X125219841Y-91784048D01*
+X125231664Y-91775280D01*
+X125244290Y-91767712D01*
+X125257597Y-91761418D01*
+X125271457Y-91756459D01*
+X125285736Y-91752882D01*
+X125300297Y-91750722D01*
+X125315000Y-91750000D01*
+X125615000Y-91750000D01*
+X125629703Y-91750722D01*
+G37*
+G36*
+X124359703Y-91750722D02*
+G01*
+X124374264Y-91752882D01*
+X124388543Y-91756459D01*
+X124402403Y-91761418D01*
+X124415710Y-91767712D01*
+X124428336Y-91775280D01*
+X124440159Y-91784048D01*
+X124451066Y-91793934D01*
+X124460952Y-91804841D01*
+X124469720Y-91816664D01*
+X124477288Y-91829290D01*
+X124483582Y-91842597D01*
+X124488541Y-91856457D01*
+X124492118Y-91870736D01*
+X124494278Y-91885297D01*
+X124495000Y-91900000D01*
+X124495000Y-93550000D01*
+X124494278Y-93564703D01*
+X124492118Y-93579264D01*
+X124488541Y-93593543D01*
+X124483582Y-93607403D01*
+X124477288Y-93620710D01*
+X124469720Y-93633336D01*
+X124460952Y-93645159D01*
+X124451066Y-93656066D01*
+X124440159Y-93665952D01*
+X124428336Y-93674720D01*
+X124415710Y-93682288D01*
+X124402403Y-93688582D01*
+X124388543Y-93693541D01*
+X124374264Y-93697118D01*
+X124359703Y-93699278D01*
+X124345000Y-93700000D01*
+X124045000Y-93700000D01*
+X124030297Y-93699278D01*
+X124015736Y-93697118D01*
+X124001457Y-93693541D01*
+X123987597Y-93688582D01*
+X123974290Y-93682288D01*
+X123961664Y-93674720D01*
+X123949841Y-93665952D01*
+X123938934Y-93656066D01*
+X123929048Y-93645159D01*
+X123920280Y-93633336D01*
+X123912712Y-93620710D01*
+X123906418Y-93607403D01*
+X123901459Y-93593543D01*
+X123897882Y-93579264D01*
+X123895722Y-93564703D01*
+X123895000Y-93550000D01*
+X123895000Y-91900000D01*
+X123895722Y-91885297D01*
+X123897882Y-91870736D01*
+X123901459Y-91856457D01*
+X123906418Y-91842597D01*
+X123912712Y-91829290D01*
+X123920280Y-91816664D01*
+X123929048Y-91804841D01*
+X123938934Y-91793934D01*
+X123949841Y-91784048D01*
+X123961664Y-91775280D01*
+X123974290Y-91767712D01*
+X123987597Y-91761418D01*
+X124001457Y-91756459D01*
+X124015736Y-91752882D01*
+X124030297Y-91750722D01*
+X124045000Y-91750000D01*
+X124345000Y-91750000D01*
+X124359703Y-91750722D01*
+G37*
+G36*
+X124359703Y-86800722D02*
+G01*
+X124374264Y-86802882D01*
+X124388543Y-86806459D01*
+X124402403Y-86811418D01*
+X124415710Y-86817712D01*
+X124428336Y-86825280D01*
+X124440159Y-86834048D01*
+X124451066Y-86843934D01*
+X124460952Y-86854841D01*
+X124469720Y-86866664D01*
+X124477288Y-86879290D01*
+X124483582Y-86892597D01*
+X124488541Y-86906457D01*
+X124492118Y-86920736D01*
+X124494278Y-86935297D01*
+X124495000Y-86950000D01*
+X124495000Y-88600000D01*
+X124494278Y-88614703D01*
+X124492118Y-88629264D01*
+X124488541Y-88643543D01*
+X124483582Y-88657403D01*
+X124477288Y-88670710D01*
+X124469720Y-88683336D01*
+X124460952Y-88695159D01*
+X124451066Y-88706066D01*
+X124440159Y-88715952D01*
+X124428336Y-88724720D01*
+X124415710Y-88732288D01*
+X124402403Y-88738582D01*
+X124388543Y-88743541D01*
+X124374264Y-88747118D01*
+X124359703Y-88749278D01*
+X124345000Y-88750000D01*
+X124045000Y-88750000D01*
+X124030297Y-88749278D01*
+X124015736Y-88747118D01*
+X124001457Y-88743541D01*
+X123987597Y-88738582D01*
+X123974290Y-88732288D01*
+X123961664Y-88724720D01*
+X123949841Y-88715952D01*
+X123938934Y-88706066D01*
+X123929048Y-88695159D01*
+X123920280Y-88683336D01*
+X123912712Y-88670710D01*
+X123906418Y-88657403D01*
+X123901459Y-88643543D01*
+X123897882Y-88629264D01*
+X123895722Y-88614703D01*
+X123895000Y-88600000D01*
+X123895000Y-86950000D01*
+X123895722Y-86935297D01*
+X123897882Y-86920736D01*
+X123901459Y-86906457D01*
+X123906418Y-86892597D01*
+X123912712Y-86879290D01*
+X123920280Y-86866664D01*
+X123929048Y-86854841D01*
+X123938934Y-86843934D01*
+X123949841Y-86834048D01*
+X123961664Y-86825280D01*
+X123974290Y-86817712D01*
+X123987597Y-86811418D01*
+X124001457Y-86806459D01*
+X124015736Y-86802882D01*
+X124030297Y-86800722D01*
+X124045000Y-86800000D01*
+X124345000Y-86800000D01*
+X124359703Y-86800722D01*
+G37*
+G36*
+X125629703Y-86800722D02*
+G01*
+X125644264Y-86802882D01*
+X125658543Y-86806459D01*
+X125672403Y-86811418D01*
+X125685710Y-86817712D01*
+X125698336Y-86825280D01*
+X125710159Y-86834048D01*
+X125721066Y-86843934D01*
+X125730952Y-86854841D01*
+X125739720Y-86866664D01*
+X125747288Y-86879290D01*
+X125753582Y-86892597D01*
+X125758541Y-86906457D01*
+X125762118Y-86920736D01*
+X125764278Y-86935297D01*
+X125765000Y-86950000D01*
+X125765000Y-88600000D01*
+X125764278Y-88614703D01*
+X125762118Y-88629264D01*
+X125758541Y-88643543D01*
+X125753582Y-88657403D01*
+X125747288Y-88670710D01*
+X125739720Y-88683336D01*
+X125730952Y-88695159D01*
+X125721066Y-88706066D01*
+X125710159Y-88715952D01*
+X125698336Y-88724720D01*
+X125685710Y-88732288D01*
+X125672403Y-88738582D01*
+X125658543Y-88743541D01*
+X125644264Y-88747118D01*
+X125629703Y-88749278D01*
+X125615000Y-88750000D01*
+X125315000Y-88750000D01*
+X125300297Y-88749278D01*
+X125285736Y-88747118D01*
+X125271457Y-88743541D01*
+X125257597Y-88738582D01*
+X125244290Y-88732288D01*
+X125231664Y-88724720D01*
+X125219841Y-88715952D01*
+X125208934Y-88706066D01*
+X125199048Y-88695159D01*
+X125190280Y-88683336D01*
+X125182712Y-88670710D01*
+X125176418Y-88657403D01*
+X125171459Y-88643543D01*
+X125167882Y-88629264D01*
+X125165722Y-88614703D01*
+X125165000Y-88600000D01*
+X125165000Y-86950000D01*
+X125165722Y-86935297D01*
+X125167882Y-86920736D01*
+X125171459Y-86906457D01*
+X125176418Y-86892597D01*
+X125182712Y-86879290D01*
+X125190280Y-86866664D01*
+X125199048Y-86854841D01*
+X125208934Y-86843934D01*
+X125219841Y-86834048D01*
+X125231664Y-86825280D01*
+X125244290Y-86817712D01*
+X125257597Y-86811418D01*
+X125271457Y-86806459D01*
+X125285736Y-86802882D01*
+X125300297Y-86800722D01*
+X125315000Y-86800000D01*
+X125615000Y-86800000D01*
+X125629703Y-86800722D01*
+G37*
+G36*
+X126899703Y-86800722D02*
+G01*
+X126914264Y-86802882D01*
+X126928543Y-86806459D01*
+X126942403Y-86811418D01*
+X126955710Y-86817712D01*
+X126968336Y-86825280D01*
+X126980159Y-86834048D01*
+X126991066Y-86843934D01*
+X127000952Y-86854841D01*
+X127009720Y-86866664D01*
+X127017288Y-86879290D01*
+X127023582Y-86892597D01*
+X127028541Y-86906457D01*
+X127032118Y-86920736D01*
+X127034278Y-86935297D01*
+X127035000Y-86950000D01*
+X127035000Y-88600000D01*
+X127034278Y-88614703D01*
+X127032118Y-88629264D01*
+X127028541Y-88643543D01*
+X127023582Y-88657403D01*
+X127017288Y-88670710D01*
+X127009720Y-88683336D01*
+X127000952Y-88695159D01*
+X126991066Y-88706066D01*
+X126980159Y-88715952D01*
+X126968336Y-88724720D01*
+X126955710Y-88732288D01*
+X126942403Y-88738582D01*
+X126928543Y-88743541D01*
+X126914264Y-88747118D01*
+X126899703Y-88749278D01*
+X126885000Y-88750000D01*
+X126585000Y-88750000D01*
+X126570297Y-88749278D01*
+X126555736Y-88747118D01*
+X126541457Y-88743541D01*
+X126527597Y-88738582D01*
+X126514290Y-88732288D01*
+X126501664Y-88724720D01*
+X126489841Y-88715952D01*
+X126478934Y-88706066D01*
+X126469048Y-88695159D01*
+X126460280Y-88683336D01*
+X126452712Y-88670710D01*
+X126446418Y-88657403D01*
+X126441459Y-88643543D01*
+X126437882Y-88629264D01*
+X126435722Y-88614703D01*
+X126435000Y-88600000D01*
+X126435000Y-86950000D01*
+X126435722Y-86935297D01*
+X126437882Y-86920736D01*
+X126441459Y-86906457D01*
+X126446418Y-86892597D01*
+X126452712Y-86879290D01*
+X126460280Y-86866664D01*
+X126469048Y-86854841D01*
+X126478934Y-86843934D01*
+X126489841Y-86834048D01*
+X126501664Y-86825280D01*
+X126514290Y-86817712D01*
+X126527597Y-86811418D01*
+X126541457Y-86806459D01*
+X126555736Y-86802882D01*
+X126570297Y-86800722D01*
+X126585000Y-86800000D01*
+X126885000Y-86800000D01*
+X126899703Y-86800722D01*
+G37*
+G36*
+X128169703Y-86800722D02*
+G01*
+X128184264Y-86802882D01*
+X128198543Y-86806459D01*
+X128212403Y-86811418D01*
+X128225710Y-86817712D01*
+X128238336Y-86825280D01*
+X128250159Y-86834048D01*
+X128261066Y-86843934D01*
+X128270952Y-86854841D01*
+X128279720Y-86866664D01*
+X128287288Y-86879290D01*
+X128293582Y-86892597D01*
+X128298541Y-86906457D01*
+X128302118Y-86920736D01*
+X128304278Y-86935297D01*
+X128305000Y-86950000D01*
+X128305000Y-88600000D01*
+X128304278Y-88614703D01*
+X128302118Y-88629264D01*
+X128298541Y-88643543D01*
+X128293582Y-88657403D01*
+X128287288Y-88670710D01*
+X128279720Y-88683336D01*
+X128270952Y-88695159D01*
+X128261066Y-88706066D01*
+X128250159Y-88715952D01*
+X128238336Y-88724720D01*
+X128225710Y-88732288D01*
+X128212403Y-88738582D01*
+X128198543Y-88743541D01*
+X128184264Y-88747118D01*
+X128169703Y-88749278D01*
+X128155000Y-88750000D01*
+X127855000Y-88750000D01*
+X127840297Y-88749278D01*
+X127825736Y-88747118D01*
+X127811457Y-88743541D01*
+X127797597Y-88738582D01*
+X127784290Y-88732288D01*
+X127771664Y-88724720D01*
+X127759841Y-88715952D01*
+X127748934Y-88706066D01*
+X127739048Y-88695159D01*
+X127730280Y-88683336D01*
+X127722712Y-88670710D01*
+X127716418Y-88657403D01*
+X127711459Y-88643543D01*
+X127707882Y-88629264D01*
+X127705722Y-88614703D01*
+X127705000Y-88600000D01*
+X127705000Y-86950000D01*
+X127705722Y-86935297D01*
+X127707882Y-86920736D01*
+X127711459Y-86906457D01*
+X127716418Y-86892597D01*
+X127722712Y-86879290D01*
+X127730280Y-86866664D01*
+X127739048Y-86854841D01*
+X127748934Y-86843934D01*
+X127759841Y-86834048D01*
+X127771664Y-86825280D01*
+X127784290Y-86817712D01*
+X127797597Y-86811418D01*
+X127811457Y-86806459D01*
+X127825736Y-86802882D01*
+X127840297Y-86800722D01*
+X127855000Y-86800000D01*
+X128155000Y-86800000D01*
+X128169703Y-86800722D01*
+G37*
+G36*
+X121010779Y-86401144D02*
+G01*
+X121033834Y-86404563D01*
+X121056443Y-86410227D01*
+X121078387Y-86418079D01*
+X121099457Y-86428044D01*
+X121119448Y-86440026D01*
+X121138168Y-86453910D01*
+X121155438Y-86469562D01*
+X121171090Y-86486832D01*
+X121184974Y-86505552D01*
+X121196956Y-86525543D01*
+X121206921Y-86546613D01*
+X121214773Y-86568557D01*
+X121220437Y-86591166D01*
+X121223856Y-86614221D01*
+X121225000Y-86637500D01*
+X121225000Y-87212500D01*
+X121223856Y-87235779D01*
+X121220437Y-87258834D01*
+X121214773Y-87281443D01*
+X121206921Y-87303387D01*
+X121196956Y-87324457D01*
+X121184974Y-87344448D01*
+X121171090Y-87363168D01*
+X121155438Y-87380438D01*
+X121138168Y-87396090D01*
+X121119448Y-87409974D01*
+X121099457Y-87421956D01*
+X121078387Y-87431921D01*
+X121056443Y-87439773D01*
+X121033834Y-87445437D01*
+X121010779Y-87448856D01*
+X120987500Y-87450000D01*
+X120512500Y-87450000D01*
+X120489221Y-87448856D01*
+X120466166Y-87445437D01*
+X120443557Y-87439773D01*
+X120421613Y-87431921D01*
+X120400543Y-87421956D01*
+X120380552Y-87409974D01*
+X120361832Y-87396090D01*
+X120344562Y-87380438D01*
+X120328910Y-87363168D01*
+X120315026Y-87344448D01*
+X120303044Y-87324457D01*
+X120293079Y-87303387D01*
+X120285227Y-87281443D01*
+X120279563Y-87258834D01*
+X120276144Y-87235779D01*
+X120275000Y-87212500D01*
+X120275000Y-86637500D01*
+X120276144Y-86614221D01*
+X120279563Y-86591166D01*
+X120285227Y-86568557D01*
+X120293079Y-86546613D01*
+X120303044Y-86525543D01*
+X120315026Y-86505552D01*
+X120328910Y-86486832D01*
+X120344562Y-86469562D01*
+X120361832Y-86453910D01*
+X120380552Y-86440026D01*
+X120400543Y-86428044D01*
+X120421613Y-86418079D01*
+X120443557Y-86410227D01*
+X120466166Y-86404563D01*
+X120489221Y-86401144D01*
+X120512500Y-86400000D01*
+X120987500Y-86400000D01*
+X121010779Y-86401144D01*
+G37*
+G36*
+X121010779Y-84651144D02*
+G01*
+X121033834Y-84654563D01*
+X121056443Y-84660227D01*
+X121078387Y-84668079D01*
+X121099457Y-84678044D01*
+X121119448Y-84690026D01*
+X121138168Y-84703910D01*
+X121155438Y-84719562D01*
+X121171090Y-84736832D01*
+X121184974Y-84755552D01*
+X121196956Y-84775543D01*
+X121206921Y-84796613D01*
+X121214773Y-84818557D01*
+X121220437Y-84841166D01*
+X121223856Y-84864221D01*
+X121225000Y-84887500D01*
+X121225000Y-85462500D01*
+X121223856Y-85485779D01*
+X121220437Y-85508834D01*
+X121214773Y-85531443D01*
+X121206921Y-85553387D01*
+X121196956Y-85574457D01*
+X121184974Y-85594448D01*
+X121171090Y-85613168D01*
+X121155438Y-85630438D01*
+X121138168Y-85646090D01*
+X121119448Y-85659974D01*
+X121099457Y-85671956D01*
+X121078387Y-85681921D01*
+X121056443Y-85689773D01*
+X121033834Y-85695437D01*
+X121010779Y-85698856D01*
+X120987500Y-85700000D01*
+X120512500Y-85700000D01*
+X120489221Y-85698856D01*
+X120466166Y-85695437D01*
+X120443557Y-85689773D01*
+X120421613Y-85681921D01*
+X120400543Y-85671956D01*
+X120380552Y-85659974D01*
+X120361832Y-85646090D01*
+X120344562Y-85630438D01*
+X120328910Y-85613168D01*
+X120315026Y-85594448D01*
+X120303044Y-85574457D01*
+X120293079Y-85553387D01*
+X120285227Y-85531443D01*
+X120279563Y-85508834D01*
+X120276144Y-85485779D01*
+X120275000Y-85462500D01*
+X120275000Y-84887500D01*
+X120276144Y-84864221D01*
+X120279563Y-84841166D01*
+X120285227Y-84818557D01*
+X120293079Y-84796613D01*
+X120303044Y-84775543D01*
+X120315026Y-84755552D01*
+X120328910Y-84736832D01*
+X120344562Y-84719562D01*
+X120361832Y-84703910D01*
+X120380552Y-84690026D01*
+X120400543Y-84678044D01*
+X120421613Y-84668079D01*
+X120443557Y-84660227D01*
+X120466166Y-84654563D01*
+X120489221Y-84651144D01*
+X120512500Y-84650000D01*
+X120987500Y-84650000D01*
+X121010779Y-84651144D01*
+G37*
+G36*
+X100010779Y-80851144D02*
+G01*
+X100033834Y-80854563D01*
+X100056443Y-80860227D01*
+X100078387Y-80868079D01*
+X100099457Y-80878044D01*
+X100119448Y-80890026D01*
+X100138168Y-80903910D01*
+X100155438Y-80919562D01*
+X100171090Y-80936832D01*
+X100184974Y-80955552D01*
+X100196956Y-80975543D01*
+X100206921Y-80996613D01*
+X100214773Y-81018557D01*
+X100220437Y-81041166D01*
+X100223856Y-81064221D01*
+X100225000Y-81087500D01*
+X100225000Y-81662500D01*
+X100223856Y-81685779D01*
+X100220437Y-81708834D01*
+X100214773Y-81731443D01*
+X100206921Y-81753387D01*
+X100196956Y-81774457D01*
+X100184974Y-81794448D01*
+X100171090Y-81813168D01*
+X100155438Y-81830438D01*
+X100138168Y-81846090D01*
+X100119448Y-81859974D01*
+X100099457Y-81871956D01*
+X100078387Y-81881921D01*
+X100056443Y-81889773D01*
+X100033834Y-81895437D01*
+X100010779Y-81898856D01*
+X99987500Y-81900000D01*
+X99512500Y-81900000D01*
+X99489221Y-81898856D01*
+X99466166Y-81895437D01*
+X99443557Y-81889773D01*
+X99421613Y-81881921D01*
+X99400543Y-81871956D01*
+X99380552Y-81859974D01*
+X99361832Y-81846090D01*
+X99344562Y-81830438D01*
+X99328910Y-81813168D01*
+X99315026Y-81794448D01*
+X99303044Y-81774457D01*
+X99293079Y-81753387D01*
+X99285227Y-81731443D01*
+X99279563Y-81708834D01*
+X99276144Y-81685779D01*
+X99275000Y-81662500D01*
+X99275000Y-81087500D01*
+X99276144Y-81064221D01*
+X99279563Y-81041166D01*
+X99285227Y-81018557D01*
+X99293079Y-80996613D01*
+X99303044Y-80975543D01*
+X99315026Y-80955552D01*
+X99328910Y-80936832D01*
+X99344562Y-80919562D01*
+X99361832Y-80903910D01*
+X99380552Y-80890026D01*
+X99400543Y-80878044D01*
+X99421613Y-80868079D01*
+X99443557Y-80860227D01*
+X99466166Y-80854563D01*
+X99489221Y-80851144D01*
+X99512500Y-80850000D01*
+X99987500Y-80850000D01*
+X100010779Y-80851144D01*
+G37*
+G36*
+X100010779Y-79101144D02*
+G01*
+X100033834Y-79104563D01*
+X100056443Y-79110227D01*
+X100078387Y-79118079D01*
+X100099457Y-79128044D01*
+X100119448Y-79140026D01*
+X100138168Y-79153910D01*
+X100155438Y-79169562D01*
+X100171090Y-79186832D01*
+X100184974Y-79205552D01*
+X100196956Y-79225543D01*
+X100206921Y-79246613D01*
+X100214773Y-79268557D01*
+X100220437Y-79291166D01*
+X100223856Y-79314221D01*
+X100225000Y-79337500D01*
+X100225000Y-79912500D01*
+X100223856Y-79935779D01*
+X100220437Y-79958834D01*
+X100214773Y-79981443D01*
+X100206921Y-80003387D01*
+X100196956Y-80024457D01*
+X100184974Y-80044448D01*
+X100171090Y-80063168D01*
+X100155438Y-80080438D01*
+X100138168Y-80096090D01*
+X100119448Y-80109974D01*
+X100099457Y-80121956D01*
+X100078387Y-80131921D01*
+X100056443Y-80139773D01*
+X100033834Y-80145437D01*
+X100010779Y-80148856D01*
+X99987500Y-80150000D01*
+X99512500Y-80150000D01*
+X99489221Y-80148856D01*
+X99466166Y-80145437D01*
+X99443557Y-80139773D01*
+X99421613Y-80131921D01*
+X99400543Y-80121956D01*
+X99380552Y-80109974D01*
+X99361832Y-80096090D01*
+X99344562Y-80080438D01*
+X99328910Y-80063168D01*
+X99315026Y-80044448D01*
+X99303044Y-80024457D01*
+X99293079Y-80003387D01*
+X99285227Y-79981443D01*
+X99279563Y-79958834D01*
+X99276144Y-79935779D01*
+X99275000Y-79912500D01*
+X99275000Y-79337500D01*
+X99276144Y-79314221D01*
+X99279563Y-79291166D01*
+X99285227Y-79268557D01*
+X99293079Y-79246613D01*
+X99303044Y-79225543D01*
+X99315026Y-79205552D01*
+X99328910Y-79186832D01*
+X99344562Y-79169562D01*
+X99361832Y-79153910D01*
+X99380552Y-79140026D01*
+X99400543Y-79128044D01*
+X99421613Y-79118079D01*
+X99443557Y-79110227D01*
+X99466166Y-79104563D01*
+X99489221Y-79101144D01*
+X99512500Y-79100000D01*
+X99987500Y-79100000D01*
+X100010779Y-79101144D01*
+G37*
+G36*
+X102010779Y-78101144D02*
+G01*
+X102033834Y-78104563D01*
+X102056443Y-78110227D01*
+X102078387Y-78118079D01*
+X102099457Y-78128044D01*
+X102119448Y-78140026D01*
+X102138168Y-78153910D01*
+X102155438Y-78169562D01*
+X102171090Y-78186832D01*
+X102184974Y-78205552D01*
+X102196956Y-78225543D01*
+X102206921Y-78246613D01*
+X102214773Y-78268557D01*
+X102220437Y-78291166D01*
+X102223856Y-78314221D01*
+X102225000Y-78337500D01*
+X102225000Y-78912500D01*
+X102223856Y-78935779D01*
+X102220437Y-78958834D01*
+X102214773Y-78981443D01*
+X102206921Y-79003387D01*
+X102196956Y-79024457D01*
+X102184974Y-79044448D01*
+X102171090Y-79063168D01*
+X102155438Y-79080438D01*
+X102138168Y-79096090D01*
+X102119448Y-79109974D01*
+X102099457Y-79121956D01*
+X102078387Y-79131921D01*
+X102056443Y-79139773D01*
+X102033834Y-79145437D01*
+X102010779Y-79148856D01*
+X101987500Y-79150000D01*
+X101512500Y-79150000D01*
+X101489221Y-79148856D01*
+X101466166Y-79145437D01*
+X101443557Y-79139773D01*
+X101421613Y-79131921D01*
+X101400543Y-79121956D01*
+X101380552Y-79109974D01*
+X101361832Y-79096090D01*
+X101344562Y-79080438D01*
+X101328910Y-79063168D01*
+X101315026Y-79044448D01*
+X101303044Y-79024457D01*
+X101293079Y-79003387D01*
+X101285227Y-78981443D01*
+X101279563Y-78958834D01*
+X101276144Y-78935779D01*
+X101275000Y-78912500D01*
+X101275000Y-78337500D01*
+X101276144Y-78314221D01*
+X101279563Y-78291166D01*
+X101285227Y-78268557D01*
+X101293079Y-78246613D01*
+X101303044Y-78225543D01*
+X101315026Y-78205552D01*
+X101328910Y-78186832D01*
+X101344562Y-78169562D01*
+X101361832Y-78153910D01*
+X101380552Y-78140026D01*
+X101400543Y-78128044D01*
+X101421613Y-78118079D01*
+X101443557Y-78110227D01*
+X101466166Y-78104563D01*
+X101489221Y-78101144D01*
+X101512500Y-78100000D01*
+X101987500Y-78100000D01*
+X102010779Y-78101144D01*
+G37*
+G36*
+X102010779Y-76351144D02*
+G01*
+X102033834Y-76354563D01*
+X102056443Y-76360227D01*
+X102078387Y-76368079D01*
+X102099457Y-76378044D01*
+X102119448Y-76390026D01*
+X102138168Y-76403910D01*
+X102155438Y-76419562D01*
+X102171090Y-76436832D01*
+X102184974Y-76455552D01*
+X102196956Y-76475543D01*
+X102206921Y-76496613D01*
+X102214773Y-76518557D01*
+X102220437Y-76541166D01*
+X102223856Y-76564221D01*
+X102225000Y-76587500D01*
+X102225000Y-77162500D01*
+X102223856Y-77185779D01*
+X102220437Y-77208834D01*
+X102214773Y-77231443D01*
+X102206921Y-77253387D01*
+X102196956Y-77274457D01*
+X102184974Y-77294448D01*
+X102171090Y-77313168D01*
+X102155438Y-77330438D01*
+X102138168Y-77346090D01*
+X102119448Y-77359974D01*
+X102099457Y-77371956D01*
+X102078387Y-77381921D01*
+X102056443Y-77389773D01*
+X102033834Y-77395437D01*
+X102010779Y-77398856D01*
+X101987500Y-77400000D01*
+X101512500Y-77400000D01*
+X101489221Y-77398856D01*
+X101466166Y-77395437D01*
+X101443557Y-77389773D01*
+X101421613Y-77381921D01*
+X101400543Y-77371956D01*
+X101380552Y-77359974D01*
+X101361832Y-77346090D01*
+X101344562Y-77330438D01*
+X101328910Y-77313168D01*
+X101315026Y-77294448D01*
+X101303044Y-77274457D01*
+X101293079Y-77253387D01*
+X101285227Y-77231443D01*
+X101279563Y-77208834D01*
+X101276144Y-77185779D01*
+X101275000Y-77162500D01*
+X101275000Y-76587500D01*
+X101276144Y-76564221D01*
+X101279563Y-76541166D01*
+X101285227Y-76518557D01*
+X101293079Y-76496613D01*
+X101303044Y-76475543D01*
+X101315026Y-76455552D01*
+X101328910Y-76436832D01*
+X101344562Y-76419562D01*
+X101361832Y-76403910D01*
+X101380552Y-76390026D01*
+X101400543Y-76378044D01*
+X101421613Y-76368079D01*
+X101443557Y-76360227D01*
+X101466166Y-76354563D01*
+X101489221Y-76351144D01*
+X101512500Y-76350000D01*
+X101987500Y-76350000D01*
+X102010779Y-76351144D01*
+G37*
+G36*
+X97685779Y-68026144D02*
+G01*
+X97708834Y-68029563D01*
+X97731443Y-68035227D01*
+X97753387Y-68043079D01*
+X97774457Y-68053044D01*
+X97794448Y-68065026D01*
+X97813168Y-68078910D01*
+X97830438Y-68094562D01*
+X97846090Y-68111832D01*
+X97859974Y-68130552D01*
+X97871956Y-68150543D01*
+X97881921Y-68171613D01*
+X97889773Y-68193557D01*
+X97895437Y-68216166D01*
+X97898856Y-68239221D01*
+X97900000Y-68262500D01*
+X97900000Y-68737500D01*
+X97898856Y-68760779D01*
+X97895437Y-68783834D01*
+X97889773Y-68806443D01*
+X97881921Y-68828387D01*
+X97871956Y-68849457D01*
+X97859974Y-68869448D01*
+X97846090Y-68888168D01*
+X97830438Y-68905438D01*
+X97813168Y-68921090D01*
+X97794448Y-68934974D01*
+X97774457Y-68946956D01*
+X97753387Y-68956921D01*
+X97731443Y-68964773D01*
+X97708834Y-68970437D01*
+X97685779Y-68973856D01*
+X97662500Y-68975000D01*
+X97087500Y-68975000D01*
+X97064221Y-68973856D01*
+X97041166Y-68970437D01*
+X97018557Y-68964773D01*
+X96996613Y-68956921D01*
+X96975543Y-68946956D01*
+X96955552Y-68934974D01*
+X96936832Y-68921090D01*
+X96919562Y-68905438D01*
+X96903910Y-68888168D01*
+X96890026Y-68869448D01*
+X96878044Y-68849457D01*
+X96868079Y-68828387D01*
+X96860227Y-68806443D01*
+X96854563Y-68783834D01*
+X96851144Y-68760779D01*
+X96850000Y-68737500D01*
+X96850000Y-68262500D01*
+X96851144Y-68239221D01*
+X96854563Y-68216166D01*
+X96860227Y-68193557D01*
+X96868079Y-68171613D01*
+X96878044Y-68150543D01*
+X96890026Y-68130552D01*
+X96903910Y-68111832D01*
+X96919562Y-68094562D01*
+X96936832Y-68078910D01*
+X96955552Y-68065026D01*
+X96975543Y-68053044D01*
+X96996613Y-68043079D01*
+X97018557Y-68035227D01*
+X97041166Y-68029563D01*
+X97064221Y-68026144D01*
+X97087500Y-68025000D01*
+X97662500Y-68025000D01*
+X97685779Y-68026144D01*
+G37*
+G36*
+X99435779Y-68026144D02*
+G01*
+X99458834Y-68029563D01*
+X99481443Y-68035227D01*
+X99503387Y-68043079D01*
+X99524457Y-68053044D01*
+X99544448Y-68065026D01*
+X99563168Y-68078910D01*
+X99580438Y-68094562D01*
+X99596090Y-68111832D01*
+X99609974Y-68130552D01*
+X99621956Y-68150543D01*
+X99631921Y-68171613D01*
+X99639773Y-68193557D01*
+X99645437Y-68216166D01*
+X99648856Y-68239221D01*
+X99650000Y-68262500D01*
+X99650000Y-68737500D01*
+X99648856Y-68760779D01*
+X99645437Y-68783834D01*
+X99639773Y-68806443D01*
+X99631921Y-68828387D01*
+X99621956Y-68849457D01*
+X99609974Y-68869448D01*
+X99596090Y-68888168D01*
+X99580438Y-68905438D01*
+X99563168Y-68921090D01*
+X99544448Y-68934974D01*
+X99524457Y-68946956D01*
+X99503387Y-68956921D01*
+X99481443Y-68964773D01*
+X99458834Y-68970437D01*
+X99435779Y-68973856D01*
+X99412500Y-68975000D01*
+X98837500Y-68975000D01*
+X98814221Y-68973856D01*
+X98791166Y-68970437D01*
+X98768557Y-68964773D01*
+X98746613Y-68956921D01*
+X98725543Y-68946956D01*
+X98705552Y-68934974D01*
+X98686832Y-68921090D01*
+X98669562Y-68905438D01*
+X98653910Y-68888168D01*
+X98640026Y-68869448D01*
+X98628044Y-68849457D01*
+X98618079Y-68828387D01*
+X98610227Y-68806443D01*
+X98604563Y-68783834D01*
+X98601144Y-68760779D01*
+X98600000Y-68737500D01*
+X98600000Y-68262500D01*
+X98601144Y-68239221D01*
+X98604563Y-68216166D01*
+X98610227Y-68193557D01*
+X98618079Y-68171613D01*
+X98628044Y-68150543D01*
+X98640026Y-68130552D01*
+X98653910Y-68111832D01*
+X98669562Y-68094562D01*
+X98686832Y-68078910D01*
+X98705552Y-68065026D01*
+X98725543Y-68053044D01*
+X98746613Y-68043079D01*
+X98768557Y-68035227D01*
+X98791166Y-68029563D01*
+X98814221Y-68026144D01*
+X98837500Y-68025000D01*
+X99412500Y-68025000D01*
+X99435779Y-68026144D01*
+G37*
+G36*
+X98185779Y-77276144D02*
+G01*
+X98208834Y-77279563D01*
+X98231443Y-77285227D01*
+X98253387Y-77293079D01*
+X98274457Y-77303044D01*
+X98294448Y-77315026D01*
+X98313168Y-77328910D01*
+X98330438Y-77344562D01*
+X98346090Y-77361832D01*
+X98359974Y-77380552D01*
+X98371956Y-77400543D01*
+X98381921Y-77421613D01*
+X98389773Y-77443557D01*
+X98395437Y-77466166D01*
+X98398856Y-77489221D01*
+X98400000Y-77512500D01*
+X98400000Y-77987500D01*
+X98398856Y-78010779D01*
+X98395437Y-78033834D01*
+X98389773Y-78056443D01*
+X98381921Y-78078387D01*
+X98371956Y-78099457D01*
+X98359974Y-78119448D01*
+X98346090Y-78138168D01*
+X98330438Y-78155438D01*
+X98313168Y-78171090D01*
+X98294448Y-78184974D01*
+X98274457Y-78196956D01*
+X98253387Y-78206921D01*
+X98231443Y-78214773D01*
+X98208834Y-78220437D01*
+X98185779Y-78223856D01*
+X98162500Y-78225000D01*
+X97587500Y-78225000D01*
+X97564221Y-78223856D01*
+X97541166Y-78220437D01*
+X97518557Y-78214773D01*
+X97496613Y-78206921D01*
+X97475543Y-78196956D01*
+X97455552Y-78184974D01*
+X97436832Y-78171090D01*
+X97419562Y-78155438D01*
+X97403910Y-78138168D01*
+X97390026Y-78119448D01*
+X97378044Y-78099457D01*
+X97368079Y-78078387D01*
+X97360227Y-78056443D01*
+X97354563Y-78033834D01*
+X97351144Y-78010779D01*
+X97350000Y-77987500D01*
+X97350000Y-77512500D01*
+X97351144Y-77489221D01*
+X97354563Y-77466166D01*
+X97360227Y-77443557D01*
+X97368079Y-77421613D01*
+X97378044Y-77400543D01*
+X97390026Y-77380552D01*
+X97403910Y-77361832D01*
+X97419562Y-77344562D01*
+X97436832Y-77328910D01*
+X97455552Y-77315026D01*
+X97475543Y-77303044D01*
+X97496613Y-77293079D01*
+X97518557Y-77285227D01*
+X97541166Y-77279563D01*
+X97564221Y-77276144D01*
+X97587500Y-77275000D01*
+X98162500Y-77275000D01*
+X98185779Y-77276144D01*
+G37*
+G36*
+X99935779Y-77276144D02*
+G01*
+X99958834Y-77279563D01*
+X99981443Y-77285227D01*
+X100003387Y-77293079D01*
+X100024457Y-77303044D01*
+X100044448Y-77315026D01*
+X100063168Y-77328910D01*
+X100080438Y-77344562D01*
+X100096090Y-77361832D01*
+X100109974Y-77380552D01*
+X100121956Y-77400543D01*
+X100131921Y-77421613D01*
+X100139773Y-77443557D01*
+X100145437Y-77466166D01*
+X100148856Y-77489221D01*
+X100150000Y-77512500D01*
+X100150000Y-77987500D01*
+X100148856Y-78010779D01*
+X100145437Y-78033834D01*
+X100139773Y-78056443D01*
+X100131921Y-78078387D01*
+X100121956Y-78099457D01*
+X100109974Y-78119448D01*
+X100096090Y-78138168D01*
+X100080438Y-78155438D01*
+X100063168Y-78171090D01*
+X100044448Y-78184974D01*
+X100024457Y-78196956D01*
+X100003387Y-78206921D01*
+X99981443Y-78214773D01*
+X99958834Y-78220437D01*
+X99935779Y-78223856D01*
+X99912500Y-78225000D01*
+X99337500Y-78225000D01*
+X99314221Y-78223856D01*
+X99291166Y-78220437D01*
+X99268557Y-78214773D01*
+X99246613Y-78206921D01*
+X99225543Y-78196956D01*
+X99205552Y-78184974D01*
+X99186832Y-78171090D01*
+X99169562Y-78155438D01*
+X99153910Y-78138168D01*
+X99140026Y-78119448D01*
+X99128044Y-78099457D01*
+X99118079Y-78078387D01*
+X99110227Y-78056443D01*
+X99104563Y-78033834D01*
+X99101144Y-78010779D01*
+X99100000Y-77987500D01*
+X99100000Y-77512500D01*
+X99101144Y-77489221D01*
+X99104563Y-77466166D01*
+X99110227Y-77443557D01*
+X99118079Y-77421613D01*
+X99128044Y-77400543D01*
+X99140026Y-77380552D01*
+X99153910Y-77361832D01*
+X99169562Y-77344562D01*
+X99186832Y-77328910D01*
+X99205552Y-77315026D01*
+X99225543Y-77303044D01*
+X99246613Y-77293079D01*
+X99268557Y-77285227D01*
+X99291166Y-77279563D01*
+X99314221Y-77276144D01*
+X99337500Y-77275000D01*
+X99912500Y-77275000D01*
+X99935779Y-77276144D01*
+G37*
+G36*
+X130485779Y-85126144D02*
+G01*
+X130508834Y-85129563D01*
+X130531443Y-85135227D01*
+X130553387Y-85143079D01*
+X130574457Y-85153044D01*
+X130594448Y-85165026D01*
+X130613168Y-85178910D01*
+X130630438Y-85194562D01*
+X130646090Y-85211832D01*
+X130659974Y-85230552D01*
+X130671956Y-85250543D01*
+X130681921Y-85271613D01*
+X130689773Y-85293557D01*
+X130695437Y-85316166D01*
+X130698856Y-85339221D01*
+X130700000Y-85362500D01*
+X130700000Y-85837500D01*
+X130698856Y-85860779D01*
+X130695437Y-85883834D01*
+X130689773Y-85906443D01*
+X130681921Y-85928387D01*
+X130671956Y-85949457D01*
+X130659974Y-85969448D01*
+X130646090Y-85988168D01*
+X130630438Y-86005438D01*
+X130613168Y-86021090D01*
+X130594448Y-86034974D01*
+X130574457Y-86046956D01*
+X130553387Y-86056921D01*
+X130531443Y-86064773D01*
+X130508834Y-86070437D01*
+X130485779Y-86073856D01*
+X130462500Y-86075000D01*
+X129887500Y-86075000D01*
+X129864221Y-86073856D01*
+X129841166Y-86070437D01*
+X129818557Y-86064773D01*
+X129796613Y-86056921D01*
+X129775543Y-86046956D01*
+X129755552Y-86034974D01*
+X129736832Y-86021090D01*
+X129719562Y-86005438D01*
+X129703910Y-85988168D01*
+X129690026Y-85969448D01*
+X129678044Y-85949457D01*
+X129668079Y-85928387D01*
+X129660227Y-85906443D01*
+X129654563Y-85883834D01*
+X129651144Y-85860779D01*
+X129650000Y-85837500D01*
+X129650000Y-85362500D01*
+X129651144Y-85339221D01*
+X129654563Y-85316166D01*
+X129660227Y-85293557D01*
+X129668079Y-85271613D01*
+X129678044Y-85250543D01*
+X129690026Y-85230552D01*
+X129703910Y-85211832D01*
+X129719562Y-85194562D01*
+X129736832Y-85178910D01*
+X129755552Y-85165026D01*
+X129775543Y-85153044D01*
+X129796613Y-85143079D01*
+X129818557Y-85135227D01*
+X129841166Y-85129563D01*
+X129864221Y-85126144D01*
+X129887500Y-85125000D01*
+X130462500Y-85125000D01*
+X130485779Y-85126144D01*
+G37*
+G36*
+X132235779Y-85126144D02*
+G01*
+X132258834Y-85129563D01*
+X132281443Y-85135227D01*
+X132303387Y-85143079D01*
+X132324457Y-85153044D01*
+X132344448Y-85165026D01*
+X132363168Y-85178910D01*
+X132380438Y-85194562D01*
+X132396090Y-85211832D01*
+X132409974Y-85230552D01*
+X132421956Y-85250543D01*
+X132431921Y-85271613D01*
+X132439773Y-85293557D01*
+X132445437Y-85316166D01*
+X132448856Y-85339221D01*
+X132450000Y-85362500D01*
+X132450000Y-85837500D01*
+X132448856Y-85860779D01*
+X132445437Y-85883834D01*
+X132439773Y-85906443D01*
+X132431921Y-85928387D01*
+X132421956Y-85949457D01*
+X132409974Y-85969448D01*
+X132396090Y-85988168D01*
+X132380438Y-86005438D01*
+X132363168Y-86021090D01*
+X132344448Y-86034974D01*
+X132324457Y-86046956D01*
+X132303387Y-86056921D01*
+X132281443Y-86064773D01*
+X132258834Y-86070437D01*
+X132235779Y-86073856D01*
+X132212500Y-86075000D01*
+X131637500Y-86075000D01*
+X131614221Y-86073856D01*
+X131591166Y-86070437D01*
+X131568557Y-86064773D01*
+X131546613Y-86056921D01*
+X131525543Y-86046956D01*
+X131505552Y-86034974D01*
+X131486832Y-86021090D01*
+X131469562Y-86005438D01*
+X131453910Y-85988168D01*
+X131440026Y-85969448D01*
+X131428044Y-85949457D01*
+X131418079Y-85928387D01*
+X131410227Y-85906443D01*
+X131404563Y-85883834D01*
+X131401144Y-85860779D01*
+X131400000Y-85837500D01*
+X131400000Y-85362500D01*
+X131401144Y-85339221D01*
+X131404563Y-85316166D01*
+X131410227Y-85293557D01*
+X131418079Y-85271613D01*
+X131428044Y-85250543D01*
+X131440026Y-85230552D01*
+X131453910Y-85211832D01*
+X131469562Y-85194562D01*
+X131486832Y-85178910D01*
+X131505552Y-85165026D01*
+X131525543Y-85153044D01*
+X131546613Y-85143079D01*
+X131568557Y-85135227D01*
+X131591166Y-85129563D01*
+X131614221Y-85126144D01*
+X131637500Y-85125000D01*
+X132212500Y-85125000D01*
+X132235779Y-85126144D01*
+G37*
+G36*
+X86099504Y-84026204D02*
+G01*
+X86123773Y-84029804D01*
+X86147571Y-84035765D01*
+X86170671Y-84044030D01*
+X86192849Y-84054520D01*
+X86213893Y-84067133D01*
+X86233598Y-84081747D01*
+X86251777Y-84098223D01*
+X86268253Y-84116402D01*
+X86282867Y-84136107D01*
+X86295480Y-84157151D01*
+X86305970Y-84179329D01*
+X86314235Y-84202429D01*
+X86320196Y-84226227D01*
+X86323796Y-84250496D01*
+X86325000Y-84275000D01*
+X86325000Y-85025000D01*
+X86323796Y-85049504D01*
+X86320196Y-85073773D01*
+X86314235Y-85097571D01*
+X86305970Y-85120671D01*
+X86295480Y-85142849D01*
+X86282867Y-85163893D01*
+X86268253Y-85183598D01*
+X86251777Y-85201777D01*
+X86233598Y-85218253D01*
+X86213893Y-85232867D01*
+X86192849Y-85245480D01*
+X86170671Y-85255970D01*
+X86147571Y-85264235D01*
+X86123773Y-85270196D01*
+X86099504Y-85273796D01*
+X86075000Y-85275000D01*
+X83925000Y-85275000D01*
+X83900496Y-85273796D01*
+X83876227Y-85270196D01*
+X83852429Y-85264235D01*
+X83829329Y-85255970D01*
+X83807151Y-85245480D01*
+X83786107Y-85232867D01*
+X83766402Y-85218253D01*
+X83748223Y-85201777D01*
+X83731747Y-85183598D01*
+X83717133Y-85163893D01*
+X83704520Y-85142849D01*
+X83694030Y-85120671D01*
+X83685765Y-85097571D01*
+X83679804Y-85073773D01*
+X83676204Y-85049504D01*
+X83675000Y-85025000D01*
+X83675000Y-84275000D01*
+X83676204Y-84250496D01*
+X83679804Y-84226227D01*
+X83685765Y-84202429D01*
+X83694030Y-84179329D01*
+X83704520Y-84157151D01*
+X83717133Y-84136107D01*
+X83731747Y-84116402D01*
+X83748223Y-84098223D01*
+X83766402Y-84081747D01*
+X83786107Y-84067133D01*
+X83807151Y-84054520D01*
+X83829329Y-84044030D01*
+X83852429Y-84035765D01*
+X83876227Y-84029804D01*
+X83900496Y-84026204D01*
+X83925000Y-84025000D01*
+X86075000Y-84025000D01*
+X86099504Y-84026204D01*
+G37*
+G36*
+X86099504Y-81226204D02*
+G01*
+X86123773Y-81229804D01*
+X86147571Y-81235765D01*
+X86170671Y-81244030D01*
+X86192849Y-81254520D01*
+X86213893Y-81267133D01*
+X86233598Y-81281747D01*
+X86251777Y-81298223D01*
+X86268253Y-81316402D01*
+X86282867Y-81336107D01*
+X86295480Y-81357151D01*
+X86305970Y-81379329D01*
+X86314235Y-81402429D01*
+X86320196Y-81426227D01*
+X86323796Y-81450496D01*
+X86325000Y-81475000D01*
+X86325000Y-82225000D01*
+X86323796Y-82249504D01*
+X86320196Y-82273773D01*
+X86314235Y-82297571D01*
+X86305970Y-82320671D01*
+X86295480Y-82342849D01*
+X86282867Y-82363893D01*
+X86268253Y-82383598D01*
+X86251777Y-82401777D01*
+X86233598Y-82418253D01*
+X86213893Y-82432867D01*
+X86192849Y-82445480D01*
+X86170671Y-82455970D01*
+X86147571Y-82464235D01*
+X86123773Y-82470196D01*
+X86099504Y-82473796D01*
+X86075000Y-82475000D01*
+X83925000Y-82475000D01*
+X83900496Y-82473796D01*
+X83876227Y-82470196D01*
+X83852429Y-82464235D01*
+X83829329Y-82455970D01*
+X83807151Y-82445480D01*
+X83786107Y-82432867D01*
+X83766402Y-82418253D01*
+X83748223Y-82401777D01*
+X83731747Y-82383598D01*
+X83717133Y-82363893D01*
+X83704520Y-82342849D01*
+X83694030Y-82320671D01*
+X83685765Y-82297571D01*
+X83679804Y-82273773D01*
+X83676204Y-82249504D01*
+X83675000Y-82225000D01*
+X83675000Y-81475000D01*
+X83676204Y-81450496D01*
+X83679804Y-81426227D01*
+X83685765Y-81402429D01*
+X83694030Y-81379329D01*
+X83704520Y-81357151D01*
+X83717133Y-81336107D01*
+X83731747Y-81316402D01*
+X83748223Y-81298223D01*
+X83766402Y-81281747D01*
+X83786107Y-81267133D01*
+X83807151Y-81254520D01*
+X83829329Y-81244030D01*
+X83852429Y-81235765D01*
+X83876227Y-81229804D01*
+X83900496Y-81226204D01*
+X83925000Y-81225000D01*
+X86075000Y-81225000D01*
+X86099504Y-81226204D01*
+G37*
+D14*
+X96250000Y-72250000D03*
+X100050000Y-72250000D03*
+D15*
+X144650000Y-88300000D03*
+X144650000Y-92300000D03*
+D16*
+X88000000Y-72500000D03*
+X92000000Y-72500000D03*
+D15*
+X131100000Y-88300000D03*
+X131100000Y-92300000D03*
+D10*
+G36*
+X110049504Y-58201204D02*
+G01*
+X110073773Y-58204804D01*
+X110097571Y-58210765D01*
+X110120671Y-58219030D01*
+X110142849Y-58229520D01*
+X110163893Y-58242133D01*
+X110183598Y-58256747D01*
+X110201777Y-58273223D01*
+X110218253Y-58291402D01*
+X110232867Y-58311107D01*
+X110245480Y-58332151D01*
+X110255970Y-58354329D01*
+X110264235Y-58377429D01*
+X110270196Y-58401227D01*
+X110273796Y-58425496D01*
+X110275000Y-58450000D01*
+X110275000Y-59375000D01*
+X110273796Y-59399504D01*
+X110270196Y-59423773D01*
+X110264235Y-59447571D01*
+X110255970Y-59470671D01*
+X110245480Y-59492849D01*
+X110232867Y-59513893D01*
+X110218253Y-59533598D01*
+X110201777Y-59551777D01*
+X110183598Y-59568253D01*
+X110163893Y-59582867D01*
+X110142849Y-59595480D01*
+X110120671Y-59605970D01*
+X110097571Y-59614235D01*
+X110073773Y-59620196D01*
+X110049504Y-59623796D01*
+X110025000Y-59625000D01*
+X108775000Y-59625000D01*
+X108750496Y-59623796D01*
+X108726227Y-59620196D01*
+X108702429Y-59614235D01*
+X108679329Y-59605970D01*
+X108657151Y-59595480D01*
+X108636107Y-59582867D01*
+X108616402Y-59568253D01*
+X108598223Y-59551777D01*
+X108581747Y-59533598D01*
+X108567133Y-59513893D01*
+X108554520Y-59492849D01*
+X108544030Y-59470671D01*
+X108535765Y-59447571D01*
+X108529804Y-59423773D01*
+X108526204Y-59399504D01*
+X108525000Y-59375000D01*
+X108525000Y-58450000D01*
+X108526204Y-58425496D01*
+X108529804Y-58401227D01*
+X108535765Y-58377429D01*
+X108544030Y-58354329D01*
+X108554520Y-58332151D01*
+X108567133Y-58311107D01*
+X108581747Y-58291402D01*
+X108598223Y-58273223D01*
+X108616402Y-58256747D01*
+X108636107Y-58242133D01*
+X108657151Y-58229520D01*
+X108679329Y-58219030D01*
+X108702429Y-58210765D01*
+X108726227Y-58204804D01*
+X108750496Y-58201204D01*
+X108775000Y-58200000D01*
+X110025000Y-58200000D01*
+X110049504Y-58201204D01*
+G37*
+G36*
+X110049504Y-61176204D02*
+G01*
+X110073773Y-61179804D01*
+X110097571Y-61185765D01*
+X110120671Y-61194030D01*
+X110142849Y-61204520D01*
+X110163893Y-61217133D01*
+X110183598Y-61231747D01*
+X110201777Y-61248223D01*
+X110218253Y-61266402D01*
+X110232867Y-61286107D01*
+X110245480Y-61307151D01*
+X110255970Y-61329329D01*
+X110264235Y-61352429D01*
+X110270196Y-61376227D01*
+X110273796Y-61400496D01*
+X110275000Y-61425000D01*
+X110275000Y-62350000D01*
+X110273796Y-62374504D01*
+X110270196Y-62398773D01*
+X110264235Y-62422571D01*
+X110255970Y-62445671D01*
+X110245480Y-62467849D01*
+X110232867Y-62488893D01*
+X110218253Y-62508598D01*
+X110201777Y-62526777D01*
+X110183598Y-62543253D01*
+X110163893Y-62557867D01*
+X110142849Y-62570480D01*
+X110120671Y-62580970D01*
+X110097571Y-62589235D01*
+X110073773Y-62595196D01*
+X110049504Y-62598796D01*
+X110025000Y-62600000D01*
+X108775000Y-62600000D01*
+X108750496Y-62598796D01*
+X108726227Y-62595196D01*
+X108702429Y-62589235D01*
+X108679329Y-62580970D01*
+X108657151Y-62570480D01*
+X108636107Y-62557867D01*
+X108616402Y-62543253D01*
+X108598223Y-62526777D01*
+X108581747Y-62508598D01*
+X108567133Y-62488893D01*
+X108554520Y-62467849D01*
+X108544030Y-62445671D01*
+X108535765Y-62422571D01*
+X108529804Y-62398773D01*
+X108526204Y-62374504D01*
+X108525000Y-62350000D01*
+X108525000Y-61425000D01*
+X108526204Y-61400496D01*
+X108529804Y-61376227D01*
+X108535765Y-61352429D01*
+X108544030Y-61329329D01*
+X108554520Y-61307151D01*
+X108567133Y-61286107D01*
+X108581747Y-61266402D01*
+X108598223Y-61248223D01*
+X108616402Y-61231747D01*
+X108636107Y-61217133D01*
+X108657151Y-61204520D01*
+X108679329Y-61194030D01*
+X108702429Y-61185765D01*
+X108726227Y-61179804D01*
+X108750496Y-61176204D01*
+X108775000Y-61175000D01*
+X110025000Y-61175000D01*
+X110049504Y-61176204D01*
+G37*
+G36*
+X135549504Y-93788704D02*
+G01*
+X135573773Y-93792304D01*
+X135597571Y-93798265D01*
+X135620671Y-93806530D01*
+X135642849Y-93817020D01*
+X135663893Y-93829633D01*
+X135683598Y-93844247D01*
+X135701777Y-93860723D01*
+X135718253Y-93878902D01*
+X135732867Y-93898607D01*
+X135745480Y-93919651D01*
+X135755970Y-93941829D01*
+X135764235Y-93964929D01*
+X135770196Y-93988727D01*
+X135773796Y-94012996D01*
+X135775000Y-94037500D01*
+X135775000Y-94962500D01*
+X135773796Y-94987004D01*
+X135770196Y-95011273D01*
+X135764235Y-95035071D01*
+X135755970Y-95058171D01*
+X135745480Y-95080349D01*
+X135732867Y-95101393D01*
+X135718253Y-95121098D01*
+X135701777Y-95139277D01*
+X135683598Y-95155753D01*
+X135663893Y-95170367D01*
+X135642849Y-95182980D01*
+X135620671Y-95193470D01*
+X135597571Y-95201735D01*
+X135573773Y-95207696D01*
+X135549504Y-95211296D01*
+X135525000Y-95212500D01*
+X134275000Y-95212500D01*
+X134250496Y-95211296D01*
+X134226227Y-95207696D01*
+X134202429Y-95201735D01*
+X134179329Y-95193470D01*
+X134157151Y-95182980D01*
+X134136107Y-95170367D01*
+X134116402Y-95155753D01*
+X134098223Y-95139277D01*
+X134081747Y-95121098D01*
+X134067133Y-95101393D01*
+X134054520Y-95080349D01*
+X134044030Y-95058171D01*
+X134035765Y-95035071D01*
+X134029804Y-95011273D01*
+X134026204Y-94987004D01*
+X134025000Y-94962500D01*
+X134025000Y-94037500D01*
+X134026204Y-94012996D01*
+X134029804Y-93988727D01*
+X134035765Y-93964929D01*
+X134044030Y-93941829D01*
+X134054520Y-93919651D01*
+X134067133Y-93898607D01*
+X134081747Y-93878902D01*
+X134098223Y-93860723D01*
+X134116402Y-93844247D01*
+X134136107Y-93829633D01*
+X134157151Y-93817020D01*
+X134179329Y-93806530D01*
+X134202429Y-93798265D01*
+X134226227Y-93792304D01*
+X134250496Y-93788704D01*
+X134275000Y-93787500D01*
+X135525000Y-93787500D01*
+X135549504Y-93788704D01*
+G37*
+G36*
+X135549504Y-90813704D02*
+G01*
+X135573773Y-90817304D01*
+X135597571Y-90823265D01*
+X135620671Y-90831530D01*
+X135642849Y-90842020D01*
+X135663893Y-90854633D01*
+X135683598Y-90869247D01*
+X135701777Y-90885723D01*
+X135718253Y-90903902D01*
+X135732867Y-90923607D01*
+X135745480Y-90944651D01*
+X135755970Y-90966829D01*
+X135764235Y-90989929D01*
+X135770196Y-91013727D01*
+X135773796Y-91037996D01*
+X135775000Y-91062500D01*
+X135775000Y-91987500D01*
+X135773796Y-92012004D01*
+X135770196Y-92036273D01*
+X135764235Y-92060071D01*
+X135755970Y-92083171D01*
+X135745480Y-92105349D01*
+X135732867Y-92126393D01*
+X135718253Y-92146098D01*
+X135701777Y-92164277D01*
+X135683598Y-92180753D01*
+X135663893Y-92195367D01*
+X135642849Y-92207980D01*
+X135620671Y-92218470D01*
+X135597571Y-92226735D01*
+X135573773Y-92232696D01*
+X135549504Y-92236296D01*
+X135525000Y-92237500D01*
+X134275000Y-92237500D01*
+X134250496Y-92236296D01*
+X134226227Y-92232696D01*
+X134202429Y-92226735D01*
+X134179329Y-92218470D01*
+X134157151Y-92207980D01*
+X134136107Y-92195367D01*
+X134116402Y-92180753D01*
+X134098223Y-92164277D01*
+X134081747Y-92146098D01*
+X134067133Y-92126393D01*
+X134054520Y-92105349D01*
+X134044030Y-92083171D01*
+X134035765Y-92060071D01*
+X134029804Y-92036273D01*
+X134026204Y-92012004D01*
+X134025000Y-91987500D01*
+X134025000Y-91062500D01*
+X134026204Y-91037996D01*
+X134029804Y-91013727D01*
+X134035765Y-90989929D01*
+X134044030Y-90966829D01*
+X134054520Y-90944651D01*
+X134067133Y-90923607D01*
+X134081747Y-90903902D01*
+X134098223Y-90885723D01*
+X134116402Y-90869247D01*
+X134136107Y-90854633D01*
+X134157151Y-90842020D01*
+X134179329Y-90831530D01*
+X134202429Y-90823265D01*
+X134226227Y-90817304D01*
+X134250496Y-90813704D01*
+X134275000Y-90812500D01*
+X135525000Y-90812500D01*
+X135549504Y-90813704D01*
+G37*
+G36*
+X98010779Y-80851144D02*
+G01*
+X98033834Y-80854563D01*
+X98056443Y-80860227D01*
+X98078387Y-80868079D01*
+X98099457Y-80878044D01*
+X98119448Y-80890026D01*
+X98138168Y-80903910D01*
+X98155438Y-80919562D01*
+X98171090Y-80936832D01*
+X98184974Y-80955552D01*
+X98196956Y-80975543D01*
+X98206921Y-80996613D01*
+X98214773Y-81018557D01*
+X98220437Y-81041166D01*
+X98223856Y-81064221D01*
+X98225000Y-81087500D01*
+X98225000Y-81662500D01*
+X98223856Y-81685779D01*
+X98220437Y-81708834D01*
+X98214773Y-81731443D01*
+X98206921Y-81753387D01*
+X98196956Y-81774457D01*
+X98184974Y-81794448D01*
+X98171090Y-81813168D01*
+X98155438Y-81830438D01*
+X98138168Y-81846090D01*
+X98119448Y-81859974D01*
+X98099457Y-81871956D01*
+X98078387Y-81881921D01*
+X98056443Y-81889773D01*
+X98033834Y-81895437D01*
+X98010779Y-81898856D01*
+X97987500Y-81900000D01*
+X97512500Y-81900000D01*
+X97489221Y-81898856D01*
+X97466166Y-81895437D01*
+X97443557Y-81889773D01*
+X97421613Y-81881921D01*
+X97400543Y-81871956D01*
+X97380552Y-81859974D01*
+X97361832Y-81846090D01*
+X97344562Y-81830438D01*
+X97328910Y-81813168D01*
+X97315026Y-81794448D01*
+X97303044Y-81774457D01*
+X97293079Y-81753387D01*
+X97285227Y-81731443D01*
+X97279563Y-81708834D01*
+X97276144Y-81685779D01*
+X97275000Y-81662500D01*
+X97275000Y-81087500D01*
+X97276144Y-81064221D01*
+X97279563Y-81041166D01*
+X97285227Y-81018557D01*
+X97293079Y-80996613D01*
+X97303044Y-80975543D01*
+X97315026Y-80955552D01*
+X97328910Y-80936832D01*
+X97344562Y-80919562D01*
+X97361832Y-80903910D01*
+X97380552Y-80890026D01*
+X97400543Y-80878044D01*
+X97421613Y-80868079D01*
+X97443557Y-80860227D01*
+X97466166Y-80854563D01*
+X97489221Y-80851144D01*
+X97512500Y-80850000D01*
+X97987500Y-80850000D01*
+X98010779Y-80851144D01*
+G37*
+G36*
+X98010779Y-79101144D02*
+G01*
+X98033834Y-79104563D01*
+X98056443Y-79110227D01*
+X98078387Y-79118079D01*
+X98099457Y-79128044D01*
+X98119448Y-79140026D01*
+X98138168Y-79153910D01*
+X98155438Y-79169562D01*
+X98171090Y-79186832D01*
+X98184974Y-79205552D01*
+X98196956Y-79225543D01*
+X98206921Y-79246613D01*
+X98214773Y-79268557D01*
+X98220437Y-79291166D01*
+X98223856Y-79314221D01*
+X98225000Y-79337500D01*
+X98225000Y-79912500D01*
+X98223856Y-79935779D01*
+X98220437Y-79958834D01*
+X98214773Y-79981443D01*
+X98206921Y-80003387D01*
+X98196956Y-80024457D01*
+X98184974Y-80044448D01*
+X98171090Y-80063168D01*
+X98155438Y-80080438D01*
+X98138168Y-80096090D01*
+X98119448Y-80109974D01*
+X98099457Y-80121956D01*
+X98078387Y-80131921D01*
+X98056443Y-80139773D01*
+X98033834Y-80145437D01*
+X98010779Y-80148856D01*
+X97987500Y-80150000D01*
+X97512500Y-80150000D01*
+X97489221Y-80148856D01*
+X97466166Y-80145437D01*
+X97443557Y-80139773D01*
+X97421613Y-80131921D01*
+X97400543Y-80121956D01*
+X97380552Y-80109974D01*
+X97361832Y-80096090D01*
+X97344562Y-80080438D01*
+X97328910Y-80063168D01*
+X97315026Y-80044448D01*
+X97303044Y-80024457D01*
+X97293079Y-80003387D01*
+X97285227Y-79981443D01*
+X97279563Y-79958834D01*
+X97276144Y-79935779D01*
+X97275000Y-79912500D01*
+X97275000Y-79337500D01*
+X97276144Y-79314221D01*
+X97279563Y-79291166D01*
+X97285227Y-79268557D01*
+X97293079Y-79246613D01*
+X97303044Y-79225543D01*
+X97315026Y-79205552D01*
+X97328910Y-79186832D01*
+X97344562Y-79169562D01*
+X97361832Y-79153910D01*
+X97380552Y-79140026D01*
+X97400543Y-79128044D01*
+X97421613Y-79118079D01*
+X97443557Y-79110227D01*
+X97466166Y-79104563D01*
+X97489221Y-79101144D01*
+X97512500Y-79100000D01*
+X97987500Y-79100000D01*
+X98010779Y-79101144D01*
+G37*
+G36*
+X98185779Y-75526144D02*
+G01*
+X98208834Y-75529563D01*
+X98231443Y-75535227D01*
+X98253387Y-75543079D01*
+X98274457Y-75553044D01*
+X98294448Y-75565026D01*
+X98313168Y-75578910D01*
+X98330438Y-75594562D01*
+X98346090Y-75611832D01*
+X98359974Y-75630552D01*
+X98371956Y-75650543D01*
+X98381921Y-75671613D01*
+X98389773Y-75693557D01*
+X98395437Y-75716166D01*
+X98398856Y-75739221D01*
+X98400000Y-75762500D01*
+X98400000Y-76237500D01*
+X98398856Y-76260779D01*
+X98395437Y-76283834D01*
+X98389773Y-76306443D01*
+X98381921Y-76328387D01*
+X98371956Y-76349457D01*
+X98359974Y-76369448D01*
+X98346090Y-76388168D01*
+X98330438Y-76405438D01*
+X98313168Y-76421090D01*
+X98294448Y-76434974D01*
+X98274457Y-76446956D01*
+X98253387Y-76456921D01*
+X98231443Y-76464773D01*
+X98208834Y-76470437D01*
+X98185779Y-76473856D01*
+X98162500Y-76475000D01*
+X97587500Y-76475000D01*
+X97564221Y-76473856D01*
+X97541166Y-76470437D01*
+X97518557Y-76464773D01*
+X97496613Y-76456921D01*
+X97475543Y-76446956D01*
+X97455552Y-76434974D01*
+X97436832Y-76421090D01*
+X97419562Y-76405438D01*
+X97403910Y-76388168D01*
+X97390026Y-76369448D01*
+X97378044Y-76349457D01*
+X97368079Y-76328387D01*
+X97360227Y-76306443D01*
+X97354563Y-76283834D01*
+X97351144Y-76260779D01*
+X97350000Y-76237500D01*
+X97350000Y-75762500D01*
+X97351144Y-75739221D01*
+X97354563Y-75716166D01*
+X97360227Y-75693557D01*
+X97368079Y-75671613D01*
+X97378044Y-75650543D01*
+X97390026Y-75630552D01*
+X97403910Y-75611832D01*
+X97419562Y-75594562D01*
+X97436832Y-75578910D01*
+X97455552Y-75565026D01*
+X97475543Y-75553044D01*
+X97496613Y-75543079D01*
+X97518557Y-75535227D01*
+X97541166Y-75529563D01*
+X97564221Y-75526144D01*
+X97587500Y-75525000D01*
+X98162500Y-75525000D01*
+X98185779Y-75526144D01*
+G37*
+G36*
+X99935779Y-75526144D02*
+G01*
+X99958834Y-75529563D01*
+X99981443Y-75535227D01*
+X100003387Y-75543079D01*
+X100024457Y-75553044D01*
+X100044448Y-75565026D01*
+X100063168Y-75578910D01*
+X100080438Y-75594562D01*
+X100096090Y-75611832D01*
+X100109974Y-75630552D01*
+X100121956Y-75650543D01*
+X100131921Y-75671613D01*
+X100139773Y-75693557D01*
+X100145437Y-75716166D01*
+X100148856Y-75739221D01*
+X100150000Y-75762500D01*
+X100150000Y-76237500D01*
+X100148856Y-76260779D01*
+X100145437Y-76283834D01*
+X100139773Y-76306443D01*
+X100131921Y-76328387D01*
+X100121956Y-76349457D01*
+X100109974Y-76369448D01*
+X100096090Y-76388168D01*
+X100080438Y-76405438D01*
+X100063168Y-76421090D01*
+X100044448Y-76434974D01*
+X100024457Y-76446956D01*
+X100003387Y-76456921D01*
+X99981443Y-76464773D01*
+X99958834Y-76470437D01*
+X99935779Y-76473856D01*
+X99912500Y-76475000D01*
+X99337500Y-76475000D01*
+X99314221Y-76473856D01*
+X99291166Y-76470437D01*
+X99268557Y-76464773D01*
+X99246613Y-76456921D01*
+X99225543Y-76446956D01*
+X99205552Y-76434974D01*
+X99186832Y-76421090D01*
+X99169562Y-76405438D01*
+X99153910Y-76388168D01*
+X99140026Y-76369448D01*
+X99128044Y-76349457D01*
+X99118079Y-76328387D01*
+X99110227Y-76306443D01*
+X99104563Y-76283834D01*
+X99101144Y-76260779D01*
+X99100000Y-76237500D01*
+X99100000Y-75762500D01*
+X99101144Y-75739221D01*
+X99104563Y-75716166D01*
+X99110227Y-75693557D01*
+X99118079Y-75671613D01*
+X99128044Y-75650543D01*
+X99140026Y-75630552D01*
+X99153910Y-75611832D01*
+X99169562Y-75594562D01*
+X99186832Y-75578910D01*
+X99205552Y-75565026D01*
+X99225543Y-75553044D01*
+X99246613Y-75543079D01*
+X99268557Y-75535227D01*
+X99291166Y-75529563D01*
+X99314221Y-75526144D01*
+X99337500Y-75525000D01*
+X99912500Y-75525000D01*
+X99935779Y-75526144D01*
+G37*
+G36*
+X89835779Y-81226144D02*
+G01*
+X89858834Y-81229563D01*
+X89881443Y-81235227D01*
+X89903387Y-81243079D01*
+X89924457Y-81253044D01*
+X89944448Y-81265026D01*
+X89963168Y-81278910D01*
+X89980438Y-81294562D01*
+X89996090Y-81311832D01*
+X90009974Y-81330552D01*
+X90021956Y-81350543D01*
+X90031921Y-81371613D01*
+X90039773Y-81393557D01*
+X90045437Y-81416166D01*
+X90048856Y-81439221D01*
+X90050000Y-81462500D01*
+X90050000Y-81937500D01*
+X90048856Y-81960779D01*
+X90045437Y-81983834D01*
+X90039773Y-82006443D01*
+X90031921Y-82028387D01*
+X90021956Y-82049457D01*
+X90009974Y-82069448D01*
+X89996090Y-82088168D01*
+X89980438Y-82105438D01*
+X89963168Y-82121090D01*
+X89944448Y-82134974D01*
+X89924457Y-82146956D01*
+X89903387Y-82156921D01*
+X89881443Y-82164773D01*
+X89858834Y-82170437D01*
+X89835779Y-82173856D01*
+X89812500Y-82175000D01*
+X89237500Y-82175000D01*
+X89214221Y-82173856D01*
+X89191166Y-82170437D01*
+X89168557Y-82164773D01*
+X89146613Y-82156921D01*
+X89125543Y-82146956D01*
+X89105552Y-82134974D01*
+X89086832Y-82121090D01*
+X89069562Y-82105438D01*
+X89053910Y-82088168D01*
+X89040026Y-82069448D01*
+X89028044Y-82049457D01*
+X89018079Y-82028387D01*
+X89010227Y-82006443D01*
+X89004563Y-81983834D01*
+X89001144Y-81960779D01*
+X89000000Y-81937500D01*
+X89000000Y-81462500D01*
+X89001144Y-81439221D01*
+X89004563Y-81416166D01*
+X89010227Y-81393557D01*
+X89018079Y-81371613D01*
+X89028044Y-81350543D01*
+X89040026Y-81330552D01*
+X89053910Y-81311832D01*
+X89069562Y-81294562D01*
+X89086832Y-81278910D01*
+X89105552Y-81265026D01*
+X89125543Y-81253044D01*
+X89146613Y-81243079D01*
+X89168557Y-81235227D01*
+X89191166Y-81229563D01*
+X89214221Y-81226144D01*
+X89237500Y-81225000D01*
+X89812500Y-81225000D01*
+X89835779Y-81226144D01*
+G37*
+G36*
+X88085779Y-81226144D02*
+G01*
+X88108834Y-81229563D01*
+X88131443Y-81235227D01*
+X88153387Y-81243079D01*
+X88174457Y-81253044D01*
+X88194448Y-81265026D01*
+X88213168Y-81278910D01*
+X88230438Y-81294562D01*
+X88246090Y-81311832D01*
+X88259974Y-81330552D01*
+X88271956Y-81350543D01*
+X88281921Y-81371613D01*
+X88289773Y-81393557D01*
+X88295437Y-81416166D01*
+X88298856Y-81439221D01*
+X88300000Y-81462500D01*
+X88300000Y-81937500D01*
+X88298856Y-81960779D01*
+X88295437Y-81983834D01*
+X88289773Y-82006443D01*
+X88281921Y-82028387D01*
+X88271956Y-82049457D01*
+X88259974Y-82069448D01*
+X88246090Y-82088168D01*
+X88230438Y-82105438D01*
+X88213168Y-82121090D01*
+X88194448Y-82134974D01*
+X88174457Y-82146956D01*
+X88153387Y-82156921D01*
+X88131443Y-82164773D01*
+X88108834Y-82170437D01*
+X88085779Y-82173856D01*
+X88062500Y-82175000D01*
+X87487500Y-82175000D01*
+X87464221Y-82173856D01*
+X87441166Y-82170437D01*
+X87418557Y-82164773D01*
+X87396613Y-82156921D01*
+X87375543Y-82146956D01*
+X87355552Y-82134974D01*
+X87336832Y-82121090D01*
+X87319562Y-82105438D01*
+X87303910Y-82088168D01*
+X87290026Y-82069448D01*
+X87278044Y-82049457D01*
+X87268079Y-82028387D01*
+X87260227Y-82006443D01*
+X87254563Y-81983834D01*
+X87251144Y-81960779D01*
+X87250000Y-81937500D01*
+X87250000Y-81462500D01*
+X87251144Y-81439221D01*
+X87254563Y-81416166D01*
+X87260227Y-81393557D01*
+X87268079Y-81371613D01*
+X87278044Y-81350543D01*
+X87290026Y-81330552D01*
+X87303910Y-81311832D01*
+X87319562Y-81294562D01*
+X87336832Y-81278910D01*
+X87355552Y-81265026D01*
+X87375543Y-81253044D01*
+X87396613Y-81243079D01*
+X87418557Y-81235227D01*
+X87441166Y-81229563D01*
+X87464221Y-81226144D01*
+X87487500Y-81225000D01*
+X88062500Y-81225000D01*
+X88085779Y-81226144D01*
+G37*
+D11*
+X99700000Y-88250000D03*
+X99700000Y-86350000D03*
+D17*
+X103600000Y-90050000D03*
+X103600000Y-91950000D03*
+X101400000Y-91950000D03*
+X101400000Y-91000000D03*
+X101400000Y-90050000D03*
+D11*
+X138550000Y-76900000D03*
+X138550000Y-75000000D03*
+X131000000Y-72300000D03*
+X131000000Y-74200000D03*
+D18*
+X132845000Y-73050000D03*
+X134115000Y-73050000D03*
+X135385000Y-73050000D03*
+X136655000Y-73050000D03*
+X136655000Y-78450000D03*
+X135385000Y-78450000D03*
+X134115000Y-78450000D03*
+X132845000Y-78450000D03*
+D10*
+G36*
+X159510779Y-63851144D02*
+G01*
+X159533834Y-63854563D01*
+X159556443Y-63860227D01*
+X159578387Y-63868079D01*
+X159599457Y-63878044D01*
+X159619448Y-63890026D01*
+X159638168Y-63903910D01*
+X159655438Y-63919562D01*
+X159671090Y-63936832D01*
+X159684974Y-63955552D01*
+X159696956Y-63975543D01*
+X159706921Y-63996613D01*
+X159714773Y-64018557D01*
+X159720437Y-64041166D01*
+X159723856Y-64064221D01*
+X159725000Y-64087500D01*
+X159725000Y-64662500D01*
+X159723856Y-64685779D01*
+X159720437Y-64708834D01*
+X159714773Y-64731443D01*
+X159706921Y-64753387D01*
+X159696956Y-64774457D01*
+X159684974Y-64794448D01*
+X159671090Y-64813168D01*
+X159655438Y-64830438D01*
+X159638168Y-64846090D01*
+X159619448Y-64859974D01*
+X159599457Y-64871956D01*
+X159578387Y-64881921D01*
+X159556443Y-64889773D01*
+X159533834Y-64895437D01*
+X159510779Y-64898856D01*
+X159487500Y-64900000D01*
+X159012500Y-64900000D01*
+X158989221Y-64898856D01*
+X158966166Y-64895437D01*
+X158943557Y-64889773D01*
+X158921613Y-64881921D01*
+X158900543Y-64871956D01*
+X158880552Y-64859974D01*
+X158861832Y-64846090D01*
+X158844562Y-64830438D01*
+X158828910Y-64813168D01*
+X158815026Y-64794448D01*
+X158803044Y-64774457D01*
+X158793079Y-64753387D01*
+X158785227Y-64731443D01*
+X158779563Y-64708834D01*
+X158776144Y-64685779D01*
+X158775000Y-64662500D01*
+X158775000Y-64087500D01*
+X158776144Y-64064221D01*
+X158779563Y-64041166D01*
+X158785227Y-64018557D01*
+X158793079Y-63996613D01*
+X158803044Y-63975543D01*
+X158815026Y-63955552D01*
+X158828910Y-63936832D01*
+X158844562Y-63919562D01*
+X158861832Y-63903910D01*
+X158880552Y-63890026D01*
+X158900543Y-63878044D01*
+X158921613Y-63868079D01*
+X158943557Y-63860227D01*
+X158966166Y-63854563D01*
+X158989221Y-63851144D01*
+X159012500Y-63850000D01*
+X159487500Y-63850000D01*
+X159510779Y-63851144D01*
+G37*
+G36*
+X159510779Y-65601144D02*
+G01*
+X159533834Y-65604563D01*
+X159556443Y-65610227D01*
+X159578387Y-65618079D01*
+X159599457Y-65628044D01*
+X159619448Y-65640026D01*
+X159638168Y-65653910D01*
+X159655438Y-65669562D01*
+X159671090Y-65686832D01*
+X159684974Y-65705552D01*
+X159696956Y-65725543D01*
+X159706921Y-65746613D01*
+X159714773Y-65768557D01*
+X159720437Y-65791166D01*
+X159723856Y-65814221D01*
+X159725000Y-65837500D01*
+X159725000Y-66412500D01*
+X159723856Y-66435779D01*
+X159720437Y-66458834D01*
+X159714773Y-66481443D01*
+X159706921Y-66503387D01*
+X159696956Y-66524457D01*
+X159684974Y-66544448D01*
+X159671090Y-66563168D01*
+X159655438Y-66580438D01*
+X159638168Y-66596090D01*
+X159619448Y-66609974D01*
+X159599457Y-66621956D01*
+X159578387Y-66631921D01*
+X159556443Y-66639773D01*
+X159533834Y-66645437D01*
+X159510779Y-66648856D01*
+X159487500Y-66650000D01*
+X159012500Y-66650000D01*
+X158989221Y-66648856D01*
+X158966166Y-66645437D01*
+X158943557Y-66639773D01*
+X158921613Y-66631921D01*
+X158900543Y-66621956D01*
+X158880552Y-66609974D01*
+X158861832Y-66596090D01*
+X158844562Y-66580438D01*
+X158828910Y-66563168D01*
+X158815026Y-66544448D01*
+X158803044Y-66524457D01*
+X158793079Y-66503387D01*
+X158785227Y-66481443D01*
+X158779563Y-66458834D01*
+X158776144Y-66435779D01*
+X158775000Y-66412500D01*
+X158775000Y-65837500D01*
+X158776144Y-65814221D01*
+X158779563Y-65791166D01*
+X158785227Y-65768557D01*
+X158793079Y-65746613D01*
+X158803044Y-65725543D01*
+X158815026Y-65705552D01*
+X158828910Y-65686832D01*
+X158844562Y-65669562D01*
+X158861832Y-65653910D01*
+X158880552Y-65640026D01*
+X158900543Y-65628044D01*
+X158921613Y-65618079D01*
+X158943557Y-65610227D01*
+X158966166Y-65604563D01*
+X158989221Y-65601144D01*
+X159012500Y-65600000D01*
+X159487500Y-65600000D01*
+X159510779Y-65601144D01*
+G37*
+G36*
+X99760779Y-91351144D02*
+G01*
+X99783834Y-91354563D01*
+X99806443Y-91360227D01*
+X99828387Y-91368079D01*
+X99849457Y-91378044D01*
+X99869448Y-91390026D01*
+X99888168Y-91403910D01*
+X99905438Y-91419562D01*
+X99921090Y-91436832D01*
+X99934974Y-91455552D01*
+X99946956Y-91475543D01*
+X99956921Y-91496613D01*
+X99964773Y-91518557D01*
+X99970437Y-91541166D01*
+X99973856Y-91564221D01*
+X99975000Y-91587500D01*
+X99975000Y-92162500D01*
+X99973856Y-92185779D01*
+X99970437Y-92208834D01*
+X99964773Y-92231443D01*
+X99956921Y-92253387D01*
+X99946956Y-92274457D01*
+X99934974Y-92294448D01*
+X99921090Y-92313168D01*
+X99905438Y-92330438D01*
+X99888168Y-92346090D01*
+X99869448Y-92359974D01*
+X99849457Y-92371956D01*
+X99828387Y-92381921D01*
+X99806443Y-92389773D01*
+X99783834Y-92395437D01*
+X99760779Y-92398856D01*
+X99737500Y-92400000D01*
+X99262500Y-92400000D01*
+X99239221Y-92398856D01*
+X99216166Y-92395437D01*
+X99193557Y-92389773D01*
+X99171613Y-92381921D01*
+X99150543Y-92371956D01*
+X99130552Y-92359974D01*
+X99111832Y-92346090D01*
+X99094562Y-92330438D01*
+X99078910Y-92313168D01*
+X99065026Y-92294448D01*
+X99053044Y-92274457D01*
+X99043079Y-92253387D01*
+X99035227Y-92231443D01*
+X99029563Y-92208834D01*
+X99026144Y-92185779D01*
+X99025000Y-92162500D01*
+X99025000Y-91587500D01*
+X99026144Y-91564221D01*
+X99029563Y-91541166D01*
+X99035227Y-91518557D01*
+X99043079Y-91496613D01*
+X99053044Y-91475543D01*
+X99065026Y-91455552D01*
+X99078910Y-91436832D01*
+X99094562Y-91419562D01*
+X99111832Y-91403910D01*
+X99130552Y-91390026D01*
+X99150543Y-91378044D01*
+X99171613Y-91368079D01*
+X99193557Y-91360227D01*
+X99216166Y-91354563D01*
+X99239221Y-91351144D01*
+X99262500Y-91350000D01*
+X99737500Y-91350000D01*
+X99760779Y-91351144D01*
+G37*
+G36*
+X99760779Y-89601144D02*
+G01*
+X99783834Y-89604563D01*
+X99806443Y-89610227D01*
+X99828387Y-89618079D01*
+X99849457Y-89628044D01*
+X99869448Y-89640026D01*
+X99888168Y-89653910D01*
+X99905438Y-89669562D01*
+X99921090Y-89686832D01*
+X99934974Y-89705552D01*
+X99946956Y-89725543D01*
+X99956921Y-89746613D01*
+X99964773Y-89768557D01*
+X99970437Y-89791166D01*
+X99973856Y-89814221D01*
+X99975000Y-89837500D01*
+X99975000Y-90412500D01*
+X99973856Y-90435779D01*
+X99970437Y-90458834D01*
+X99964773Y-90481443D01*
+X99956921Y-90503387D01*
+X99946956Y-90524457D01*
+X99934974Y-90544448D01*
+X99921090Y-90563168D01*
+X99905438Y-90580438D01*
+X99888168Y-90596090D01*
+X99869448Y-90609974D01*
+X99849457Y-90621956D01*
+X99828387Y-90631921D01*
+X99806443Y-90639773D01*
+X99783834Y-90645437D01*
+X99760779Y-90648856D01*
+X99737500Y-90650000D01*
+X99262500Y-90650000D01*
+X99239221Y-90648856D01*
+X99216166Y-90645437D01*
+X99193557Y-90639773D01*
+X99171613Y-90631921D01*
+X99150543Y-90621956D01*
+X99130552Y-90609974D01*
+X99111832Y-90596090D01*
+X99094562Y-90580438D01*
+X99078910Y-90563168D01*
+X99065026Y-90544448D01*
+X99053044Y-90524457D01*
+X99043079Y-90503387D01*
+X99035227Y-90481443D01*
+X99029563Y-90458834D01*
+X99026144Y-90435779D01*
+X99025000Y-90412500D01*
+X99025000Y-89837500D01*
+X99026144Y-89814221D01*
+X99029563Y-89791166D01*
+X99035227Y-89768557D01*
+X99043079Y-89746613D01*
+X99053044Y-89725543D01*
+X99065026Y-89705552D01*
+X99078910Y-89686832D01*
+X99094562Y-89669562D01*
+X99111832Y-89653910D01*
+X99130552Y-89640026D01*
+X99150543Y-89628044D01*
+X99171613Y-89618079D01*
+X99193557Y-89610227D01*
+X99216166Y-89604563D01*
+X99239221Y-89601144D01*
+X99262500Y-89600000D01*
+X99737500Y-89600000D01*
+X99760779Y-89601144D01*
+G37*
+G36*
+X107385779Y-91476144D02*
+G01*
+X107408834Y-91479563D01*
+X107431443Y-91485227D01*
+X107453387Y-91493079D01*
+X107474457Y-91503044D01*
+X107494448Y-91515026D01*
+X107513168Y-91528910D01*
+X107530438Y-91544562D01*
+X107546090Y-91561832D01*
+X107559974Y-91580552D01*
+X107571956Y-91600543D01*
+X107581921Y-91621613D01*
+X107589773Y-91643557D01*
+X107595437Y-91666166D01*
+X107598856Y-91689221D01*
+X107600000Y-91712500D01*
+X107600000Y-92187500D01*
+X107598856Y-92210779D01*
+X107595437Y-92233834D01*
+X107589773Y-92256443D01*
+X107581921Y-92278387D01*
+X107571956Y-92299457D01*
+X107559974Y-92319448D01*
+X107546090Y-92338168D01*
+X107530438Y-92355438D01*
+X107513168Y-92371090D01*
+X107494448Y-92384974D01*
+X107474457Y-92396956D01*
+X107453387Y-92406921D01*
+X107431443Y-92414773D01*
+X107408834Y-92420437D01*
+X107385779Y-92423856D01*
+X107362500Y-92425000D01*
+X106787500Y-92425000D01*
+X106764221Y-92423856D01*
+X106741166Y-92420437D01*
+X106718557Y-92414773D01*
+X106696613Y-92406921D01*
+X106675543Y-92396956D01*
+X106655552Y-92384974D01*
+X106636832Y-92371090D01*
+X106619562Y-92355438D01*
+X106603910Y-92338168D01*
+X106590026Y-92319448D01*
+X106578044Y-92299457D01*
+X106568079Y-92278387D01*
+X106560227Y-92256443D01*
+X106554563Y-92233834D01*
+X106551144Y-92210779D01*
+X106550000Y-92187500D01*
+X106550000Y-91712500D01*
+X106551144Y-91689221D01*
+X106554563Y-91666166D01*
+X106560227Y-91643557D01*
+X106568079Y-91621613D01*
+X106578044Y-91600543D01*
+X106590026Y-91580552D01*
+X106603910Y-91561832D01*
+X106619562Y-91544562D01*
+X106636832Y-91528910D01*
+X106655552Y-91515026D01*
+X106675543Y-91503044D01*
+X106696613Y-91493079D01*
+X106718557Y-91485227D01*
+X106741166Y-91479563D01*
+X106764221Y-91476144D01*
+X106787500Y-91475000D01*
+X107362500Y-91475000D01*
+X107385779Y-91476144D01*
+G37*
+G36*
+X105635779Y-91476144D02*
+G01*
+X105658834Y-91479563D01*
+X105681443Y-91485227D01*
+X105703387Y-91493079D01*
+X105724457Y-91503044D01*
+X105744448Y-91515026D01*
+X105763168Y-91528910D01*
+X105780438Y-91544562D01*
+X105796090Y-91561832D01*
+X105809974Y-91580552D01*
+X105821956Y-91600543D01*
+X105831921Y-91621613D01*
+X105839773Y-91643557D01*
+X105845437Y-91666166D01*
+X105848856Y-91689221D01*
+X105850000Y-91712500D01*
+X105850000Y-92187500D01*
+X105848856Y-92210779D01*
+X105845437Y-92233834D01*
+X105839773Y-92256443D01*
+X105831921Y-92278387D01*
+X105821956Y-92299457D01*
+X105809974Y-92319448D01*
+X105796090Y-92338168D01*
+X105780438Y-92355438D01*
+X105763168Y-92371090D01*
+X105744448Y-92384974D01*
+X105724457Y-92396956D01*
+X105703387Y-92406921D01*
+X105681443Y-92414773D01*
+X105658834Y-92420437D01*
+X105635779Y-92423856D01*
+X105612500Y-92425000D01*
+X105037500Y-92425000D01*
+X105014221Y-92423856D01*
+X104991166Y-92420437D01*
+X104968557Y-92414773D01*
+X104946613Y-92406921D01*
+X104925543Y-92396956D01*
+X104905552Y-92384974D01*
+X104886832Y-92371090D01*
+X104869562Y-92355438D01*
+X104853910Y-92338168D01*
+X104840026Y-92319448D01*
+X104828044Y-92299457D01*
+X104818079Y-92278387D01*
+X104810227Y-92256443D01*
+X104804563Y-92233834D01*
+X104801144Y-92210779D01*
+X104800000Y-92187500D01*
+X104800000Y-91712500D01*
+X104801144Y-91689221D01*
+X104804563Y-91666166D01*
+X104810227Y-91643557D01*
+X104818079Y-91621613D01*
+X104828044Y-91600543D01*
+X104840026Y-91580552D01*
+X104853910Y-91561832D01*
+X104869562Y-91544562D01*
+X104886832Y-91528910D01*
+X104905552Y-91515026D01*
+X104925543Y-91503044D01*
+X104946613Y-91493079D01*
+X104968557Y-91485227D01*
+X104991166Y-91479563D01*
+X105014221Y-91476144D01*
+X105037500Y-91475000D01*
+X105612500Y-91475000D01*
+X105635779Y-91476144D01*
+G37*
+G36*
+X105085779Y-87826144D02*
+G01*
+X105108834Y-87829563D01*
+X105131443Y-87835227D01*
+X105153387Y-87843079D01*
+X105174457Y-87853044D01*
+X105194448Y-87865026D01*
+X105213168Y-87878910D01*
+X105230438Y-87894562D01*
+X105246090Y-87911832D01*
+X105259974Y-87930552D01*
+X105271956Y-87950543D01*
+X105281921Y-87971613D01*
+X105289773Y-87993557D01*
+X105295437Y-88016166D01*
+X105298856Y-88039221D01*
+X105300000Y-88062500D01*
+X105300000Y-88537500D01*
+X105298856Y-88560779D01*
+X105295437Y-88583834D01*
+X105289773Y-88606443D01*
+X105281921Y-88628387D01*
+X105271956Y-88649457D01*
+X105259974Y-88669448D01*
+X105246090Y-88688168D01*
+X105230438Y-88705438D01*
+X105213168Y-88721090D01*
+X105194448Y-88734974D01*
+X105174457Y-88746956D01*
+X105153387Y-88756921D01*
+X105131443Y-88764773D01*
+X105108834Y-88770437D01*
+X105085779Y-88773856D01*
+X105062500Y-88775000D01*
+X104487500Y-88775000D01*
+X104464221Y-88773856D01*
+X104441166Y-88770437D01*
+X104418557Y-88764773D01*
+X104396613Y-88756921D01*
+X104375543Y-88746956D01*
+X104355552Y-88734974D01*
+X104336832Y-88721090D01*
+X104319562Y-88705438D01*
+X104303910Y-88688168D01*
+X104290026Y-88669448D01*
+X104278044Y-88649457D01*
+X104268079Y-88628387D01*
+X104260227Y-88606443D01*
+X104254563Y-88583834D01*
+X104251144Y-88560779D01*
+X104250000Y-88537500D01*
+X104250000Y-88062500D01*
+X104251144Y-88039221D01*
+X104254563Y-88016166D01*
+X104260227Y-87993557D01*
+X104268079Y-87971613D01*
+X104278044Y-87950543D01*
+X104290026Y-87930552D01*
+X104303910Y-87911832D01*
+X104319562Y-87894562D01*
+X104336832Y-87878910D01*
+X104355552Y-87865026D01*
+X104375543Y-87853044D01*
+X104396613Y-87843079D01*
+X104418557Y-87835227D01*
+X104441166Y-87829563D01*
+X104464221Y-87826144D01*
+X104487500Y-87825000D01*
+X105062500Y-87825000D01*
+X105085779Y-87826144D01*
+G37*
+G36*
+X103335779Y-87826144D02*
+G01*
+X103358834Y-87829563D01*
+X103381443Y-87835227D01*
+X103403387Y-87843079D01*
+X103424457Y-87853044D01*
+X103444448Y-87865026D01*
+X103463168Y-87878910D01*
+X103480438Y-87894562D01*
+X103496090Y-87911832D01*
+X103509974Y-87930552D01*
+X103521956Y-87950543D01*
+X103531921Y-87971613D01*
+X103539773Y-87993557D01*
+X103545437Y-88016166D01*
+X103548856Y-88039221D01*
+X103550000Y-88062500D01*
+X103550000Y-88537500D01*
+X103548856Y-88560779D01*
+X103545437Y-88583834D01*
+X103539773Y-88606443D01*
+X103531921Y-88628387D01*
+X103521956Y-88649457D01*
+X103509974Y-88669448D01*
+X103496090Y-88688168D01*
+X103480438Y-88705438D01*
+X103463168Y-88721090D01*
+X103444448Y-88734974D01*
+X103424457Y-88746956D01*
+X103403387Y-88756921D01*
+X103381443Y-88764773D01*
+X103358834Y-88770437D01*
+X103335779Y-88773856D01*
+X103312500Y-88775000D01*
+X102737500Y-88775000D01*
+X102714221Y-88773856D01*
+X102691166Y-88770437D01*
+X102668557Y-88764773D01*
+X102646613Y-88756921D01*
+X102625543Y-88746956D01*
+X102605552Y-88734974D01*
+X102586832Y-88721090D01*
+X102569562Y-88705438D01*
+X102553910Y-88688168D01*
+X102540026Y-88669448D01*
+X102528044Y-88649457D01*
+X102518079Y-88628387D01*
+X102510227Y-88606443D01*
+X102504563Y-88583834D01*
+X102501144Y-88560779D01*
+X102500000Y-88537500D01*
+X102500000Y-88062500D01*
+X102501144Y-88039221D01*
+X102504563Y-88016166D01*
+X102510227Y-87993557D01*
+X102518079Y-87971613D01*
+X102528044Y-87950543D01*
+X102540026Y-87930552D01*
+X102553910Y-87911832D01*
+X102569562Y-87894562D01*
+X102586832Y-87878910D01*
+X102605552Y-87865026D01*
+X102625543Y-87853044D01*
+X102646613Y-87843079D01*
+X102668557Y-87835227D01*
+X102691166Y-87829563D01*
+X102714221Y-87826144D01*
+X102737500Y-87825000D01*
+X103312500Y-87825000D01*
+X103335779Y-87826144D01*
+G37*
+G36*
+X101560779Y-85901144D02*
+G01*
+X101583834Y-85904563D01*
+X101606443Y-85910227D01*
+X101628387Y-85918079D01*
+X101649457Y-85928044D01*
+X101669448Y-85940026D01*
+X101688168Y-85953910D01*
+X101705438Y-85969562D01*
+X101721090Y-85986832D01*
+X101734974Y-86005552D01*
+X101746956Y-86025543D01*
+X101756921Y-86046613D01*
+X101764773Y-86068557D01*
+X101770437Y-86091166D01*
+X101773856Y-86114221D01*
+X101775000Y-86137500D01*
+X101775000Y-86712500D01*
+X101773856Y-86735779D01*
+X101770437Y-86758834D01*
+X101764773Y-86781443D01*
+X101756921Y-86803387D01*
+X101746956Y-86824457D01*
+X101734974Y-86844448D01*
+X101721090Y-86863168D01*
+X101705438Y-86880438D01*
+X101688168Y-86896090D01*
+X101669448Y-86909974D01*
+X101649457Y-86921956D01*
+X101628387Y-86931921D01*
+X101606443Y-86939773D01*
+X101583834Y-86945437D01*
+X101560779Y-86948856D01*
+X101537500Y-86950000D01*
+X101062500Y-86950000D01*
+X101039221Y-86948856D01*
+X101016166Y-86945437D01*
+X100993557Y-86939773D01*
+X100971613Y-86931921D01*
+X100950543Y-86921956D01*
+X100930552Y-86909974D01*
+X100911832Y-86896090D01*
+X100894562Y-86880438D01*
+X100878910Y-86863168D01*
+X100865026Y-86844448D01*
+X100853044Y-86824457D01*
+X100843079Y-86803387D01*
+X100835227Y-86781443D01*
+X100829563Y-86758834D01*
+X100826144Y-86735779D01*
+X100825000Y-86712500D01*
+X100825000Y-86137500D01*
+X100826144Y-86114221D01*
+X100829563Y-86091166D01*
+X100835227Y-86068557D01*
+X100843079Y-86046613D01*
+X100853044Y-86025543D01*
+X100865026Y-86005552D01*
+X100878910Y-85986832D01*
+X100894562Y-85969562D01*
+X100911832Y-85953910D01*
+X100930552Y-85940026D01*
+X100950543Y-85928044D01*
+X100971613Y-85918079D01*
+X100993557Y-85910227D01*
+X101016166Y-85904563D01*
+X101039221Y-85901144D01*
+X101062500Y-85900000D01*
+X101537500Y-85900000D01*
+X101560779Y-85901144D01*
+G37*
+G36*
+X101560779Y-87651144D02*
+G01*
+X101583834Y-87654563D01*
+X101606443Y-87660227D01*
+X101628387Y-87668079D01*
+X101649457Y-87678044D01*
+X101669448Y-87690026D01*
+X101688168Y-87703910D01*
+X101705438Y-87719562D01*
+X101721090Y-87736832D01*
+X101734974Y-87755552D01*
+X101746956Y-87775543D01*
+X101756921Y-87796613D01*
+X101764773Y-87818557D01*
+X101770437Y-87841166D01*
+X101773856Y-87864221D01*
+X101775000Y-87887500D01*
+X101775000Y-88462500D01*
+X101773856Y-88485779D01*
+X101770437Y-88508834D01*
+X101764773Y-88531443D01*
+X101756921Y-88553387D01*
+X101746956Y-88574457D01*
+X101734974Y-88594448D01*
+X101721090Y-88613168D01*
+X101705438Y-88630438D01*
+X101688168Y-88646090D01*
+X101669448Y-88659974D01*
+X101649457Y-88671956D01*
+X101628387Y-88681921D01*
+X101606443Y-88689773D01*
+X101583834Y-88695437D01*
+X101560779Y-88698856D01*
+X101537500Y-88700000D01*
+X101062500Y-88700000D01*
+X101039221Y-88698856D01*
+X101016166Y-88695437D01*
+X100993557Y-88689773D01*
+X100971613Y-88681921D01*
+X100950543Y-88671956D01*
+X100930552Y-88659974D01*
+X100911832Y-88646090D01*
+X100894562Y-88630438D01*
+X100878910Y-88613168D01*
+X100865026Y-88594448D01*
+X100853044Y-88574457D01*
+X100843079Y-88553387D01*
+X100835227Y-88531443D01*
+X100829563Y-88508834D01*
+X100826144Y-88485779D01*
+X100825000Y-88462500D01*
+X100825000Y-87887500D01*
+X100826144Y-87864221D01*
+X100829563Y-87841166D01*
+X100835227Y-87818557D01*
+X100843079Y-87796613D01*
+X100853044Y-87775543D01*
+X100865026Y-87755552D01*
+X100878910Y-87736832D01*
+X100894562Y-87719562D01*
+X100911832Y-87703910D01*
+X100930552Y-87690026D01*
+X100950543Y-87678044D01*
+X100971613Y-87668079D01*
+X100993557Y-87660227D01*
+X101016166Y-87654563D01*
+X101039221Y-87651144D01*
+X101062500Y-87650000D01*
+X101537500Y-87650000D01*
+X101560779Y-87651144D01*
+G37*
+G36*
+X122710779Y-86426144D02*
+G01*
+X122733834Y-86429563D01*
+X122756443Y-86435227D01*
+X122778387Y-86443079D01*
+X122799457Y-86453044D01*
+X122819448Y-86465026D01*
+X122838168Y-86478910D01*
+X122855438Y-86494562D01*
+X122871090Y-86511832D01*
+X122884974Y-86530552D01*
+X122896956Y-86550543D01*
+X122906921Y-86571613D01*
+X122914773Y-86593557D01*
+X122920437Y-86616166D01*
+X122923856Y-86639221D01*
+X122925000Y-86662500D01*
+X122925000Y-87237500D01*
+X122923856Y-87260779D01*
+X122920437Y-87283834D01*
+X122914773Y-87306443D01*
+X122906921Y-87328387D01*
+X122896956Y-87349457D01*
+X122884974Y-87369448D01*
+X122871090Y-87388168D01*
+X122855438Y-87405438D01*
+X122838168Y-87421090D01*
+X122819448Y-87434974D01*
+X122799457Y-87446956D01*
+X122778387Y-87456921D01*
+X122756443Y-87464773D01*
+X122733834Y-87470437D01*
+X122710779Y-87473856D01*
+X122687500Y-87475000D01*
+X122212500Y-87475000D01*
+X122189221Y-87473856D01*
+X122166166Y-87470437D01*
+X122143557Y-87464773D01*
+X122121613Y-87456921D01*
+X122100543Y-87446956D01*
+X122080552Y-87434974D01*
+X122061832Y-87421090D01*
+X122044562Y-87405438D01*
+X122028910Y-87388168D01*
+X122015026Y-87369448D01*
+X122003044Y-87349457D01*
+X121993079Y-87328387D01*
+X121985227Y-87306443D01*
+X121979563Y-87283834D01*
+X121976144Y-87260779D01*
+X121975000Y-87237500D01*
+X121975000Y-86662500D01*
+X121976144Y-86639221D01*
+X121979563Y-86616166D01*
+X121985227Y-86593557D01*
+X121993079Y-86571613D01*
+X122003044Y-86550543D01*
+X122015026Y-86530552D01*
+X122028910Y-86511832D01*
+X122044562Y-86494562D01*
+X122061832Y-86478910D01*
+X122080552Y-86465026D01*
+X122100543Y-86453044D01*
+X122121613Y-86443079D01*
+X122143557Y-86435227D01*
+X122166166Y-86429563D01*
+X122189221Y-86426144D01*
+X122212500Y-86425000D01*
+X122687500Y-86425000D01*
+X122710779Y-86426144D01*
+G37*
+G36*
+X122710779Y-84676144D02*
+G01*
+X122733834Y-84679563D01*
+X122756443Y-84685227D01*
+X122778387Y-84693079D01*
+X122799457Y-84703044D01*
+X122819448Y-84715026D01*
+X122838168Y-84728910D01*
+X122855438Y-84744562D01*
+X122871090Y-84761832D01*
+X122884974Y-84780552D01*
+X122896956Y-84800543D01*
+X122906921Y-84821613D01*
+X122914773Y-84843557D01*
+X122920437Y-84866166D01*
+X122923856Y-84889221D01*
+X122925000Y-84912500D01*
+X122925000Y-85487500D01*
+X122923856Y-85510779D01*
+X122920437Y-85533834D01*
+X122914773Y-85556443D01*
+X122906921Y-85578387D01*
+X122896956Y-85599457D01*
+X122884974Y-85619448D01*
+X122871090Y-85638168D01*
+X122855438Y-85655438D01*
+X122838168Y-85671090D01*
+X122819448Y-85684974D01*
+X122799457Y-85696956D01*
+X122778387Y-85706921D01*
+X122756443Y-85714773D01*
+X122733834Y-85720437D01*
+X122710779Y-85723856D01*
+X122687500Y-85725000D01*
+X122212500Y-85725000D01*
+X122189221Y-85723856D01*
+X122166166Y-85720437D01*
+X122143557Y-85714773D01*
+X122121613Y-85706921D01*
+X122100543Y-85696956D01*
+X122080552Y-85684974D01*
+X122061832Y-85671090D01*
+X122044562Y-85655438D01*
+X122028910Y-85638168D01*
+X122015026Y-85619448D01*
+X122003044Y-85599457D01*
+X121993079Y-85578387D01*
+X121985227Y-85556443D01*
+X121979563Y-85533834D01*
+X121976144Y-85510779D01*
+X121975000Y-85487500D01*
+X121975000Y-84912500D01*
+X121976144Y-84889221D01*
+X121979563Y-84866166D01*
+X121985227Y-84843557D01*
+X121993079Y-84821613D01*
+X122003044Y-84800543D01*
+X122015026Y-84780552D01*
+X122028910Y-84761832D01*
+X122044562Y-84744562D01*
+X122061832Y-84728910D01*
+X122080552Y-84715026D01*
+X122100543Y-84703044D01*
+X122121613Y-84693079D01*
+X122143557Y-84685227D01*
+X122166166Y-84679563D01*
+X122189221Y-84676144D01*
+X122212500Y-84675000D01*
+X122687500Y-84675000D01*
+X122710779Y-84676144D01*
+G37*
+D11*
+X122200000Y-119050000D03*
+X122200000Y-120950000D03*
+D19*
+X96000000Y-87300000D03*
+X95500000Y-87300000D03*
+X95000000Y-87300000D03*
+X94500000Y-87300000D03*
+X94000000Y-87300000D03*
+X94000000Y-91700000D03*
+X94500000Y-91700000D03*
+X95000000Y-91700000D03*
+X95500000Y-91700000D03*
+X96000000Y-91700000D03*
+D20*
+X119450000Y-75475000D03*
+X119450000Y-76125000D03*
+X119450000Y-76775000D03*
+X119450000Y-77425000D03*
+X119450000Y-78075000D03*
+X119450000Y-78725000D03*
+X119450000Y-79375000D03*
+X119450000Y-80025000D03*
+X119450000Y-80675000D03*
+X119450000Y-81325000D03*
+X125350000Y-81325000D03*
+X125350000Y-80675000D03*
+X125350000Y-80025000D03*
+X125350000Y-79375000D03*
+X125350000Y-78725000D03*
+X125350000Y-78075000D03*
+X125350000Y-77425000D03*
+X125350000Y-76775000D03*
+X125350000Y-76125000D03*
+X125350000Y-75475000D03*
+D21*
+X129450000Y-100000000D03*
+D22*
+X133500000Y-101905000D03*
+X133500000Y-100635000D03*
+X133500000Y-99365000D03*
+X133500000Y-98095000D03*
+D23*
+X126525000Y-98095000D03*
+X126525000Y-99365000D03*
+X126525000Y-100635000D03*
+X126525000Y-101905000D03*
+D21*
+X129450000Y-140000000D03*
+D22*
+X133500000Y-141905000D03*
+X133500000Y-140635000D03*
+X133500000Y-139365000D03*
+X133500000Y-138095000D03*
+D23*
+X126525000Y-138095000D03*
+X126525000Y-139365000D03*
+X126525000Y-140635000D03*
+X126525000Y-141905000D03*
+D21*
+X130550000Y-113333300D03*
+D22*
+X126500000Y-111428300D03*
+X126500000Y-112698300D03*
+X126500000Y-113968300D03*
+X126500000Y-115238300D03*
+D23*
+X133475000Y-115238300D03*
+X133475000Y-113968300D03*
+X133475000Y-112698300D03*
+X133475000Y-111428300D03*
+D21*
+X130550000Y-126666700D03*
+D22*
+X126500000Y-124761700D03*
+X126500000Y-126031700D03*
+X126500000Y-127301700D03*
+X126500000Y-128571700D03*
+D23*
+X133475000Y-128571700D03*
+X133475000Y-127301700D03*
+X133475000Y-126031700D03*
+X133475000Y-124761700D03*
+D11*
+X128600000Y-77350000D03*
+X128600000Y-79250000D03*
+X156000000Y-90850000D03*
+X156000000Y-92750000D03*
+D24*
+X114450000Y-80450000D03*
+X112550000Y-80450000D03*
+X108850000Y-91500000D03*
+X110750000Y-91500000D03*
+D11*
+X117000000Y-75450000D03*
+X117000000Y-73550000D03*
+D24*
+X140500000Y-84850000D03*
+X138600000Y-84850000D03*
+D25*
+X133470000Y-152000000D03*
+D26*
+X136130000Y-152000000D03*
+X86330000Y-152000000D03*
+D25*
+X83670000Y-152000000D03*
+D26*
+X86330000Y-147000000D03*
+D25*
+X83670000Y-147000000D03*
+X133470000Y-147000000D03*
+D26*
+X136130000Y-147000000D03*
+D10*
+G36*
+X116235779Y-92676144D02*
+G01*
+X116258834Y-92679563D01*
+X116281443Y-92685227D01*
+X116303387Y-92693079D01*
+X116324457Y-92703044D01*
+X116344448Y-92715026D01*
+X116363168Y-92728910D01*
+X116380438Y-92744562D01*
+X116396090Y-92761832D01*
+X116409974Y-92780552D01*
+X116421956Y-92800543D01*
+X116431921Y-92821613D01*
+X116439773Y-92843557D01*
+X116445437Y-92866166D01*
+X116448856Y-92889221D01*
+X116450000Y-92912500D01*
+X116450000Y-93387500D01*
+X116448856Y-93410779D01*
+X116445437Y-93433834D01*
+X116439773Y-93456443D01*
+X116431921Y-93478387D01*
+X116421956Y-93499457D01*
+X116409974Y-93519448D01*
+X116396090Y-93538168D01*
+X116380438Y-93555438D01*
+X116363168Y-93571090D01*
+X116344448Y-93584974D01*
+X116324457Y-93596956D01*
+X116303387Y-93606921D01*
+X116281443Y-93614773D01*
+X116258834Y-93620437D01*
+X116235779Y-93623856D01*
+X116212500Y-93625000D01*
+X115637500Y-93625000D01*
+X115614221Y-93623856D01*
+X115591166Y-93620437D01*
+X115568557Y-93614773D01*
+X115546613Y-93606921D01*
+X115525543Y-93596956D01*
+X115505552Y-93584974D01*
+X115486832Y-93571090D01*
+X115469562Y-93555438D01*
+X115453910Y-93538168D01*
+X115440026Y-93519448D01*
+X115428044Y-93499457D01*
+X115418079Y-93478387D01*
+X115410227Y-93456443D01*
+X115404563Y-93433834D01*
+X115401144Y-93410779D01*
+X115400000Y-93387500D01*
+X115400000Y-92912500D01*
+X115401144Y-92889221D01*
+X115404563Y-92866166D01*
+X115410227Y-92843557D01*
+X115418079Y-92821613D01*
+X115428044Y-92800543D01*
+X115440026Y-92780552D01*
+X115453910Y-92761832D01*
+X115469562Y-92744562D01*
+X115486832Y-92728910D01*
+X115505552Y-92715026D01*
+X115525543Y-92703044D01*
+X115546613Y-92693079D01*
+X115568557Y-92685227D01*
+X115591166Y-92679563D01*
+X115614221Y-92676144D01*
+X115637500Y-92675000D01*
+X116212500Y-92675000D01*
+X116235779Y-92676144D01*
+G37*
+G36*
+X117985779Y-92676144D02*
+G01*
+X118008834Y-92679563D01*
+X118031443Y-92685227D01*
+X118053387Y-92693079D01*
+X118074457Y-92703044D01*
+X118094448Y-92715026D01*
+X118113168Y-92728910D01*
+X118130438Y-92744562D01*
+X118146090Y-92761832D01*
+X118159974Y-92780552D01*
+X118171956Y-92800543D01*
+X118181921Y-92821613D01*
+X118189773Y-92843557D01*
+X118195437Y-92866166D01*
+X118198856Y-92889221D01*
+X118200000Y-92912500D01*
+X118200000Y-93387500D01*
+X118198856Y-93410779D01*
+X118195437Y-93433834D01*
+X118189773Y-93456443D01*
+X118181921Y-93478387D01*
+X118171956Y-93499457D01*
+X118159974Y-93519448D01*
+X118146090Y-93538168D01*
+X118130438Y-93555438D01*
+X118113168Y-93571090D01*
+X118094448Y-93584974D01*
+X118074457Y-93596956D01*
+X118053387Y-93606921D01*
+X118031443Y-93614773D01*
+X118008834Y-93620437D01*
+X117985779Y-93623856D01*
+X117962500Y-93625000D01*
+X117387500Y-93625000D01*
+X117364221Y-93623856D01*
+X117341166Y-93620437D01*
+X117318557Y-93614773D01*
+X117296613Y-93606921D01*
+X117275543Y-93596956D01*
+X117255552Y-93584974D01*
+X117236832Y-93571090D01*
+X117219562Y-93555438D01*
+X117203910Y-93538168D01*
+X117190026Y-93519448D01*
+X117178044Y-93499457D01*
+X117168079Y-93478387D01*
+X117160227Y-93456443D01*
+X117154563Y-93433834D01*
+X117151144Y-93410779D01*
+X117150000Y-93387500D01*
+X117150000Y-92912500D01*
+X117151144Y-92889221D01*
+X117154563Y-92866166D01*
+X117160227Y-92843557D01*
+X117168079Y-92821613D01*
+X117178044Y-92800543D01*
+X117190026Y-92780552D01*
+X117203910Y-92761832D01*
+X117219562Y-92744562D01*
+X117236832Y-92728910D01*
+X117255552Y-92715026D01*
+X117275543Y-92703044D01*
+X117296613Y-92693079D01*
+X117318557Y-92685227D01*
+X117341166Y-92679563D01*
+X117364221Y-92676144D01*
+X117387500Y-92675000D01*
+X117962500Y-92675000D01*
+X117985779Y-92676144D01*
+G37*
+G36*
+X115335779Y-91026144D02*
+G01*
+X115358834Y-91029563D01*
+X115381443Y-91035227D01*
+X115403387Y-91043079D01*
+X115424457Y-91053044D01*
+X115444448Y-91065026D01*
+X115463168Y-91078910D01*
+X115480438Y-91094562D01*
+X115496090Y-91111832D01*
+X115509974Y-91130552D01*
+X115521956Y-91150543D01*
+X115531921Y-91171613D01*
+X115539773Y-91193557D01*
+X115545437Y-91216166D01*
+X115548856Y-91239221D01*
+X115550000Y-91262500D01*
+X115550000Y-91737500D01*
+X115548856Y-91760779D01*
+X115545437Y-91783834D01*
+X115539773Y-91806443D01*
+X115531921Y-91828387D01*
+X115521956Y-91849457D01*
+X115509974Y-91869448D01*
+X115496090Y-91888168D01*
+X115480438Y-91905438D01*
+X115463168Y-91921090D01*
+X115444448Y-91934974D01*
+X115424457Y-91946956D01*
+X115403387Y-91956921D01*
+X115381443Y-91964773D01*
+X115358834Y-91970437D01*
+X115335779Y-91973856D01*
+X115312500Y-91975000D01*
+X114737500Y-91975000D01*
+X114714221Y-91973856D01*
+X114691166Y-91970437D01*
+X114668557Y-91964773D01*
+X114646613Y-91956921D01*
+X114625543Y-91946956D01*
+X114605552Y-91934974D01*
+X114586832Y-91921090D01*
+X114569562Y-91905438D01*
+X114553910Y-91888168D01*
+X114540026Y-91869448D01*
+X114528044Y-91849457D01*
+X114518079Y-91828387D01*
+X114510227Y-91806443D01*
+X114504563Y-91783834D01*
+X114501144Y-91760779D01*
+X114500000Y-91737500D01*
+X114500000Y-91262500D01*
+X114501144Y-91239221D01*
+X114504563Y-91216166D01*
+X114510227Y-91193557D01*
+X114518079Y-91171613D01*
+X114528044Y-91150543D01*
+X114540026Y-91130552D01*
+X114553910Y-91111832D01*
+X114569562Y-91094562D01*
+X114586832Y-91078910D01*
+X114605552Y-91065026D01*
+X114625543Y-91053044D01*
+X114646613Y-91043079D01*
+X114668557Y-91035227D01*
+X114691166Y-91029563D01*
+X114714221Y-91026144D01*
+X114737500Y-91025000D01*
+X115312500Y-91025000D01*
+X115335779Y-91026144D01*
+G37*
+G36*
+X113585779Y-91026144D02*
+G01*
+X113608834Y-91029563D01*
+X113631443Y-91035227D01*
+X113653387Y-91043079D01*
+X113674457Y-91053044D01*
+X113694448Y-91065026D01*
+X113713168Y-91078910D01*
+X113730438Y-91094562D01*
+X113746090Y-91111832D01*
+X113759974Y-91130552D01*
+X113771956Y-91150543D01*
+X113781921Y-91171613D01*
+X113789773Y-91193557D01*
+X113795437Y-91216166D01*
+X113798856Y-91239221D01*
+X113800000Y-91262500D01*
+X113800000Y-91737500D01*
+X113798856Y-91760779D01*
+X113795437Y-91783834D01*
+X113789773Y-91806443D01*
+X113781921Y-91828387D01*
+X113771956Y-91849457D01*
+X113759974Y-91869448D01*
+X113746090Y-91888168D01*
+X113730438Y-91905438D01*
+X113713168Y-91921090D01*
+X113694448Y-91934974D01*
+X113674457Y-91946956D01*
+X113653387Y-91956921D01*
+X113631443Y-91964773D01*
+X113608834Y-91970437D01*
+X113585779Y-91973856D01*
+X113562500Y-91975000D01*
+X112987500Y-91975000D01*
+X112964221Y-91973856D01*
+X112941166Y-91970437D01*
+X112918557Y-91964773D01*
+X112896613Y-91956921D01*
+X112875543Y-91946956D01*
+X112855552Y-91934974D01*
+X112836832Y-91921090D01*
+X112819562Y-91905438D01*
+X112803910Y-91888168D01*
+X112790026Y-91869448D01*
+X112778044Y-91849457D01*
+X112768079Y-91828387D01*
+X112760227Y-91806443D01*
+X112754563Y-91783834D01*
+X112751144Y-91760779D01*
+X112750000Y-91737500D01*
+X112750000Y-91262500D01*
+X112751144Y-91239221D01*
+X112754563Y-91216166D01*
+X112760227Y-91193557D01*
+X112768079Y-91171613D01*
+X112778044Y-91150543D01*
+X112790026Y-91130552D01*
+X112803910Y-91111832D01*
+X112819562Y-91094562D01*
+X112836832Y-91078910D01*
+X112855552Y-91065026D01*
+X112875543Y-91053044D01*
+X112896613Y-91043079D01*
+X112918557Y-91035227D01*
+X112941166Y-91029563D01*
+X112964221Y-91026144D01*
+X112987500Y-91025000D01*
+X113562500Y-91025000D01*
+X113585779Y-91026144D01*
+G37*
+G36*
+X112935779Y-82026144D02*
+G01*
+X112958834Y-82029563D01*
+X112981443Y-82035227D01*
+X113003387Y-82043079D01*
+X113024457Y-82053044D01*
+X113044448Y-82065026D01*
+X113063168Y-82078910D01*
+X113080438Y-82094562D01*
+X113096090Y-82111832D01*
+X113109974Y-82130552D01*
+X113121956Y-82150543D01*
+X113131921Y-82171613D01*
+X113139773Y-82193557D01*
+X113145437Y-82216166D01*
+X113148856Y-82239221D01*
+X113150000Y-82262500D01*
+X113150000Y-82737500D01*
+X113148856Y-82760779D01*
+X113145437Y-82783834D01*
+X113139773Y-82806443D01*
+X113131921Y-82828387D01*
+X113121956Y-82849457D01*
+X113109974Y-82869448D01*
+X113096090Y-82888168D01*
+X113080438Y-82905438D01*
+X113063168Y-82921090D01*
+X113044448Y-82934974D01*
+X113024457Y-82946956D01*
+X113003387Y-82956921D01*
+X112981443Y-82964773D01*
+X112958834Y-82970437D01*
+X112935779Y-82973856D01*
+X112912500Y-82975000D01*
+X112337500Y-82975000D01*
+X112314221Y-82973856D01*
+X112291166Y-82970437D01*
+X112268557Y-82964773D01*
+X112246613Y-82956921D01*
+X112225543Y-82946956D01*
+X112205552Y-82934974D01*
+X112186832Y-82921090D01*
+X112169562Y-82905438D01*
+X112153910Y-82888168D01*
+X112140026Y-82869448D01*
+X112128044Y-82849457D01*
+X112118079Y-82828387D01*
+X112110227Y-82806443D01*
+X112104563Y-82783834D01*
+X112101144Y-82760779D01*
+X112100000Y-82737500D01*
+X112100000Y-82262500D01*
+X112101144Y-82239221D01*
+X112104563Y-82216166D01*
+X112110227Y-82193557D01*
+X112118079Y-82171613D01*
+X112128044Y-82150543D01*
+X112140026Y-82130552D01*
+X112153910Y-82111832D01*
+X112169562Y-82094562D01*
+X112186832Y-82078910D01*
+X112205552Y-82065026D01*
+X112225543Y-82053044D01*
+X112246613Y-82043079D01*
+X112268557Y-82035227D01*
+X112291166Y-82029563D01*
+X112314221Y-82026144D01*
+X112337500Y-82025000D01*
+X112912500Y-82025000D01*
+X112935779Y-82026144D01*
+G37*
+G36*
+X114685779Y-82026144D02*
+G01*
+X114708834Y-82029563D01*
+X114731443Y-82035227D01*
+X114753387Y-82043079D01*
+X114774457Y-82053044D01*
+X114794448Y-82065026D01*
+X114813168Y-82078910D01*
+X114830438Y-82094562D01*
+X114846090Y-82111832D01*
+X114859974Y-82130552D01*
+X114871956Y-82150543D01*
+X114881921Y-82171613D01*
+X114889773Y-82193557D01*
+X114895437Y-82216166D01*
+X114898856Y-82239221D01*
+X114900000Y-82262500D01*
+X114900000Y-82737500D01*
+X114898856Y-82760779D01*
+X114895437Y-82783834D01*
+X114889773Y-82806443D01*
+X114881921Y-82828387D01*
+X114871956Y-82849457D01*
+X114859974Y-82869448D01*
+X114846090Y-82888168D01*
+X114830438Y-82905438D01*
+X114813168Y-82921090D01*
+X114794448Y-82934974D01*
+X114774457Y-82946956D01*
+X114753387Y-82956921D01*
+X114731443Y-82964773D01*
+X114708834Y-82970437D01*
+X114685779Y-82973856D01*
+X114662500Y-82975000D01*
+X114087500Y-82975000D01*
+X114064221Y-82973856D01*
+X114041166Y-82970437D01*
+X114018557Y-82964773D01*
+X113996613Y-82956921D01*
+X113975543Y-82946956D01*
+X113955552Y-82934974D01*
+X113936832Y-82921090D01*
+X113919562Y-82905438D01*
+X113903910Y-82888168D01*
+X113890026Y-82869448D01*
+X113878044Y-82849457D01*
+X113868079Y-82828387D01*
+X113860227Y-82806443D01*
+X113854563Y-82783834D01*
+X113851144Y-82760779D01*
+X113850000Y-82737500D01*
+X113850000Y-82262500D01*
+X113851144Y-82239221D01*
+X113854563Y-82216166D01*
+X113860227Y-82193557D01*
+X113868079Y-82171613D01*
+X113878044Y-82150543D01*
+X113890026Y-82130552D01*
+X113903910Y-82111832D01*
+X113919562Y-82094562D01*
+X113936832Y-82078910D01*
+X113955552Y-82065026D01*
+X113975543Y-82053044D01*
+X113996613Y-82043079D01*
+X114018557Y-82035227D01*
+X114041166Y-82029563D01*
+X114064221Y-82026144D01*
+X114087500Y-82025000D01*
+X114662500Y-82025000D01*
+X114685779Y-82026144D01*
+G37*
+G36*
+X129235779Y-149726144D02*
+G01*
+X129258834Y-149729563D01*
+X129281443Y-149735227D01*
+X129303387Y-149743079D01*
+X129324457Y-149753044D01*
+X129344448Y-149765026D01*
+X129363168Y-149778910D01*
+X129380438Y-149794562D01*
+X129396090Y-149811832D01*
+X129409974Y-149830552D01*
+X129421956Y-149850543D01*
+X129431921Y-149871613D01*
+X129439773Y-149893557D01*
+X129445437Y-149916166D01*
+X129448856Y-149939221D01*
+X129450000Y-149962500D01*
+X129450000Y-150437500D01*
+X129448856Y-150460779D01*
+X129445437Y-150483834D01*
+X129439773Y-150506443D01*
+X129431921Y-150528387D01*
+X129421956Y-150549457D01*
+X129409974Y-150569448D01*
+X129396090Y-150588168D01*
+X129380438Y-150605438D01*
+X129363168Y-150621090D01*
+X129344448Y-150634974D01*
+X129324457Y-150646956D01*
+X129303387Y-150656921D01*
+X129281443Y-150664773D01*
+X129258834Y-150670437D01*
+X129235779Y-150673856D01*
+X129212500Y-150675000D01*
+X128637500Y-150675000D01*
+X128614221Y-150673856D01*
+X128591166Y-150670437D01*
+X128568557Y-150664773D01*
+X128546613Y-150656921D01*
+X128525543Y-150646956D01*
+X128505552Y-150634974D01*
+X128486832Y-150621090D01*
+X128469562Y-150605438D01*
+X128453910Y-150588168D01*
+X128440026Y-150569448D01*
+X128428044Y-150549457D01*
+X128418079Y-150528387D01*
+X128410227Y-150506443D01*
+X128404563Y-150483834D01*
+X128401144Y-150460779D01*
+X128400000Y-150437500D01*
+X128400000Y-149962500D01*
+X128401144Y-149939221D01*
+X128404563Y-149916166D01*
+X128410227Y-149893557D01*
+X128418079Y-149871613D01*
+X128428044Y-149850543D01*
+X128440026Y-149830552D01*
+X128453910Y-149811832D01*
+X128469562Y-149794562D01*
+X128486832Y-149778910D01*
+X128505552Y-149765026D01*
+X128525543Y-149753044D01*
+X128546613Y-149743079D01*
+X128568557Y-149735227D01*
+X128591166Y-149729563D01*
+X128614221Y-149726144D01*
+X128637500Y-149725000D01*
+X129212500Y-149725000D01*
+X129235779Y-149726144D01*
+G37*
+G36*
+X130985779Y-149726144D02*
+G01*
+X131008834Y-149729563D01*
+X131031443Y-149735227D01*
+X131053387Y-149743079D01*
+X131074457Y-149753044D01*
+X131094448Y-149765026D01*
+X131113168Y-149778910D01*
+X131130438Y-149794562D01*
+X131146090Y-149811832D01*
+X131159974Y-149830552D01*
+X131171956Y-149850543D01*
+X131181921Y-149871613D01*
+X131189773Y-149893557D01*
+X131195437Y-149916166D01*
+X131198856Y-149939221D01*
+X131200000Y-149962500D01*
+X131200000Y-150437500D01*
+X131198856Y-150460779D01*
+X131195437Y-150483834D01*
+X131189773Y-150506443D01*
+X131181921Y-150528387D01*
+X131171956Y-150549457D01*
+X131159974Y-150569448D01*
+X131146090Y-150588168D01*
+X131130438Y-150605438D01*
+X131113168Y-150621090D01*
+X131094448Y-150634974D01*
+X131074457Y-150646956D01*
+X131053387Y-150656921D01*
+X131031443Y-150664773D01*
+X131008834Y-150670437D01*
+X130985779Y-150673856D01*
+X130962500Y-150675000D01*
+X130387500Y-150675000D01*
+X130364221Y-150673856D01*
+X130341166Y-150670437D01*
+X130318557Y-150664773D01*
+X130296613Y-150656921D01*
+X130275543Y-150646956D01*
+X130255552Y-150634974D01*
+X130236832Y-150621090D01*
+X130219562Y-150605438D01*
+X130203910Y-150588168D01*
+X130190026Y-150569448D01*
+X130178044Y-150549457D01*
+X130168079Y-150528387D01*
+X130160227Y-150506443D01*
+X130154563Y-150483834D01*
+X130151144Y-150460779D01*
+X130150000Y-150437500D01*
+X130150000Y-149962500D01*
+X130151144Y-149939221D01*
+X130154563Y-149916166D01*
+X130160227Y-149893557D01*
+X130168079Y-149871613D01*
+X130178044Y-149850543D01*
+X130190026Y-149830552D01*
+X130203910Y-149811832D01*
+X130219562Y-149794562D01*
+X130236832Y-149778910D01*
+X130255552Y-149765026D01*
+X130275543Y-149753044D01*
+X130296613Y-149743079D01*
+X130318557Y-149735227D01*
+X130341166Y-149729563D01*
+X130364221Y-149726144D01*
+X130387500Y-149725000D01*
+X130962500Y-149725000D01*
+X130985779Y-149726144D01*
+G37*
+G36*
+X81310779Y-150601144D02*
+G01*
+X81333834Y-150604563D01*
+X81356443Y-150610227D01*
+X81378387Y-150618079D01*
+X81399457Y-150628044D01*
+X81419448Y-150640026D01*
+X81438168Y-150653910D01*
+X81455438Y-150669562D01*
+X81471090Y-150686832D01*
+X81484974Y-150705552D01*
+X81496956Y-150725543D01*
+X81506921Y-150746613D01*
+X81514773Y-150768557D01*
+X81520437Y-150791166D01*
+X81523856Y-150814221D01*
+X81525000Y-150837500D01*
+X81525000Y-151412500D01*
+X81523856Y-151435779D01*
+X81520437Y-151458834D01*
+X81514773Y-151481443D01*
+X81506921Y-151503387D01*
+X81496956Y-151524457D01*
+X81484974Y-151544448D01*
+X81471090Y-151563168D01*
+X81455438Y-151580438D01*
+X81438168Y-151596090D01*
+X81419448Y-151609974D01*
+X81399457Y-151621956D01*
+X81378387Y-151631921D01*
+X81356443Y-151639773D01*
+X81333834Y-151645437D01*
+X81310779Y-151648856D01*
+X81287500Y-151650000D01*
+X80812500Y-151650000D01*
+X80789221Y-151648856D01*
+X80766166Y-151645437D01*
+X80743557Y-151639773D01*
+X80721613Y-151631921D01*
+X80700543Y-151621956D01*
+X80680552Y-151609974D01*
+X80661832Y-151596090D01*
+X80644562Y-151580438D01*
+X80628910Y-151563168D01*
+X80615026Y-151544448D01*
+X80603044Y-151524457D01*
+X80593079Y-151503387D01*
+X80585227Y-151481443D01*
+X80579563Y-151458834D01*
+X80576144Y-151435779D01*
+X80575000Y-151412500D01*
+X80575000Y-150837500D01*
+X80576144Y-150814221D01*
+X80579563Y-150791166D01*
+X80585227Y-150768557D01*
+X80593079Y-150746613D01*
+X80603044Y-150725543D01*
+X80615026Y-150705552D01*
+X80628910Y-150686832D01*
+X80644562Y-150669562D01*
+X80661832Y-150653910D01*
+X80680552Y-150640026D01*
+X80700543Y-150628044D01*
+X80721613Y-150618079D01*
+X80743557Y-150610227D01*
+X80766166Y-150604563D01*
+X80789221Y-150601144D01*
+X80812500Y-150600000D01*
+X81287500Y-150600000D01*
+X81310779Y-150601144D01*
+G37*
+G36*
+X81310779Y-152351144D02*
+G01*
+X81333834Y-152354563D01*
+X81356443Y-152360227D01*
+X81378387Y-152368079D01*
+X81399457Y-152378044D01*
+X81419448Y-152390026D01*
+X81438168Y-152403910D01*
+X81455438Y-152419562D01*
+X81471090Y-152436832D01*
+X81484974Y-152455552D01*
+X81496956Y-152475543D01*
+X81506921Y-152496613D01*
+X81514773Y-152518557D01*
+X81520437Y-152541166D01*
+X81523856Y-152564221D01*
+X81525000Y-152587500D01*
+X81525000Y-153162500D01*
+X81523856Y-153185779D01*
+X81520437Y-153208834D01*
+X81514773Y-153231443D01*
+X81506921Y-153253387D01*
+X81496956Y-153274457D01*
+X81484974Y-153294448D01*
+X81471090Y-153313168D01*
+X81455438Y-153330438D01*
+X81438168Y-153346090D01*
+X81419448Y-153359974D01*
+X81399457Y-153371956D01*
+X81378387Y-153381921D01*
+X81356443Y-153389773D01*
+X81333834Y-153395437D01*
+X81310779Y-153398856D01*
+X81287500Y-153400000D01*
+X80812500Y-153400000D01*
+X80789221Y-153398856D01*
+X80766166Y-153395437D01*
+X80743557Y-153389773D01*
+X80721613Y-153381921D01*
+X80700543Y-153371956D01*
+X80680552Y-153359974D01*
+X80661832Y-153346090D01*
+X80644562Y-153330438D01*
+X80628910Y-153313168D01*
+X80615026Y-153294448D01*
+X80603044Y-153274457D01*
+X80593079Y-153253387D01*
+X80585227Y-153231443D01*
+X80579563Y-153208834D01*
+X80576144Y-153185779D01*
+X80575000Y-153162500D01*
+X80575000Y-152587500D01*
+X80576144Y-152564221D01*
+X80579563Y-152541166D01*
+X80585227Y-152518557D01*
+X80593079Y-152496613D01*
+X80603044Y-152475543D01*
+X80615026Y-152455552D01*
+X80628910Y-152436832D01*
+X80644562Y-152419562D01*
+X80661832Y-152403910D01*
+X80680552Y-152390026D01*
+X80700543Y-152378044D01*
+X80721613Y-152368079D01*
+X80743557Y-152360227D01*
+X80766166Y-152354563D01*
+X80789221Y-152351144D01*
+X80812500Y-152350000D01*
+X81287500Y-152350000D01*
+X81310779Y-152351144D01*
+G37*
+G36*
+X81360779Y-145601144D02*
+G01*
+X81383834Y-145604563D01*
+X81406443Y-145610227D01*
+X81428387Y-145618079D01*
+X81449457Y-145628044D01*
+X81469448Y-145640026D01*
+X81488168Y-145653910D01*
+X81505438Y-145669562D01*
+X81521090Y-145686832D01*
+X81534974Y-145705552D01*
+X81546956Y-145725543D01*
+X81556921Y-145746613D01*
+X81564773Y-145768557D01*
+X81570437Y-145791166D01*
+X81573856Y-145814221D01*
+X81575000Y-145837500D01*
+X81575000Y-146412500D01*
+X81573856Y-146435779D01*
+X81570437Y-146458834D01*
+X81564773Y-146481443D01*
+X81556921Y-146503387D01*
+X81546956Y-146524457D01*
+X81534974Y-146544448D01*
+X81521090Y-146563168D01*
+X81505438Y-146580438D01*
+X81488168Y-146596090D01*
+X81469448Y-146609974D01*
+X81449457Y-146621956D01*
+X81428387Y-146631921D01*
+X81406443Y-146639773D01*
+X81383834Y-146645437D01*
+X81360779Y-146648856D01*
+X81337500Y-146650000D01*
+X80862500Y-146650000D01*
+X80839221Y-146648856D01*
+X80816166Y-146645437D01*
+X80793557Y-146639773D01*
+X80771613Y-146631921D01*
+X80750543Y-146621956D01*
+X80730552Y-146609974D01*
+X80711832Y-146596090D01*
+X80694562Y-146580438D01*
+X80678910Y-146563168D01*
+X80665026Y-146544448D01*
+X80653044Y-146524457D01*
+X80643079Y-146503387D01*
+X80635227Y-146481443D01*
+X80629563Y-146458834D01*
+X80626144Y-146435779D01*
+X80625000Y-146412500D01*
+X80625000Y-145837500D01*
+X80626144Y-145814221D01*
+X80629563Y-145791166D01*
+X80635227Y-145768557D01*
+X80643079Y-145746613D01*
+X80653044Y-145725543D01*
+X80665026Y-145705552D01*
+X80678910Y-145686832D01*
+X80694562Y-145669562D01*
+X80711832Y-145653910D01*
+X80730552Y-145640026D01*
+X80750543Y-145628044D01*
+X80771613Y-145618079D01*
+X80793557Y-145610227D01*
+X80816166Y-145604563D01*
+X80839221Y-145601144D01*
+X80862500Y-145600000D01*
+X81337500Y-145600000D01*
+X81360779Y-145601144D01*
+G37*
+G36*
+X81360779Y-147351144D02*
+G01*
+X81383834Y-147354563D01*
+X81406443Y-147360227D01*
+X81428387Y-147368079D01*
+X81449457Y-147378044D01*
+X81469448Y-147390026D01*
+X81488168Y-147403910D01*
+X81505438Y-147419562D01*
+X81521090Y-147436832D01*
+X81534974Y-147455552D01*
+X81546956Y-147475543D01*
+X81556921Y-147496613D01*
+X81564773Y-147518557D01*
+X81570437Y-147541166D01*
+X81573856Y-147564221D01*
+X81575000Y-147587500D01*
+X81575000Y-148162500D01*
+X81573856Y-148185779D01*
+X81570437Y-148208834D01*
+X81564773Y-148231443D01*
+X81556921Y-148253387D01*
+X81546956Y-148274457D01*
+X81534974Y-148294448D01*
+X81521090Y-148313168D01*
+X81505438Y-148330438D01*
+X81488168Y-148346090D01*
+X81469448Y-148359974D01*
+X81449457Y-148371956D01*
+X81428387Y-148381921D01*
+X81406443Y-148389773D01*
+X81383834Y-148395437D01*
+X81360779Y-148398856D01*
+X81337500Y-148400000D01*
+X80862500Y-148400000D01*
+X80839221Y-148398856D01*
+X80816166Y-148395437D01*
+X80793557Y-148389773D01*
+X80771613Y-148381921D01*
+X80750543Y-148371956D01*
+X80730552Y-148359974D01*
+X80711832Y-148346090D01*
+X80694562Y-148330438D01*
+X80678910Y-148313168D01*
+X80665026Y-148294448D01*
+X80653044Y-148274457D01*
+X80643079Y-148253387D01*
+X80635227Y-148231443D01*
+X80629563Y-148208834D01*
+X80626144Y-148185779D01*
+X80625000Y-148162500D01*
+X80625000Y-147587500D01*
+X80626144Y-147564221D01*
+X80629563Y-147541166D01*
+X80635227Y-147518557D01*
+X80643079Y-147496613D01*
+X80653044Y-147475543D01*
+X80665026Y-147455552D01*
+X80678910Y-147436832D01*
+X80694562Y-147419562D01*
+X80711832Y-147403910D01*
+X80730552Y-147390026D01*
+X80750543Y-147378044D01*
+X80771613Y-147368079D01*
+X80793557Y-147360227D01*
+X80816166Y-147354563D01*
+X80839221Y-147351144D01*
+X80862500Y-147350000D01*
+X81337500Y-147350000D01*
+X81360779Y-147351144D01*
+G37*
+G36*
+X130985779Y-151726144D02*
+G01*
+X131008834Y-151729563D01*
+X131031443Y-151735227D01*
+X131053387Y-151743079D01*
+X131074457Y-151753044D01*
+X131094448Y-151765026D01*
+X131113168Y-151778910D01*
+X131130438Y-151794562D01*
+X131146090Y-151811832D01*
+X131159974Y-151830552D01*
+X131171956Y-151850543D01*
+X131181921Y-151871613D01*
+X131189773Y-151893557D01*
+X131195437Y-151916166D01*
+X131198856Y-151939221D01*
+X131200000Y-151962500D01*
+X131200000Y-152437500D01*
+X131198856Y-152460779D01*
+X131195437Y-152483834D01*
+X131189773Y-152506443D01*
+X131181921Y-152528387D01*
+X131171956Y-152549457D01*
+X131159974Y-152569448D01*
+X131146090Y-152588168D01*
+X131130438Y-152605438D01*
+X131113168Y-152621090D01*
+X131094448Y-152634974D01*
+X131074457Y-152646956D01*
+X131053387Y-152656921D01*
+X131031443Y-152664773D01*
+X131008834Y-152670437D01*
+X130985779Y-152673856D01*
+X130962500Y-152675000D01*
+X130387500Y-152675000D01*
+X130364221Y-152673856D01*
+X130341166Y-152670437D01*
+X130318557Y-152664773D01*
+X130296613Y-152656921D01*
+X130275543Y-152646956D01*
+X130255552Y-152634974D01*
+X130236832Y-152621090D01*
+X130219562Y-152605438D01*
+X130203910Y-152588168D01*
+X130190026Y-152569448D01*
+X130178044Y-152549457D01*
+X130168079Y-152528387D01*
+X130160227Y-152506443D01*
+X130154563Y-152483834D01*
+X130151144Y-152460779D01*
+X130150000Y-152437500D01*
+X130150000Y-151962500D01*
+X130151144Y-151939221D01*
+X130154563Y-151916166D01*
+X130160227Y-151893557D01*
+X130168079Y-151871613D01*
+X130178044Y-151850543D01*
+X130190026Y-151830552D01*
+X130203910Y-151811832D01*
+X130219562Y-151794562D01*
+X130236832Y-151778910D01*
+X130255552Y-151765026D01*
+X130275543Y-151753044D01*
+X130296613Y-151743079D01*
+X130318557Y-151735227D01*
+X130341166Y-151729563D01*
+X130364221Y-151726144D01*
+X130387500Y-151725000D01*
+X130962500Y-151725000D01*
+X130985779Y-151726144D01*
+G37*
+G36*
+X129235779Y-151726144D02*
+G01*
+X129258834Y-151729563D01*
+X129281443Y-151735227D01*
+X129303387Y-151743079D01*
+X129324457Y-151753044D01*
+X129344448Y-151765026D01*
+X129363168Y-151778910D01*
+X129380438Y-151794562D01*
+X129396090Y-151811832D01*
+X129409974Y-151830552D01*
+X129421956Y-151850543D01*
+X129431921Y-151871613D01*
+X129439773Y-151893557D01*
+X129445437Y-151916166D01*
+X129448856Y-151939221D01*
+X129450000Y-151962500D01*
+X129450000Y-152437500D01*
+X129448856Y-152460779D01*
+X129445437Y-152483834D01*
+X129439773Y-152506443D01*
+X129431921Y-152528387D01*
+X129421956Y-152549457D01*
+X129409974Y-152569448D01*
+X129396090Y-152588168D01*
+X129380438Y-152605438D01*
+X129363168Y-152621090D01*
+X129344448Y-152634974D01*
+X129324457Y-152646956D01*
+X129303387Y-152656921D01*
+X129281443Y-152664773D01*
+X129258834Y-152670437D01*
+X129235779Y-152673856D01*
+X129212500Y-152675000D01*
+X128637500Y-152675000D01*
+X128614221Y-152673856D01*
+X128591166Y-152670437D01*
+X128568557Y-152664773D01*
+X128546613Y-152656921D01*
+X128525543Y-152646956D01*
+X128505552Y-152634974D01*
+X128486832Y-152621090D01*
+X128469562Y-152605438D01*
+X128453910Y-152588168D01*
+X128440026Y-152569448D01*
+X128428044Y-152549457D01*
+X128418079Y-152528387D01*
+X128410227Y-152506443D01*
+X128404563Y-152483834D01*
+X128401144Y-152460779D01*
+X128400000Y-152437500D01*
+X128400000Y-151962500D01*
+X128401144Y-151939221D01*
+X128404563Y-151916166D01*
+X128410227Y-151893557D01*
+X128418079Y-151871613D01*
+X128428044Y-151850543D01*
+X128440026Y-151830552D01*
+X128453910Y-151811832D01*
+X128469562Y-151794562D01*
+X128486832Y-151778910D01*
+X128505552Y-151765026D01*
+X128525543Y-151753044D01*
+X128546613Y-151743079D01*
+X128568557Y-151735227D01*
+X128591166Y-151729563D01*
+X128614221Y-151726144D01*
+X128637500Y-151725000D01*
+X129212500Y-151725000D01*
+X129235779Y-151726144D01*
+G37*
+G36*
+X136085779Y-79726144D02*
+G01*
+X136108834Y-79729563D01*
+X136131443Y-79735227D01*
+X136153387Y-79743079D01*
+X136174457Y-79753044D01*
+X136194448Y-79765026D01*
+X136213168Y-79778910D01*
+X136230438Y-79794562D01*
+X136246090Y-79811832D01*
+X136259974Y-79830552D01*
+X136271956Y-79850543D01*
+X136281921Y-79871613D01*
+X136289773Y-79893557D01*
+X136295437Y-79916166D01*
+X136298856Y-79939221D01*
+X136300000Y-79962500D01*
+X136300000Y-80437500D01*
+X136298856Y-80460779D01*
+X136295437Y-80483834D01*
+X136289773Y-80506443D01*
+X136281921Y-80528387D01*
+X136271956Y-80549457D01*
+X136259974Y-80569448D01*
+X136246090Y-80588168D01*
+X136230438Y-80605438D01*
+X136213168Y-80621090D01*
+X136194448Y-80634974D01*
+X136174457Y-80646956D01*
+X136153387Y-80656921D01*
+X136131443Y-80664773D01*
+X136108834Y-80670437D01*
+X136085779Y-80673856D01*
+X136062500Y-80675000D01*
+X135487500Y-80675000D01*
+X135464221Y-80673856D01*
+X135441166Y-80670437D01*
+X135418557Y-80664773D01*
+X135396613Y-80656921D01*
+X135375543Y-80646956D01*
+X135355552Y-80634974D01*
+X135336832Y-80621090D01*
+X135319562Y-80605438D01*
+X135303910Y-80588168D01*
+X135290026Y-80569448D01*
+X135278044Y-80549457D01*
+X135268079Y-80528387D01*
+X135260227Y-80506443D01*
+X135254563Y-80483834D01*
+X135251144Y-80460779D01*
+X135250000Y-80437500D01*
+X135250000Y-79962500D01*
+X135251144Y-79939221D01*
+X135254563Y-79916166D01*
+X135260227Y-79893557D01*
+X135268079Y-79871613D01*
+X135278044Y-79850543D01*
+X135290026Y-79830552D01*
+X135303910Y-79811832D01*
+X135319562Y-79794562D01*
+X135336832Y-79778910D01*
+X135355552Y-79765026D01*
+X135375543Y-79753044D01*
+X135396613Y-79743079D01*
+X135418557Y-79735227D01*
+X135441166Y-79729563D01*
+X135464221Y-79726144D01*
+X135487500Y-79725000D01*
+X136062500Y-79725000D01*
+X136085779Y-79726144D01*
+G37*
+G36*
+X137835779Y-79726144D02*
+G01*
+X137858834Y-79729563D01*
+X137881443Y-79735227D01*
+X137903387Y-79743079D01*
+X137924457Y-79753044D01*
+X137944448Y-79765026D01*
+X137963168Y-79778910D01*
+X137980438Y-79794562D01*
+X137996090Y-79811832D01*
+X138009974Y-79830552D01*
+X138021956Y-79850543D01*
+X138031921Y-79871613D01*
+X138039773Y-79893557D01*
+X138045437Y-79916166D01*
+X138048856Y-79939221D01*
+X138050000Y-79962500D01*
+X138050000Y-80437500D01*
+X138048856Y-80460779D01*
+X138045437Y-80483834D01*
+X138039773Y-80506443D01*
+X138031921Y-80528387D01*
+X138021956Y-80549457D01*
+X138009974Y-80569448D01*
+X137996090Y-80588168D01*
+X137980438Y-80605438D01*
+X137963168Y-80621090D01*
+X137944448Y-80634974D01*
+X137924457Y-80646956D01*
+X137903387Y-80656921D01*
+X137881443Y-80664773D01*
+X137858834Y-80670437D01*
+X137835779Y-80673856D01*
+X137812500Y-80675000D01*
+X137237500Y-80675000D01*
+X137214221Y-80673856D01*
+X137191166Y-80670437D01*
+X137168557Y-80664773D01*
+X137146613Y-80656921D01*
+X137125543Y-80646956D01*
+X137105552Y-80634974D01*
+X137086832Y-80621090D01*
+X137069562Y-80605438D01*
+X137053910Y-80588168D01*
+X137040026Y-80569448D01*
+X137028044Y-80549457D01*
+X137018079Y-80528387D01*
+X137010227Y-80506443D01*
+X137004563Y-80483834D01*
+X137001144Y-80460779D01*
+X137000000Y-80437500D01*
+X137000000Y-79962500D01*
+X137001144Y-79939221D01*
+X137004563Y-79916166D01*
+X137010227Y-79893557D01*
+X137018079Y-79871613D01*
+X137028044Y-79850543D01*
+X137040026Y-79830552D01*
+X137053910Y-79811832D01*
+X137069562Y-79794562D01*
+X137086832Y-79778910D01*
+X137105552Y-79765026D01*
+X137125543Y-79753044D01*
+X137146613Y-79743079D01*
+X137168557Y-79735227D01*
+X137191166Y-79729563D01*
+X137214221Y-79726144D01*
+X137237500Y-79725000D01*
+X137812500Y-79725000D01*
+X137835779Y-79726144D01*
+G37*
+G36*
+X126560779Y-71301144D02*
+G01*
+X126583834Y-71304563D01*
+X126606443Y-71310227D01*
+X126628387Y-71318079D01*
+X126649457Y-71328044D01*
+X126669448Y-71340026D01*
+X126688168Y-71353910D01*
+X126705438Y-71369562D01*
+X126721090Y-71386832D01*
+X126734974Y-71405552D01*
+X126746956Y-71425543D01*
+X126756921Y-71446613D01*
+X126764773Y-71468557D01*
+X126770437Y-71491166D01*
+X126773856Y-71514221D01*
+X126775000Y-71537500D01*
+X126775000Y-72112500D01*
+X126773856Y-72135779D01*
+X126770437Y-72158834D01*
+X126764773Y-72181443D01*
+X126756921Y-72203387D01*
+X126746956Y-72224457D01*
+X126734974Y-72244448D01*
+X126721090Y-72263168D01*
+X126705438Y-72280438D01*
+X126688168Y-72296090D01*
+X126669448Y-72309974D01*
+X126649457Y-72321956D01*
+X126628387Y-72331921D01*
+X126606443Y-72339773D01*
+X126583834Y-72345437D01*
+X126560779Y-72348856D01*
+X126537500Y-72350000D01*
+X126062500Y-72350000D01*
+X126039221Y-72348856D01*
+X126016166Y-72345437D01*
+X125993557Y-72339773D01*
+X125971613Y-72331921D01*
+X125950543Y-72321956D01*
+X125930552Y-72309974D01*
+X125911832Y-72296090D01*
+X125894562Y-72280438D01*
+X125878910Y-72263168D01*
+X125865026Y-72244448D01*
+X125853044Y-72224457D01*
+X125843079Y-72203387D01*
+X125835227Y-72181443D01*
+X125829563Y-72158834D01*
+X125826144Y-72135779D01*
+X125825000Y-72112500D01*
+X125825000Y-71537500D01*
+X125826144Y-71514221D01*
+X125829563Y-71491166D01*
+X125835227Y-71468557D01*
+X125843079Y-71446613D01*
+X125853044Y-71425543D01*
+X125865026Y-71405552D01*
+X125878910Y-71386832D01*
+X125894562Y-71369562D01*
+X125911832Y-71353910D01*
+X125930552Y-71340026D01*
+X125950543Y-71328044D01*
+X125971613Y-71318079D01*
+X125993557Y-71310227D01*
+X126016166Y-71304563D01*
+X126039221Y-71301144D01*
+X126062500Y-71300000D01*
+X126537500Y-71300000D01*
+X126560779Y-71301144D01*
+G37*
+G36*
+X126560779Y-73051144D02*
+G01*
+X126583834Y-73054563D01*
+X126606443Y-73060227D01*
+X126628387Y-73068079D01*
+X126649457Y-73078044D01*
+X126669448Y-73090026D01*
+X126688168Y-73103910D01*
+X126705438Y-73119562D01*
+X126721090Y-73136832D01*
+X126734974Y-73155552D01*
+X126746956Y-73175543D01*
+X126756921Y-73196613D01*
+X126764773Y-73218557D01*
+X126770437Y-73241166D01*
+X126773856Y-73264221D01*
+X126775000Y-73287500D01*
+X126775000Y-73862500D01*
+X126773856Y-73885779D01*
+X126770437Y-73908834D01*
+X126764773Y-73931443D01*
+X126756921Y-73953387D01*
+X126746956Y-73974457D01*
+X126734974Y-73994448D01*
+X126721090Y-74013168D01*
+X126705438Y-74030438D01*
+X126688168Y-74046090D01*
+X126669448Y-74059974D01*
+X126649457Y-74071956D01*
+X126628387Y-74081921D01*
+X126606443Y-74089773D01*
+X126583834Y-74095437D01*
+X126560779Y-74098856D01*
+X126537500Y-74100000D01*
+X126062500Y-74100000D01*
+X126039221Y-74098856D01*
+X126016166Y-74095437D01*
+X125993557Y-74089773D01*
+X125971613Y-74081921D01*
+X125950543Y-74071956D01*
+X125930552Y-74059974D01*
+X125911832Y-74046090D01*
+X125894562Y-74030438D01*
+X125878910Y-74013168D01*
+X125865026Y-73994448D01*
+X125853044Y-73974457D01*
+X125843079Y-73953387D01*
+X125835227Y-73931443D01*
+X125829563Y-73908834D01*
+X125826144Y-73885779D01*
+X125825000Y-73862500D01*
+X125825000Y-73287500D01*
+X125826144Y-73264221D01*
+X125829563Y-73241166D01*
+X125835227Y-73218557D01*
+X125843079Y-73196613D01*
+X125853044Y-73175543D01*
+X125865026Y-73155552D01*
+X125878910Y-73136832D01*
+X125894562Y-73119562D01*
+X125911832Y-73103910D01*
+X125930552Y-73090026D01*
+X125950543Y-73078044D01*
+X125971613Y-73068079D01*
+X125993557Y-73060227D01*
+X126016166Y-73054563D01*
+X126039221Y-73051144D01*
+X126062500Y-73050000D01*
+X126537500Y-73050000D01*
+X126560779Y-73051144D01*
+G37*
+G36*
+X128260779Y-73051144D02*
+G01*
+X128283834Y-73054563D01*
+X128306443Y-73060227D01*
+X128328387Y-73068079D01*
+X128349457Y-73078044D01*
+X128369448Y-73090026D01*
+X128388168Y-73103910D01*
+X128405438Y-73119562D01*
+X128421090Y-73136832D01*
+X128434974Y-73155552D01*
+X128446956Y-73175543D01*
+X128456921Y-73196613D01*
+X128464773Y-73218557D01*
+X128470437Y-73241166D01*
+X128473856Y-73264221D01*
+X128475000Y-73287500D01*
+X128475000Y-73862500D01*
+X128473856Y-73885779D01*
+X128470437Y-73908834D01*
+X128464773Y-73931443D01*
+X128456921Y-73953387D01*
+X128446956Y-73974457D01*
+X128434974Y-73994448D01*
+X128421090Y-74013168D01*
+X128405438Y-74030438D01*
+X128388168Y-74046090D01*
+X128369448Y-74059974D01*
+X128349457Y-74071956D01*
+X128328387Y-74081921D01*
+X128306443Y-74089773D01*
+X128283834Y-74095437D01*
+X128260779Y-74098856D01*
+X128237500Y-74100000D01*
+X127762500Y-74100000D01*
+X127739221Y-74098856D01*
+X127716166Y-74095437D01*
+X127693557Y-74089773D01*
+X127671613Y-74081921D01*
+X127650543Y-74071956D01*
+X127630552Y-74059974D01*
+X127611832Y-74046090D01*
+X127594562Y-74030438D01*
+X127578910Y-74013168D01*
+X127565026Y-73994448D01*
+X127553044Y-73974457D01*
+X127543079Y-73953387D01*
+X127535227Y-73931443D01*
+X127529563Y-73908834D01*
+X127526144Y-73885779D01*
+X127525000Y-73862500D01*
+X127525000Y-73287500D01*
+X127526144Y-73264221D01*
+X127529563Y-73241166D01*
+X127535227Y-73218557D01*
+X127543079Y-73196613D01*
+X127553044Y-73175543D01*
+X127565026Y-73155552D01*
+X127578910Y-73136832D01*
+X127594562Y-73119562D01*
+X127611832Y-73103910D01*
+X127630552Y-73090026D01*
+X127650543Y-73078044D01*
+X127671613Y-73068079D01*
+X127693557Y-73060227D01*
+X127716166Y-73054563D01*
+X127739221Y-73051144D01*
+X127762500Y-73050000D01*
+X128237500Y-73050000D01*
+X128260779Y-73051144D01*
+G37*
+G36*
+X128260779Y-71301144D02*
+G01*
+X128283834Y-71304563D01*
+X128306443Y-71310227D01*
+X128328387Y-71318079D01*
+X128349457Y-71328044D01*
+X128369448Y-71340026D01*
+X128388168Y-71353910D01*
+X128405438Y-71369562D01*
+X128421090Y-71386832D01*
+X128434974Y-71405552D01*
+X128446956Y-71425543D01*
+X128456921Y-71446613D01*
+X128464773Y-71468557D01*
+X128470437Y-71491166D01*
+X128473856Y-71514221D01*
+X128475000Y-71537500D01*
+X128475000Y-72112500D01*
+X128473856Y-72135779D01*
+X128470437Y-72158834D01*
+X128464773Y-72181443D01*
+X128456921Y-72203387D01*
+X128446956Y-72224457D01*
+X128434974Y-72244448D01*
+X128421090Y-72263168D01*
+X128405438Y-72280438D01*
+X128388168Y-72296090D01*
+X128369448Y-72309974D01*
+X128349457Y-72321956D01*
+X128328387Y-72331921D01*
+X128306443Y-72339773D01*
+X128283834Y-72345437D01*
+X128260779Y-72348856D01*
+X128237500Y-72350000D01*
+X127762500Y-72350000D01*
+X127739221Y-72348856D01*
+X127716166Y-72345437D01*
+X127693557Y-72339773D01*
+X127671613Y-72331921D01*
+X127650543Y-72321956D01*
+X127630552Y-72309974D01*
+X127611832Y-72296090D01*
+X127594562Y-72280438D01*
+X127578910Y-72263168D01*
+X127565026Y-72244448D01*
+X127553044Y-72224457D01*
+X127543079Y-72203387D01*
+X127535227Y-72181443D01*
+X127529563Y-72158834D01*
+X127526144Y-72135779D01*
+X127525000Y-72112500D01*
+X127525000Y-71537500D01*
+X127526144Y-71514221D01*
+X127529563Y-71491166D01*
+X127535227Y-71468557D01*
+X127543079Y-71446613D01*
+X127553044Y-71425543D01*
+X127565026Y-71405552D01*
+X127578910Y-71386832D01*
+X127594562Y-71369562D01*
+X127611832Y-71353910D01*
+X127630552Y-71340026D01*
+X127650543Y-71328044D01*
+X127671613Y-71318079D01*
+X127693557Y-71310227D01*
+X127716166Y-71304563D01*
+X127739221Y-71301144D01*
+X127762500Y-71300000D01*
+X128237500Y-71300000D01*
+X128260779Y-71301144D01*
+G37*
+G36*
+X93049505Y-119326204D02*
+G01*
+X93073773Y-119329804D01*
+X93097572Y-119335765D01*
+X93120671Y-119344030D01*
+X93142850Y-119354520D01*
+X93163893Y-119367132D01*
+X93183599Y-119381747D01*
+X93201777Y-119398223D01*
+X93218253Y-119416401D01*
+X93232868Y-119436107D01*
+X93245480Y-119457150D01*
+X93255970Y-119479329D01*
+X93264235Y-119502428D01*
+X93270196Y-119526227D01*
+X93273796Y-119550495D01*
+X93275000Y-119574999D01*
+X93275000Y-122425001D01*
+X93273796Y-122449505D01*
+X93270196Y-122473773D01*
+X93264235Y-122497572D01*
+X93255970Y-122520671D01*
+X93245480Y-122542850D01*
+X93232868Y-122563893D01*
+X93218253Y-122583599D01*
+X93201777Y-122601777D01*
+X93183599Y-122618253D01*
+X93163893Y-122632868D01*
+X93142850Y-122645480D01*
+X93120671Y-122655970D01*
+X93097572Y-122664235D01*
+X93073773Y-122670196D01*
+X93049505Y-122673796D01*
+X93025001Y-122675000D01*
+X91999999Y-122675000D01*
+X91975495Y-122673796D01*
+X91951227Y-122670196D01*
+X91927428Y-122664235D01*
+X91904329Y-122655970D01*
+X91882150Y-122645480D01*
+X91861107Y-122632868D01*
+X91841401Y-122618253D01*
+X91823223Y-122601777D01*
+X91806747Y-122583599D01*
+X91792132Y-122563893D01*
+X91779520Y-122542850D01*
+X91769030Y-122520671D01*
+X91760765Y-122497572D01*
+X91754804Y-122473773D01*
+X91751204Y-122449505D01*
+X91750000Y-122425001D01*
+X91750000Y-119574999D01*
+X91751204Y-119550495D01*
+X91754804Y-119526227D01*
+X91760765Y-119502428D01*
+X91769030Y-119479329D01*
+X91779520Y-119457150D01*
+X91792132Y-119436107D01*
+X91806747Y-119416401D01*
+X91823223Y-119398223D01*
+X91841401Y-119381747D01*
+X91861107Y-119367132D01*
+X91882150Y-119354520D01*
+X91904329Y-119344030D01*
+X91927428Y-119335765D01*
+X91951227Y-119329804D01*
+X91975495Y-119326204D01*
+X91999999Y-119325000D01*
+X93025001Y-119325000D01*
+X93049505Y-119326204D01*
+G37*
+G36*
+X99024505Y-119326204D02*
+G01*
+X99048773Y-119329804D01*
+X99072572Y-119335765D01*
+X99095671Y-119344030D01*
+X99117850Y-119354520D01*
+X99138893Y-119367132D01*
+X99158599Y-119381747D01*
+X99176777Y-119398223D01*
+X99193253Y-119416401D01*
+X99207868Y-119436107D01*
+X99220480Y-119457150D01*
+X99230970Y-119479329D01*
+X99239235Y-119502428D01*
+X99245196Y-119526227D01*
+X99248796Y-119550495D01*
+X99250000Y-119574999D01*
+X99250000Y-122425001D01*
+X99248796Y-122449505D01*
+X99245196Y-122473773D01*
+X99239235Y-122497572D01*
+X99230970Y-122520671D01*
+X99220480Y-122542850D01*
+X99207868Y-122563893D01*
+X99193253Y-122583599D01*
+X99176777Y-122601777D01*
+X99158599Y-122618253D01*
+X99138893Y-122632868D01*
+X99117850Y-122645480D01*
+X99095671Y-122655970D01*
+X99072572Y-122664235D01*
+X99048773Y-122670196D01*
+X99024505Y-122673796D01*
+X99000001Y-122675000D01*
+X97974999Y-122675000D01*
+X97950495Y-122673796D01*
+X97926227Y-122670196D01*
+X97902428Y-122664235D01*
+X97879329Y-122655970D01*
+X97857150Y-122645480D01*
+X97836107Y-122632868D01*
+X97816401Y-122618253D01*
+X97798223Y-122601777D01*
+X97781747Y-122583599D01*
+X97767132Y-122563893D01*
+X97754520Y-122542850D01*
+X97744030Y-122520671D01*
+X97735765Y-122497572D01*
+X97729804Y-122473773D01*
+X97726204Y-122449505D01*
+X97725000Y-122425001D01*
+X97725000Y-119574999D01*
+X97726204Y-119550495D01*
+X97729804Y-119526227D01*
+X97735765Y-119502428D01*
+X97744030Y-119479329D01*
+X97754520Y-119457150D01*
+X97767132Y-119436107D01*
+X97781747Y-119416401D01*
+X97798223Y-119398223D01*
+X97816401Y-119381747D01*
+X97836107Y-119367132D01*
+X97857150Y-119354520D01*
+X97879329Y-119344030D01*
+X97902428Y-119335765D01*
+X97926227Y-119329804D01*
+X97950495Y-119326204D01*
+X97974999Y-119325000D01*
+X99000001Y-119325000D01*
+X99024505Y-119326204D01*
+G37*
+G36*
+X99024505Y-96326204D02*
+G01*
+X99048773Y-96329804D01*
+X99072572Y-96335765D01*
+X99095671Y-96344030D01*
+X99117850Y-96354520D01*
+X99138893Y-96367132D01*
+X99158599Y-96381747D01*
+X99176777Y-96398223D01*
+X99193253Y-96416401D01*
+X99207868Y-96436107D01*
+X99220480Y-96457150D01*
+X99230970Y-96479329D01*
+X99239235Y-96502428D01*
+X99245196Y-96526227D01*
+X99248796Y-96550495D01*
+X99250000Y-96574999D01*
+X99250000Y-99425001D01*
+X99248796Y-99449505D01*
+X99245196Y-99473773D01*
+X99239235Y-99497572D01*
+X99230970Y-99520671D01*
+X99220480Y-99542850D01*
+X99207868Y-99563893D01*
+X99193253Y-99583599D01*
+X99176777Y-99601777D01*
+X99158599Y-99618253D01*
+X99138893Y-99632868D01*
+X99117850Y-99645480D01*
+X99095671Y-99655970D01*
+X99072572Y-99664235D01*
+X99048773Y-99670196D01*
+X99024505Y-99673796D01*
+X99000001Y-99675000D01*
+X97974999Y-99675000D01*
+X97950495Y-99673796D01*
+X97926227Y-99670196D01*
+X97902428Y-99664235D01*
+X97879329Y-99655970D01*
+X97857150Y-99645480D01*
+X97836107Y-99632868D01*
+X97816401Y-99618253D01*
+X97798223Y-99601777D01*
+X97781747Y-99583599D01*
+X97767132Y-99563893D01*
+X97754520Y-99542850D01*
+X97744030Y-99520671D01*
+X97735765Y-99497572D01*
+X97729804Y-99473773D01*
+X97726204Y-99449505D01*
+X97725000Y-99425001D01*
+X97725000Y-96574999D01*
+X97726204Y-96550495D01*
+X97729804Y-96526227D01*
+X97735765Y-96502428D01*
+X97744030Y-96479329D01*
+X97754520Y-96457150D01*
+X97767132Y-96436107D01*
+X97781747Y-96416401D01*
+X97798223Y-96398223D01*
+X97816401Y-96381747D01*
+X97836107Y-96367132D01*
+X97857150Y-96354520D01*
+X97879329Y-96344030D01*
+X97902428Y-96335765D01*
+X97926227Y-96329804D01*
+X97950495Y-96326204D01*
+X97974999Y-96325000D01*
+X99000001Y-96325000D01*
+X99024505Y-96326204D01*
+G37*
+G36*
+X93049505Y-96326204D02*
+G01*
+X93073773Y-96329804D01*
+X93097572Y-96335765D01*
+X93120671Y-96344030D01*
+X93142850Y-96354520D01*
+X93163893Y-96367132D01*
+X93183599Y-96381747D01*
+X93201777Y-96398223D01*
+X93218253Y-96416401D01*
+X93232868Y-96436107D01*
+X93245480Y-96457150D01*
+X93255970Y-96479329D01*
+X93264235Y-96502428D01*
+X93270196Y-96526227D01*
+X93273796Y-96550495D01*
+X93275000Y-96574999D01*
+X93275000Y-99425001D01*
+X93273796Y-99449505D01*
+X93270196Y-99473773D01*
+X93264235Y-99497572D01*
+X93255970Y-99520671D01*
+X93245480Y-99542850D01*
+X93232868Y-99563893D01*
+X93218253Y-99583599D01*
+X93201777Y-99601777D01*
+X93183599Y-99618253D01*
+X93163893Y-99632868D01*
+X93142850Y-99645480D01*
+X93120671Y-99655970D01*
+X93097572Y-99664235D01*
+X93073773Y-99670196D01*
+X93049505Y-99673796D01*
+X93025001Y-99675000D01*
+X91999999Y-99675000D01*
+X91975495Y-99673796D01*
+X91951227Y-99670196D01*
+X91927428Y-99664235D01*
+X91904329Y-99655970D01*
+X91882150Y-99645480D01*
+X91861107Y-99632868D01*
+X91841401Y-99618253D01*
+X91823223Y-99601777D01*
+X91806747Y-99583599D01*
+X91792132Y-99563893D01*
+X91779520Y-99542850D01*
+X91769030Y-99520671D01*
+X91760765Y-99497572D01*
+X91754804Y-99473773D01*
+X91751204Y-99449505D01*
+X91750000Y-99425001D01*
+X91750000Y-96574999D01*
+X91751204Y-96550495D01*
+X91754804Y-96526227D01*
+X91760765Y-96502428D01*
+X91769030Y-96479329D01*
+X91779520Y-96457150D01*
+X91792132Y-96436107D01*
+X91806747Y-96416401D01*
+X91823223Y-96398223D01*
+X91841401Y-96381747D01*
+X91861107Y-96367132D01*
+X91882150Y-96354520D01*
+X91904329Y-96344030D01*
+X91927428Y-96335765D01*
+X91951227Y-96329804D01*
+X91975495Y-96326204D01*
+X91999999Y-96325000D01*
+X93025001Y-96325000D01*
+X93049505Y-96326204D01*
+G37*
+G36*
+X99024505Y-107826204D02*
+G01*
+X99048773Y-107829804D01*
+X99072572Y-107835765D01*
+X99095671Y-107844030D01*
+X99117850Y-107854520D01*
+X99138893Y-107867132D01*
+X99158599Y-107881747D01*
+X99176777Y-107898223D01*
+X99193253Y-107916401D01*
+X99207868Y-107936107D01*
+X99220480Y-107957150D01*
+X99230970Y-107979329D01*
+X99239235Y-108002428D01*
+X99245196Y-108026227D01*
+X99248796Y-108050495D01*
+X99250000Y-108074999D01*
+X99250000Y-110925001D01*
+X99248796Y-110949505D01*
+X99245196Y-110973773D01*
+X99239235Y-110997572D01*
+X99230970Y-111020671D01*
+X99220480Y-111042850D01*
+X99207868Y-111063893D01*
+X99193253Y-111083599D01*
+X99176777Y-111101777D01*
+X99158599Y-111118253D01*
+X99138893Y-111132868D01*
+X99117850Y-111145480D01*
+X99095671Y-111155970D01*
+X99072572Y-111164235D01*
+X99048773Y-111170196D01*
+X99024505Y-111173796D01*
+X99000001Y-111175000D01*
+X97974999Y-111175000D01*
+X97950495Y-111173796D01*
+X97926227Y-111170196D01*
+X97902428Y-111164235D01*
+X97879329Y-111155970D01*
+X97857150Y-111145480D01*
+X97836107Y-111132868D01*
+X97816401Y-111118253D01*
+X97798223Y-111101777D01*
+X97781747Y-111083599D01*
+X97767132Y-111063893D01*
+X97754520Y-111042850D01*
+X97744030Y-111020671D01*
+X97735765Y-110997572D01*
+X97729804Y-110973773D01*
+X97726204Y-110949505D01*
+X97725000Y-110925001D01*
+X97725000Y-108074999D01*
+X97726204Y-108050495D01*
+X97729804Y-108026227D01*
+X97735765Y-108002428D01*
+X97744030Y-107979329D01*
+X97754520Y-107957150D01*
+X97767132Y-107936107D01*
+X97781747Y-107916401D01*
+X97798223Y-107898223D01*
+X97816401Y-107881747D01*
+X97836107Y-107867132D01*
+X97857150Y-107854520D01*
+X97879329Y-107844030D01*
+X97902428Y-107835765D01*
+X97926227Y-107829804D01*
+X97950495Y-107826204D01*
+X97974999Y-107825000D01*
+X99000001Y-107825000D01*
+X99024505Y-107826204D01*
+G37*
+G36*
+X93049505Y-107826204D02*
+G01*
+X93073773Y-107829804D01*
+X93097572Y-107835765D01*
+X93120671Y-107844030D01*
+X93142850Y-107854520D01*
+X93163893Y-107867132D01*
+X93183599Y-107881747D01*
+X93201777Y-107898223D01*
+X93218253Y-107916401D01*
+X93232868Y-107936107D01*
+X93245480Y-107957150D01*
+X93255970Y-107979329D01*
+X93264235Y-108002428D01*
+X93270196Y-108026227D01*
+X93273796Y-108050495D01*
+X93275000Y-108074999D01*
+X93275000Y-110925001D01*
+X93273796Y-110949505D01*
+X93270196Y-110973773D01*
+X93264235Y-110997572D01*
+X93255970Y-111020671D01*
+X93245480Y-111042850D01*
+X93232868Y-111063893D01*
+X93218253Y-111083599D01*
+X93201777Y-111101777D01*
+X93183599Y-111118253D01*
+X93163893Y-111132868D01*
+X93142850Y-111145480D01*
+X93120671Y-111155970D01*
+X93097572Y-111164235D01*
+X93073773Y-111170196D01*
+X93049505Y-111173796D01*
+X93025001Y-111175000D01*
+X91999999Y-111175000D01*
+X91975495Y-111173796D01*
+X91951227Y-111170196D01*
+X91927428Y-111164235D01*
+X91904329Y-111155970D01*
+X91882150Y-111145480D01*
+X91861107Y-111132868D01*
+X91841401Y-111118253D01*
+X91823223Y-111101777D01*
+X91806747Y-111083599D01*
+X91792132Y-111063893D01*
+X91779520Y-111042850D01*
+X91769030Y-111020671D01*
+X91760765Y-110997572D01*
+X91754804Y-110973773D01*
+X91751204Y-110949505D01*
+X91750000Y-110925001D01*
+X91750000Y-108074999D01*
+X91751204Y-108050495D01*
+X91754804Y-108026227D01*
+X91760765Y-108002428D01*
+X91769030Y-107979329D01*
+X91779520Y-107957150D01*
+X91792132Y-107936107D01*
+X91806747Y-107916401D01*
+X91823223Y-107898223D01*
+X91841401Y-107881747D01*
+X91861107Y-107867132D01*
+X91882150Y-107854520D01*
+X91904329Y-107844030D01*
+X91927428Y-107835765D01*
+X91951227Y-107829804D01*
+X91975495Y-107826204D01*
+X91999999Y-107825000D01*
+X93025001Y-107825000D01*
+X93049505Y-107826204D01*
+G37*
+G36*
+X93049505Y-101826204D02*
+G01*
+X93073773Y-101829804D01*
+X93097572Y-101835765D01*
+X93120671Y-101844030D01*
+X93142850Y-101854520D01*
+X93163893Y-101867132D01*
+X93183599Y-101881747D01*
+X93201777Y-101898223D01*
+X93218253Y-101916401D01*
+X93232868Y-101936107D01*
+X93245480Y-101957150D01*
+X93255970Y-101979329D01*
+X93264235Y-102002428D01*
+X93270196Y-102026227D01*
+X93273796Y-102050495D01*
+X93275000Y-102074999D01*
+X93275000Y-104925001D01*
+X93273796Y-104949505D01*
+X93270196Y-104973773D01*
+X93264235Y-104997572D01*
+X93255970Y-105020671D01*
+X93245480Y-105042850D01*
+X93232868Y-105063893D01*
+X93218253Y-105083599D01*
+X93201777Y-105101777D01*
+X93183599Y-105118253D01*
+X93163893Y-105132868D01*
+X93142850Y-105145480D01*
+X93120671Y-105155970D01*
+X93097572Y-105164235D01*
+X93073773Y-105170196D01*
+X93049505Y-105173796D01*
+X93025001Y-105175000D01*
+X91999999Y-105175000D01*
+X91975495Y-105173796D01*
+X91951227Y-105170196D01*
+X91927428Y-105164235D01*
+X91904329Y-105155970D01*
+X91882150Y-105145480D01*
+X91861107Y-105132868D01*
+X91841401Y-105118253D01*
+X91823223Y-105101777D01*
+X91806747Y-105083599D01*
+X91792132Y-105063893D01*
+X91779520Y-105042850D01*
+X91769030Y-105020671D01*
+X91760765Y-104997572D01*
+X91754804Y-104973773D01*
+X91751204Y-104949505D01*
+X91750000Y-104925001D01*
+X91750000Y-102074999D01*
+X91751204Y-102050495D01*
+X91754804Y-102026227D01*
+X91760765Y-102002428D01*
+X91769030Y-101979329D01*
+X91779520Y-101957150D01*
+X91792132Y-101936107D01*
+X91806747Y-101916401D01*
+X91823223Y-101898223D01*
+X91841401Y-101881747D01*
+X91861107Y-101867132D01*
+X91882150Y-101854520D01*
+X91904329Y-101844030D01*
+X91927428Y-101835765D01*
+X91951227Y-101829804D01*
+X91975495Y-101826204D01*
+X91999999Y-101825000D01*
+X93025001Y-101825000D01*
+X93049505Y-101826204D01*
+G37*
+G36*
+X99024505Y-101826204D02*
+G01*
+X99048773Y-101829804D01*
+X99072572Y-101835765D01*
+X99095671Y-101844030D01*
+X99117850Y-101854520D01*
+X99138893Y-101867132D01*
+X99158599Y-101881747D01*
+X99176777Y-101898223D01*
+X99193253Y-101916401D01*
+X99207868Y-101936107D01*
+X99220480Y-101957150D01*
+X99230970Y-101979329D01*
+X99239235Y-102002428D01*
+X99245196Y-102026227D01*
+X99248796Y-102050495D01*
+X99250000Y-102074999D01*
+X99250000Y-104925001D01*
+X99248796Y-104949505D01*
+X99245196Y-104973773D01*
+X99239235Y-104997572D01*
+X99230970Y-105020671D01*
+X99220480Y-105042850D01*
+X99207868Y-105063893D01*
+X99193253Y-105083599D01*
+X99176777Y-105101777D01*
+X99158599Y-105118253D01*
+X99138893Y-105132868D01*
+X99117850Y-105145480D01*
+X99095671Y-105155970D01*
+X99072572Y-105164235D01*
+X99048773Y-105170196D01*
+X99024505Y-105173796D01*
+X99000001Y-105175000D01*
+X97974999Y-105175000D01*
+X97950495Y-105173796D01*
+X97926227Y-105170196D01*
+X97902428Y-105164235D01*
+X97879329Y-105155970D01*
+X97857150Y-105145480D01*
+X97836107Y-105132868D01*
+X97816401Y-105118253D01*
+X97798223Y-105101777D01*
+X97781747Y-105083599D01*
+X97767132Y-105063893D01*
+X97754520Y-105042850D01*
+X97744030Y-105020671D01*
+X97735765Y-104997572D01*
+X97729804Y-104973773D01*
+X97726204Y-104949505D01*
+X97725000Y-104925001D01*
+X97725000Y-102074999D01*
+X97726204Y-102050495D01*
+X97729804Y-102026227D01*
+X97735765Y-102002428D01*
+X97744030Y-101979329D01*
+X97754520Y-101957150D01*
+X97767132Y-101936107D01*
+X97781747Y-101916401D01*
+X97798223Y-101898223D01*
+X97816401Y-101881747D01*
+X97836107Y-101867132D01*
+X97857150Y-101854520D01*
+X97879329Y-101844030D01*
+X97902428Y-101835765D01*
+X97926227Y-101829804D01*
+X97950495Y-101826204D01*
+X97974999Y-101825000D01*
+X99000001Y-101825000D01*
+X99024505Y-101826204D01*
+G37*
+G36*
+X99024505Y-113826204D02*
+G01*
+X99048773Y-113829804D01*
+X99072572Y-113835765D01*
+X99095671Y-113844030D01*
+X99117850Y-113854520D01*
+X99138893Y-113867132D01*
+X99158599Y-113881747D01*
+X99176777Y-113898223D01*
+X99193253Y-113916401D01*
+X99207868Y-113936107D01*
+X99220480Y-113957150D01*
+X99230970Y-113979329D01*
+X99239235Y-114002428D01*
+X99245196Y-114026227D01*
+X99248796Y-114050495D01*
+X99250000Y-114074999D01*
+X99250000Y-116925001D01*
+X99248796Y-116949505D01*
+X99245196Y-116973773D01*
+X99239235Y-116997572D01*
+X99230970Y-117020671D01*
+X99220480Y-117042850D01*
+X99207868Y-117063893D01*
+X99193253Y-117083599D01*
+X99176777Y-117101777D01*
+X99158599Y-117118253D01*
+X99138893Y-117132868D01*
+X99117850Y-117145480D01*
+X99095671Y-117155970D01*
+X99072572Y-117164235D01*
+X99048773Y-117170196D01*
+X99024505Y-117173796D01*
+X99000001Y-117175000D01*
+X97974999Y-117175000D01*
+X97950495Y-117173796D01*
+X97926227Y-117170196D01*
+X97902428Y-117164235D01*
+X97879329Y-117155970D01*
+X97857150Y-117145480D01*
+X97836107Y-117132868D01*
+X97816401Y-117118253D01*
+X97798223Y-117101777D01*
+X97781747Y-117083599D01*
+X97767132Y-117063893D01*
+X97754520Y-117042850D01*
+X97744030Y-117020671D01*
+X97735765Y-116997572D01*
+X97729804Y-116973773D01*
+X97726204Y-116949505D01*
+X97725000Y-116925001D01*
+X97725000Y-114074999D01*
+X97726204Y-114050495D01*
+X97729804Y-114026227D01*
+X97735765Y-114002428D01*
+X97744030Y-113979329D01*
+X97754520Y-113957150D01*
+X97767132Y-113936107D01*
+X97781747Y-113916401D01*
+X97798223Y-113898223D01*
+X97816401Y-113881747D01*
+X97836107Y-113867132D01*
+X97857150Y-113854520D01*
+X97879329Y-113844030D01*
+X97902428Y-113835765D01*
+X97926227Y-113829804D01*
+X97950495Y-113826204D01*
+X97974999Y-113825000D01*
+X99000001Y-113825000D01*
+X99024505Y-113826204D01*
+G37*
+G36*
+X93049505Y-113826204D02*
+G01*
+X93073773Y-113829804D01*
+X93097572Y-113835765D01*
+X93120671Y-113844030D01*
+X93142850Y-113854520D01*
+X93163893Y-113867132D01*
+X93183599Y-113881747D01*
+X93201777Y-113898223D01*
+X93218253Y-113916401D01*
+X93232868Y-113936107D01*
+X93245480Y-113957150D01*
+X93255970Y-113979329D01*
+X93264235Y-114002428D01*
+X93270196Y-114026227D01*
+X93273796Y-114050495D01*
+X93275000Y-114074999D01*
+X93275000Y-116925001D01*
+X93273796Y-116949505D01*
+X93270196Y-116973773D01*
+X93264235Y-116997572D01*
+X93255970Y-117020671D01*
+X93245480Y-117042850D01*
+X93232868Y-117063893D01*
+X93218253Y-117083599D01*
+X93201777Y-117101777D01*
+X93183599Y-117118253D01*
+X93163893Y-117132868D01*
+X93142850Y-117145480D01*
+X93120671Y-117155970D01*
+X93097572Y-117164235D01*
+X93073773Y-117170196D01*
+X93049505Y-117173796D01*
+X93025001Y-117175000D01*
+X91999999Y-117175000D01*
+X91975495Y-117173796D01*
+X91951227Y-117170196D01*
+X91927428Y-117164235D01*
+X91904329Y-117155970D01*
+X91882150Y-117145480D01*
+X91861107Y-117132868D01*
+X91841401Y-117118253D01*
+X91823223Y-117101777D01*
+X91806747Y-117083599D01*
+X91792132Y-117063893D01*
+X91779520Y-117042850D01*
+X91769030Y-117020671D01*
+X91760765Y-116997572D01*
+X91754804Y-116973773D01*
+X91751204Y-116949505D01*
+X91750000Y-116925001D01*
+X91750000Y-114074999D01*
+X91751204Y-114050495D01*
+X91754804Y-114026227D01*
+X91760765Y-114002428D01*
+X91769030Y-113979329D01*
+X91779520Y-113957150D01*
+X91792132Y-113936107D01*
+X91806747Y-113916401D01*
+X91823223Y-113898223D01*
+X91841401Y-113881747D01*
+X91861107Y-113867132D01*
+X91882150Y-113854520D01*
+X91904329Y-113844030D01*
+X91927428Y-113835765D01*
+X91951227Y-113829804D01*
+X91975495Y-113826204D01*
+X91999999Y-113825000D01*
+X93025001Y-113825000D01*
+X93049505Y-113826204D01*
+G37*
+D27*
+X112250000Y-74500000D03*
+X112250000Y-77400000D03*
+X114550000Y-77400000D03*
+X114550000Y-74500000D03*
+D20*
+X128800000Y-120974950D03*
+X128800000Y-120324950D03*
+X128800000Y-119674950D03*
+X128800000Y-119024950D03*
+X124400000Y-119024950D03*
+X124400000Y-119674950D03*
+X124400000Y-120324950D03*
+X124400000Y-120974950D03*
+D10*
+G36*
+X165935779Y-68676144D02*
+G01*
+X165958834Y-68679563D01*
+X165981443Y-68685227D01*
+X166003387Y-68693079D01*
+X166024457Y-68703044D01*
+X166044448Y-68715026D01*
+X166063168Y-68728910D01*
+X166080438Y-68744562D01*
+X166096090Y-68761832D01*
+X166109974Y-68780552D01*
+X166121956Y-68800543D01*
+X166131921Y-68821613D01*
+X166139773Y-68843557D01*
+X166145437Y-68866166D01*
+X166148856Y-68889221D01*
+X166150000Y-68912500D01*
+X166150000Y-69387500D01*
+X166148856Y-69410779D01*
+X166145437Y-69433834D01*
+X166139773Y-69456443D01*
+X166131921Y-69478387D01*
+X166121956Y-69499457D01*
+X166109974Y-69519448D01*
+X166096090Y-69538168D01*
+X166080438Y-69555438D01*
+X166063168Y-69571090D01*
+X166044448Y-69584974D01*
+X166024457Y-69596956D01*
+X166003387Y-69606921D01*
+X165981443Y-69614773D01*
+X165958834Y-69620437D01*
+X165935779Y-69623856D01*
+X165912500Y-69625000D01*
+X165337500Y-69625000D01*
+X165314221Y-69623856D01*
+X165291166Y-69620437D01*
+X165268557Y-69614773D01*
+X165246613Y-69606921D01*
+X165225543Y-69596956D01*
+X165205552Y-69584974D01*
+X165186832Y-69571090D01*
+X165169562Y-69555438D01*
+X165153910Y-69538168D01*
+X165140026Y-69519448D01*
+X165128044Y-69499457D01*
+X165118079Y-69478387D01*
+X165110227Y-69456443D01*
+X165104563Y-69433834D01*
+X165101144Y-69410779D01*
+X165100000Y-69387500D01*
+X165100000Y-68912500D01*
+X165101144Y-68889221D01*
+X165104563Y-68866166D01*
+X165110227Y-68843557D01*
+X165118079Y-68821613D01*
+X165128044Y-68800543D01*
+X165140026Y-68780552D01*
+X165153910Y-68761832D01*
+X165169562Y-68744562D01*
+X165186832Y-68728910D01*
+X165205552Y-68715026D01*
+X165225543Y-68703044D01*
+X165246613Y-68693079D01*
+X165268557Y-68685227D01*
+X165291166Y-68679563D01*
+X165314221Y-68676144D01*
+X165337500Y-68675000D01*
+X165912500Y-68675000D01*
+X165935779Y-68676144D01*
+G37*
+G36*
+X167685779Y-68676144D02*
+G01*
+X167708834Y-68679563D01*
+X167731443Y-68685227D01*
+X167753387Y-68693079D01*
+X167774457Y-68703044D01*
+X167794448Y-68715026D01*
+X167813168Y-68728910D01*
+X167830438Y-68744562D01*
+X167846090Y-68761832D01*
+X167859974Y-68780552D01*
+X167871956Y-68800543D01*
+X167881921Y-68821613D01*
+X167889773Y-68843557D01*
+X167895437Y-68866166D01*
+X167898856Y-68889221D01*
+X167900000Y-68912500D01*
+X167900000Y-69387500D01*
+X167898856Y-69410779D01*
+X167895437Y-69433834D01*
+X167889773Y-69456443D01*
+X167881921Y-69478387D01*
+X167871956Y-69499457D01*
+X167859974Y-69519448D01*
+X167846090Y-69538168D01*
+X167830438Y-69555438D01*
+X167813168Y-69571090D01*
+X167794448Y-69584974D01*
+X167774457Y-69596956D01*
+X167753387Y-69606921D01*
+X167731443Y-69614773D01*
+X167708834Y-69620437D01*
+X167685779Y-69623856D01*
+X167662500Y-69625000D01*
+X167087500Y-69625000D01*
+X167064221Y-69623856D01*
+X167041166Y-69620437D01*
+X167018557Y-69614773D01*
+X166996613Y-69606921D01*
+X166975543Y-69596956D01*
+X166955552Y-69584974D01*
+X166936832Y-69571090D01*
+X166919562Y-69555438D01*
+X166903910Y-69538168D01*
+X166890026Y-69519448D01*
+X166878044Y-69499457D01*
+X166868079Y-69478387D01*
+X166860227Y-69456443D01*
+X166854563Y-69433834D01*
+X166851144Y-69410779D01*
+X166850000Y-69387500D01*
+X166850000Y-68912500D01*
+X166851144Y-68889221D01*
+X166854563Y-68866166D01*
+X166860227Y-68843557D01*
+X166868079Y-68821613D01*
+X166878044Y-68800543D01*
+X166890026Y-68780552D01*
+X166903910Y-68761832D01*
+X166919562Y-68744562D01*
+X166936832Y-68728910D01*
+X166955552Y-68715026D01*
+X166975543Y-68703044D01*
+X166996613Y-68693079D01*
+X167018557Y-68685227D01*
+X167041166Y-68679563D01*
+X167064221Y-68676144D01*
+X167087500Y-68675000D01*
+X167662500Y-68675000D01*
+X167685779Y-68676144D01*
+G37*
+G36*
+X167685779Y-67176144D02*
+G01*
+X167708834Y-67179563D01*
+X167731443Y-67185227D01*
+X167753387Y-67193079D01*
+X167774457Y-67203044D01*
+X167794448Y-67215026D01*
+X167813168Y-67228910D01*
+X167830438Y-67244562D01*
+X167846090Y-67261832D01*
+X167859974Y-67280552D01*
+X167871956Y-67300543D01*
+X167881921Y-67321613D01*
+X167889773Y-67343557D01*
+X167895437Y-67366166D01*
+X167898856Y-67389221D01*
+X167900000Y-67412500D01*
+X167900000Y-67887500D01*
+X167898856Y-67910779D01*
+X167895437Y-67933834D01*
+X167889773Y-67956443D01*
+X167881921Y-67978387D01*
+X167871956Y-67999457D01*
+X167859974Y-68019448D01*
+X167846090Y-68038168D01*
+X167830438Y-68055438D01*
+X167813168Y-68071090D01*
+X167794448Y-68084974D01*
+X167774457Y-68096956D01*
+X167753387Y-68106921D01*
+X167731443Y-68114773D01*
+X167708834Y-68120437D01*
+X167685779Y-68123856D01*
+X167662500Y-68125000D01*
+X167087500Y-68125000D01*
+X167064221Y-68123856D01*
+X167041166Y-68120437D01*
+X167018557Y-68114773D01*
+X166996613Y-68106921D01*
+X166975543Y-68096956D01*
+X166955552Y-68084974D01*
+X166936832Y-68071090D01*
+X166919562Y-68055438D01*
+X166903910Y-68038168D01*
+X166890026Y-68019448D01*
+X166878044Y-67999457D01*
+X166868079Y-67978387D01*
+X166860227Y-67956443D01*
+X166854563Y-67933834D01*
+X166851144Y-67910779D01*
+X166850000Y-67887500D01*
+X166850000Y-67412500D01*
+X166851144Y-67389221D01*
+X166854563Y-67366166D01*
+X166860227Y-67343557D01*
+X166868079Y-67321613D01*
+X166878044Y-67300543D01*
+X166890026Y-67280552D01*
+X166903910Y-67261832D01*
+X166919562Y-67244562D01*
+X166936832Y-67228910D01*
+X166955552Y-67215026D01*
+X166975543Y-67203044D01*
+X166996613Y-67193079D01*
+X167018557Y-67185227D01*
+X167041166Y-67179563D01*
+X167064221Y-67176144D01*
+X167087500Y-67175000D01*
+X167662500Y-67175000D01*
+X167685779Y-67176144D01*
+G37*
+G36*
+X165935779Y-67176144D02*
+G01*
+X165958834Y-67179563D01*
+X165981443Y-67185227D01*
+X166003387Y-67193079D01*
+X166024457Y-67203044D01*
+X166044448Y-67215026D01*
+X166063168Y-67228910D01*
+X166080438Y-67244562D01*
+X166096090Y-67261832D01*
+X166109974Y-67280552D01*
+X166121956Y-67300543D01*
+X166131921Y-67321613D01*
+X166139773Y-67343557D01*
+X166145437Y-67366166D01*
+X166148856Y-67389221D01*
+X166150000Y-67412500D01*
+X166150000Y-67887500D01*
+X166148856Y-67910779D01*
+X166145437Y-67933834D01*
+X166139773Y-67956443D01*
+X166131921Y-67978387D01*
+X166121956Y-67999457D01*
+X166109974Y-68019448D01*
+X166096090Y-68038168D01*
+X166080438Y-68055438D01*
+X166063168Y-68071090D01*
+X166044448Y-68084974D01*
+X166024457Y-68096956D01*
+X166003387Y-68106921D01*
+X165981443Y-68114773D01*
+X165958834Y-68120437D01*
+X165935779Y-68123856D01*
+X165912500Y-68125000D01*
+X165337500Y-68125000D01*
+X165314221Y-68123856D01*
+X165291166Y-68120437D01*
+X165268557Y-68114773D01*
+X165246613Y-68106921D01*
+X165225543Y-68096956D01*
+X165205552Y-68084974D01*
+X165186832Y-68071090D01*
+X165169562Y-68055438D01*
+X165153910Y-68038168D01*
+X165140026Y-68019448D01*
+X165128044Y-67999457D01*
+X165118079Y-67978387D01*
+X165110227Y-67956443D01*
+X165104563Y-67933834D01*
+X165101144Y-67910779D01*
+X165100000Y-67887500D01*
+X165100000Y-67412500D01*
+X165101144Y-67389221D01*
+X165104563Y-67366166D01*
+X165110227Y-67343557D01*
+X165118079Y-67321613D01*
+X165128044Y-67300543D01*
+X165140026Y-67280552D01*
+X165153910Y-67261832D01*
+X165169562Y-67244562D01*
+X165186832Y-67228910D01*
+X165205552Y-67215026D01*
+X165225543Y-67203044D01*
+X165246613Y-67193079D01*
+X165268557Y-67185227D01*
+X165291166Y-67179563D01*
+X165314221Y-67176144D01*
+X165337500Y-67175000D01*
+X165912500Y-67175000D01*
+X165935779Y-67176144D01*
+G37*
+D28*
+X164100000Y-92795000D03*
+X164100000Y-91525000D03*
+X164100000Y-90255000D03*
+X164100000Y-88985000D03*
+X164100000Y-87715000D03*
+X164100000Y-86445000D03*
+X164100000Y-85175000D03*
+X164100000Y-83905000D03*
+X158700000Y-83905000D03*
+X158700000Y-85175000D03*
+X158700000Y-86445000D03*
+X158700000Y-87715000D03*
+X158700000Y-88985000D03*
+X158700000Y-90255000D03*
+X158700000Y-91525000D03*
+X158700000Y-92795000D03*
+D10*
+G36*
+X163335779Y-68676144D02*
+G01*
+X163358834Y-68679563D01*
+X163381443Y-68685227D01*
+X163403387Y-68693079D01*
+X163424457Y-68703044D01*
+X163444448Y-68715026D01*
+X163463168Y-68728910D01*
+X163480438Y-68744562D01*
+X163496090Y-68761832D01*
+X163509974Y-68780552D01*
+X163521956Y-68800543D01*
+X163531921Y-68821613D01*
+X163539773Y-68843557D01*
+X163545437Y-68866166D01*
+X163548856Y-68889221D01*
+X163550000Y-68912500D01*
+X163550000Y-69387500D01*
+X163548856Y-69410779D01*
+X163545437Y-69433834D01*
+X163539773Y-69456443D01*
+X163531921Y-69478387D01*
+X163521956Y-69499457D01*
+X163509974Y-69519448D01*
+X163496090Y-69538168D01*
+X163480438Y-69555438D01*
+X163463168Y-69571090D01*
+X163444448Y-69584974D01*
+X163424457Y-69596956D01*
+X163403387Y-69606921D01*
+X163381443Y-69614773D01*
+X163358834Y-69620437D01*
+X163335779Y-69623856D01*
+X163312500Y-69625000D01*
+X162737500Y-69625000D01*
+X162714221Y-69623856D01*
+X162691166Y-69620437D01*
+X162668557Y-69614773D01*
+X162646613Y-69606921D01*
+X162625543Y-69596956D01*
+X162605552Y-69584974D01*
+X162586832Y-69571090D01*
+X162569562Y-69555438D01*
+X162553910Y-69538168D01*
+X162540026Y-69519448D01*
+X162528044Y-69499457D01*
+X162518079Y-69478387D01*
+X162510227Y-69456443D01*
+X162504563Y-69433834D01*
+X162501144Y-69410779D01*
+X162500000Y-69387500D01*
+X162500000Y-68912500D01*
+X162501144Y-68889221D01*
+X162504563Y-68866166D01*
+X162510227Y-68843557D01*
+X162518079Y-68821613D01*
+X162528044Y-68800543D01*
+X162540026Y-68780552D01*
+X162553910Y-68761832D01*
+X162569562Y-68744562D01*
+X162586832Y-68728910D01*
+X162605552Y-68715026D01*
+X162625543Y-68703044D01*
+X162646613Y-68693079D01*
+X162668557Y-68685227D01*
+X162691166Y-68679563D01*
+X162714221Y-68676144D01*
+X162737500Y-68675000D01*
+X163312500Y-68675000D01*
+X163335779Y-68676144D01*
+G37*
+G36*
+X161585779Y-68676144D02*
+G01*
+X161608834Y-68679563D01*
+X161631443Y-68685227D01*
+X161653387Y-68693079D01*
+X161674457Y-68703044D01*
+X161694448Y-68715026D01*
+X161713168Y-68728910D01*
+X161730438Y-68744562D01*
+X161746090Y-68761832D01*
+X161759974Y-68780552D01*
+X161771956Y-68800543D01*
+X161781921Y-68821613D01*
+X161789773Y-68843557D01*
+X161795437Y-68866166D01*
+X161798856Y-68889221D01*
+X161800000Y-68912500D01*
+X161800000Y-69387500D01*
+X161798856Y-69410779D01*
+X161795437Y-69433834D01*
+X161789773Y-69456443D01*
+X161781921Y-69478387D01*
+X161771956Y-69499457D01*
+X161759974Y-69519448D01*
+X161746090Y-69538168D01*
+X161730438Y-69555438D01*
+X161713168Y-69571090D01*
+X161694448Y-69584974D01*
+X161674457Y-69596956D01*
+X161653387Y-69606921D01*
+X161631443Y-69614773D01*
+X161608834Y-69620437D01*
+X161585779Y-69623856D01*
+X161562500Y-69625000D01*
+X160987500Y-69625000D01*
+X160964221Y-69623856D01*
+X160941166Y-69620437D01*
+X160918557Y-69614773D01*
+X160896613Y-69606921D01*
+X160875543Y-69596956D01*
+X160855552Y-69584974D01*
+X160836832Y-69571090D01*
+X160819562Y-69555438D01*
+X160803910Y-69538168D01*
+X160790026Y-69519448D01*
+X160778044Y-69499457D01*
+X160768079Y-69478387D01*
+X160760227Y-69456443D01*
+X160754563Y-69433834D01*
+X160751144Y-69410779D01*
+X160750000Y-69387500D01*
+X160750000Y-68912500D01*
+X160751144Y-68889221D01*
+X160754563Y-68866166D01*
+X160760227Y-68843557D01*
+X160768079Y-68821613D01*
+X160778044Y-68800543D01*
+X160790026Y-68780552D01*
+X160803910Y-68761832D01*
+X160819562Y-68744562D01*
+X160836832Y-68728910D01*
+X160855552Y-68715026D01*
+X160875543Y-68703044D01*
+X160896613Y-68693079D01*
+X160918557Y-68685227D01*
+X160941166Y-68679563D01*
+X160964221Y-68676144D01*
+X160987500Y-68675000D01*
+X161562500Y-68675000D01*
+X161585779Y-68676144D01*
+G37*
+G36*
+X161585779Y-67176144D02*
+G01*
+X161608834Y-67179563D01*
+X161631443Y-67185227D01*
+X161653387Y-67193079D01*
+X161674457Y-67203044D01*
+X161694448Y-67215026D01*
+X161713168Y-67228910D01*
+X161730438Y-67244562D01*
+X161746090Y-67261832D01*
+X161759974Y-67280552D01*
+X161771956Y-67300543D01*
+X161781921Y-67321613D01*
+X161789773Y-67343557D01*
+X161795437Y-67366166D01*
+X161798856Y-67389221D01*
+X161800000Y-67412500D01*
+X161800000Y-67887500D01*
+X161798856Y-67910779D01*
+X161795437Y-67933834D01*
+X161789773Y-67956443D01*
+X161781921Y-67978387D01*
+X161771956Y-67999457D01*
+X161759974Y-68019448D01*
+X161746090Y-68038168D01*
+X161730438Y-68055438D01*
+X161713168Y-68071090D01*
+X161694448Y-68084974D01*
+X161674457Y-68096956D01*
+X161653387Y-68106921D01*
+X161631443Y-68114773D01*
+X161608834Y-68120437D01*
+X161585779Y-68123856D01*
+X161562500Y-68125000D01*
+X160987500Y-68125000D01*
+X160964221Y-68123856D01*
+X160941166Y-68120437D01*
+X160918557Y-68114773D01*
+X160896613Y-68106921D01*
+X160875543Y-68096956D01*
+X160855552Y-68084974D01*
+X160836832Y-68071090D01*
+X160819562Y-68055438D01*
+X160803910Y-68038168D01*
+X160790026Y-68019448D01*
+X160778044Y-67999457D01*
+X160768079Y-67978387D01*
+X160760227Y-67956443D01*
+X160754563Y-67933834D01*
+X160751144Y-67910779D01*
+X160750000Y-67887500D01*
+X160750000Y-67412500D01*
+X160751144Y-67389221D01*
+X160754563Y-67366166D01*
+X160760227Y-67343557D01*
+X160768079Y-67321613D01*
+X160778044Y-67300543D01*
+X160790026Y-67280552D01*
+X160803910Y-67261832D01*
+X160819562Y-67244562D01*
+X160836832Y-67228910D01*
+X160855552Y-67215026D01*
+X160875543Y-67203044D01*
+X160896613Y-67193079D01*
+X160918557Y-67185227D01*
+X160941166Y-67179563D01*
+X160964221Y-67176144D01*
+X160987500Y-67175000D01*
+X161562500Y-67175000D01*
+X161585779Y-67176144D01*
+G37*
+G36*
+X163335779Y-67176144D02*
+G01*
+X163358834Y-67179563D01*
+X163381443Y-67185227D01*
+X163403387Y-67193079D01*
+X163424457Y-67203044D01*
+X163444448Y-67215026D01*
+X163463168Y-67228910D01*
+X163480438Y-67244562D01*
+X163496090Y-67261832D01*
+X163509974Y-67280552D01*
+X163521956Y-67300543D01*
+X163531921Y-67321613D01*
+X163539773Y-67343557D01*
+X163545437Y-67366166D01*
+X163548856Y-67389221D01*
+X163550000Y-67412500D01*
+X163550000Y-67887500D01*
+X163548856Y-67910779D01*
+X163545437Y-67933834D01*
+X163539773Y-67956443D01*
+X163531921Y-67978387D01*
+X163521956Y-67999457D01*
+X163509974Y-68019448D01*
+X163496090Y-68038168D01*
+X163480438Y-68055438D01*
+X163463168Y-68071090D01*
+X163444448Y-68084974D01*
+X163424457Y-68096956D01*
+X163403387Y-68106921D01*
+X163381443Y-68114773D01*
+X163358834Y-68120437D01*
+X163335779Y-68123856D01*
+X163312500Y-68125000D01*
+X162737500Y-68125000D01*
+X162714221Y-68123856D01*
+X162691166Y-68120437D01*
+X162668557Y-68114773D01*
+X162646613Y-68106921D01*
+X162625543Y-68096956D01*
+X162605552Y-68084974D01*
+X162586832Y-68071090D01*
+X162569562Y-68055438D01*
+X162553910Y-68038168D01*
+X162540026Y-68019448D01*
+X162528044Y-67999457D01*
+X162518079Y-67978387D01*
+X162510227Y-67956443D01*
+X162504563Y-67933834D01*
+X162501144Y-67910779D01*
+X162500000Y-67887500D01*
+X162500000Y-67412500D01*
+X162501144Y-67389221D01*
+X162504563Y-67366166D01*
+X162510227Y-67343557D01*
+X162518079Y-67321613D01*
+X162528044Y-67300543D01*
+X162540026Y-67280552D01*
+X162553910Y-67261832D01*
+X162569562Y-67244562D01*
+X162586832Y-67228910D01*
+X162605552Y-67215026D01*
+X162625543Y-67203044D01*
+X162646613Y-67193079D01*
+X162668557Y-67185227D01*
+X162691166Y-67179563D01*
+X162714221Y-67176144D01*
+X162737500Y-67175000D01*
+X163312500Y-67175000D01*
+X163335779Y-67176144D01*
+G37*
+M02*
diff --git a/driver/gerber/driver-F_SilkS.gbr b/driver/gerber/driver-F_SilkS.gbr
index 6876359..405413c 100644
--- a/driver/gerber/driver-F_SilkS.gbr
+++ b/driver/gerber/driver-F_SilkS.gbr
@@ -1,7501 +1,6466 @@
-G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.0-344-gd281f051e)*
-G04 #@! TF.CreationDate,2019-04-25T22:00:11+09:00*
-G04 #@! TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,rev?*
-G04 #@! TF.SameCoordinates,Original*
-G04 #@! TF.FileFunction,Legend,Top*
-G04 #@! TF.FilePolarity,Positive*
-%FSLAX46Y46*%
-G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW (5.1.0-344-gd281f051e)) date 2019-04-25 22:00:11*
-%MOMM*%
-%LPD*%
-G04 APERTURE LIST*
-%ADD10C,0.150000*%
-%ADD11C,0.450000*%
-%ADD12C,0.100000*%
-%ADD13C,0.300000*%
-%ADD14C,0.200000*%
-%ADD15C,0.600000*%
-%ADD16C,0.120000*%
-G04 APERTURE END LIST*
-D10*
-X84338095Y-56528571D02*
-X84004761Y-56528571D01*
-X84004761Y-57052380D02*
-X84004761Y-56052380D01*
-X84480952Y-56052380D01*
-X85290476Y-57052380D02*
-X85290476Y-56528571D01*
-X85242857Y-56433333D01*
-X85147619Y-56385714D01*
-X84957142Y-56385714D01*
-X84861904Y-56433333D01*
-X85290476Y-57004761D02*
-X85195238Y-57052380D01*
-X84957142Y-57052380D01*
-X84861904Y-57004761D01*
-X84814285Y-56909523D01*
-X84814285Y-56814285D01*
-X84861904Y-56719047D01*
-X84957142Y-56671428D01*
-X85195238Y-56671428D01*
-X85290476Y-56623809D01*
-X85766666Y-56385714D02*
-X85766666Y-57052380D01*
-X85766666Y-56480952D02*
-X85814285Y-56433333D01*
-X85909523Y-56385714D01*
-X86052380Y-56385714D01*
-X86147619Y-56433333D01*
-X86195238Y-56528571D01*
-X86195238Y-57052380D01*
-D11*
-X84138095Y-65842857D02*
-X85661904Y-65842857D01*
-X84900000Y-66604761D02*
-X84900000Y-65080952D01*
-D10*
-X132600000Y-77000000D02*
-G75*
-G03X132600000Y-77000000I-400000J0D01*
-G01*
-X94988095Y-148452380D02*
-X94988095Y-147452380D01*
-X95321428Y-148166666D01*
-X95654761Y-147452380D01*
-X95654761Y-148452380D01*
-X96083333Y-148166666D02*
-X96559523Y-148166666D01*
-X95988095Y-148452380D02*
-X96321428Y-147452380D01*
-X96654761Y-148452380D01*
-X97559523Y-148357142D02*
-X97511904Y-148404761D01*
-X97369047Y-148452380D01*
-X97273809Y-148452380D01*
-X97130952Y-148404761D01*
-X97035714Y-148309523D01*
-X96988095Y-148214285D01*
-X96940476Y-148023809D01*
-X96940476Y-147880952D01*
-X96988095Y-147690476D01*
-X97035714Y-147595238D01*
-X97130952Y-147500000D01*
-X97273809Y-147452380D01*
-X97369047Y-147452380D01*
-X97511904Y-147500000D01*
-X97559523Y-147547619D01*
-D12*
-G36*
-X106000000Y-154250000D02*
-G01*
-X94750000Y-154250000D01*
-X94750000Y-149000000D01*
-X106000000Y-149000000D01*
-X106000000Y-154250000D01*
-G37*
-X106000000Y-154250000D02*
-X94750000Y-154250000D01*
-X94750000Y-149000000D01*
-X106000000Y-149000000D01*
-X106000000Y-154250000D01*
-D13*
-X113585714Y-96478571D02*
-X113585714Y-94978571D01*
-X114085714Y-96050000D01*
-X114585714Y-94978571D01*
-X114585714Y-96478571D01*
-X115157142Y-94978571D02*
-X116085714Y-94978571D01*
-X115585714Y-95550000D01*
-X115800000Y-95550000D01*
-X115942857Y-95621428D01*
-X116014285Y-95692857D01*
-X116085714Y-95835714D01*
-X116085714Y-96192857D01*
-X116014285Y-96335714D01*
-X115942857Y-96407142D01*
-X115800000Y-96478571D01*
-X115371428Y-96478571D01*
-X115228571Y-96407142D01*
-X115157142Y-96335714D01*
-D14*
-X114499999Y-149662380D02*
-X114499999Y-148995714D01*
-X114499999Y-149186190D02*
-X114547618Y-149090952D01*
-X114595237Y-149043333D01*
-X114690475Y-148995714D01*
-X114785714Y-148995714D01*
-X115499999Y-149614761D02*
-X115404761Y-149662380D01*
-X115214285Y-149662380D01*
-X115119047Y-149614761D01*
-X115071428Y-149519523D01*
-X115071428Y-149138571D01*
-X115119047Y-149043333D01*
-X115214285Y-148995714D01*
-X115404761Y-148995714D01*
-X115499999Y-149043333D01*
-X115547618Y-149138571D01*
-X115547618Y-149233809D01*
-X115071428Y-149329047D01*
-X115880952Y-148995714D02*
-X116119047Y-149662380D01*
-X116357142Y-148995714D01*
-X117928571Y-148995714D02*
-X117928571Y-149662380D01*
-X117690475Y-148614761D02*
-X117452380Y-149329047D01*
-X118071428Y-149329047D01*
-X115261904Y-152785714D02*
-X114500000Y-153071428D01*
-X115261904Y-153357142D01*
-X115738095Y-153452380D02*
-X115738095Y-152452380D01*
-X116166666Y-153452380D02*
-X116166666Y-152928571D01*
-X116119047Y-152833333D01*
-X116023809Y-152785714D01*
-X115880952Y-152785714D01*
-X115785714Y-152833333D01*
-X115738095Y-152880952D01*
-X116547619Y-152785714D02*
-X116738095Y-153452380D01*
-X116928571Y-152976190D01*
-X117119047Y-153452380D01*
-X117309523Y-152785714D01*
-X118309523Y-152976190D02*
-X118261904Y-152928571D01*
-X118166666Y-152880952D01*
-X118071428Y-152880952D01*
-X117976190Y-152928571D01*
-X117928571Y-152976190D01*
-X117880952Y-153071428D01*
-X117880952Y-153166666D01*
-X117928571Y-153261904D01*
-X117976190Y-153309523D01*
-X118071428Y-153357142D01*
-X118166666Y-153357142D01*
-X118261904Y-153309523D01*
-X118309523Y-153261904D01*
-X118309523Y-152880952D02*
-X118309523Y-153261904D01*
-X118357142Y-153309523D01*
-X118404761Y-153309523D01*
-X118500000Y-153261904D01*
-X118547619Y-153166666D01*
-X118547619Y-152928571D01*
-X118452380Y-152785714D01*
-X118309523Y-152690476D01*
-X118119047Y-152642857D01*
-X117928571Y-152690476D01*
-X117785714Y-152785714D01*
-X117690476Y-152928571D01*
-X117642857Y-153119047D01*
-X117690476Y-153309523D01*
-X117785714Y-153452380D01*
-X117928571Y-153547619D01*
-X118119047Y-153595238D01*
-X118309523Y-153547619D01*
-X118452380Y-153452380D01*
-X118976190Y-152785714D02*
-X118976190Y-153642857D01*
-X118928571Y-153738095D01*
-X118833333Y-153785714D01*
-X118785714Y-153785714D01*
-X118976190Y-152452380D02*
-X118928571Y-152500000D01*
-X118976190Y-152547619D01*
-X119023809Y-152500000D01*
-X118976190Y-152452380D01*
-X118976190Y-152547619D01*
-X119880952Y-153452380D02*
-X119880952Y-152928571D01*
-X119833333Y-152833333D01*
-X119738095Y-152785714D01*
-X119547619Y-152785714D01*
-X119452380Y-152833333D01*
-X119880952Y-153404761D02*
-X119785714Y-153452380D01*
-X119547619Y-153452380D01*
-X119452380Y-153404761D01*
-X119404761Y-153309523D01*
-X119404761Y-153214285D01*
-X119452380Y-153119047D01*
-X119547619Y-153071428D01*
-X119785714Y-153071428D01*
-X119880952Y-153023809D01*
-X120309523Y-153404761D02*
-X120404761Y-153452380D01*
-X120595238Y-153452380D01*
-X120690476Y-153404761D01*
-X120738095Y-153309523D01*
-X120738095Y-153261904D01*
-X120690476Y-153166666D01*
-X120595238Y-153119047D01*
-X120452380Y-153119047D01*
-X120357142Y-153071428D01*
-X120309523Y-152976190D01*
-X120309523Y-152928571D01*
-X120357142Y-152833333D01*
-X120452380Y-152785714D01*
-X120595238Y-152785714D01*
-X120690476Y-152833333D01*
-X121547619Y-153404761D02*
-X121452380Y-153452380D01*
-X121261904Y-153452380D01*
-X121166666Y-153404761D01*
-X121119047Y-153309523D01*
-X121119047Y-152928571D01*
-X121166666Y-152833333D01*
-X121261904Y-152785714D01*
-X121452380Y-152785714D01*
-X121547619Y-152833333D01*
-X121595238Y-152928571D01*
-X121595238Y-153023809D01*
-X121119047Y-153119047D01*
-X122452380Y-152785714D02*
-X122452380Y-153595238D01*
-X122404761Y-153690476D01*
-X122357142Y-153738095D01*
-X122261904Y-153785714D01*
-X122119047Y-153785714D01*
-X122023809Y-153738095D01*
-X122452380Y-153404761D02*
-X122357142Y-153452380D01*
-X122166666Y-153452380D01*
-X122071428Y-153404761D01*
-X122023809Y-153357142D01*
-X121976190Y-153261904D01*
-X121976190Y-152976190D01*
-X122023809Y-152880952D01*
-X122071428Y-152833333D01*
-X122166666Y-152785714D01*
-X122357142Y-152785714D01*
-X122452380Y-152833333D01*
-X122928571Y-153357142D02*
-X122976190Y-153404761D01*
-X122928571Y-153452380D01*
-X122880952Y-153404761D01*
-X122928571Y-153357142D01*
-X122928571Y-153452380D01*
-X123404761Y-152785714D02*
-X123404761Y-153452380D01*
-X123404761Y-152880952D02*
-X123452380Y-152833333D01*
-X123547619Y-152785714D01*
-X123690476Y-152785714D01*
-X123785714Y-152833333D01*
-X123833333Y-152928571D01*
-X123833333Y-153452380D01*
-X124690476Y-153404761D02*
-X124595238Y-153452380D01*
-X124404761Y-153452380D01*
-X124309523Y-153404761D01*
-X124261904Y-153309523D01*
-X124261904Y-152928571D01*
-X124309523Y-152833333D01*
-X124404761Y-152785714D01*
-X124595238Y-152785714D01*
-X124690476Y-152833333D01*
-X124738095Y-152928571D01*
-X124738095Y-153023809D01*
-X124261904Y-153119047D01*
-X125023809Y-152785714D02*
-X125404761Y-152785714D01*
-X125166666Y-152452380D02*
-X125166666Y-153309523D01*
-X125214285Y-153404761D01*
-X125309523Y-153452380D01*
-X125404761Y-153452380D01*
-X125738095Y-152785714D02*
-X126500000Y-153071428D01*
-X125738095Y-153357142D01*
-D13*
-X104728571Y-60778571D02*
-X105228571Y-62278571D01*
-X105728571Y-60778571D01*
-X106228571Y-62278571D02*
-X106228571Y-60778571D01*
-X106228571Y-61350000D02*
-X106371428Y-61278571D01*
-X106657142Y-61278571D01*
-X106800000Y-61350000D01*
-X106871428Y-61421428D01*
-X106942857Y-61564285D01*
-X106942857Y-61992857D01*
-X106871428Y-62135714D01*
-X106800000Y-62207142D01*
-X106657142Y-62278571D01*
-X106371428Y-62278571D01*
-X106228571Y-62207142D01*
-X107800000Y-62278571D02*
-X107657142Y-62207142D01*
-X107585714Y-62135714D01*
-X107514285Y-61992857D01*
-X107514285Y-61564285D01*
-X107585714Y-61421428D01*
-X107657142Y-61350000D01*
-X107800000Y-61278571D01*
-X108014285Y-61278571D01*
-X108157142Y-61350000D01*
-X108228571Y-61421428D01*
-X108300000Y-61564285D01*
-X108300000Y-61992857D01*
-X108228571Y-62135714D01*
-X108157142Y-62207142D01*
-X108014285Y-62278571D01*
-X107800000Y-62278571D01*
-X109157142Y-62278571D02*
-X109014285Y-62207142D01*
-X108942857Y-62135714D01*
-X108871428Y-61992857D01*
-X108871428Y-61564285D01*
-X108942857Y-61421428D01*
-X109014285Y-61350000D01*
-X109157142Y-61278571D01*
-X109371428Y-61278571D01*
-X109514285Y-61350000D01*
-X109585714Y-61421428D01*
-X109657142Y-61564285D01*
-X109657142Y-61992857D01*
-X109585714Y-62135714D01*
-X109514285Y-62207142D01*
-X109371428Y-62278571D01*
-X109157142Y-62278571D01*
-X110228571Y-62207142D02*
-X110371428Y-62278571D01*
-X110657142Y-62278571D01*
-X110800000Y-62207142D01*
-X110871428Y-62064285D01*
-X110871428Y-61992857D01*
-X110800000Y-61850000D01*
-X110657142Y-61778571D01*
-X110442857Y-61778571D01*
-X110300000Y-61707142D01*
-X110228571Y-61564285D01*
-X110228571Y-61492857D01*
-X110300000Y-61350000D01*
-X110442857Y-61278571D01*
-X110657142Y-61278571D01*
-X110800000Y-61350000D01*
-X111300000Y-61278571D02*
-X111871428Y-61278571D01*
-X111514285Y-60778571D02*
-X111514285Y-62064285D01*
-X111585714Y-62207142D01*
-X111728571Y-62278571D01*
-X111871428Y-62278571D01*
-D14*
-X77086904Y-77352380D02*
-X77705952Y-77352380D01*
-X77372619Y-77733333D01*
-X77515476Y-77733333D01*
-X77610714Y-77780952D01*
-X77658333Y-77828571D01*
-X77705952Y-77923809D01*
-X77705952Y-78161904D01*
-X77658333Y-78257142D01*
-X77610714Y-78304761D01*
-X77515476Y-78352380D01*
-X77229761Y-78352380D01*
-X77134523Y-78304761D01*
-X77086904Y-78257142D01*
-X77991666Y-77352380D02*
-X78325000Y-78352380D01*
-X78658333Y-77352380D01*
-X78896428Y-77352380D02*
-X79515476Y-77352380D01*
-X79182142Y-77733333D01*
-X79325000Y-77733333D01*
-X79420238Y-77780952D01*
-X79467857Y-77828571D01*
-X79515476Y-77923809D01*
-X79515476Y-78161904D01*
-X79467857Y-78257142D01*
-X79420238Y-78304761D01*
-X79325000Y-78352380D01*
-X79039285Y-78352380D01*
-X78944047Y-78304761D01*
-X78896428Y-78257142D01*
-D13*
-X162950000Y-93500000D02*
-G75*
-G03X162950000Y-93500000I-550000J0D01*
-G01*
-X121550000Y-75000000D02*
-G75*
-G03X121550000Y-75000000I-550000J0D01*
-G01*
-X113371428Y-56764285D02*
-X114871428Y-56764285D01*
-X114871428Y-57764285D02*
-X114871428Y-58050000D01*
-X114800000Y-58192857D01*
-X114657142Y-58335714D01*
-X114371428Y-58407142D01*
-X113871428Y-58407142D01*
-X113585714Y-58335714D01*
-X113442857Y-58192857D01*
-X113371428Y-58050000D01*
-X113371428Y-57764285D01*
-X113442857Y-57621428D01*
-X113585714Y-57478571D01*
-X113871428Y-57407142D01*
-X114371428Y-57407142D01*
-X114657142Y-57478571D01*
-X114800000Y-57621428D01*
-X114871428Y-57764285D01*
-X112871428Y-59407142D02*
-X115014285Y-59407142D01*
-X114228571Y-60335714D02*
-X114228571Y-61764285D01*
-X113800000Y-60621428D02*
-X113800000Y-61478571D01*
-X114871428Y-61050000D02*
-X114228571Y-61050000D01*
-X113371428Y-61192857D02*
-X113371428Y-60907142D01*
-X112871428Y-62692857D02*
-X115014285Y-62692857D01*
-X113514285Y-64621428D02*
-X113442857Y-64550000D01*
-X113371428Y-64335714D01*
-X113371428Y-64192857D01*
-X113442857Y-63978571D01*
-X113585714Y-63835714D01*
-X113728571Y-63764285D01*
-X114014285Y-63692857D01*
-X114228571Y-63692857D01*
-X114514285Y-63764285D01*
-X114657142Y-63835714D01*
-X114800000Y-63978571D01*
-X114871428Y-64192857D01*
-X114871428Y-64335714D01*
-X114800000Y-64550000D01*
-X114728571Y-64621428D01*
-X113371428Y-65264285D02*
-X114871428Y-65264285D01*
-X113371428Y-66121428D02*
-X114228571Y-65478571D01*
-X114871428Y-66121428D02*
-X114014285Y-65264285D01*
-X112871428Y-67121428D02*
-X115014285Y-67121428D01*
-X113942857Y-68192857D02*
-X113942857Y-69335714D01*
-X113371428Y-68764285D02*
-X114514285Y-68764285D01*
-D15*
-X161750000Y-94957142D02*
-X162321428Y-94957142D01*
-X162607142Y-95100000D01*
-X162892857Y-95385714D01*
-X163035714Y-95957142D01*
-X163035714Y-96957142D01*
-X162892857Y-97528571D01*
-X162607142Y-97814285D01*
-X162321428Y-97957142D01*
-X161750000Y-97957142D01*
-X161464285Y-97814285D01*
-X161178571Y-97528571D01*
-X161035714Y-96957142D01*
-X161035714Y-95957142D01*
-X161178571Y-95385714D01*
-X161464285Y-95100000D01*
-X161750000Y-94957142D01*
-X164321428Y-94957142D02*
-X164321428Y-97385714D01*
-X164464285Y-97671428D01*
-X164607142Y-97814285D01*
-X164892857Y-97957142D01*
-X165464285Y-97957142D01*
-X165750000Y-97814285D01*
-X165892857Y-97671428D01*
-X166035714Y-97385714D01*
-X166035714Y-94957142D01*
-X167035714Y-94957142D02*
-X168750000Y-94957142D01*
-X167892857Y-97957142D02*
-X167892857Y-94957142D01*
-D13*
-X97250000Y-89300000D02*
-G75*
-G03X97250000Y-89300000I-550000J0D01*
-G01*
-X77064285Y-89678571D02*
-X77564285Y-91178571D01*
-X78064285Y-89678571D01*
-X78564285Y-91178571D02*
-X78564285Y-90178571D01*
-X78564285Y-89678571D02*
-X78492857Y-89750000D01*
-X78564285Y-89821428D01*
-X78635714Y-89750000D01*
-X78564285Y-89678571D01*
-X78564285Y-89821428D01*
-X79278571Y-90178571D02*
-X79278571Y-91178571D01*
-X79278571Y-90321428D02*
-X79350000Y-90250000D01*
-X79492857Y-90178571D01*
-X79707142Y-90178571D01*
-X79850000Y-90250000D01*
-X79921428Y-90392857D01*
-X79921428Y-91178571D01*
-X104628571Y-60878571D02*
-X105128571Y-62378571D01*
-X105628571Y-60878571D01*
-X106128571Y-62378571D02*
-X106128571Y-60878571D01*
-X106128571Y-61450000D02*
-X106271428Y-61378571D01*
-X106557142Y-61378571D01*
-X106700000Y-61450000D01*
-X106771428Y-61521428D01*
-X106842857Y-61664285D01*
-X106842857Y-62092857D01*
-X106771428Y-62235714D01*
-X106700000Y-62307142D01*
-X106557142Y-62378571D01*
-X106271428Y-62378571D01*
-X106128571Y-62307142D01*
-X107700000Y-62378571D02*
-X107557142Y-62307142D01*
-X107485714Y-62235714D01*
-X107414285Y-62092857D01*
-X107414285Y-61664285D01*
-X107485714Y-61521428D01*
-X107557142Y-61450000D01*
-X107700000Y-61378571D01*
-X107914285Y-61378571D01*
-X108057142Y-61450000D01*
-X108128571Y-61521428D01*
-X108200000Y-61664285D01*
-X108200000Y-62092857D01*
-X108128571Y-62235714D01*
-X108057142Y-62307142D01*
-X107914285Y-62378571D01*
-X107700000Y-62378571D01*
-X109057142Y-62378571D02*
-X108914285Y-62307142D01*
-X108842857Y-62235714D01*
-X108771428Y-62092857D01*
-X108771428Y-61664285D01*
-X108842857Y-61521428D01*
-X108914285Y-61450000D01*
-X109057142Y-61378571D01*
-X109271428Y-61378571D01*
-X109414285Y-61450000D01*
-X109485714Y-61521428D01*
-X109557142Y-61664285D01*
-X109557142Y-62092857D01*
-X109485714Y-62235714D01*
-X109414285Y-62307142D01*
-X109271428Y-62378571D01*
-X109057142Y-62378571D01*
-X110128571Y-62307142D02*
-X110271428Y-62378571D01*
-X110557142Y-62378571D01*
-X110700000Y-62307142D01*
-X110771428Y-62164285D01*
-X110771428Y-62092857D01*
-X110700000Y-61950000D01*
-X110557142Y-61878571D01*
-X110342857Y-61878571D01*
-X110200000Y-61807142D01*
-X110128571Y-61664285D01*
-X110128571Y-61592857D01*
-X110200000Y-61450000D01*
-X110342857Y-61378571D01*
-X110557142Y-61378571D01*
-X110700000Y-61450000D01*
-X111200000Y-61378571D02*
-X111771428Y-61378571D01*
-X111414285Y-60878571D02*
-X111414285Y-62164285D01*
-X111485714Y-62307142D01*
-X111628571Y-62378571D01*
-X111771428Y-62378571D01*
-X97164285Y-61478571D02*
-X97664285Y-62978571D01*
-X98164285Y-61478571D01*
-X98664285Y-62978571D02*
-X98664285Y-61978571D01*
-X98664285Y-61478571D02*
-X98592857Y-61550000D01*
-X98664285Y-61621428D01*
-X98735714Y-61550000D01*
-X98664285Y-61478571D01*
-X98664285Y-61621428D01*
-X99378571Y-61978571D02*
-X99378571Y-62978571D01*
-X99378571Y-62121428D02*
-X99450000Y-62050000D01*
-X99592857Y-61978571D01*
-X99807142Y-61978571D01*
-X99950000Y-62050000D01*
-X100021428Y-62192857D01*
-X100021428Y-62978571D01*
-X102100000Y-69700000D02*
-X103500000Y-69700000D01*
-X102800000Y-69900000D02*
-X102100000Y-70700000D01*
-X103500000Y-70700000D02*
-X102800000Y-69900000D01*
-X102200000Y-70700000D02*
-X103500000Y-70700000D01*
-D14*
-X85386904Y-78577380D02*
-X86005952Y-78577380D01*
-X85672619Y-78958333D01*
-X85815476Y-78958333D01*
-X85910714Y-79005952D01*
-X85958333Y-79053571D01*
-X86005952Y-79148809D01*
-X86005952Y-79386904D01*
-X85958333Y-79482142D01*
-X85910714Y-79529761D01*
-X85815476Y-79577380D01*
-X85529761Y-79577380D01*
-X85434523Y-79529761D01*
-X85386904Y-79482142D01*
-X86291666Y-78577380D02*
-X86625000Y-79577380D01*
-X86958333Y-78577380D01*
-X87196428Y-78577380D02*
-X87815476Y-78577380D01*
-X87482142Y-78958333D01*
-X87625000Y-78958333D01*
-X87720238Y-79005952D01*
-X87767857Y-79053571D01*
-X87815476Y-79148809D01*
-X87815476Y-79386904D01*
-X87767857Y-79482142D01*
-X87720238Y-79529761D01*
-X87625000Y-79577380D01*
-X87339285Y-79577380D01*
-X87244047Y-79529761D01*
-X87196428Y-79482142D01*
-X77086904Y-77377380D02*
-X77705952Y-77377380D01*
-X77372619Y-77758333D01*
-X77515476Y-77758333D01*
-X77610714Y-77805952D01*
-X77658333Y-77853571D01*
-X77705952Y-77948809D01*
-X77705952Y-78186904D01*
-X77658333Y-78282142D01*
-X77610714Y-78329761D01*
-X77515476Y-78377380D01*
-X77229761Y-78377380D01*
-X77134523Y-78329761D01*
-X77086904Y-78282142D01*
-X77991666Y-77377380D02*
-X78325000Y-78377380D01*
-X78658333Y-77377380D01*
-X78896428Y-77377380D02*
-X79515476Y-77377380D01*
-X79182142Y-77758333D01*
-X79325000Y-77758333D01*
-X79420238Y-77805952D01*
-X79467857Y-77853571D01*
-X79515476Y-77948809D01*
-X79515476Y-78186904D01*
-X79467857Y-78282142D01*
-X79420238Y-78329761D01*
-X79325000Y-78377380D01*
-X79039285Y-78377380D01*
-X78944047Y-78329761D01*
-X78896428Y-78282142D01*
-D13*
-X89378571Y-152728571D02*
-X88664285Y-152728571D01*
-X88664285Y-151228571D01*
-X90092857Y-152728571D02*
-X89950000Y-152657142D01*
-X89878571Y-152585714D01*
-X89807142Y-152442857D01*
-X89807142Y-152014285D01*
-X89878571Y-151871428D01*
-X89950000Y-151800000D01*
-X90092857Y-151728571D01*
-X90307142Y-151728571D01*
-X90450000Y-151800000D01*
-X90521428Y-151871428D01*
-X90592857Y-152014285D01*
-X90592857Y-152442857D01*
-X90521428Y-152585714D01*
-X90450000Y-152657142D01*
-X90307142Y-152728571D01*
-X90092857Y-152728571D01*
-X91878571Y-152728571D02*
-X91878571Y-151942857D01*
-X91807142Y-151800000D01*
-X91664285Y-151728571D01*
-X91378571Y-151728571D01*
-X91235714Y-151800000D01*
-X91878571Y-152657142D02*
-X91735714Y-152728571D01*
-X91378571Y-152728571D01*
-X91235714Y-152657142D01*
-X91164285Y-152514285D01*
-X91164285Y-152371428D01*
-X91235714Y-152228571D01*
-X91378571Y-152157142D01*
-X91735714Y-152157142D01*
-X91878571Y-152085714D01*
-X93235714Y-152728571D02*
-X93235714Y-151228571D01*
-X93235714Y-152657142D02*
-X93092857Y-152728571D01*
-X92807142Y-152728571D01*
-X92664285Y-152657142D01*
-X92592857Y-152585714D01*
-X92521428Y-152442857D01*
-X92521428Y-152014285D01*
-X92592857Y-151871428D01*
-X92664285Y-151800000D01*
-X92807142Y-151728571D01*
-X93092857Y-151728571D01*
-X93235714Y-151800000D01*
-X88607142Y-146992857D02*
-X89107142Y-146992857D01*
-X89321428Y-147778571D02*
-X88607142Y-147778571D01*
-X88607142Y-146278571D01*
-X89321428Y-146278571D01*
-X89964285Y-147778571D02*
-X89964285Y-146778571D01*
-X89964285Y-147064285D02*
-X90035714Y-146921428D01*
-X90107142Y-146850000D01*
-X90250000Y-146778571D01*
-X90392857Y-146778571D01*
-X90892857Y-147778571D02*
-X90892857Y-146778571D01*
-X90892857Y-147064285D02*
-X90964285Y-146921428D01*
-X91035714Y-146850000D01*
-X91178571Y-146778571D01*
-X91321428Y-146778571D01*
-X92035714Y-147778571D02*
-X91892857Y-147707142D01*
-X91821428Y-147635714D01*
-X91750000Y-147492857D01*
-X91750000Y-147064285D01*
-X91821428Y-146921428D01*
-X91892857Y-146850000D01*
-X92035714Y-146778571D01*
-X92250000Y-146778571D01*
-X92392857Y-146850000D01*
-X92464285Y-146921428D01*
-X92535714Y-147064285D01*
-X92535714Y-147492857D01*
-X92464285Y-147635714D01*
-X92392857Y-147707142D01*
-X92250000Y-147778571D01*
-X92035714Y-147778571D01*
-X93178571Y-147778571D02*
-X93178571Y-146778571D01*
-X93178571Y-147064285D02*
-X93250000Y-146921428D01*
-X93321428Y-146850000D01*
-X93464285Y-146778571D01*
-X93607142Y-146778571D01*
-X138164285Y-152878571D02*
-X138164285Y-151378571D01*
-X139021428Y-152878571D01*
-X139021428Y-151378571D01*
-X140307142Y-152807142D02*
-X140164285Y-152878571D01*
-X139878571Y-152878571D01*
-X139735714Y-152807142D01*
-X139664285Y-152664285D01*
-X139664285Y-152092857D01*
-X139735714Y-151950000D01*
-X139878571Y-151878571D01*
-X140164285Y-151878571D01*
-X140307142Y-151950000D01*
-X140378571Y-152092857D01*
-X140378571Y-152235714D01*
-X139664285Y-152378571D01*
-X140807142Y-151878571D02*
-X141378571Y-151878571D01*
-X141021428Y-151378571D02*
-X141021428Y-152664285D01*
-X141092857Y-152807142D01*
-X141235714Y-152878571D01*
-X141378571Y-152878571D01*
-X141735714Y-151878571D02*
-X142021428Y-152878571D01*
-X142307142Y-152164285D01*
-X142592857Y-152878571D01*
-X142878571Y-151878571D01*
-X143664285Y-152878571D02*
-X143521428Y-152807142D01*
-X143450000Y-152735714D01*
-X143378571Y-152592857D01*
-X143378571Y-152164285D01*
-X143450000Y-152021428D01*
-X143521428Y-151950000D01*
-X143664285Y-151878571D01*
-X143878571Y-151878571D01*
-X144021428Y-151950000D01*
-X144092857Y-152021428D01*
-X144164285Y-152164285D01*
-X144164285Y-152592857D01*
-X144092857Y-152735714D01*
-X144021428Y-152807142D01*
-X143878571Y-152878571D01*
-X143664285Y-152878571D01*
-X144807142Y-152878571D02*
-X144807142Y-151878571D01*
-X144807142Y-152164285D02*
-X144878571Y-152021428D01*
-X144950000Y-151950000D01*
-X145092857Y-151878571D01*
-X145235714Y-151878571D01*
-X145735714Y-152878571D02*
-X145735714Y-151378571D01*
-X145878571Y-152307142D02*
-X146307142Y-152878571D01*
-X146307142Y-151878571D02*
-X145735714Y-152450000D01*
-X138450000Y-146278571D02*
-X138735714Y-146278571D01*
-X138878571Y-146350000D01*
-X139021428Y-146492857D01*
-X139092857Y-146778571D01*
-X139092857Y-147278571D01*
-X139021428Y-147564285D01*
-X138878571Y-147707142D01*
-X138735714Y-147778571D01*
-X138450000Y-147778571D01*
-X138307142Y-147707142D01*
-X138164285Y-147564285D01*
-X138092857Y-147278571D01*
-X138092857Y-146778571D01*
-X138164285Y-146492857D01*
-X138307142Y-146350000D01*
-X138450000Y-146278571D01*
-X139735714Y-146778571D02*
-X139735714Y-148278571D01*
-X139735714Y-146850000D02*
-X139878571Y-146778571D01*
-X140164285Y-146778571D01*
-X140307142Y-146850000D01*
-X140378571Y-146921428D01*
-X140450000Y-147064285D01*
-X140450000Y-147492857D01*
-X140378571Y-147635714D01*
-X140307142Y-147707142D01*
-X140164285Y-147778571D01*
-X139878571Y-147778571D01*
-X139735714Y-147707142D01*
-X141664285Y-147707142D02*
-X141521428Y-147778571D01*
-X141235714Y-147778571D01*
-X141092857Y-147707142D01*
-X141021428Y-147564285D01*
-X141021428Y-146992857D01*
-X141092857Y-146850000D01*
-X141235714Y-146778571D01*
-X141521428Y-146778571D01*
-X141664285Y-146850000D01*
-X141735714Y-146992857D01*
-X141735714Y-147135714D01*
-X141021428Y-147278571D01*
-X142378571Y-147778571D02*
-X142378571Y-146778571D01*
-X142378571Y-147064285D02*
-X142450000Y-146921428D01*
-X142521428Y-146850000D01*
-X142664285Y-146778571D01*
-X142807142Y-146778571D01*
-X143950000Y-147778571D02*
-X143950000Y-146992857D01*
-X143878571Y-146850000D01*
-X143735714Y-146778571D01*
-X143450000Y-146778571D01*
-X143307142Y-146850000D01*
-X143950000Y-147707142D02*
-X143807142Y-147778571D01*
-X143450000Y-147778571D01*
-X143307142Y-147707142D01*
-X143235714Y-147564285D01*
-X143235714Y-147421428D01*
-X143307142Y-147278571D01*
-X143450000Y-147207142D01*
-X143807142Y-147207142D01*
-X143950000Y-147135714D01*
-X144450000Y-146778571D02*
-X145021428Y-146778571D01*
-X144664285Y-146278571D02*
-X144664285Y-147564285D01*
-X144735714Y-147707142D01*
-X144878571Y-147778571D01*
-X145021428Y-147778571D01*
-X145521428Y-147778571D02*
-X145521428Y-146778571D01*
-X145521428Y-146278571D02*
-X145450000Y-146350000D01*
-X145521428Y-146421428D01*
-X145592857Y-146350000D01*
-X145521428Y-146278571D01*
-X145521428Y-146421428D01*
-X146450000Y-147778571D02*
-X146307142Y-147707142D01*
-X146235714Y-147635714D01*
-X146164285Y-147492857D01*
-X146164285Y-147064285D01*
-X146235714Y-146921428D01*
-X146307142Y-146850000D01*
-X146450000Y-146778571D01*
-X146664285Y-146778571D01*
-X146807142Y-146850000D01*
-X146878571Y-146921428D01*
-X146950000Y-147064285D01*
-X146950000Y-147492857D01*
-X146878571Y-147635714D01*
-X146807142Y-147707142D01*
-X146664285Y-147778571D01*
-X146450000Y-147778571D01*
-X147592857Y-146778571D02*
-X147592857Y-147778571D01*
-X147592857Y-146921428D02*
-X147664285Y-146850000D01*
-X147807142Y-146778571D01*
-X148021428Y-146778571D01*
-X148164285Y-146850000D01*
-X148235714Y-146992857D01*
-X148235714Y-147778571D01*
-X78385714Y-57178571D02*
-X78385714Y-55678571D01*
-X78885714Y-56750000D01*
-X79385714Y-55678571D01*
-X79385714Y-57178571D01*
-X79957142Y-55678571D02*
-X80885714Y-55678571D01*
-X80385714Y-56250000D01*
-X80600000Y-56250000D01*
-X80742857Y-56321428D01*
-X80814285Y-56392857D01*
-X80885714Y-56535714D01*
-X80885714Y-56892857D01*
-X80814285Y-57035714D01*
-X80742857Y-57107142D01*
-X80600000Y-57178571D01*
-X80171428Y-57178571D01*
-X80028571Y-57107142D01*
-X79957142Y-57035714D01*
-D14*
-X115309523Y-150795476D02*
-X115214285Y-150747857D01*
-X115023809Y-150747857D01*
-X114928571Y-150795476D01*
-X114833332Y-150890714D01*
-X114785713Y-150985952D01*
-X114785713Y-151176428D01*
-X114833332Y-151271666D01*
-X114928571Y-151366904D01*
-X115023809Y-151414523D01*
-X115214285Y-151414523D01*
-X115309523Y-151366904D01*
-X115119047Y-150414523D02*
-X114880952Y-150462142D01*
-X114642856Y-150605000D01*
-X114499999Y-150843095D01*
-X114452380Y-151081190D01*
-X114499999Y-151319285D01*
-X114642856Y-151557380D01*
-X114880952Y-151700238D01*
-X115119047Y-151747857D01*
-X115357142Y-151700238D01*
-X115595237Y-151557380D01*
-X115738094Y-151319285D01*
-X115785713Y-151081190D01*
-X115738094Y-150843095D01*
-X115595237Y-150605000D01*
-X115357142Y-150462142D01*
-X115119047Y-150414523D01*
-X116166666Y-150652619D02*
-X116214285Y-150605000D01*
-X116309523Y-150557380D01*
-X116547618Y-150557380D01*
-X116642856Y-150605000D01*
-X116690475Y-150652619D01*
-X116738094Y-150747857D01*
-X116738094Y-150843095D01*
-X116690475Y-150985952D01*
-X116119047Y-151557380D01*
-X116738094Y-151557380D01*
-X117357142Y-150557380D02*
-X117452380Y-150557380D01*
-X117547618Y-150605000D01*
-X117595237Y-150652619D01*
-X117642856Y-150747857D01*
-X117690475Y-150938333D01*
-X117690475Y-151176428D01*
-X117642856Y-151366904D01*
-X117595237Y-151462142D01*
-X117547618Y-151509761D01*
-X117452380Y-151557380D01*
-X117357142Y-151557380D01*
-X117261904Y-151509761D01*
-X117214285Y-151462142D01*
-X117166666Y-151366904D01*
-X117119047Y-151176428D01*
-X117119047Y-150938333D01*
-X117166666Y-150747857D01*
-X117214285Y-150652619D01*
-X117261904Y-150605000D01*
-X117357142Y-150557380D01*
-X118642856Y-151557380D02*
-X118071428Y-151557380D01*
-X118357142Y-151557380D02*
-X118357142Y-150557380D01*
-X118261904Y-150700238D01*
-X118166666Y-150795476D01*
-X118071428Y-150843095D01*
-X119119047Y-151557380D02*
-X119309523Y-151557380D01*
-X119404761Y-151509761D01*
-X119452380Y-151462142D01*
-X119547618Y-151319285D01*
-X119595237Y-151128809D01*
-X119595237Y-150747857D01*
-X119547618Y-150652619D01*
-X119499999Y-150605000D01*
-X119404761Y-150557380D01*
-X119214285Y-150557380D01*
-X119119047Y-150605000D01*
-X119071428Y-150652619D01*
-X119023809Y-150747857D01*
-X119023809Y-150985952D01*
-X119071428Y-151081190D01*
-X119119047Y-151128809D01*
-X119214285Y-151176428D01*
-X119404761Y-151176428D01*
-X119499999Y-151128809D01*
-X119547618Y-151081190D01*
-X119595237Y-150985952D01*
-X120785713Y-150890714D02*
-X120785713Y-151747857D01*
-X120738094Y-151843095D01*
-X120642856Y-151890714D01*
-X120595237Y-151890714D01*
-X120785713Y-150557380D02*
-X120738094Y-150605000D01*
-X120785713Y-150652619D01*
-X120833332Y-150605000D01*
-X120785713Y-150557380D01*
-X120785713Y-150652619D01*
-X121690475Y-151557380D02*
-X121690475Y-151033571D01*
-X121642856Y-150938333D01*
-X121547618Y-150890714D01*
-X121357142Y-150890714D01*
-X121261904Y-150938333D01*
-X121690475Y-151509761D02*
-X121595237Y-151557380D01*
-X121357142Y-151557380D01*
-X121261904Y-151509761D01*
-X121214285Y-151414523D01*
-X121214285Y-151319285D01*
-X121261904Y-151224047D01*
-X121357142Y-151176428D01*
-X121595237Y-151176428D01*
-X121690475Y-151128809D01*
-X122119047Y-151509761D02*
-X122214285Y-151557380D01*
-X122404761Y-151557380D01*
-X122499999Y-151509761D01*
-X122547618Y-151414523D01*
-X122547618Y-151366904D01*
-X122499999Y-151271666D01*
-X122404761Y-151224047D01*
-X122261904Y-151224047D01*
-X122166666Y-151176428D01*
-X122119047Y-151081190D01*
-X122119047Y-151033571D01*
-X122166666Y-150938333D01*
-X122261904Y-150890714D01*
-X122404761Y-150890714D01*
-X122499999Y-150938333D01*
-X123357142Y-151509761D02*
-X123261904Y-151557380D01*
-X123071428Y-151557380D01*
-X122976190Y-151509761D01*
-X122928571Y-151414523D01*
-X122928571Y-151033571D01*
-X122976190Y-150938333D01*
-X123071428Y-150890714D01*
-X123261904Y-150890714D01*
-X123357142Y-150938333D01*
-X123404761Y-151033571D01*
-X123404761Y-151128809D01*
-X122928571Y-151224047D01*
-X124261904Y-150890714D02*
-X124261904Y-151700238D01*
-X124214285Y-151795476D01*
-X124166666Y-151843095D01*
-X124071428Y-151890714D01*
-X123928571Y-151890714D01*
-X123833332Y-151843095D01*
-X124261904Y-151509761D02*
-X124166666Y-151557380D01*
-X123976190Y-151557380D01*
-X123880952Y-151509761D01*
-X123833332Y-151462142D01*
-X123785713Y-151366904D01*
-X123785713Y-151081190D01*
-X123833332Y-150985952D01*
-X123880952Y-150938333D01*
-X123976190Y-150890714D01*
-X124166666Y-150890714D01*
-X124261904Y-150938333D01*
-D13*
-X114678571Y-147321428D02*
-X114535714Y-147250000D01*
-X114464285Y-147178571D01*
-X114392857Y-147035714D01*
-X114392857Y-146964285D01*
-X114464285Y-146821428D01*
-X114535714Y-146750000D01*
-X114678571Y-146678571D01*
-X114964285Y-146678571D01*
-X115107142Y-146750000D01*
-X115178571Y-146821428D01*
-X115250000Y-146964285D01*
-X115250000Y-147035714D01*
-X115178571Y-147178571D01*
-X115107142Y-147250000D01*
-X114964285Y-147321428D01*
-X114678571Y-147321428D01*
-X114535714Y-147392857D01*
-X114464285Y-147464285D01*
-X114392857Y-147607142D01*
-X114392857Y-147892857D01*
-X114464285Y-148035714D01*
-X114535714Y-148107142D01*
-X114678571Y-148178571D01*
-X114964285Y-148178571D01*
-X115107142Y-148107142D01*
-X115178571Y-148035714D01*
-X115250000Y-147892857D01*
-X115250000Y-147607142D01*
-X115178571Y-147464285D01*
-X115107142Y-147392857D01*
-X114964285Y-147321428D01*
-X115821428Y-148107142D02*
-X115964285Y-148178571D01*
-X116250000Y-148178571D01*
-X116392857Y-148107142D01*
-X116464285Y-147964285D01*
-X116464285Y-147892857D01*
-X116392857Y-147750000D01*
-X116250000Y-147678571D01*
-X116035714Y-147678571D01*
-X115892857Y-147607142D01*
-X115821428Y-147464285D01*
-X115821428Y-147392857D01*
-X115892857Y-147250000D01*
-X116035714Y-147178571D01*
-X116250000Y-147178571D01*
-X116392857Y-147250000D01*
-X117678571Y-148107142D02*
-X117535714Y-148178571D01*
-X117250000Y-148178571D01*
-X117107142Y-148107142D01*
-X117035714Y-147964285D01*
-X117035714Y-147392857D01*
-X117107142Y-147250000D01*
-X117250000Y-147178571D01*
-X117535714Y-147178571D01*
-X117678571Y-147250000D01*
-X117750000Y-147392857D01*
-X117750000Y-147535714D01*
-X117035714Y-147678571D01*
-X119035714Y-147178571D02*
-X119035714Y-148392857D01*
-X118964285Y-148535714D01*
-X118892857Y-148607142D01*
-X118750000Y-148678571D01*
-X118535714Y-148678571D01*
-X118392857Y-148607142D01*
-X119035714Y-148107142D02*
-X118892857Y-148178571D01*
-X118607142Y-148178571D01*
-X118464285Y-148107142D01*
-X118392857Y-148035714D01*
-X118321428Y-147892857D01*
-X118321428Y-147464285D01*
-X118392857Y-147321428D01*
-X118464285Y-147250000D01*
-X118607142Y-147178571D01*
-X118892857Y-147178571D01*
-X119035714Y-147250000D01*
-X121535714Y-148178571D02*
-X121535714Y-146678571D01*
-X121535714Y-148107142D02*
-X121392857Y-148178571D01*
-X121107142Y-148178571D01*
-X120964285Y-148107142D01*
-X120892857Y-148035714D01*
-X120821428Y-147892857D01*
-X120821428Y-147464285D01*
-X120892857Y-147321428D01*
-X120964285Y-147250000D01*
-X121107142Y-147178571D01*
-X121392857Y-147178571D01*
-X121535714Y-147250000D01*
-X122250000Y-148178571D02*
-X122250000Y-147178571D01*
-X122250000Y-147464285D02*
-X122321428Y-147321428D01*
-X122392857Y-147250000D01*
-X122535714Y-147178571D01*
-X122678571Y-147178571D01*
-X123178571Y-148178571D02*
-X123178571Y-147178571D01*
-X123178571Y-146678571D02*
-X123107142Y-146750000D01*
-X123178571Y-146821428D01*
-X123250000Y-146750000D01*
-X123178571Y-146678571D01*
-X123178571Y-146821428D01*
-X123750000Y-147178571D02*
-X124107142Y-148178571D01*
-X124464285Y-147178571D01*
-X125607142Y-148107142D02*
-X125464285Y-148178571D01*
-X125178571Y-148178571D01*
-X125035714Y-148107142D01*
-X124964285Y-147964285D01*
-X124964285Y-147392857D01*
-X125035714Y-147250000D01*
-X125178571Y-147178571D01*
-X125464285Y-147178571D01*
-X125607142Y-147250000D01*
-X125678571Y-147392857D01*
-X125678571Y-147535714D01*
-X124964285Y-147678571D01*
-X126321428Y-148178571D02*
-X126321428Y-147178571D01*
-X126321428Y-147464285D02*
-X126392857Y-147321428D01*
-X126464285Y-147250000D01*
-X126607142Y-147178571D01*
-X126750000Y-147178571D01*
-X95875000Y-72725000D02*
-G75*
-G03X95875000Y-72725000I-550000J0D01*
-G01*
-X139000000Y-87625000D02*
-G75*
-G03X139000000Y-87625000I-550000J0D01*
-G01*
-X124125000Y-87625000D02*
-G75*
-G03X124125000Y-87625000I-550000J0D01*
-G01*
-D14*
-X153590476Y-72180952D02*
-X154542857Y-72180952D01*
-X153780952Y-72466666D02*
-X154352380Y-72466666D01*
-X154066666Y-71752380D02*
-X154066666Y-72180952D01*
-X154161904Y-72752380D02*
-X153971428Y-72752380D01*
-X155161904Y-73085714D02*
-X155161904Y-71657142D01*
-X155780952Y-71752380D02*
-X156400000Y-71752380D01*
-X156066666Y-72133333D01*
-X156209523Y-72133333D01*
-X156304761Y-72180952D01*
-X156352380Y-72228571D01*
-X156400000Y-72323809D01*
-X156400000Y-72561904D01*
-X156352380Y-72657142D01*
-X156304761Y-72704761D01*
-X156209523Y-72752380D01*
-X155923809Y-72752380D01*
-X155828571Y-72704761D01*
-X155780952Y-72657142D01*
-X156828571Y-72657142D02*
-X156876190Y-72704761D01*
-X156828571Y-72752380D01*
-X156780952Y-72704761D01*
-X156828571Y-72657142D01*
-X156828571Y-72752380D01*
-X157209523Y-71752380D02*
-X157828571Y-71752380D01*
-X157495238Y-72133333D01*
-X157638095Y-72133333D01*
-X157733333Y-72180952D01*
-X157780952Y-72228571D01*
-X157828571Y-72323809D01*
-X157828571Y-72561904D01*
-X157780952Y-72657142D01*
-X157733333Y-72704761D01*
-X157638095Y-72752380D01*
-X157352380Y-72752380D01*
-X157257142Y-72704761D01*
-X157209523Y-72657142D01*
-X158114285Y-71752380D02*
-X158447619Y-72752380D01*
-X158780952Y-71752380D01*
-X159352380Y-73085714D02*
-X159352380Y-71657142D01*
-X160019047Y-72704761D02*
-X160161904Y-72752380D01*
-X160400000Y-72752380D01*
-X160495238Y-72704761D01*
-X160542857Y-72657142D01*
-X160590476Y-72561904D01*
-X160590476Y-72466666D01*
-X160542857Y-72371428D01*
-X160495238Y-72323809D01*
-X160400000Y-72276190D01*
-X160209523Y-72228571D01*
-X160114285Y-72180952D01*
-X160066666Y-72133333D01*
-X160019047Y-72038095D01*
-X160019047Y-71942857D01*
-X160066666Y-71847619D01*
-X160114285Y-71800000D01*
-X160209523Y-71752380D01*
-X160447619Y-71752380D01*
-X160590476Y-71800000D01*
-X161019047Y-72752380D02*
-X161019047Y-71752380D01*
-X161257142Y-71752380D01*
-X161400000Y-71800000D01*
-X161495238Y-71895238D01*
-X161542857Y-71990476D01*
-X161590476Y-72180952D01*
-X161590476Y-72323809D01*
-X161542857Y-72514285D01*
-X161495238Y-72609523D01*
-X161400000Y-72704761D01*
-X161257142Y-72752380D01*
-X161019047Y-72752380D01*
-X161971428Y-72466666D02*
-X162447619Y-72466666D01*
-X161876190Y-72752380D02*
-X162209523Y-71752380D01*
-X162542857Y-72752380D01*
-X163114285Y-73085714D02*
-X163114285Y-71657142D01*
-X163780952Y-72704761D02*
-X163923809Y-72752380D01*
-X164161904Y-72752380D01*
-X164257142Y-72704761D01*
-X164304761Y-72657142D01*
-X164352380Y-72561904D01*
-X164352380Y-72466666D01*
-X164304761Y-72371428D01*
-X164257142Y-72323809D01*
-X164161904Y-72276190D01*
-X163971428Y-72228571D01*
-X163876190Y-72180952D01*
-X163828571Y-72133333D01*
-X163780952Y-72038095D01*
-X163780952Y-71942857D01*
-X163828571Y-71847619D01*
-X163876190Y-71800000D01*
-X163971428Y-71752380D01*
-X164209523Y-71752380D01*
-X164352380Y-71800000D01*
-X165352380Y-72657142D02*
-X165304761Y-72704761D01*
-X165161904Y-72752380D01*
-X165066666Y-72752380D01*
-X164923809Y-72704761D01*
-X164828571Y-72609523D01*
-X164780952Y-72514285D01*
-X164733333Y-72323809D01*
-X164733333Y-72180952D01*
-X164780952Y-71990476D01*
-X164828571Y-71895238D01*
-X164923809Y-71800000D01*
-X165066666Y-71752380D01*
-X165161904Y-71752380D01*
-X165304761Y-71800000D01*
-X165352380Y-71847619D01*
-X166257142Y-72752380D02*
-X165780952Y-72752380D01*
-X165780952Y-71752380D01*
-D13*
-X147633571Y-76603571D02*
-X146776428Y-76603571D01*
-X147205000Y-76603571D02*
-X147205000Y-75103571D01*
-X147062142Y-75317857D01*
-X146919285Y-75460714D01*
-X146776428Y-75532142D01*
-X148919285Y-75103571D02*
-X148633571Y-75103571D01*
-X148490714Y-75175000D01*
-X148419285Y-75246428D01*
-X148276428Y-75460714D01*
-X148205000Y-75746428D01*
-X148205000Y-76317857D01*
-X148276428Y-76460714D01*
-X148347857Y-76532142D01*
-X148490714Y-76603571D01*
-X148776428Y-76603571D01*
-X148919285Y-76532142D01*
-X148990714Y-76460714D01*
-X149062142Y-76317857D01*
-X149062142Y-75960714D01*
-X148990714Y-75817857D01*
-X148919285Y-75746428D01*
-X148776428Y-75675000D01*
-X148490714Y-75675000D01*
-X148347857Y-75746428D01*
-X148276428Y-75817857D01*
-X148205000Y-75960714D01*
-X149990714Y-75103571D02*
-X150133571Y-75103571D01*
-X150276428Y-75175000D01*
-X150347857Y-75246428D01*
-X150419285Y-75389285D01*
-X150490714Y-75675000D01*
-X150490714Y-76032142D01*
-X150419285Y-76317857D01*
-X150347857Y-76460714D01*
-X150276428Y-76532142D01*
-X150133571Y-76603571D01*
-X149990714Y-76603571D01*
-X149847857Y-76532142D01*
-X149776428Y-76460714D01*
-X149705000Y-76317857D01*
-X149633571Y-76032142D01*
-X149633571Y-75675000D01*
-X149705000Y-75389285D01*
-X149776428Y-75246428D01*
-X149847857Y-75175000D01*
-X149990714Y-75103571D01*
-X151062142Y-75246428D02*
-X151133571Y-75175000D01*
-X151276428Y-75103571D01*
-X151633571Y-75103571D01*
-X151776428Y-75175000D01*
-X151847857Y-75246428D01*
-X151919285Y-75389285D01*
-X151919285Y-75532142D01*
-X151847857Y-75746428D01*
-X150990714Y-76603571D01*
-X151919285Y-76603571D01*
-X149062142Y-79153571D02*
-X148347857Y-79153571D01*
-X148347857Y-77653571D01*
-X150419285Y-79010714D02*
-X150347857Y-79082142D01*
-X150133571Y-79153571D01*
-X149990714Y-79153571D01*
-X149776428Y-79082142D01*
-X149633571Y-78939285D01*
-X149562142Y-78796428D01*
-X149490714Y-78510714D01*
-X149490714Y-78296428D01*
-X149562142Y-78010714D01*
-X149633571Y-77867857D01*
-X149776428Y-77725000D01*
-X149990714Y-77653571D01*
-X150133571Y-77653571D01*
-X150347857Y-77725000D01*
-X150419285Y-77796428D01*
-X151062142Y-79153571D02*
-X151062142Y-77653571D01*
-X151419285Y-77653571D01*
-X151633571Y-77725000D01*
-X151776428Y-77867857D01*
-X151847857Y-78010714D01*
-X151919285Y-78296428D01*
-X151919285Y-78510714D01*
-X151847857Y-78796428D01*
-X151776428Y-78939285D01*
-X151633571Y-79082142D01*
-X151419285Y-79153571D01*
-X151062142Y-79153571D01*
-X115942857Y-68814285D02*
-X115871428Y-69028571D01*
-X115871428Y-69385714D01*
-X115942857Y-69528571D01*
-X116014285Y-69600000D01*
-X116157142Y-69671428D01*
-X116300000Y-69671428D01*
-X116442857Y-69600000D01*
-X116514285Y-69528571D01*
-X116585714Y-69385714D01*
-X116657142Y-69100000D01*
-X116728571Y-68957142D01*
-X116800000Y-68885714D01*
-X116942857Y-68814285D01*
-X117085714Y-68814285D01*
-X117228571Y-68885714D01*
-X117300000Y-68957142D01*
-X117371428Y-69100000D01*
-X117371428Y-69457142D01*
-X117300000Y-69671428D01*
-X117371428Y-70171428D02*
-X115871428Y-70528571D01*
-X116942857Y-70814285D01*
-X115871428Y-71100000D01*
-X117371428Y-71457142D01*
-X115871428Y-72028571D02*
-X117371428Y-72028571D01*
-X117371428Y-72385714D01*
-X117300000Y-72600000D01*
-X117157142Y-72742857D01*
-X117014285Y-72814285D01*
-X116728571Y-72885714D01*
-X116514285Y-72885714D01*
-X116228571Y-72814285D01*
-X116085714Y-72742857D01*
-X115942857Y-72600000D01*
-X115871428Y-72385714D01*
-X115871428Y-72028571D01*
-D11*
-X165342857Y-139457142D02*
-X165628571Y-139552380D01*
-X165723809Y-139647619D01*
-X165819047Y-139838095D01*
-X165819047Y-140123809D01*
-X165723809Y-140314285D01*
-X165628571Y-140409523D01*
-X165438095Y-140504761D01*
-X164676190Y-140504761D01*
-X164676190Y-138504761D01*
-X165342857Y-138504761D01*
-X165533333Y-138600000D01*
-X165628571Y-138695238D01*
-X165723809Y-138885714D01*
-X165723809Y-139076190D01*
-X165628571Y-139266666D01*
-X165533333Y-139361904D01*
-X165342857Y-139457142D01*
-X164676190Y-139457142D01*
-D15*
-X161750000Y-141307142D02*
-X162321428Y-141307142D01*
-X162607142Y-141450000D01*
-X162892857Y-141735714D01*
-X163035714Y-142307142D01*
-X163035714Y-143307142D01*
-X162892857Y-143878571D01*
-X162607142Y-144164285D01*
-X162321428Y-144307142D01*
-X161750000Y-144307142D01*
-X161464285Y-144164285D01*
-X161178571Y-143878571D01*
-X161035714Y-143307142D01*
-X161035714Y-142307142D01*
-X161178571Y-141735714D01*
-X161464285Y-141450000D01*
-X161750000Y-141307142D01*
-X164321428Y-141307142D02*
-X164321428Y-143735714D01*
-X164464285Y-144021428D01*
-X164607142Y-144164285D01*
-X164892857Y-144307142D01*
-X165464285Y-144307142D01*
-X165750000Y-144164285D01*
-X165892857Y-144021428D01*
-X166035714Y-143735714D01*
-X166035714Y-141307142D01*
-X167035714Y-141307142D02*
-X168750000Y-141307142D01*
-X167892857Y-144307142D02*
-X167892857Y-141307142D01*
-D11*
-X164723809Y-100283333D02*
-X165676190Y-100283333D01*
-X164533333Y-100854761D02*
-X165200000Y-98854761D01*
-X165866666Y-100854761D01*
-D15*
-X73414285Y-98050000D02*
-X73128571Y-97907142D01*
-X72700000Y-97907142D01*
-X72271428Y-98050000D01*
-X71985714Y-98335714D01*
-X71842857Y-98621428D01*
-X71700000Y-99192857D01*
-X71700000Y-99621428D01*
-X71842857Y-100192857D01*
-X71985714Y-100478571D01*
-X72271428Y-100764285D01*
-X72700000Y-100907142D01*
-X72985714Y-100907142D01*
-X73414285Y-100764285D01*
-X73557142Y-100621428D01*
-X73557142Y-99621428D01*
-X72985714Y-99621428D01*
-X74842857Y-100907142D02*
-X74842857Y-97907142D01*
-X76557142Y-100907142D01*
-X76557142Y-97907142D01*
-X77985714Y-100907142D02*
-X77985714Y-97907142D01*
-X78700000Y-97907142D01*
-X79128571Y-98050000D01*
-X79414285Y-98335714D01*
-X79557142Y-98621428D01*
-X79700000Y-99192857D01*
-X79700000Y-99621428D01*
-X79557142Y-100192857D01*
-X79414285Y-100478571D01*
-X79128571Y-100764285D01*
-X78700000Y-100907142D01*
-X77985714Y-100907142D01*
-X72050000Y-139807142D02*
-X73050000Y-142807142D01*
-X74050000Y-139807142D01*
-X76764285Y-142521428D02*
-X76621428Y-142664285D01*
-X76192857Y-142807142D01*
-X75907142Y-142807142D01*
-X75478571Y-142664285D01*
-X75192857Y-142378571D01*
-X75050000Y-142092857D01*
-X74907142Y-141521428D01*
-X74907142Y-141092857D01*
-X75050000Y-140521428D01*
-X75192857Y-140235714D01*
-X75478571Y-139950000D01*
-X75907142Y-139807142D01*
-X76192857Y-139807142D01*
-X76621428Y-139950000D01*
-X76764285Y-140092857D01*
-X79764285Y-142521428D02*
-X79621428Y-142664285D01*
-X79192857Y-142807142D01*
-X78907142Y-142807142D01*
-X78478571Y-142664285D01*
-X78192857Y-142378571D01*
-X78050000Y-142092857D01*
-X77907142Y-141521428D01*
-X77907142Y-141092857D01*
-X78050000Y-140521428D01*
-X78192857Y-140235714D01*
-X78478571Y-139950000D01*
-X78907142Y-139807142D01*
-X79192857Y-139807142D01*
-X79621428Y-139950000D01*
-X79764285Y-140092857D01*
-D16*
-X157550000Y-84600000D02*
-G75*
-G03X157550000Y-84600000I-950000J0D01*
-G01*
-X154700000Y-89000000D02*
-G75*
-G03X154700000Y-89000000I-950000J0D01*
-G01*
-X155650000Y-86550000D02*
-G75*
-G03X155650000Y-86550000I-950000J0D01*
-G01*
-X114050000Y-87300000D02*
-G75*
-G03X114050000Y-87300000I-950000J0D01*
-G01*
-X111150000Y-88700000D02*
-G75*
-G03X111150000Y-88700000I-950000J0D01*
-G01*
-X109150000Y-87700000D02*
-G75*
-G03X109150000Y-87700000I-950000J0D01*
-G01*
-X137250000Y-86600000D02*
-G75*
-G03X137250000Y-86600000I-950000J0D01*
-G01*
-X136150000Y-93500000D02*
-G75*
-G03X136150000Y-93500000I-950000J0D01*
-G01*
-X120150000Y-88600000D02*
-G75*
-G03X120150000Y-88600000I-950000J0D01*
-G01*
-X79750000Y-66300000D02*
-G75*
-G03X79750000Y-66300000I-950000J0D01*
-G01*
-X83050000Y-84400000D02*
-G75*
-G03X83050000Y-84400000I-950000J0D01*
-G01*
-X73050000Y-71100000D02*
-G75*
-G03X73050000Y-71100000I-950000J0D01*
-G01*
-X87550000Y-87600000D02*
-G75*
-G03X87550000Y-87600000I-950000J0D01*
-G01*
-X88350000Y-67300000D02*
-G75*
-G03X88350000Y-67300000I-950000J0D01*
-G01*
-X105850000Y-66800000D02*
-G75*
-G03X105850000Y-66800000I-950000J0D01*
-G01*
-X99100000Y-87650000D02*
-X99100000Y-86950000D01*
-X100300000Y-86950000D02*
-X100300000Y-87650000D01*
-X103400000Y-89390000D02*
-X100950000Y-89390000D01*
-X101600000Y-92610000D02*
-X103400000Y-92610000D01*
-X91400000Y-90850000D02*
-X91400000Y-90150000D01*
-X92600000Y-90150000D02*
-X92600000Y-90850000D01*
-X131600000Y-72900000D02*
-X131600000Y-73600000D01*
-X130400000Y-73600000D02*
-X130400000Y-72900000D01*
-D10*
-X132225000Y-77825000D02*
-X132225000Y-79225000D01*
-X137325000Y-77825000D02*
-X137325000Y-73675000D01*
-X132175000Y-77825000D02*
-X132175000Y-73675000D01*
-X137325000Y-77825000D02*
-X137180000Y-77825000D01*
-X137325000Y-73675000D02*
-X137180000Y-73675000D01*
-X132175000Y-73675000D02*
-X132320000Y-73675000D01*
-X132175000Y-77825000D02*
-X132225000Y-77825000D01*
-D16*
-X159760000Y-65421267D02*
-X159760000Y-65078733D01*
-X158740000Y-65421267D02*
-X158740000Y-65078733D01*
-X93671267Y-93990000D02*
-X93328733Y-93990000D01*
-X93671267Y-95010000D02*
-X93328733Y-95010000D01*
-X97171267Y-93990000D02*
-X96828733Y-93990000D01*
-X97171267Y-95010000D02*
-X96828733Y-95010000D01*
-X98990000Y-90828733D02*
-X98990000Y-91171267D01*
-X100010000Y-90828733D02*
-X100010000Y-91171267D01*
-X103828733Y-95010000D02*
-X104171267Y-95010000D01*
-X103828733Y-93990000D02*
-X104171267Y-93990000D01*
-X100328733Y-95010000D02*
-X100671267Y-95010000D01*
-X100328733Y-93990000D02*
-X100671267Y-93990000D01*
-X103728733Y-88810000D02*
-X104071267Y-88810000D01*
-X103728733Y-87790000D02*
-X104071267Y-87790000D01*
-X101810000Y-87471267D02*
-X101810000Y-87128733D01*
-X100790000Y-87471267D02*
-X100790000Y-87128733D01*
-X134510000Y-91371267D02*
-X134510000Y-91028733D01*
-X133490000Y-91371267D02*
-X133490000Y-91028733D01*
-X139228733Y-86910000D02*
-X139571267Y-86910000D01*
-X139228733Y-85890000D02*
-X139571267Y-85890000D01*
-X105340000Y-89720000D02*
-X106800000Y-89720000D01*
-X105340000Y-92880000D02*
-X107500000Y-92880000D01*
-X105340000Y-92880000D02*
-X105340000Y-91950000D01*
-X105340000Y-89720000D02*
-X105340000Y-90650000D01*
-X98200000Y-90450000D02*
-X98200000Y-91150000D01*
-X97000000Y-91150000D02*
-X97000000Y-90450000D01*
-X122800000Y-119650000D02*
-X122800000Y-120350000D01*
-X121600000Y-120350000D02*
-X121600000Y-119650000D01*
-X94650000Y-80400000D02*
-X93950000Y-80400000D01*
-X93950000Y-79200000D02*
-X94650000Y-79200000D01*
-X154980000Y-79600000D02*
-X154980000Y-80220000D01*
-X156580000Y-79600000D02*
-X154980000Y-79600000D01*
-X156580000Y-80220000D02*
-X156580000Y-79600000D01*
-X157520000Y-79600000D02*
-X157520000Y-80220000D01*
-X159120000Y-79600000D02*
-X157520000Y-79600000D01*
-X159120000Y-80220000D02*
-X159120000Y-79600000D01*
-X160060000Y-79600000D02*
-X160060000Y-80220000D01*
-X161660000Y-79600000D02*
-X160060000Y-79600000D01*
-X161660000Y-80220000D02*
-X161660000Y-79600000D01*
-X162600000Y-79600000D02*
-X162600000Y-80220000D01*
-X164200000Y-79600000D02*
-X162600000Y-79600000D01*
-X164200000Y-80220000D02*
-X164200000Y-79600000D01*
-X156030000Y-74220000D02*
-X156030000Y-75220000D01*
-X163150000Y-74220000D02*
-X163150000Y-75220000D01*
-X156030000Y-75650000D02*
-X155780000Y-75220000D01*
-X163150000Y-75650000D02*
-X156030000Y-75650000D01*
-X163400000Y-75220000D02*
-X163150000Y-75650000D01*
-X155780000Y-75220000D02*
-X155780000Y-74220000D01*
-X163400000Y-75220000D02*
-X155780000Y-75220000D01*
-X163400000Y-74220000D02*
-X163400000Y-75220000D01*
-X154410000Y-80220000D02*
-X164770000Y-80220000D01*
-X154410000Y-74220000D02*
-X154410000Y-80220000D01*
-X164770000Y-74220000D02*
-X154410000Y-74220000D01*
-X164770000Y-80220000D02*
-X164770000Y-74220000D01*
-D10*
-X96675000Y-87825000D02*
-X96450000Y-87825000D01*
-X96675000Y-91175000D02*
-X96375000Y-91175000D01*
-X93325000Y-91175000D02*
-X93625000Y-91175000D01*
-X93325000Y-87825000D02*
-X93625000Y-87825000D01*
-X96675000Y-87825000D02*
-X96675000Y-91175000D01*
-X93325000Y-87825000D02*
-X93325000Y-91175000D01*
-X96450000Y-87825000D02*
-X96450000Y-86600000D01*
-X120175000Y-81850000D02*
-X124625000Y-81850000D01*
-X118650000Y-74950000D02*
-X124625000Y-74950000D01*
-D16*
-X111428733Y-66590000D02*
-X111771267Y-66590000D01*
-X111428733Y-67610000D02*
-X111771267Y-67610000D01*
-X107350000Y-66500000D02*
-X108050000Y-66500000D01*
-X108050000Y-67700000D02*
-X107350000Y-67700000D01*
-X84650000Y-68550000D02*
-X83950000Y-68550000D01*
-X83950000Y-67350000D02*
-X84650000Y-67350000D01*
-X111924999Y-71774999D02*
-X111224999Y-71774999D01*
-X111224999Y-70574999D02*
-X111924999Y-70574999D01*
-X129200000Y-77950000D02*
-X129200000Y-78650000D01*
-X128000000Y-78650000D02*
-X128000000Y-77950000D01*
-X156600000Y-91450000D02*
-X156600000Y-92150000D01*
-X155400000Y-92150000D02*
-X155400000Y-91450000D01*
-X80150000Y-82100000D02*
-X80150000Y-81400000D01*
-X81350000Y-81400000D02*
-X81350000Y-82100000D01*
-X94650000Y-78500000D02*
-X93950000Y-78500000D01*
-X93950000Y-77300000D02*
-X94650000Y-77300000D01*
-X94650000Y-76700000D02*
-X93950000Y-76700000D01*
-X93950000Y-75500000D02*
-X94650000Y-75500000D01*
-X113850000Y-81050000D02*
-X113150000Y-81050000D01*
-X113150000Y-79850000D02*
-X113850000Y-79850000D01*
-X109450000Y-90900000D02*
-X110150000Y-90900000D01*
-X110150000Y-92100000D02*
-X109450000Y-92100000D01*
-X108600000Y-76400000D02*
-X108600000Y-75700000D01*
-X109800000Y-75700000D02*
-X109800000Y-76400000D01*
-X124250000Y-85300000D02*
-X124950000Y-85300000D01*
-X124950000Y-86500000D02*
-X124250000Y-86500000D01*
-X139850000Y-94850000D02*
-X139150000Y-94850000D01*
-X139150000Y-93650000D02*
-X139850000Y-93650000D01*
-X130550000Y-87300000D02*
-X131250000Y-87300000D01*
-X131250000Y-88500000D02*
-X130550000Y-88500000D01*
-X145650000Y-87300000D02*
-X146350000Y-87300000D01*
-X146350000Y-88500000D02*
-X145650000Y-88500000D01*
-X130600000Y-92700000D02*
-X131300000Y-92700000D01*
-X131300000Y-93900000D02*
-X130600000Y-93900000D01*
-X146350000Y-93900000D02*
-X145650000Y-93900000D01*
-X145650000Y-92700000D02*
-X146350000Y-92700000D01*
-X104950000Y-65600000D02*
-X100650000Y-65600000D01*
-X100650000Y-65600000D02*
-X100650000Y-72900000D01*
-X104950000Y-65600000D02*
-X104950000Y-72900000D01*
-X136250000Y-150400000D02*
-X132250000Y-150400000D01*
-X136300000Y-153600000D02*
-X132300000Y-153600000D01*
-X86500000Y-153600000D02*
-X82500000Y-153600000D01*
-X86450000Y-150400000D02*
-X82450000Y-150400000D01*
-X86500000Y-148600000D02*
-X82500000Y-148600000D01*
-X86450000Y-145400000D02*
-X82450000Y-145400000D01*
-X136250000Y-145400000D02*
-X132250000Y-145400000D01*
-X136300000Y-148600000D02*
-X132300000Y-148600000D01*
-X163465244Y-105523318D02*
-G75*
-G02X163320000Y-104840000I1534756J683318D01*
-G01*
-X165683042Y-106375426D02*
-G75*
-G02X164316000Y-106375000I-683042J1535426D01*
-G01*
-X166535426Y-104156958D02*
-G75*
-G02X166535000Y-105524000I-1535426J-683042D01*
-G01*
-X164316958Y-103304574D02*
-G75*
-G02X165684000Y-103305000I683042J-1535426D01*
-G01*
-X163319747Y-104868805D02*
-G75*
-G02X163465000Y-104156000I1680253J28805D01*
-G01*
-X166680000Y-109920000D02*
-G75*
-G03X166680000Y-109920000I-1680000J0D01*
-G01*
-X166680000Y-115000000D02*
-G75*
-G03X166680000Y-115000000I-1680000J0D01*
-G01*
-X160900000Y-102240000D02*
-X160900000Y-117600000D01*
-X162400000Y-102240000D02*
-X162400000Y-117600000D01*
-X167301000Y-102240000D02*
-X167301000Y-117600000D01*
-X170261000Y-102240000D02*
-X170261000Y-117600000D01*
-X160340000Y-102240000D02*
-X160340000Y-117600000D01*
-X170261000Y-102240000D02*
-X160340000Y-102240000D01*
-X170261000Y-117600000D02*
-X160340000Y-117600000D01*
-X166069000Y-111195000D02*
-X166023000Y-111148000D01*
-X163761000Y-108886000D02*
-X163726000Y-108851000D01*
-X166275000Y-110990000D02*
-X166239000Y-110955000D01*
-X163977000Y-108693000D02*
-X163931000Y-108646000D01*
-X166069000Y-116275000D02*
-X166023000Y-116228000D01*
-X163761000Y-113966000D02*
-X163726000Y-113931000D01*
-X166275000Y-116070000D02*
-X166239000Y-116035000D01*
-X163977000Y-113773000D02*
-X163931000Y-113726000D01*
-X160840000Y-102000000D02*
-X160100000Y-102000000D01*
-X160100000Y-102000000D02*
-X160100000Y-102500000D01*
-X160100000Y-122080000D02*
-X160100000Y-122580000D01*
-X160840000Y-122080000D02*
-X160100000Y-122080000D01*
-X163977000Y-133853000D02*
-X163931000Y-133806000D01*
-X166275000Y-136150000D02*
-X166239000Y-136115000D01*
-X163761000Y-134046000D02*
-X163726000Y-134011000D01*
-X166069000Y-136355000D02*
-X166023000Y-136308000D01*
-X163977000Y-128773000D02*
-X163931000Y-128726000D01*
-X166275000Y-131070000D02*
-X166239000Y-131035000D01*
-X163761000Y-128966000D02*
-X163726000Y-128931000D01*
-X166069000Y-131275000D02*
-X166023000Y-131228000D01*
-X170261000Y-137680000D02*
-X160340000Y-137680000D01*
-X170261000Y-122320000D02*
-X160340000Y-122320000D01*
-X160340000Y-122320000D02*
-X160340000Y-137680000D01*
-X170261000Y-122320000D02*
-X170261000Y-137680000D01*
-X167301000Y-122320000D02*
-X167301000Y-137680000D01*
-X162400000Y-122320000D02*
-X162400000Y-137680000D01*
-X160900000Y-122320000D02*
-X160900000Y-137680000D01*
-X166680000Y-135080000D02*
-G75*
-G03X166680000Y-135080000I-1680000J0D01*
-G01*
-X166680000Y-130000000D02*
-G75*
-G03X166680000Y-130000000I-1680000J0D01*
-G01*
-X163319747Y-124948805D02*
-G75*
-G02X163465000Y-124236000I1680253J28805D01*
-G01*
-X164316958Y-123384574D02*
-G75*
-G02X165684000Y-123385000I683042J-1535426D01*
-G01*
-X166535426Y-124236958D02*
-G75*
-G02X166535000Y-125604000I-1535426J-683042D01*
-G01*
-X165683042Y-126455426D02*
-G75*
-G02X164316000Y-126455000I-683042J1535426D01*
-G01*
-X163465244Y-125603318D02*
-G75*
-G02X163320000Y-124920000I1534756J683318D01*
-G01*
-X79900000Y-137920000D02*
-X79900000Y-137420000D01*
-X79160000Y-137920000D02*
-X79900000Y-137920000D01*
-X76023000Y-126147000D02*
-X76069000Y-126194000D01*
-X73725000Y-123850000D02*
-X73761000Y-123885000D01*
-X76239000Y-125954000D02*
-X76274000Y-125989000D01*
-X73931000Y-123645000D02*
-X73977000Y-123692000D01*
-X76023000Y-131227000D02*
-X76069000Y-131274000D01*
-X73725000Y-128930000D02*
-X73761000Y-128965000D01*
-X76239000Y-131034000D02*
-X76274000Y-131069000D01*
-X73931000Y-128725000D02*
-X73977000Y-128772000D01*
-X69739000Y-122320000D02*
-X79660000Y-122320000D01*
-X69739000Y-137680000D02*
-X79660000Y-137680000D01*
-X79660000Y-137680000D02*
-X79660000Y-122320000D01*
-X69739000Y-137680000D02*
-X69739000Y-122320000D01*
-X72699000Y-137680000D02*
-X72699000Y-122320000D01*
-X77600000Y-137680000D02*
-X77600000Y-122320000D01*
-X79100000Y-137680000D02*
-X79100000Y-122320000D01*
-X76680000Y-124920000D02*
-G75*
-G03X76680000Y-124920000I-1680000J0D01*
-G01*
-X76680000Y-130000000D02*
-G75*
-G03X76680000Y-130000000I-1680000J0D01*
-G01*
-X76680253Y-135051195D02*
-G75*
-G02X76535000Y-135764000I-1680253J-28805D01*
-G01*
-X75683042Y-136615426D02*
-G75*
-G02X74316000Y-136615000I-683042J1535426D01*
-G01*
-X73464574Y-135763042D02*
-G75*
-G02X73465000Y-134396000I1535426J683042D01*
-G01*
-X74316958Y-133544574D02*
-G75*
-G02X75684000Y-133545000I683042J-1535426D01*
-G01*
-X76534756Y-134396682D02*
-G75*
-G02X76680000Y-135080000I-1534756J-683318D01*
-G01*
-X76534756Y-114396682D02*
-G75*
-G02X76680000Y-115080000I-1534756J-683318D01*
-G01*
-X74316958Y-113544574D02*
-G75*
-G02X75684000Y-113545000I683042J-1535426D01*
-G01*
-X73464574Y-115763042D02*
-G75*
-G02X73465000Y-114396000I1535426J683042D01*
-G01*
-X75683042Y-116615426D02*
-G75*
-G02X74316000Y-116615000I-683042J1535426D01*
-G01*
-X76680253Y-115051195D02*
-G75*
-G02X76535000Y-115764000I-1680253J-28805D01*
-G01*
-X76680000Y-110000000D02*
-G75*
-G03X76680000Y-110000000I-1680000J0D01*
-G01*
-X76680000Y-104920000D02*
-G75*
-G03X76680000Y-104920000I-1680000J0D01*
-G01*
-X79100000Y-117680000D02*
-X79100000Y-102320000D01*
-X77600000Y-117680000D02*
-X77600000Y-102320000D01*
-X72699000Y-117680000D02*
-X72699000Y-102320000D01*
-X69739000Y-117680000D02*
-X69739000Y-102320000D01*
-X79660000Y-117680000D02*
-X79660000Y-102320000D01*
-X69739000Y-117680000D02*
-X79660000Y-117680000D01*
-X69739000Y-102320000D02*
-X79660000Y-102320000D01*
-X73931000Y-108725000D02*
-X73977000Y-108772000D01*
-X76239000Y-111034000D02*
-X76274000Y-111069000D01*
-X73725000Y-108930000D02*
-X73761000Y-108965000D01*
-X76023000Y-111227000D02*
-X76069000Y-111274000D01*
-X73931000Y-103645000D02*
-X73977000Y-103692000D01*
-X76239000Y-105954000D02*
-X76274000Y-105989000D01*
-X73725000Y-103850000D02*
-X73761000Y-103885000D01*
-X76023000Y-106147000D02*
-X76069000Y-106194000D01*
-X79160000Y-117920000D02*
-X79900000Y-117920000D01*
-X79900000Y-117920000D02*
-X79900000Y-117420000D01*
-X93550000Y-66450000D02*
-X93550000Y-73350000D01*
-X88450000Y-66450000D02*
-X88450000Y-73350000D01*
-X107528733Y-68590000D02*
-X107871267Y-68590000D01*
-X107528733Y-69610000D02*
-X107871267Y-69610000D01*
-X107410000Y-72171267D02*
-X107410000Y-71828733D01*
-X106390000Y-72171267D02*
-X106390000Y-71828733D01*
-X111428733Y-68590000D02*
-X111771267Y-68590000D01*
-X111428733Y-69610000D02*
-X111771267Y-69610000D01*
-X72597936Y-81090000D02*
-X73802064Y-81090000D01*
-X72597936Y-82910000D02*
-X73802064Y-82910000D01*
-X116971267Y-92640000D02*
-X116628733Y-92640000D01*
-X116971267Y-93660000D02*
-X116628733Y-93660000D01*
-X114321267Y-92010000D02*
-X113978733Y-92010000D01*
-X114321267Y-90990000D02*
-X113978733Y-90990000D01*
-X110310000Y-80771267D02*
-X110310000Y-80428733D01*
-X109290000Y-80771267D02*
-X109290000Y-80428733D01*
-X129628733Y-149690000D02*
-X129971267Y-149690000D01*
-X129628733Y-150710000D02*
-X129971267Y-150710000D01*
-X81560000Y-152171267D02*
-X81560000Y-151828733D01*
-X80540000Y-152171267D02*
-X80540000Y-151828733D01*
-X81610000Y-147171267D02*
-X81610000Y-146828733D01*
-X80590000Y-147171267D02*
-X80590000Y-146828733D01*
-X129628733Y-152710000D02*
-X129971267Y-152710000D01*
-X129628733Y-151690000D02*
-X129971267Y-151690000D01*
-X131071267Y-90210000D02*
-X130728733Y-90210000D01*
-X131071267Y-89190000D02*
-X130728733Y-89190000D01*
-X146171267Y-89190000D02*
-X145828733Y-89190000D01*
-X146171267Y-90210000D02*
-X145828733Y-90210000D01*
-X131071267Y-92010000D02*
-X130728733Y-92010000D01*
-X131071267Y-90990000D02*
-X130728733Y-90990000D01*
-X146171267Y-90990000D02*
-X145828733Y-90990000D01*
-X146171267Y-92010000D02*
-X145828733Y-92010000D01*
-X138490000Y-75771267D02*
-X138490000Y-75428733D01*
-X139510000Y-75771267D02*
-X139510000Y-75428733D01*
-X126810000Y-72528733D02*
-X126810000Y-72871267D01*
-X125790000Y-72528733D02*
-X125790000Y-72871267D01*
-X127490000Y-72528733D02*
-X127490000Y-72871267D01*
-X128510000Y-72528733D02*
-X128510000Y-72871267D01*
-X97552064Y-119290000D02*
-X93447936Y-119290000D01*
-X97552064Y-122710000D02*
-X93447936Y-122710000D01*
-X97552064Y-99710000D02*
-X93447936Y-99710000D01*
-X97552064Y-96290000D02*
-X93447936Y-96290000D01*
-X97552064Y-111210000D02*
-X93447936Y-111210000D01*
-X97552064Y-107790000D02*
-X93447936Y-107790000D01*
-X97552064Y-101790000D02*
-X93447936Y-101790000D01*
-X97552064Y-105210000D02*
-X93447936Y-105210000D01*
-X97552064Y-117210000D02*
-X93447936Y-117210000D01*
-X97552064Y-113790000D02*
-X93447936Y-113790000D01*
-X98910000Y-70800000D02*
-X98910000Y-69000000D01*
-X95690000Y-69000000D02*
-X95690000Y-71950000D01*
-X88610000Y-82510000D02*
-X88610000Y-81250000D01*
-X88610000Y-75690000D02*
-X88610000Y-76950000D01*
-X84850000Y-82510000D02*
-X88610000Y-82510000D01*
-X82600000Y-75690000D02*
-X88610000Y-75690000D01*
-X115650000Y-73250000D02*
-X111150000Y-73250000D01*
-X111150000Y-73250000D02*
-X111150000Y-78650000D01*
-D10*
-X122525000Y-87675000D02*
-X121125000Y-87675000D01*
-X122525000Y-92775000D02*
-X126675000Y-92775000D01*
-X122525000Y-87625000D02*
-X126675000Y-87625000D01*
-X122525000Y-92775000D02*
-X122525000Y-92630000D01*
-X126675000Y-92775000D02*
-X126675000Y-92630000D01*
-X126675000Y-87625000D02*
-X126675000Y-87770000D01*
-X122525000Y-87625000D02*
-X122525000Y-87675000D01*
-X137525000Y-87625000D02*
-X137525000Y-87675000D01*
-X141675000Y-87625000D02*
-X141675000Y-87770000D01*
-X141675000Y-92775000D02*
-X141675000Y-92630000D01*
-X137525000Y-92775000D02*
-X137525000Y-92630000D01*
-X137525000Y-87625000D02*
-X141675000Y-87625000D01*
-X137525000Y-92775000D02*
-X141675000Y-92775000D01*
-X137525000Y-87675000D02*
-X136125000Y-87675000D01*
-D16*
-X104425000Y-65879646D02*
-X105425000Y-65879646D01*
-X104925000Y-66379646D02*
-X104925000Y-65379646D01*
-X107201000Y-55819000D02*
-X108399000Y-55819000D01*
-X106938000Y-55859000D02*
-X108662000Y-55859000D01*
-X106738000Y-55899000D02*
-X108862000Y-55899000D01*
-X106570000Y-55939000D02*
-X109030000Y-55939000D01*
-X106422000Y-55979000D02*
-X109178000Y-55979000D01*
-X106290000Y-56019000D02*
-X109310000Y-56019000D01*
-X106170000Y-56059000D02*
-X109430000Y-56059000D01*
-X106058000Y-56099000D02*
-X109542000Y-56099000D01*
-X105954000Y-56139000D02*
-X109646000Y-56139000D01*
-X105856000Y-56179000D02*
-X109744000Y-56179000D01*
-X105763000Y-56219000D02*
-X109837000Y-56219000D01*
-X105675000Y-56259000D02*
-X109925000Y-56259000D01*
-X105591000Y-56299000D02*
-X110009000Y-56299000D01*
-X105511000Y-56339000D02*
-X110089000Y-56339000D01*
-X105435000Y-56379000D02*
-X110165000Y-56379000D01*
-X105361000Y-56419000D02*
-X110239000Y-56419000D01*
-X105290000Y-56459000D02*
-X110310000Y-56459000D01*
-X105221000Y-56499000D02*
-X110379000Y-56499000D01*
-X105155000Y-56539000D02*
-X110445000Y-56539000D01*
-X105091000Y-56579000D02*
-X110509000Y-56579000D01*
-X105030000Y-56619000D02*
-X110570000Y-56619000D01*
-X104970000Y-56659000D02*
-X110630000Y-56659000D01*
-X104911000Y-56699000D02*
-X110689000Y-56699000D01*
-X104855000Y-56739000D02*
-X110745000Y-56739000D01*
-X104800000Y-56779000D02*
-X110800000Y-56779000D01*
-X104746000Y-56819000D02*
-X110854000Y-56819000D01*
-X104694000Y-56859000D02*
-X110906000Y-56859000D01*
-X104644000Y-56899000D02*
-X110956000Y-56899000D01*
-X104594000Y-56939000D02*
-X111006000Y-56939000D01*
-X104546000Y-56979000D02*
-X111054000Y-56979000D01*
-X104499000Y-57019000D02*
-X111101000Y-57019000D01*
-X104453000Y-57059000D02*
-X111147000Y-57059000D01*
-X104408000Y-57099000D02*
-X111192000Y-57099000D01*
-X104364000Y-57139000D02*
-X111236000Y-57139000D01*
-X109041000Y-57179000D02*
-X111278000Y-57179000D01*
-X104322000Y-57179000D02*
-X106559000Y-57179000D01*
-X109041000Y-57219000D02*
-X111320000Y-57219000D01*
-X104280000Y-57219000D02*
-X106559000Y-57219000D01*
-X109041000Y-57259000D02*
-X111361000Y-57259000D01*
-X104239000Y-57259000D02*
-X106559000Y-57259000D01*
-X109041000Y-57299000D02*
-X111401000Y-57299000D01*
-X104199000Y-57299000D02*
-X106559000Y-57299000D01*
-X109041000Y-57339000D02*
-X111440000Y-57339000D01*
-X104160000Y-57339000D02*
-X106559000Y-57339000D01*
-X109041000Y-57379000D02*
-X111479000Y-57379000D01*
-X104121000Y-57379000D02*
-X106559000Y-57379000D01*
-X109041000Y-57419000D02*
-X111516000Y-57419000D01*
-X104084000Y-57419000D02*
-X106559000Y-57419000D01*
-X109041000Y-57459000D02*
-X111553000Y-57459000D01*
-X104047000Y-57459000D02*
-X106559000Y-57459000D01*
-X109041000Y-57499000D02*
-X111589000Y-57499000D01*
-X104011000Y-57499000D02*
-X106559000Y-57499000D01*
-X109041000Y-57539000D02*
-X111624000Y-57539000D01*
-X103976000Y-57539000D02*
-X106559000Y-57539000D01*
-X109041000Y-57579000D02*
-X111658000Y-57579000D01*
-X103942000Y-57579000D02*
-X106559000Y-57579000D01*
-X109041000Y-57619000D02*
-X111692000Y-57619000D01*
-X103908000Y-57619000D02*
-X106559000Y-57619000D01*
-X109041000Y-57659000D02*
-X111725000Y-57659000D01*
-X103875000Y-57659000D02*
-X106559000Y-57659000D01*
-X109041000Y-57699000D02*
-X111757000Y-57699000D01*
-X103843000Y-57699000D02*
-X106559000Y-57699000D01*
-X109041000Y-57739000D02*
-X111789000Y-57739000D01*
-X103811000Y-57739000D02*
-X106559000Y-57739000D01*
-X109041000Y-57779000D02*
-X111820000Y-57779000D01*
-X103780000Y-57779000D02*
-X106559000Y-57779000D01*
-X109041000Y-57819000D02*
-X111850000Y-57819000D01*
-X103750000Y-57819000D02*
-X106559000Y-57819000D01*
-X109041000Y-57859000D02*
-X111880000Y-57859000D01*
-X103720000Y-57859000D02*
-X106559000Y-57859000D01*
-X109041000Y-57899000D02*
-X111910000Y-57899000D01*
-X103690000Y-57899000D02*
-X106559000Y-57899000D01*
-X109041000Y-57939000D02*
-X111938000Y-57939000D01*
-X103662000Y-57939000D02*
-X106559000Y-57939000D01*
-X109041000Y-57979000D02*
-X111966000Y-57979000D01*
-X103634000Y-57979000D02*
-X106559000Y-57979000D01*
-X109041000Y-58019000D02*
-X111994000Y-58019000D01*
-X103606000Y-58019000D02*
-X106559000Y-58019000D01*
-X109041000Y-58059000D02*
-X112021000Y-58059000D01*
-X103579000Y-58059000D02*
-X106559000Y-58059000D01*
-X109041000Y-58099000D02*
-X112047000Y-58099000D01*
-X103553000Y-58099000D02*
-X106559000Y-58099000D01*
-X109041000Y-58139000D02*
-X112073000Y-58139000D01*
-X103527000Y-58139000D02*
-X106559000Y-58139000D01*
-X109041000Y-58179000D02*
-X112098000Y-58179000D01*
-X103502000Y-58179000D02*
-X106559000Y-58179000D01*
-X109041000Y-58219000D02*
-X112123000Y-58219000D01*
-X103477000Y-58219000D02*
-X106559000Y-58219000D01*
-X109041000Y-58259000D02*
-X112147000Y-58259000D01*
-X103453000Y-58259000D02*
-X106559000Y-58259000D01*
-X109041000Y-58299000D02*
-X112171000Y-58299000D01*
-X103429000Y-58299000D02*
-X106559000Y-58299000D01*
-X109041000Y-58339000D02*
-X112195000Y-58339000D01*
-X103405000Y-58339000D02*
-X106559000Y-58339000D01*
-X109041000Y-58379000D02*
-X112217000Y-58379000D01*
-X103383000Y-58379000D02*
-X106559000Y-58379000D01*
-X109041000Y-58419000D02*
-X112240000Y-58419000D01*
-X103360000Y-58419000D02*
-X106559000Y-58419000D01*
-X109041000Y-58459000D02*
-X112262000Y-58459000D01*
-X103338000Y-58459000D02*
-X106559000Y-58459000D01*
-X109041000Y-58499000D02*
-X112283000Y-58499000D01*
-X103317000Y-58499000D02*
-X106559000Y-58499000D01*
-X109041000Y-58539000D02*
-X112304000Y-58539000D01*
-X103296000Y-58539000D02*
-X106559000Y-58539000D01*
-X109041000Y-58579000D02*
-X112325000Y-58579000D01*
-X103275000Y-58579000D02*
-X106559000Y-58579000D01*
-X109041000Y-58619000D02*
-X112345000Y-58619000D01*
-X103255000Y-58619000D02*
-X106559000Y-58619000D01*
-X109041000Y-58659000D02*
-X112364000Y-58659000D01*
-X103236000Y-58659000D02*
-X106559000Y-58659000D01*
-X109041000Y-58699000D02*
-X112384000Y-58699000D01*
-X103216000Y-58699000D02*
-X106559000Y-58699000D01*
-X109041000Y-58739000D02*
-X112403000Y-58739000D01*
-X103197000Y-58739000D02*
-X106559000Y-58739000D01*
-X109041000Y-58779000D02*
-X112421000Y-58779000D01*
-X103179000Y-58779000D02*
-X106559000Y-58779000D01*
-X109041000Y-58819000D02*
-X112439000Y-58819000D01*
-X103161000Y-58819000D02*
-X106559000Y-58819000D01*
-X109041000Y-58859000D02*
-X112457000Y-58859000D01*
-X103143000Y-58859000D02*
-X106559000Y-58859000D01*
-X109041000Y-58899000D02*
-X112474000Y-58899000D01*
-X103126000Y-58899000D02*
-X106559000Y-58899000D01*
-X109041000Y-58939000D02*
-X112490000Y-58939000D01*
-X103110000Y-58939000D02*
-X106559000Y-58939000D01*
-X109041000Y-58979000D02*
-X112507000Y-58979000D01*
-X103093000Y-58979000D02*
-X106559000Y-58979000D01*
-X109041000Y-59019000D02*
-X112523000Y-59019000D01*
-X103077000Y-59019000D02*
-X106559000Y-59019000D01*
-X109041000Y-59059000D02*
-X112538000Y-59059000D01*
-X103062000Y-59059000D02*
-X106559000Y-59059000D01*
-X109041000Y-59099000D02*
-X112554000Y-59099000D01*
-X103046000Y-59099000D02*
-X106559000Y-59099000D01*
-X109041000Y-59139000D02*
-X112568000Y-59139000D01*
-X103032000Y-59139000D02*
-X106559000Y-59139000D01*
-X109041000Y-59179000D02*
-X112583000Y-59179000D01*
-X103017000Y-59179000D02*
-X106559000Y-59179000D01*
-X109041000Y-59219000D02*
-X112597000Y-59219000D01*
-X103003000Y-59219000D02*
-X106559000Y-59219000D01*
-X109041000Y-59259000D02*
-X112611000Y-59259000D01*
-X102989000Y-59259000D02*
-X106559000Y-59259000D01*
-X109041000Y-59299000D02*
-X112624000Y-59299000D01*
-X102976000Y-59299000D02*
-X106559000Y-59299000D01*
-X109041000Y-59339000D02*
-X112637000Y-59339000D01*
-X102963000Y-59339000D02*
-X106559000Y-59339000D01*
-X109041000Y-59379000D02*
-X112650000Y-59379000D01*
-X102950000Y-59379000D02*
-X106559000Y-59379000D01*
-X109041000Y-59419000D02*
-X112662000Y-59419000D01*
-X102938000Y-59419000D02*
-X106559000Y-59419000D01*
-X109041000Y-59459000D02*
-X112674000Y-59459000D01*
-X102926000Y-59459000D02*
-X106559000Y-59459000D01*
-X109041000Y-59499000D02*
-X112685000Y-59499000D01*
-X102915000Y-59499000D02*
-X106559000Y-59499000D01*
-X109041000Y-59539000D02*
-X112697000Y-59539000D01*
-X102903000Y-59539000D02*
-X106559000Y-59539000D01*
-X109041000Y-59579000D02*
-X112707000Y-59579000D01*
-X102893000Y-59579000D02*
-X106559000Y-59579000D01*
-X109041000Y-59619000D02*
-X112718000Y-59619000D01*
-X102882000Y-59619000D02*
-X106559000Y-59619000D01*
-X102872000Y-59659000D02*
-X112728000Y-59659000D01*
-X102862000Y-59699000D02*
-X112738000Y-59699000D01*
-X102853000Y-59739000D02*
-X112747000Y-59739000D01*
-X102844000Y-59779000D02*
-X112756000Y-59779000D01*
-X102835000Y-59819000D02*
-X112765000Y-59819000D01*
-X102826000Y-59859000D02*
-X112774000Y-59859000D01*
-X102818000Y-59899000D02*
-X112782000Y-59899000D01*
-X102810000Y-59939000D02*
-X112790000Y-59939000D01*
-X102803000Y-59979000D02*
-X112797000Y-59979000D01*
-X102796000Y-60019000D02*
-X112804000Y-60019000D01*
-X102789000Y-60059000D02*
-X112811000Y-60059000D01*
-X102782000Y-60099000D02*
-X112818000Y-60099000D01*
-X102776000Y-60139000D02*
-X112824000Y-60139000D01*
-X102770000Y-60179000D02*
-X112830000Y-60179000D01*
-X102765000Y-60220000D02*
-X112835000Y-60220000D01*
-X102760000Y-60260000D02*
-X112840000Y-60260000D01*
-X102755000Y-60300000D02*
-X112845000Y-60300000D01*
-X102750000Y-60340000D02*
-X112850000Y-60340000D01*
-X102746000Y-60380000D02*
-X112854000Y-60380000D01*
-X102742000Y-60420000D02*
-X112858000Y-60420000D01*
-X102738000Y-60460000D02*
-X112862000Y-60460000D01*
-X102735000Y-60500000D02*
-X112865000Y-60500000D01*
-X102732000Y-60540000D02*
-X112868000Y-60540000D01*
-X102730000Y-60580000D02*
-X112870000Y-60580000D01*
-X102727000Y-60620000D02*
-X112873000Y-60620000D01*
-X102725000Y-60660000D02*
-X112875000Y-60660000D01*
-X102723000Y-60700000D02*
-X112877000Y-60700000D01*
-X102722000Y-60740000D02*
-X112878000Y-60740000D01*
-X102721000Y-60780000D02*
-X112879000Y-60780000D01*
-X102720000Y-60820000D02*
-X112880000Y-60820000D01*
-X102720000Y-60860000D02*
-X112880000Y-60860000D01*
-X102720000Y-60900000D02*
-X112880000Y-60900000D01*
-X112920000Y-60900000D02*
-G75*
-G03X112920000Y-60900000I-5120000J0D01*
-G01*
-X101220000Y-60900000D02*
-G75*
-G03X101220000Y-60900000I-5120000J0D01*
-G01*
-X91020000Y-60900000D02*
-X101180000Y-60900000D01*
-X91020000Y-60860000D02*
-X101180000Y-60860000D01*
-X91020000Y-60820000D02*
-X101180000Y-60820000D01*
-X91021000Y-60780000D02*
-X101179000Y-60780000D01*
-X91022000Y-60740000D02*
-X101178000Y-60740000D01*
-X91023000Y-60700000D02*
-X101177000Y-60700000D01*
-X91025000Y-60660000D02*
-X101175000Y-60660000D01*
-X91027000Y-60620000D02*
-X101173000Y-60620000D01*
-X91030000Y-60580000D02*
-X101170000Y-60580000D01*
-X91032000Y-60540000D02*
-X101168000Y-60540000D01*
-X91035000Y-60500000D02*
-X101165000Y-60500000D01*
-X91038000Y-60460000D02*
-X101162000Y-60460000D01*
-X91042000Y-60420000D02*
-X101158000Y-60420000D01*
-X91046000Y-60380000D02*
-X101154000Y-60380000D01*
-X91050000Y-60340000D02*
-X101150000Y-60340000D01*
-X91055000Y-60300000D02*
-X101145000Y-60300000D01*
-X91060000Y-60260000D02*
-X101140000Y-60260000D01*
-X91065000Y-60220000D02*
-X101135000Y-60220000D01*
-X91070000Y-60179000D02*
-X101130000Y-60179000D01*
-X91076000Y-60139000D02*
-X101124000Y-60139000D01*
-X91082000Y-60099000D02*
-X101118000Y-60099000D01*
-X91089000Y-60059000D02*
-X101111000Y-60059000D01*
-X91096000Y-60019000D02*
-X101104000Y-60019000D01*
-X91103000Y-59979000D02*
-X101097000Y-59979000D01*
-X91110000Y-59939000D02*
-X101090000Y-59939000D01*
-X91118000Y-59899000D02*
-X101082000Y-59899000D01*
-X91126000Y-59859000D02*
-X101074000Y-59859000D01*
-X91135000Y-59819000D02*
-X101065000Y-59819000D01*
-X91144000Y-59779000D02*
-X101056000Y-59779000D01*
-X91153000Y-59739000D02*
-X101047000Y-59739000D01*
-X91162000Y-59699000D02*
-X101038000Y-59699000D01*
-X91172000Y-59659000D02*
-X101028000Y-59659000D01*
-X91182000Y-59619000D02*
-X94859000Y-59619000D01*
-X97341000Y-59619000D02*
-X101018000Y-59619000D01*
-X91193000Y-59579000D02*
-X94859000Y-59579000D01*
-X97341000Y-59579000D02*
-X101007000Y-59579000D01*
-X91203000Y-59539000D02*
-X94859000Y-59539000D01*
-X97341000Y-59539000D02*
-X100997000Y-59539000D01*
-X91215000Y-59499000D02*
-X94859000Y-59499000D01*
-X97341000Y-59499000D02*
-X100985000Y-59499000D01*
-X91226000Y-59459000D02*
-X94859000Y-59459000D01*
-X97341000Y-59459000D02*
-X100974000Y-59459000D01*
-X91238000Y-59419000D02*
-X94859000Y-59419000D01*
-X97341000Y-59419000D02*
-X100962000Y-59419000D01*
-X91250000Y-59379000D02*
-X94859000Y-59379000D01*
-X97341000Y-59379000D02*
-X100950000Y-59379000D01*
-X91263000Y-59339000D02*
-X94859000Y-59339000D01*
-X97341000Y-59339000D02*
-X100937000Y-59339000D01*
-X91276000Y-59299000D02*
-X94859000Y-59299000D01*
-X97341000Y-59299000D02*
-X100924000Y-59299000D01*
-X91289000Y-59259000D02*
-X94859000Y-59259000D01*
-X97341000Y-59259000D02*
-X100911000Y-59259000D01*
-X91303000Y-59219000D02*
-X94859000Y-59219000D01*
-X97341000Y-59219000D02*
-X100897000Y-59219000D01*
-X91317000Y-59179000D02*
-X94859000Y-59179000D01*
-X97341000Y-59179000D02*
-X100883000Y-59179000D01*
-X91332000Y-59139000D02*
-X94859000Y-59139000D01*
-X97341000Y-59139000D02*
-X100868000Y-59139000D01*
-X91346000Y-59099000D02*
-X94859000Y-59099000D01*
-X97341000Y-59099000D02*
-X100854000Y-59099000D01*
-X91362000Y-59059000D02*
-X94859000Y-59059000D01*
-X97341000Y-59059000D02*
-X100838000Y-59059000D01*
-X91377000Y-59019000D02*
-X94859000Y-59019000D01*
-X97341000Y-59019000D02*
-X100823000Y-59019000D01*
-X91393000Y-58979000D02*
-X94859000Y-58979000D01*
-X97341000Y-58979000D02*
-X100807000Y-58979000D01*
-X91410000Y-58939000D02*
-X94859000Y-58939000D01*
-X97341000Y-58939000D02*
-X100790000Y-58939000D01*
-X91426000Y-58899000D02*
-X94859000Y-58899000D01*
-X97341000Y-58899000D02*
-X100774000Y-58899000D01*
-X91443000Y-58859000D02*
-X94859000Y-58859000D01*
-X97341000Y-58859000D02*
-X100757000Y-58859000D01*
-X91461000Y-58819000D02*
-X94859000Y-58819000D01*
-X97341000Y-58819000D02*
-X100739000Y-58819000D01*
-X91479000Y-58779000D02*
-X94859000Y-58779000D01*
-X97341000Y-58779000D02*
-X100721000Y-58779000D01*
-X91497000Y-58739000D02*
-X94859000Y-58739000D01*
-X97341000Y-58739000D02*
-X100703000Y-58739000D01*
-X91516000Y-58699000D02*
-X94859000Y-58699000D01*
-X97341000Y-58699000D02*
-X100684000Y-58699000D01*
-X91536000Y-58659000D02*
-X94859000Y-58659000D01*
-X97341000Y-58659000D02*
-X100664000Y-58659000D01*
-X91555000Y-58619000D02*
-X94859000Y-58619000D01*
-X97341000Y-58619000D02*
-X100645000Y-58619000D01*
-X91575000Y-58579000D02*
-X94859000Y-58579000D01*
-X97341000Y-58579000D02*
-X100625000Y-58579000D01*
-X91596000Y-58539000D02*
-X94859000Y-58539000D01*
-X97341000Y-58539000D02*
-X100604000Y-58539000D01*
-X91617000Y-58499000D02*
-X94859000Y-58499000D01*
-X97341000Y-58499000D02*
-X100583000Y-58499000D01*
-X91638000Y-58459000D02*
-X94859000Y-58459000D01*
-X97341000Y-58459000D02*
-X100562000Y-58459000D01*
-X91660000Y-58419000D02*
-X94859000Y-58419000D01*
-X97341000Y-58419000D02*
-X100540000Y-58419000D01*
-X91683000Y-58379000D02*
-X94859000Y-58379000D01*
-X97341000Y-58379000D02*
-X100517000Y-58379000D01*
-X91705000Y-58339000D02*
-X94859000Y-58339000D01*
-X97341000Y-58339000D02*
-X100495000Y-58339000D01*
-X91729000Y-58299000D02*
-X94859000Y-58299000D01*
-X97341000Y-58299000D02*
-X100471000Y-58299000D01*
-X91753000Y-58259000D02*
-X94859000Y-58259000D01*
-X97341000Y-58259000D02*
-X100447000Y-58259000D01*
-X91777000Y-58219000D02*
-X94859000Y-58219000D01*
-X97341000Y-58219000D02*
-X100423000Y-58219000D01*
-X91802000Y-58179000D02*
-X94859000Y-58179000D01*
-X97341000Y-58179000D02*
-X100398000Y-58179000D01*
-X91827000Y-58139000D02*
-X94859000Y-58139000D01*
-X97341000Y-58139000D02*
-X100373000Y-58139000D01*
-X91853000Y-58099000D02*
-X94859000Y-58099000D01*
-X97341000Y-58099000D02*
-X100347000Y-58099000D01*
-X91879000Y-58059000D02*
-X94859000Y-58059000D01*
-X97341000Y-58059000D02*
-X100321000Y-58059000D01*
-X91906000Y-58019000D02*
-X94859000Y-58019000D01*
-X97341000Y-58019000D02*
-X100294000Y-58019000D01*
-X91934000Y-57979000D02*
-X94859000Y-57979000D01*
-X97341000Y-57979000D02*
-X100266000Y-57979000D01*
-X91962000Y-57939000D02*
-X94859000Y-57939000D01*
-X97341000Y-57939000D02*
-X100238000Y-57939000D01*
-X91990000Y-57899000D02*
-X94859000Y-57899000D01*
-X97341000Y-57899000D02*
-X100210000Y-57899000D01*
-X92020000Y-57859000D02*
-X94859000Y-57859000D01*
-X97341000Y-57859000D02*
-X100180000Y-57859000D01*
-X92050000Y-57819000D02*
-X94859000Y-57819000D01*
-X97341000Y-57819000D02*
-X100150000Y-57819000D01*
-X92080000Y-57779000D02*
-X94859000Y-57779000D01*
-X97341000Y-57779000D02*
-X100120000Y-57779000D01*
-X92111000Y-57739000D02*
-X94859000Y-57739000D01*
-X97341000Y-57739000D02*
-X100089000Y-57739000D01*
-X92143000Y-57699000D02*
-X94859000Y-57699000D01*
-X97341000Y-57699000D02*
-X100057000Y-57699000D01*
-X92175000Y-57659000D02*
-X94859000Y-57659000D01*
-X97341000Y-57659000D02*
-X100025000Y-57659000D01*
-X92208000Y-57619000D02*
-X94859000Y-57619000D01*
-X97341000Y-57619000D02*
-X99992000Y-57619000D01*
-X92242000Y-57579000D02*
-X94859000Y-57579000D01*
-X97341000Y-57579000D02*
-X99958000Y-57579000D01*
-X92276000Y-57539000D02*
-X94859000Y-57539000D01*
-X97341000Y-57539000D02*
-X99924000Y-57539000D01*
-X92311000Y-57499000D02*
-X94859000Y-57499000D01*
-X97341000Y-57499000D02*
-X99889000Y-57499000D01*
-X92347000Y-57459000D02*
-X94859000Y-57459000D01*
-X97341000Y-57459000D02*
-X99853000Y-57459000D01*
-X92384000Y-57419000D02*
-X94859000Y-57419000D01*
-X97341000Y-57419000D02*
-X99816000Y-57419000D01*
-X92421000Y-57379000D02*
-X94859000Y-57379000D01*
-X97341000Y-57379000D02*
-X99779000Y-57379000D01*
-X92460000Y-57339000D02*
-X94859000Y-57339000D01*
-X97341000Y-57339000D02*
-X99740000Y-57339000D01*
-X92499000Y-57299000D02*
-X94859000Y-57299000D01*
-X97341000Y-57299000D02*
-X99701000Y-57299000D01*
-X92539000Y-57259000D02*
-X94859000Y-57259000D01*
-X97341000Y-57259000D02*
-X99661000Y-57259000D01*
-X92580000Y-57219000D02*
-X94859000Y-57219000D01*
-X97341000Y-57219000D02*
-X99620000Y-57219000D01*
-X92622000Y-57179000D02*
-X94859000Y-57179000D01*
-X97341000Y-57179000D02*
-X99578000Y-57179000D01*
-X92664000Y-57139000D02*
-X99536000Y-57139000D01*
-X92708000Y-57099000D02*
-X99492000Y-57099000D01*
-X92753000Y-57059000D02*
-X99447000Y-57059000D01*
-X92799000Y-57019000D02*
-X99401000Y-57019000D01*
-X92846000Y-56979000D02*
-X99354000Y-56979000D01*
-X92894000Y-56939000D02*
-X99306000Y-56939000D01*
-X92944000Y-56899000D02*
-X99256000Y-56899000D01*
-X92994000Y-56859000D02*
-X99206000Y-56859000D01*
-X93046000Y-56819000D02*
-X99154000Y-56819000D01*
-X93100000Y-56779000D02*
-X99100000Y-56779000D01*
-X93155000Y-56739000D02*
-X99045000Y-56739000D01*
-X93211000Y-56699000D02*
-X98989000Y-56699000D01*
-X93270000Y-56659000D02*
-X98930000Y-56659000D01*
-X93330000Y-56619000D02*
-X98870000Y-56619000D01*
-X93391000Y-56579000D02*
-X98809000Y-56579000D01*
-X93455000Y-56539000D02*
-X98745000Y-56539000D01*
-X93521000Y-56499000D02*
-X98679000Y-56499000D01*
-X93590000Y-56459000D02*
-X98610000Y-56459000D01*
-X93661000Y-56419000D02*
-X98539000Y-56419000D01*
-X93735000Y-56379000D02*
-X98465000Y-56379000D01*
-X93811000Y-56339000D02*
-X98389000Y-56339000D01*
-X93891000Y-56299000D02*
-X98309000Y-56299000D01*
-X93975000Y-56259000D02*
-X98225000Y-56259000D01*
-X94063000Y-56219000D02*
-X98137000Y-56219000D01*
-X94156000Y-56179000D02*
-X98044000Y-56179000D01*
-X94254000Y-56139000D02*
-X97946000Y-56139000D01*
-X94358000Y-56099000D02*
-X97842000Y-56099000D01*
-X94470000Y-56059000D02*
-X97730000Y-56059000D01*
-X94590000Y-56019000D02*
-X97610000Y-56019000D01*
-X94722000Y-55979000D02*
-X97478000Y-55979000D01*
-X94870000Y-55939000D02*
-X97330000Y-55939000D01*
-X95038000Y-55899000D02*
-X97162000Y-55899000D01*
-X95238000Y-55859000D02*
-X96962000Y-55859000D01*
-X95501000Y-55819000D02*
-X96699000Y-55819000D01*
-X93225000Y-66379646D02*
-X93225000Y-65379646D01*
-X92725000Y-65879646D02*
-X93725000Y-65879646D01*
-X81279646Y-91475000D02*
-X81279646Y-90475000D01*
-X81779646Y-90975000D02*
-X80779646Y-90975000D01*
-X71219000Y-88699000D02*
-X71219000Y-87501000D01*
-X71259000Y-88962000D02*
-X71259000Y-87238000D01*
-X71299000Y-89162000D02*
-X71299000Y-87038000D01*
-X71339000Y-89330000D02*
-X71339000Y-86870000D01*
-X71379000Y-89478000D02*
-X71379000Y-86722000D01*
-X71419000Y-89610000D02*
-X71419000Y-86590000D01*
-X71459000Y-89730000D02*
-X71459000Y-86470000D01*
-X71499000Y-89842000D02*
-X71499000Y-86358000D01*
-X71539000Y-89946000D02*
-X71539000Y-86254000D01*
-X71579000Y-90044000D02*
-X71579000Y-86156000D01*
-X71619000Y-90137000D02*
-X71619000Y-86063000D01*
-X71659000Y-90225000D02*
-X71659000Y-85975000D01*
-X71699000Y-90309000D02*
-X71699000Y-85891000D01*
-X71739000Y-90389000D02*
-X71739000Y-85811000D01*
-X71779000Y-90465000D02*
-X71779000Y-85735000D01*
-X71819000Y-90539000D02*
-X71819000Y-85661000D01*
-X71859000Y-90610000D02*
-X71859000Y-85590000D01*
-X71899000Y-90679000D02*
-X71899000Y-85521000D01*
-X71939000Y-90745000D02*
-X71939000Y-85455000D01*
-X71979000Y-90809000D02*
-X71979000Y-85391000D01*
-X72019000Y-90870000D02*
-X72019000Y-85330000D01*
-X72059000Y-90930000D02*
-X72059000Y-85270000D01*
-X72099000Y-90989000D02*
-X72099000Y-85211000D01*
-X72139000Y-91045000D02*
-X72139000Y-85155000D01*
-X72179000Y-91100000D02*
-X72179000Y-85100000D01*
-X72219000Y-91154000D02*
-X72219000Y-85046000D01*
-X72259000Y-91206000D02*
-X72259000Y-84994000D01*
-X72299000Y-91256000D02*
-X72299000Y-84944000D01*
-X72339000Y-91306000D02*
-X72339000Y-84894000D01*
-X72379000Y-91354000D02*
-X72379000Y-84846000D01*
-X72419000Y-91401000D02*
-X72419000Y-84799000D01*
-X72459000Y-91447000D02*
-X72459000Y-84753000D01*
-X72499000Y-91492000D02*
-X72499000Y-84708000D01*
-X72539000Y-91536000D02*
-X72539000Y-84664000D01*
-X72579000Y-86859000D02*
-X72579000Y-84622000D01*
-X72579000Y-91578000D02*
-X72579000Y-89341000D01*
-X72619000Y-86859000D02*
-X72619000Y-84580000D01*
-X72619000Y-91620000D02*
-X72619000Y-89341000D01*
-X72659000Y-86859000D02*
-X72659000Y-84539000D01*
-X72659000Y-91661000D02*
-X72659000Y-89341000D01*
-X72699000Y-86859000D02*
-X72699000Y-84499000D01*
-X72699000Y-91701000D02*
-X72699000Y-89341000D01*
-X72739000Y-86859000D02*
-X72739000Y-84460000D01*
-X72739000Y-91740000D02*
-X72739000Y-89341000D01*
-X72779000Y-86859000D02*
-X72779000Y-84421000D01*
-X72779000Y-91779000D02*
-X72779000Y-89341000D01*
-X72819000Y-86859000D02*
-X72819000Y-84384000D01*
-X72819000Y-91816000D02*
-X72819000Y-89341000D01*
-X72859000Y-86859000D02*
-X72859000Y-84347000D01*
-X72859000Y-91853000D02*
-X72859000Y-89341000D01*
-X72899000Y-86859000D02*
-X72899000Y-84311000D01*
-X72899000Y-91889000D02*
-X72899000Y-89341000D01*
-X72939000Y-86859000D02*
-X72939000Y-84276000D01*
-X72939000Y-91924000D02*
-X72939000Y-89341000D01*
-X72979000Y-86859000D02*
-X72979000Y-84242000D01*
-X72979000Y-91958000D02*
-X72979000Y-89341000D01*
-X73019000Y-86859000D02*
-X73019000Y-84208000D01*
-X73019000Y-91992000D02*
-X73019000Y-89341000D01*
-X73059000Y-86859000D02*
-X73059000Y-84175000D01*
-X73059000Y-92025000D02*
-X73059000Y-89341000D01*
-X73099000Y-86859000D02*
-X73099000Y-84143000D01*
-X73099000Y-92057000D02*
-X73099000Y-89341000D01*
-X73139000Y-86859000D02*
-X73139000Y-84111000D01*
-X73139000Y-92089000D02*
-X73139000Y-89341000D01*
-X73179000Y-86859000D02*
-X73179000Y-84080000D01*
-X73179000Y-92120000D02*
-X73179000Y-89341000D01*
-X73219000Y-86859000D02*
-X73219000Y-84050000D01*
-X73219000Y-92150000D02*
-X73219000Y-89341000D01*
-X73259000Y-86859000D02*
-X73259000Y-84020000D01*
-X73259000Y-92180000D02*
-X73259000Y-89341000D01*
-X73299000Y-86859000D02*
-X73299000Y-83990000D01*
-X73299000Y-92210000D02*
-X73299000Y-89341000D01*
-X73339000Y-86859000D02*
-X73339000Y-83962000D01*
-X73339000Y-92238000D02*
-X73339000Y-89341000D01*
-X73379000Y-86859000D02*
-X73379000Y-83934000D01*
-X73379000Y-92266000D02*
-X73379000Y-89341000D01*
-X73419000Y-86859000D02*
-X73419000Y-83906000D01*
-X73419000Y-92294000D02*
-X73419000Y-89341000D01*
-X73459000Y-86859000D02*
-X73459000Y-83879000D01*
-X73459000Y-92321000D02*
-X73459000Y-89341000D01*
-X73499000Y-86859000D02*
-X73499000Y-83853000D01*
-X73499000Y-92347000D02*
-X73499000Y-89341000D01*
-X73539000Y-86859000D02*
-X73539000Y-83827000D01*
-X73539000Y-92373000D02*
-X73539000Y-89341000D01*
-X73579000Y-86859000D02*
-X73579000Y-83802000D01*
-X73579000Y-92398000D02*
-X73579000Y-89341000D01*
-X73619000Y-86859000D02*
-X73619000Y-83777000D01*
-X73619000Y-92423000D02*
-X73619000Y-89341000D01*
-X73659000Y-86859000D02*
-X73659000Y-83753000D01*
-X73659000Y-92447000D02*
-X73659000Y-89341000D01*
-X73699000Y-86859000D02*
-X73699000Y-83729000D01*
-X73699000Y-92471000D02*
-X73699000Y-89341000D01*
-X73739000Y-86859000D02*
-X73739000Y-83705000D01*
-X73739000Y-92495000D02*
-X73739000Y-89341000D01*
-X73779000Y-86859000D02*
-X73779000Y-83683000D01*
-X73779000Y-92517000D02*
-X73779000Y-89341000D01*
-X73819000Y-86859000D02*
-X73819000Y-83660000D01*
-X73819000Y-92540000D02*
-X73819000Y-89341000D01*
-X73859000Y-86859000D02*
-X73859000Y-83638000D01*
-X73859000Y-92562000D02*
-X73859000Y-89341000D01*
-X73899000Y-86859000D02*
-X73899000Y-83617000D01*
-X73899000Y-92583000D02*
-X73899000Y-89341000D01*
-X73939000Y-86859000D02*
-X73939000Y-83596000D01*
-X73939000Y-92604000D02*
-X73939000Y-89341000D01*
-X73979000Y-86859000D02*
-X73979000Y-83575000D01*
-X73979000Y-92625000D02*
-X73979000Y-89341000D01*
-X74019000Y-86859000D02*
-X74019000Y-83555000D01*
-X74019000Y-92645000D02*
-X74019000Y-89341000D01*
-X74059000Y-86859000D02*
-X74059000Y-83536000D01*
-X74059000Y-92664000D02*
-X74059000Y-89341000D01*
-X74099000Y-86859000D02*
-X74099000Y-83516000D01*
-X74099000Y-92684000D02*
-X74099000Y-89341000D01*
-X74139000Y-86859000D02*
-X74139000Y-83497000D01*
-X74139000Y-92703000D02*
-X74139000Y-89341000D01*
-X74179000Y-86859000D02*
-X74179000Y-83479000D01*
-X74179000Y-92721000D02*
-X74179000Y-89341000D01*
-X74219000Y-86859000D02*
-X74219000Y-83461000D01*
-X74219000Y-92739000D02*
-X74219000Y-89341000D01*
-X74259000Y-86859000D02*
-X74259000Y-83443000D01*
-X74259000Y-92757000D02*
-X74259000Y-89341000D01*
-X74299000Y-86859000D02*
-X74299000Y-83426000D01*
-X74299000Y-92774000D02*
-X74299000Y-89341000D01*
-X74339000Y-86859000D02*
-X74339000Y-83410000D01*
-X74339000Y-92790000D02*
-X74339000Y-89341000D01*
-X74379000Y-86859000D02*
-X74379000Y-83393000D01*
-X74379000Y-92807000D02*
-X74379000Y-89341000D01*
-X74419000Y-86859000D02*
-X74419000Y-83377000D01*
-X74419000Y-92823000D02*
-X74419000Y-89341000D01*
-X74459000Y-86859000D02*
-X74459000Y-83362000D01*
-X74459000Y-92838000D02*
-X74459000Y-89341000D01*
-X74499000Y-86859000D02*
-X74499000Y-83346000D01*
-X74499000Y-92854000D02*
-X74499000Y-89341000D01*
-X74539000Y-86859000D02*
-X74539000Y-83332000D01*
-X74539000Y-92868000D02*
-X74539000Y-89341000D01*
-X74579000Y-86859000D02*
-X74579000Y-83317000D01*
-X74579000Y-92883000D02*
-X74579000Y-89341000D01*
-X74619000Y-86859000D02*
-X74619000Y-83303000D01*
-X74619000Y-92897000D02*
-X74619000Y-89341000D01*
-X74659000Y-86859000D02*
-X74659000Y-83289000D01*
-X74659000Y-92911000D02*
-X74659000Y-89341000D01*
-X74699000Y-86859000D02*
-X74699000Y-83276000D01*
-X74699000Y-92924000D02*
-X74699000Y-89341000D01*
-X74739000Y-86859000D02*
-X74739000Y-83263000D01*
-X74739000Y-92937000D02*
-X74739000Y-89341000D01*
-X74779000Y-86859000D02*
-X74779000Y-83250000D01*
-X74779000Y-92950000D02*
-X74779000Y-89341000D01*
-X74819000Y-86859000D02*
-X74819000Y-83238000D01*
-X74819000Y-92962000D02*
-X74819000Y-89341000D01*
-X74859000Y-86859000D02*
-X74859000Y-83226000D01*
-X74859000Y-92974000D02*
-X74859000Y-89341000D01*
-X74899000Y-86859000D02*
-X74899000Y-83215000D01*
-X74899000Y-92985000D02*
-X74899000Y-89341000D01*
-X74939000Y-86859000D02*
-X74939000Y-83203000D01*
-X74939000Y-92997000D02*
-X74939000Y-89341000D01*
-X74979000Y-86859000D02*
-X74979000Y-83193000D01*
-X74979000Y-93007000D02*
-X74979000Y-89341000D01*
-X75019000Y-86859000D02*
-X75019000Y-83182000D01*
-X75019000Y-93018000D02*
-X75019000Y-89341000D01*
-X75059000Y-93028000D02*
-X75059000Y-83172000D01*
-X75099000Y-93038000D02*
-X75099000Y-83162000D01*
-X75139000Y-93047000D02*
-X75139000Y-83153000D01*
-X75179000Y-93056000D02*
-X75179000Y-83144000D01*
-X75219000Y-93065000D02*
-X75219000Y-83135000D01*
-X75259000Y-93074000D02*
-X75259000Y-83126000D01*
-X75299000Y-93082000D02*
-X75299000Y-83118000D01*
-X75339000Y-93090000D02*
-X75339000Y-83110000D01*
-X75379000Y-93097000D02*
-X75379000Y-83103000D01*
-X75419000Y-93104000D02*
-X75419000Y-83096000D01*
-X75459000Y-93111000D02*
-X75459000Y-83089000D01*
-X75499000Y-93118000D02*
-X75499000Y-83082000D01*
-X75539000Y-93124000D02*
-X75539000Y-83076000D01*
-X75579000Y-93130000D02*
-X75579000Y-83070000D01*
-X75620000Y-93135000D02*
-X75620000Y-83065000D01*
-X75660000Y-93140000D02*
-X75660000Y-83060000D01*
-X75700000Y-93145000D02*
-X75700000Y-83055000D01*
-X75740000Y-93150000D02*
-X75740000Y-83050000D01*
-X75780000Y-93154000D02*
-X75780000Y-83046000D01*
-X75820000Y-93158000D02*
-X75820000Y-83042000D01*
-X75860000Y-93162000D02*
-X75860000Y-83038000D01*
-X75900000Y-93165000D02*
-X75900000Y-83035000D01*
-X75940000Y-93168000D02*
-X75940000Y-83032000D01*
-X75980000Y-93170000D02*
-X75980000Y-83030000D01*
-X76020000Y-93173000D02*
-X76020000Y-83027000D01*
-X76060000Y-93175000D02*
-X76060000Y-83025000D01*
-X76100000Y-93177000D02*
-X76100000Y-83023000D01*
-X76140000Y-93178000D02*
-X76140000Y-83022000D01*
-X76180000Y-93179000D02*
-X76180000Y-83021000D01*
-X76220000Y-93180000D02*
-X76220000Y-83020000D01*
-X76260000Y-93180000D02*
-X76260000Y-83020000D01*
-X76300000Y-93180000D02*
-X76300000Y-83020000D01*
-X81420000Y-88100000D02*
-G75*
-G03X81420000Y-88100000I-5120000J0D01*
-G01*
-X81420000Y-75900000D02*
-G75*
-G03X81420000Y-75900000I-5120000J0D01*
-G01*
-X76300000Y-80980000D02*
-X76300000Y-70820000D01*
-X76260000Y-80980000D02*
-X76260000Y-70820000D01*
-X76220000Y-80980000D02*
-X76220000Y-70820000D01*
-X76180000Y-80979000D02*
-X76180000Y-70821000D01*
-X76140000Y-80978000D02*
-X76140000Y-70822000D01*
-X76100000Y-80977000D02*
-X76100000Y-70823000D01*
-X76060000Y-80975000D02*
-X76060000Y-70825000D01*
-X76020000Y-80973000D02*
-X76020000Y-70827000D01*
-X75980000Y-80970000D02*
-X75980000Y-70830000D01*
-X75940000Y-80968000D02*
-X75940000Y-70832000D01*
-X75900000Y-80965000D02*
-X75900000Y-70835000D01*
-X75860000Y-80962000D02*
-X75860000Y-70838000D01*
-X75820000Y-80958000D02*
-X75820000Y-70842000D01*
-X75780000Y-80954000D02*
-X75780000Y-70846000D01*
-X75740000Y-80950000D02*
-X75740000Y-70850000D01*
-X75700000Y-80945000D02*
-X75700000Y-70855000D01*
-X75660000Y-80940000D02*
-X75660000Y-70860000D01*
-X75620000Y-80935000D02*
-X75620000Y-70865000D01*
-X75579000Y-80930000D02*
-X75579000Y-70870000D01*
-X75539000Y-80924000D02*
-X75539000Y-70876000D01*
-X75499000Y-80918000D02*
-X75499000Y-70882000D01*
-X75459000Y-80911000D02*
-X75459000Y-70889000D01*
-X75419000Y-80904000D02*
-X75419000Y-70896000D01*
-X75379000Y-80897000D02*
-X75379000Y-70903000D01*
-X75339000Y-80890000D02*
-X75339000Y-70910000D01*
-X75299000Y-80882000D02*
-X75299000Y-70918000D01*
-X75259000Y-80874000D02*
-X75259000Y-70926000D01*
-X75219000Y-80865000D02*
-X75219000Y-70935000D01*
-X75179000Y-80856000D02*
-X75179000Y-70944000D01*
-X75139000Y-80847000D02*
-X75139000Y-70953000D01*
-X75099000Y-80838000D02*
-X75099000Y-70962000D01*
-X75059000Y-80828000D02*
-X75059000Y-70972000D01*
-X75019000Y-80818000D02*
-X75019000Y-77141000D01*
-X75019000Y-74659000D02*
-X75019000Y-70982000D01*
-X74979000Y-80807000D02*
-X74979000Y-77141000D01*
-X74979000Y-74659000D02*
-X74979000Y-70993000D01*
-X74939000Y-80797000D02*
-X74939000Y-77141000D01*
-X74939000Y-74659000D02*
-X74939000Y-71003000D01*
-X74899000Y-80785000D02*
-X74899000Y-77141000D01*
-X74899000Y-74659000D02*
-X74899000Y-71015000D01*
-X74859000Y-80774000D02*
-X74859000Y-77141000D01*
-X74859000Y-74659000D02*
-X74859000Y-71026000D01*
-X74819000Y-80762000D02*
-X74819000Y-77141000D01*
-X74819000Y-74659000D02*
-X74819000Y-71038000D01*
-X74779000Y-80750000D02*
-X74779000Y-77141000D01*
-X74779000Y-74659000D02*
-X74779000Y-71050000D01*
-X74739000Y-80737000D02*
-X74739000Y-77141000D01*
-X74739000Y-74659000D02*
-X74739000Y-71063000D01*
-X74699000Y-80724000D02*
-X74699000Y-77141000D01*
-X74699000Y-74659000D02*
-X74699000Y-71076000D01*
-X74659000Y-80711000D02*
-X74659000Y-77141000D01*
-X74659000Y-74659000D02*
-X74659000Y-71089000D01*
-X74619000Y-80697000D02*
-X74619000Y-77141000D01*
-X74619000Y-74659000D02*
-X74619000Y-71103000D01*
-X74579000Y-80683000D02*
-X74579000Y-77141000D01*
-X74579000Y-74659000D02*
-X74579000Y-71117000D01*
-X74539000Y-80668000D02*
-X74539000Y-77141000D01*
-X74539000Y-74659000D02*
-X74539000Y-71132000D01*
-X74499000Y-80654000D02*
-X74499000Y-77141000D01*
-X74499000Y-74659000D02*
-X74499000Y-71146000D01*
-X74459000Y-80638000D02*
-X74459000Y-77141000D01*
-X74459000Y-74659000D02*
-X74459000Y-71162000D01*
-X74419000Y-80623000D02*
-X74419000Y-77141000D01*
-X74419000Y-74659000D02*
-X74419000Y-71177000D01*
-X74379000Y-80607000D02*
-X74379000Y-77141000D01*
-X74379000Y-74659000D02*
-X74379000Y-71193000D01*
-X74339000Y-80590000D02*
-X74339000Y-77141000D01*
-X74339000Y-74659000D02*
-X74339000Y-71210000D01*
-X74299000Y-80574000D02*
-X74299000Y-77141000D01*
-X74299000Y-74659000D02*
-X74299000Y-71226000D01*
-X74259000Y-80557000D02*
-X74259000Y-77141000D01*
-X74259000Y-74659000D02*
-X74259000Y-71243000D01*
-X74219000Y-80539000D02*
-X74219000Y-77141000D01*
-X74219000Y-74659000D02*
-X74219000Y-71261000D01*
-X74179000Y-80521000D02*
-X74179000Y-77141000D01*
-X74179000Y-74659000D02*
-X74179000Y-71279000D01*
-X74139000Y-80503000D02*
-X74139000Y-77141000D01*
-X74139000Y-74659000D02*
-X74139000Y-71297000D01*
-X74099000Y-80484000D02*
-X74099000Y-77141000D01*
-X74099000Y-74659000D02*
-X74099000Y-71316000D01*
-X74059000Y-80464000D02*
-X74059000Y-77141000D01*
-X74059000Y-74659000D02*
-X74059000Y-71336000D01*
-X74019000Y-80445000D02*
-X74019000Y-77141000D01*
-X74019000Y-74659000D02*
-X74019000Y-71355000D01*
-X73979000Y-80425000D02*
-X73979000Y-77141000D01*
-X73979000Y-74659000D02*
-X73979000Y-71375000D01*
-X73939000Y-80404000D02*
-X73939000Y-77141000D01*
-X73939000Y-74659000D02*
-X73939000Y-71396000D01*
-X73899000Y-80383000D02*
-X73899000Y-77141000D01*
-X73899000Y-74659000D02*
-X73899000Y-71417000D01*
-X73859000Y-80362000D02*
-X73859000Y-77141000D01*
-X73859000Y-74659000D02*
-X73859000Y-71438000D01*
-X73819000Y-80340000D02*
-X73819000Y-77141000D01*
-X73819000Y-74659000D02*
-X73819000Y-71460000D01*
-X73779000Y-80317000D02*
-X73779000Y-77141000D01*
-X73779000Y-74659000D02*
-X73779000Y-71483000D01*
-X73739000Y-80295000D02*
-X73739000Y-77141000D01*
-X73739000Y-74659000D02*
-X73739000Y-71505000D01*
-X73699000Y-80271000D02*
-X73699000Y-77141000D01*
-X73699000Y-74659000D02*
-X73699000Y-71529000D01*
-X73659000Y-80247000D02*
-X73659000Y-77141000D01*
-X73659000Y-74659000D02*
-X73659000Y-71553000D01*
-X73619000Y-80223000D02*
-X73619000Y-77141000D01*
-X73619000Y-74659000D02*
-X73619000Y-71577000D01*
-X73579000Y-80198000D02*
-X73579000Y-77141000D01*
-X73579000Y-74659000D02*
-X73579000Y-71602000D01*
-X73539000Y-80173000D02*
-X73539000Y-77141000D01*
-X73539000Y-74659000D02*
-X73539000Y-71627000D01*
-X73499000Y-80147000D02*
-X73499000Y-77141000D01*
-X73499000Y-74659000D02*
-X73499000Y-71653000D01*
-X73459000Y-80121000D02*
-X73459000Y-77141000D01*
-X73459000Y-74659000D02*
-X73459000Y-71679000D01*
-X73419000Y-80094000D02*
-X73419000Y-77141000D01*
-X73419000Y-74659000D02*
-X73419000Y-71706000D01*
-X73379000Y-80066000D02*
-X73379000Y-77141000D01*
-X73379000Y-74659000D02*
-X73379000Y-71734000D01*
-X73339000Y-80038000D02*
-X73339000Y-77141000D01*
-X73339000Y-74659000D02*
-X73339000Y-71762000D01*
-X73299000Y-80010000D02*
-X73299000Y-77141000D01*
-X73299000Y-74659000D02*
-X73299000Y-71790000D01*
-X73259000Y-79980000D02*
-X73259000Y-77141000D01*
-X73259000Y-74659000D02*
-X73259000Y-71820000D01*
-X73219000Y-79950000D02*
-X73219000Y-77141000D01*
-X73219000Y-74659000D02*
-X73219000Y-71850000D01*
-X73179000Y-79920000D02*
-X73179000Y-77141000D01*
-X73179000Y-74659000D02*
-X73179000Y-71880000D01*
-X73139000Y-79889000D02*
-X73139000Y-77141000D01*
-X73139000Y-74659000D02*
-X73139000Y-71911000D01*
-X73099000Y-79857000D02*
-X73099000Y-77141000D01*
-X73099000Y-74659000D02*
-X73099000Y-71943000D01*
-X73059000Y-79825000D02*
-X73059000Y-77141000D01*
-X73059000Y-74659000D02*
-X73059000Y-71975000D01*
-X73019000Y-79792000D02*
-X73019000Y-77141000D01*
-X73019000Y-74659000D02*
-X73019000Y-72008000D01*
-X72979000Y-79758000D02*
-X72979000Y-77141000D01*
-X72979000Y-74659000D02*
-X72979000Y-72042000D01*
-X72939000Y-79724000D02*
-X72939000Y-77141000D01*
-X72939000Y-74659000D02*
-X72939000Y-72076000D01*
-X72899000Y-79689000D02*
-X72899000Y-77141000D01*
-X72899000Y-74659000D02*
-X72899000Y-72111000D01*
-X72859000Y-79653000D02*
-X72859000Y-77141000D01*
-X72859000Y-74659000D02*
-X72859000Y-72147000D01*
-X72819000Y-79616000D02*
-X72819000Y-77141000D01*
-X72819000Y-74659000D02*
-X72819000Y-72184000D01*
-X72779000Y-79579000D02*
-X72779000Y-77141000D01*
-X72779000Y-74659000D02*
-X72779000Y-72221000D01*
-X72739000Y-79540000D02*
-X72739000Y-77141000D01*
-X72739000Y-74659000D02*
-X72739000Y-72260000D01*
-X72699000Y-79501000D02*
-X72699000Y-77141000D01*
-X72699000Y-74659000D02*
-X72699000Y-72299000D01*
-X72659000Y-79461000D02*
-X72659000Y-77141000D01*
-X72659000Y-74659000D02*
-X72659000Y-72339000D01*
-X72619000Y-79420000D02*
-X72619000Y-77141000D01*
-X72619000Y-74659000D02*
-X72619000Y-72380000D01*
-X72579000Y-79378000D02*
-X72579000Y-77141000D01*
-X72579000Y-74659000D02*
-X72579000Y-72422000D01*
-X72539000Y-79336000D02*
-X72539000Y-72464000D01*
-X72499000Y-79292000D02*
-X72499000Y-72508000D01*
-X72459000Y-79247000D02*
-X72459000Y-72553000D01*
-X72419000Y-79201000D02*
-X72419000Y-72599000D01*
-X72379000Y-79154000D02*
-X72379000Y-72646000D01*
-X72339000Y-79106000D02*
-X72339000Y-72694000D01*
-X72299000Y-79056000D02*
-X72299000Y-72744000D01*
-X72259000Y-79006000D02*
-X72259000Y-72794000D01*
-X72219000Y-78954000D02*
-X72219000Y-72846000D01*
-X72179000Y-78900000D02*
-X72179000Y-72900000D01*
-X72139000Y-78845000D02*
-X72139000Y-72955000D01*
-X72099000Y-78789000D02*
-X72099000Y-73011000D01*
-X72059000Y-78730000D02*
-X72059000Y-73070000D01*
-X72019000Y-78670000D02*
-X72019000Y-73130000D01*
-X71979000Y-78609000D02*
-X71979000Y-73191000D01*
-X71939000Y-78545000D02*
-X71939000Y-73255000D01*
-X71899000Y-78479000D02*
-X71899000Y-73321000D01*
-X71859000Y-78410000D02*
-X71859000Y-73390000D01*
-X71819000Y-78339000D02*
-X71819000Y-73461000D01*
-X71779000Y-78265000D02*
-X71779000Y-73535000D01*
-X71739000Y-78189000D02*
-X71739000Y-73611000D01*
-X71699000Y-78109000D02*
-X71699000Y-73691000D01*
-X71659000Y-78025000D02*
-X71659000Y-73775000D01*
-X71619000Y-77937000D02*
-X71619000Y-73863000D01*
-X71579000Y-77844000D02*
-X71579000Y-73956000D01*
-X71539000Y-77746000D02*
-X71539000Y-74054000D01*
-X71499000Y-77642000D02*
-X71499000Y-74158000D01*
-X71459000Y-77530000D02*
-X71459000Y-74270000D01*
-X71419000Y-77410000D02*
-X71419000Y-74390000D01*
-X71379000Y-77278000D02*
-X71379000Y-74522000D01*
-X71339000Y-77130000D02*
-X71339000Y-74670000D01*
-X71299000Y-76962000D02*
-X71299000Y-74838000D01*
-X71259000Y-76762000D02*
-X71259000Y-75038000D01*
-X71219000Y-76499000D02*
-X71219000Y-75301000D01*
-X81779646Y-78775000D02*
-X80779646Y-78775000D01*
-X81279646Y-79275000D02*
-X81279646Y-78275000D01*
-X117880000Y-57640000D02*
-X115220000Y-57640000D01*
-X117880000Y-65320000D02*
-X117880000Y-57640000D01*
-X115220000Y-65320000D02*
-X115220000Y-57640000D01*
-X117880000Y-65320000D02*
-X115220000Y-65320000D01*
-X117880000Y-66590000D02*
-X117880000Y-67920000D01*
-X117880000Y-67920000D02*
-X116550000Y-67920000D01*
-X83647936Y-69740000D02*
-X84852064Y-69740000D01*
-X83647936Y-71560000D02*
-X84852064Y-71560000D01*
-X81980000Y-63870000D02*
-X87980000Y-63870000D01*
-X87980000Y-63870000D02*
-X87980000Y-58590000D01*
-X87980000Y-58590000D02*
-X81980000Y-58590000D01*
-X81980000Y-58590000D02*
-X81980000Y-63870000D01*
-X87980000Y-62500000D02*
-X86980000Y-62500000D01*
-X86980000Y-62500000D02*
-X86980000Y-59960000D01*
-X86980000Y-59960000D02*
-X87980000Y-59960000D01*
-X86980000Y-62500000D02*
-X86550000Y-62250000D01*
-X86550000Y-62250000D02*
-X86550000Y-60210000D01*
-X86550000Y-60210000D02*
-X86980000Y-59960000D01*
-X87980000Y-62250000D02*
-X86980000Y-62250000D01*
-X87980000Y-60210000D02*
-X86980000Y-60210000D01*
-X81980000Y-63300000D02*
-X82600000Y-63300000D01*
-X82600000Y-63300000D02*
-X82600000Y-61700000D01*
-X82600000Y-61700000D02*
-X81980000Y-61700000D01*
-X81980000Y-60760000D02*
-X82600000Y-60760000D01*
-X82600000Y-60760000D02*
-X82600000Y-59160000D01*
-X82600000Y-59160000D02*
-X81980000Y-59160000D01*
-D10*
-X128275000Y-121674950D02*
-X128275000Y-121499950D01*
-X124925000Y-121674950D02*
-X124925000Y-121424950D01*
-X124925000Y-118324950D02*
-X124925000Y-118574950D01*
-X128275000Y-118324950D02*
-X128275000Y-118574950D01*
-X128275000Y-121674950D02*
-X124925000Y-121674950D01*
-X128275000Y-118324950D02*
-X124925000Y-118324950D01*
-X128275000Y-121499950D02*
-X129525000Y-121499950D01*
-D16*
-X166671267Y-68640000D02*
-X166328733Y-68640000D01*
-X166671267Y-69660000D02*
-X166328733Y-69660000D01*
-X166671267Y-68160000D02*
-X166328733Y-68160000D01*
-X166671267Y-67140000D02*
-X166328733Y-67140000D01*
-X139300000Y-61700000D02*
-X139800000Y-62200000D01*
-X139300000Y-62700000D02*
-X139300000Y-61700000D01*
-X139800000Y-62200000D02*
-X139300000Y-62700000D01*
-X156680000Y-70515000D02*
-X156680000Y-64840000D01*
-X140040000Y-70515000D02*
-X140040000Y-64840000D01*
-X140040000Y-70515000D02*
-X156680000Y-70515000D01*
-X156680000Y-54635000D02*
-X156680000Y-62340000D01*
-X140040000Y-54635000D02*
-X140040000Y-62340000D01*
-X140040000Y-54635000D02*
-X156680000Y-54635000D01*
-X120190000Y-54635000D02*
-X136830000Y-54635000D01*
-X120190000Y-54635000D02*
-X120190000Y-62340000D01*
-X136830000Y-54635000D02*
-X136830000Y-62340000D01*
-X120190000Y-70515000D02*
-X136830000Y-70515000D01*
-X120190000Y-70515000D02*
-X120190000Y-64840000D01*
-X136830000Y-70515000D02*
-X136830000Y-64840000D01*
-X119950000Y-62200000D02*
-X119450000Y-62700000D01*
-X119450000Y-62700000D02*
-X119450000Y-61700000D01*
-X119450000Y-61700000D02*
-X119950000Y-62200000D01*
-D10*
-X163475000Y-93425000D02*
-X163475000Y-93400000D01*
-X159325000Y-93425000D02*
-X159325000Y-93320000D01*
-X159325000Y-83275000D02*
-X159325000Y-83380000D01*
-X163475000Y-83275000D02*
-X163475000Y-83380000D01*
-X163475000Y-93425000D02*
-X159325000Y-93425000D01*
-X163475000Y-83275000D02*
-X159325000Y-83275000D01*
-X163475000Y-93400000D02*
-X164850000Y-93400000D01*
-D16*
-X162321267Y-69660000D02*
-X161978733Y-69660000D01*
-X162321267Y-68640000D02*
-X161978733Y-68640000D01*
-X162321267Y-67140000D02*
-X161978733Y-67140000D01*
-X162321267Y-68160000D02*
-X161978733Y-68160000D01*
-D10*
-X152328571Y-84152380D02*
-X152328571Y-83152380D01*
-X152661904Y-83866666D01*
-X152995238Y-83152380D01*
-X152995238Y-84152380D01*
-X153661904Y-83152380D02*
-X153852380Y-83152380D01*
-X153947619Y-83200000D01*
-X154042857Y-83295238D01*
-X154090476Y-83485714D01*
-X154090476Y-83819047D01*
-X154042857Y-84009523D01*
-X153947619Y-84104761D01*
-X153852380Y-84152380D01*
-X153661904Y-84152380D01*
-X153566666Y-84104761D01*
-X153471428Y-84009523D01*
-X153423809Y-83819047D01*
-X153423809Y-83485714D01*
-X153471428Y-83295238D01*
-X153566666Y-83200000D01*
-X153661904Y-83152380D01*
-X154471428Y-84104761D02*
-X154614285Y-84152380D01*
-X154852380Y-84152380D01*
-X154947619Y-84104761D01*
-X154995238Y-84057142D01*
-X155042857Y-83961904D01*
-X155042857Y-83866666D01*
-X154995238Y-83771428D01*
-X154947619Y-83723809D01*
-X154852380Y-83676190D01*
-X154661904Y-83628571D01*
-X154566666Y-83580952D01*
-X154519047Y-83533333D01*
-X154471428Y-83438095D01*
-X154471428Y-83342857D01*
-X154519047Y-83247619D01*
-X154566666Y-83200000D01*
-X154661904Y-83152380D01*
-X154900000Y-83152380D01*
-X155042857Y-83200000D01*
-X155471428Y-84152380D02*
-X155471428Y-83152380D01*
-X152364285Y-91154761D02*
-X152507142Y-91202380D01*
-X152745238Y-91202380D01*
-X152840476Y-91154761D01*
-X152888095Y-91107142D01*
-X152935714Y-91011904D01*
-X152935714Y-90916666D01*
-X152888095Y-90821428D01*
-X152840476Y-90773809D01*
-X152745238Y-90726190D01*
-X152554761Y-90678571D01*
-X152459523Y-90630952D01*
-X152411904Y-90583333D01*
-X152364285Y-90488095D01*
-X152364285Y-90392857D01*
-X152411904Y-90297619D01*
-X152459523Y-90250000D01*
-X152554761Y-90202380D01*
-X152792857Y-90202380D01*
-X152935714Y-90250000D01*
-X153935714Y-91107142D02*
-X153888095Y-91154761D01*
-X153745238Y-91202380D01*
-X153650000Y-91202380D01*
-X153507142Y-91154761D01*
-X153411904Y-91059523D01*
-X153364285Y-90964285D01*
-X153316666Y-90773809D01*
-X153316666Y-90630952D01*
-X153364285Y-90440476D01*
-X153411904Y-90345238D01*
-X153507142Y-90250000D01*
-X153650000Y-90202380D01*
-X153745238Y-90202380D01*
-X153888095Y-90250000D01*
-X153935714Y-90297619D01*
-X154364285Y-91202380D02*
-X154364285Y-90202380D01*
-X154935714Y-91202380D02*
-X154507142Y-90630952D01*
-X154935714Y-90202380D02*
-X154364285Y-90773809D01*
-X149776190Y-87052380D02*
-X149300000Y-87052380D01*
-X149300000Y-86052380D01*
-X150061904Y-86766666D02*
-X150538095Y-86766666D01*
-X149966666Y-87052380D02*
-X150300000Y-86052380D01*
-X150633333Y-87052380D01*
-X150823809Y-86052380D02*
-X151395238Y-86052380D01*
-X151109523Y-87052380D02*
-X151109523Y-86052380D01*
-X152300000Y-86957142D02*
-X152252380Y-87004761D01*
-X152109523Y-87052380D01*
-X152014285Y-87052380D01*
-X151871428Y-87004761D01*
-X151776190Y-86909523D01*
-X151728571Y-86814285D01*
-X151680952Y-86623809D01*
-X151680952Y-86480952D01*
-X151728571Y-86290476D01*
-X151776190Y-86195238D01*
-X151871428Y-86100000D01*
-X152014285Y-86052380D01*
-X152109523Y-86052380D01*
-X152252380Y-86100000D01*
-X152300000Y-86147619D01*
-X152728571Y-87052380D02*
-X152728571Y-86052380D01*
-X152728571Y-86528571D02*
-X153300000Y-86528571D01*
-X153300000Y-87052380D02*
-X153300000Y-86052380D01*
-X112623809Y-85280952D02*
-X113576190Y-85280952D01*
-X112814285Y-85566666D02*
-X113385714Y-85566666D01*
-X113100000Y-84852380D02*
-X113100000Y-85280952D01*
-X113195238Y-85852380D02*
-X113004761Y-85852380D01*
-X110704761Y-87414285D02*
-X110752380Y-87271428D01*
-X110752380Y-87033333D01*
-X110704761Y-86938095D01*
-X110657142Y-86890476D01*
-X110561904Y-86842857D01*
-X110466666Y-86842857D01*
-X110371428Y-86890476D01*
-X110323809Y-86938095D01*
-X110276190Y-87033333D01*
-X110228571Y-87223809D01*
-X110180952Y-87319047D01*
-X110133333Y-87366666D01*
-X110038095Y-87414285D01*
-X109942857Y-87414285D01*
-X109847619Y-87366666D01*
-X109800000Y-87319047D01*
-X109752380Y-87223809D01*
-X109752380Y-86985714D01*
-X109800000Y-86842857D01*
-X110752380Y-86414285D02*
-X109752380Y-86414285D01*
-X109752380Y-86176190D01*
-X109800000Y-86033333D01*
-X109895238Y-85938095D01*
-X109990476Y-85890476D01*
-X110180952Y-85842857D01*
-X110323809Y-85842857D01*
-X110514285Y-85890476D01*
-X110609523Y-85938095D01*
-X110704761Y-86033333D01*
-X110752380Y-86176190D01*
-X110752380Y-86414285D01*
-X110466666Y-85461904D02*
-X110466666Y-84985714D01*
-X110752380Y-85557142D02*
-X109752380Y-85223809D01*
-X110752380Y-84890476D01*
-X108604761Y-86490476D02*
-X108652380Y-86347619D01*
-X108652380Y-86109523D01*
-X108604761Y-86014285D01*
-X108557142Y-85966666D01*
-X108461904Y-85919047D01*
-X108366666Y-85919047D01*
-X108271428Y-85966666D01*
-X108223809Y-86014285D01*
-X108176190Y-86109523D01*
-X108128571Y-86300000D01*
-X108080952Y-86395238D01*
-X108033333Y-86442857D01*
-X107938095Y-86490476D01*
-X107842857Y-86490476D01*
-X107747619Y-86442857D01*
-X107700000Y-86395238D01*
-X107652380Y-86300000D01*
-X107652380Y-86061904D01*
-X107700000Y-85919047D01*
-X108557142Y-84919047D02*
-X108604761Y-84966666D01*
-X108652380Y-85109523D01*
-X108652380Y-85204761D01*
-X108604761Y-85347619D01*
-X108509523Y-85442857D01*
-X108414285Y-85490476D01*
-X108223809Y-85538095D01*
-X108080952Y-85538095D01*
-X107890476Y-85490476D01*
-X107795238Y-85442857D01*
-X107700000Y-85347619D01*
-X107652380Y-85204761D01*
-X107652380Y-85109523D01*
-X107700000Y-84966666D01*
-X107747619Y-84919047D01*
-X108652380Y-84014285D02*
-X108652380Y-84490476D01*
-X107652380Y-84490476D01*
-X135823809Y-84480952D02*
-X136776190Y-84480952D01*
-X136014285Y-84766666D02*
-X136585714Y-84766666D01*
-X136300000Y-84052380D02*
-X136300000Y-84480952D01*
-X136395238Y-85052380D02*
-X136204761Y-85052380D01*
-X133414285Y-95607142D02*
-X133366666Y-95654761D01*
-X133223809Y-95702380D01*
-X133128571Y-95702380D01*
-X132985714Y-95654761D01*
-X132890476Y-95559523D01*
-X132842857Y-95464285D01*
-X132795238Y-95273809D01*
-X132795238Y-95130952D01*
-X132842857Y-94940476D01*
-X132890476Y-94845238D01*
-X132985714Y-94750000D01*
-X133128571Y-94702380D01*
-X133223809Y-94702380D01*
-X133366666Y-94750000D01*
-X133414285Y-94797619D01*
-X133700000Y-94702380D02*
-X134271428Y-94702380D01*
-X133985714Y-95702380D02*
-X133985714Y-94702380D01*
-X135176190Y-95702380D02*
-X134842857Y-95226190D01*
-X134604761Y-95702380D02*
-X134604761Y-94702380D01*
-X134985714Y-94702380D01*
-X135080952Y-94750000D01*
-X135128571Y-94797619D01*
-X135176190Y-94892857D01*
-X135176190Y-95035714D01*
-X135128571Y-95130952D01*
-X135080952Y-95178571D01*
-X134985714Y-95226190D01*
-X134604761Y-95226190D01*
-X136080952Y-95702380D02*
-X135604761Y-95702380D01*
-X135604761Y-94702380D01*
-X136176190Y-95797619D02*
-X136938095Y-95797619D01*
-X137128571Y-95416666D02*
-X137604761Y-95416666D01*
-X137033333Y-95702380D02*
-X137366666Y-94702380D01*
-X137700000Y-95702380D01*
-X116442857Y-87257142D02*
-X116395238Y-87304761D01*
-X116252380Y-87352380D01*
-X116157142Y-87352380D01*
-X116014285Y-87304761D01*
-X115919047Y-87209523D01*
-X115871428Y-87114285D01*
-X115823809Y-86923809D01*
-X115823809Y-86780952D01*
-X115871428Y-86590476D01*
-X115919047Y-86495238D01*
-X116014285Y-86400000D01*
-X116157142Y-86352380D01*
-X116252380Y-86352380D01*
-X116395238Y-86400000D01*
-X116442857Y-86447619D01*
-X116728571Y-86352380D02*
-X117300000Y-86352380D01*
-X117014285Y-87352380D02*
-X117014285Y-86352380D01*
-X118204761Y-87352380D02*
-X117871428Y-86876190D01*
-X117633333Y-87352380D02*
-X117633333Y-86352380D01*
-X118014285Y-86352380D01*
-X118109523Y-86400000D01*
-X118157142Y-86447619D01*
-X118204761Y-86542857D01*
-X118204761Y-86685714D01*
-X118157142Y-86780952D01*
-X118109523Y-86828571D01*
-X118014285Y-86876190D01*
-X117633333Y-86876190D01*
-X119109523Y-87352380D02*
-X118633333Y-87352380D01*
-X118633333Y-86352380D01*
-X119204761Y-87447619D02*
-X119966666Y-87447619D01*
-X120538095Y-86828571D02*
-X120680952Y-86876190D01*
-X120728571Y-86923809D01*
-X120776190Y-87019047D01*
-X120776190Y-87161904D01*
-X120728571Y-87257142D01*
-X120680952Y-87304761D01*
-X120585714Y-87352380D01*
-X120204761Y-87352380D01*
-X120204761Y-86352380D01*
-X120538095Y-86352380D01*
-X120633333Y-86400000D01*
-X120680952Y-86447619D01*
-X120728571Y-86542857D01*
-X120728571Y-86638095D01*
-X120680952Y-86733333D01*
-X120633333Y-86780952D01*
-X120538095Y-86828571D01*
-X120204761Y-86828571D01*
-X73738095Y-66271428D02*
-X74500000Y-66271428D01*
-X74119047Y-66652380D02*
-X74119047Y-65890476D01*
-X74880952Y-65652380D02*
-X75500000Y-65652380D01*
-X75166666Y-66033333D01*
-X75309523Y-66033333D01*
-X75404761Y-66080952D01*
-X75452380Y-66128571D01*
-X75500000Y-66223809D01*
-X75500000Y-66461904D01*
-X75452380Y-66557142D01*
-X75404761Y-66604761D01*
-X75309523Y-66652380D01*
-X75023809Y-66652380D01*
-X74928571Y-66604761D01*
-X74880952Y-66557142D01*
-X75785714Y-65652380D02*
-X76119047Y-66652380D01*
-X76452380Y-65652380D01*
-X76690476Y-65652380D02*
-X77309523Y-65652380D01*
-X76976190Y-66033333D01*
-X77119047Y-66033333D01*
-X77214285Y-66080952D01*
-X77261904Y-66128571D01*
-X77309523Y-66223809D01*
-X77309523Y-66461904D01*
-X77261904Y-66557142D01*
-X77214285Y-66604761D01*
-X77119047Y-66652380D01*
-X76833333Y-66652380D01*
-X76738095Y-66604761D01*
-X76690476Y-66557142D01*
-X81619047Y-86652380D02*
-X81619047Y-85652380D01*
-X82000000Y-85652380D02*
-X82619047Y-85652380D01*
-X82285714Y-86033333D01*
-X82428571Y-86033333D01*
-X82523809Y-86080952D01*
-X82571428Y-86128571D01*
-X82619047Y-86223809D01*
-X82619047Y-86461904D01*
-X82571428Y-86557142D01*
-X82523809Y-86604761D01*
-X82428571Y-86652380D01*
-X82142857Y-86652380D01*
-X82047619Y-86604761D01*
-X82000000Y-86557142D01*
-X82904761Y-85652380D02*
-X83238095Y-86652380D01*
-X83571428Y-85652380D01*
-X83809523Y-85652380D02*
-X84428571Y-85652380D01*
-X84095238Y-86033333D01*
-X84238095Y-86033333D01*
-X84333333Y-86080952D01*
-X84380952Y-86128571D01*
-X84428571Y-86223809D01*
-X84428571Y-86461904D01*
-X84380952Y-86557142D01*
-X84333333Y-86604761D01*
-X84238095Y-86652380D01*
-X83952380Y-86652380D01*
-X83857142Y-86604761D01*
-X83809523Y-86557142D01*
-X71176190Y-68552380D02*
-X71509523Y-69552380D01*
-X71842857Y-68552380D01*
-X72176190Y-69552380D02*
-X72176190Y-68885714D01*
-X72176190Y-68552380D02*
-X72128571Y-68600000D01*
-X72176190Y-68647619D01*
-X72223809Y-68600000D01*
-X72176190Y-68552380D01*
-X72176190Y-68647619D01*
-X72652380Y-68885714D02*
-X72652380Y-69552380D01*
-X72652380Y-68980952D02*
-X72700000Y-68933333D01*
-X72795238Y-68885714D01*
-X72938095Y-68885714D01*
-X73033333Y-68933333D01*
-X73080952Y-69028571D01*
-X73080952Y-69552380D01*
-X86123809Y-89230952D02*
-X87076190Y-89230952D01*
-X86314285Y-89516666D02*
-X86885714Y-89516666D01*
-X86600000Y-88802380D02*
-X86600000Y-89230952D01*
-X86695238Y-89802380D02*
-X86504761Y-89802380D01*
-X86957142Y-65752380D02*
-X86957142Y-64752380D01*
-X87861904Y-65752380D02*
-X87861904Y-64752380D01*
-X87861904Y-65704761D02*
-X87766666Y-65752380D01*
-X87576190Y-65752380D01*
-X87480952Y-65704761D01*
-X87433333Y-65657142D01*
-X87385714Y-65561904D01*
-X87385714Y-65276190D01*
-X87433333Y-65180952D01*
-X87480952Y-65133333D01*
-X87576190Y-65085714D01*
-X87766666Y-65085714D01*
-X87861904Y-65133333D01*
-X88338095Y-65752380D02*
-X88338095Y-65085714D01*
-X88338095Y-65276190D02*
-X88385714Y-65180952D01*
-X88433333Y-65133333D01*
-X88528571Y-65085714D01*
-X88623809Y-65085714D01*
-X88861904Y-65085714D02*
-X89100000Y-65752380D01*
-X89338095Y-65085714D01*
-X101723809Y-64552380D02*
-X102057142Y-65552380D01*
-X102390476Y-64552380D01*
-X103152380Y-65552380D02*
-X103152380Y-64552380D01*
-X103152380Y-65504761D02*
-X103057142Y-65552380D01*
-X102866666Y-65552380D01*
-X102771428Y-65504761D01*
-X102723809Y-65457142D01*
-X102676190Y-65361904D01*
-X102676190Y-65076190D01*
-X102723809Y-64980952D01*
-X102771428Y-64933333D01*
-X102866666Y-64885714D01*
-X103057142Y-64885714D01*
-X103152380Y-64933333D01*
-X103628571Y-65552380D02*
-X103628571Y-64885714D01*
-X103628571Y-65076190D02*
-X103676190Y-64980952D01*
-X103723809Y-64933333D01*
-X103819047Y-64885714D01*
-X103914285Y-64885714D01*
-X104152380Y-64885714D02*
-X104390476Y-65552380D01*
-X104628571Y-64885714D01*
-X100157142Y-84566666D02*
-X100204761Y-84614285D01*
-X100252380Y-84757142D01*
-X100252380Y-84852380D01*
-X100204761Y-84995238D01*
-X100109523Y-85090476D01*
-X100014285Y-85138095D01*
-X99823809Y-85185714D01*
-X99680952Y-85185714D01*
-X99490476Y-85138095D01*
-X99395238Y-85090476D01*
-X99300000Y-84995238D01*
-X99252380Y-84852380D01*
-X99252380Y-84757142D01*
-X99300000Y-84614285D01*
-X99347619Y-84566666D01*
-X100252380Y-84090476D02*
-X100252380Y-83900000D01*
-X100204761Y-83804761D01*
-X100157142Y-83757142D01*
-X100014285Y-83661904D01*
-X99823809Y-83614285D01*
-X99442857Y-83614285D01*
-X99347619Y-83661904D01*
-X99300000Y-83709523D01*
-X99252380Y-83804761D01*
-X99252380Y-83995238D01*
-X99300000Y-84090476D01*
-X99347619Y-84138095D01*
-X99442857Y-84185714D01*
-X99680952Y-84185714D01*
-X99776190Y-84138095D01*
-X99823809Y-84090476D01*
-X99871428Y-83995238D01*
-X99871428Y-83804761D01*
-X99823809Y-83709523D01*
-X99776190Y-83661904D01*
-X99680952Y-83614285D01*
-X101538095Y-92752380D02*
-X101538095Y-93561904D01*
-X101585714Y-93657142D01*
-X101633333Y-93704761D01*
-X101728571Y-93752380D01*
-X101919047Y-93752380D01*
-X102014285Y-93704761D01*
-X102061904Y-93657142D01*
-X102109523Y-93561904D01*
-X102109523Y-92752380D01*
-X102633333Y-93752380D02*
-X102823809Y-93752380D01*
-X102919047Y-93704761D01*
-X102966666Y-93657142D01*
-X103061904Y-93514285D01*
-X103109523Y-93323809D01*
-X103109523Y-92942857D01*
-X103061904Y-92847619D01*
-X103014285Y-92800000D01*
-X102919047Y-92752380D01*
-X102728571Y-92752380D01*
-X102633333Y-92800000D01*
-X102585714Y-92847619D01*
-X102538095Y-92942857D01*
-X102538095Y-93180952D01*
-X102585714Y-93276190D01*
-X102633333Y-93323809D01*
-X102728571Y-93371428D01*
-X102919047Y-93371428D01*
-X103014285Y-93323809D01*
-X103061904Y-93276190D01*
-X103109523Y-93180952D01*
-X90957142Y-92242857D02*
-X91004761Y-92290476D01*
-X91052380Y-92433333D01*
-X91052380Y-92528571D01*
-X91004761Y-92671428D01*
-X90909523Y-92766666D01*
-X90814285Y-92814285D01*
-X90623809Y-92861904D01*
-X90480952Y-92861904D01*
-X90290476Y-92814285D01*
-X90195238Y-92766666D01*
-X90100000Y-92671428D01*
-X90052380Y-92528571D01*
-X90052380Y-92433333D01*
-X90100000Y-92290476D01*
-X90147619Y-92242857D01*
-X91052380Y-91290476D02*
-X91052380Y-91861904D01*
-X91052380Y-91576190D02*
-X90052380Y-91576190D01*
-X90195238Y-91671428D01*
-X90290476Y-91766666D01*
-X90338095Y-91861904D01*
-X90385714Y-90433333D02*
-X91052380Y-90433333D01*
-X90004761Y-90671428D02*
-X90719047Y-90909523D01*
-X90719047Y-90290476D01*
-X130107142Y-73416666D02*
-X130154761Y-73464285D01*
-X130202380Y-73607142D01*
-X130202380Y-73702380D01*
-X130154761Y-73845238D01*
-X130059523Y-73940476D01*
-X129964285Y-73988095D01*
-X129773809Y-74035714D01*
-X129630952Y-74035714D01*
-X129440476Y-73988095D01*
-X129345238Y-73940476D01*
-X129250000Y-73845238D01*
-X129202380Y-73702380D01*
-X129202380Y-73607142D01*
-X129250000Y-73464285D01*
-X129297619Y-73416666D01*
-X129630952Y-72845238D02*
-X129583333Y-72940476D01*
-X129535714Y-72988095D01*
-X129440476Y-73035714D01*
-X129392857Y-73035714D01*
-X129297619Y-72988095D01*
-X129250000Y-72940476D01*
-X129202380Y-72845238D01*
-X129202380Y-72654761D01*
-X129250000Y-72559523D01*
-X129297619Y-72511904D01*
-X129392857Y-72464285D01*
-X129440476Y-72464285D01*
-X129535714Y-72511904D01*
-X129583333Y-72559523D01*
-X129630952Y-72654761D01*
-X129630952Y-72845238D01*
-X129678571Y-72940476D01*
-X129726190Y-72988095D01*
-X129821428Y-73035714D01*
-X130011904Y-73035714D01*
-X130107142Y-72988095D01*
-X130154761Y-72940476D01*
-X130202380Y-72845238D01*
-X130202380Y-72654761D01*
-X130154761Y-72559523D01*
-X130107142Y-72511904D01*
-X130011904Y-72464285D01*
-X129821428Y-72464285D01*
-X129726190Y-72511904D01*
-X129678571Y-72559523D01*
-X129630952Y-72654761D01*
-X137561904Y-71852380D02*
-X137561904Y-72661904D01*
-X137609523Y-72757142D01*
-X137657142Y-72804761D01*
-X137752380Y-72852380D01*
-X137942857Y-72852380D01*
-X138038095Y-72804761D01*
-X138085714Y-72757142D01*
-X138133333Y-72661904D01*
-X138133333Y-71852380D01*
-X139133333Y-72852380D02*
-X138561904Y-72852380D01*
-X138847619Y-72852380D02*
-X138847619Y-71852380D01*
-X138752380Y-71995238D01*
-X138657142Y-72090476D01*
-X138561904Y-72138095D01*
-X139466666Y-71852380D02*
-X140085714Y-71852380D01*
-X139752380Y-72233333D01*
-X139895238Y-72233333D01*
-X139990476Y-72280952D01*
-X140038095Y-72328571D01*
-X140085714Y-72423809D01*
-X140085714Y-72661904D01*
-X140038095Y-72757142D01*
-X139990476Y-72804761D01*
-X139895238Y-72852380D01*
-X139609523Y-72852380D01*
-X139514285Y-72804761D01*
-X139466666Y-72757142D01*
-X158272380Y-65892857D02*
-X157796190Y-66226190D01*
-X158272380Y-66464285D02*
-X157272380Y-66464285D01*
-X157272380Y-66083333D01*
-X157320000Y-65988095D01*
-X157367619Y-65940476D01*
-X157462857Y-65892857D01*
-X157605714Y-65892857D01*
-X157700952Y-65940476D01*
-X157748571Y-65988095D01*
-X157796190Y-66083333D01*
-X157796190Y-66464285D01*
-X157272380Y-65559523D02*
-X157272380Y-64940476D01*
-X157653333Y-65273809D01*
-X157653333Y-65130952D01*
-X157700952Y-65035714D01*
-X157748571Y-64988095D01*
-X157843809Y-64940476D01*
-X158081904Y-64940476D01*
-X158177142Y-64988095D01*
-X158224761Y-65035714D01*
-X158272380Y-65130952D01*
-X158272380Y-65416666D01*
-X158224761Y-65511904D01*
-X158177142Y-65559523D01*
-X158272380Y-64464285D02*
-X158272380Y-64273809D01*
-X158224761Y-64178571D01*
-X158177142Y-64130952D01*
-X158034285Y-64035714D01*
-X157843809Y-63988095D01*
-X157462857Y-63988095D01*
-X157367619Y-64035714D01*
-X157320000Y-64083333D01*
-X157272380Y-64178571D01*
-X157272380Y-64369047D01*
-X157320000Y-64464285D01*
-X157367619Y-64511904D01*
-X157462857Y-64559523D01*
-X157700952Y-64559523D01*
-X157796190Y-64511904D01*
-X157843809Y-64464285D01*
-X157891428Y-64369047D01*
-X157891428Y-64178571D01*
-X157843809Y-64083333D01*
-X157796190Y-64035714D01*
-X157700952Y-63988095D01*
-X89757142Y-94952380D02*
-X89423809Y-94476190D01*
-X89185714Y-94952380D02*
-X89185714Y-93952380D01*
-X89566666Y-93952380D01*
-X89661904Y-94000000D01*
-X89709523Y-94047619D01*
-X89757142Y-94142857D01*
-X89757142Y-94285714D01*
-X89709523Y-94380952D01*
-X89661904Y-94428571D01*
-X89566666Y-94476190D01*
-X89185714Y-94476190D01*
-X90090476Y-93952380D02*
-X90709523Y-93952380D01*
-X90376190Y-94333333D01*
-X90519047Y-94333333D01*
-X90614285Y-94380952D01*
-X90661904Y-94428571D01*
-X90709523Y-94523809D01*
-X90709523Y-94761904D01*
-X90661904Y-94857142D01*
-X90614285Y-94904761D01*
-X90519047Y-94952380D01*
-X90233333Y-94952380D01*
-X90138095Y-94904761D01*
-X90090476Y-94857142D01*
-X91280952Y-94380952D02*
-X91185714Y-94333333D01*
-X91138095Y-94285714D01*
-X91090476Y-94190476D01*
-X91090476Y-94142857D01*
-X91138095Y-94047619D01*
-X91185714Y-94000000D01*
-X91280952Y-93952380D01*
-X91471428Y-93952380D01*
-X91566666Y-94000000D01*
-X91614285Y-94047619D01*
-X91661904Y-94142857D01*
-X91661904Y-94190476D01*
-X91614285Y-94285714D01*
-X91566666Y-94333333D01*
-X91471428Y-94380952D01*
-X91280952Y-94380952D01*
-X91185714Y-94428571D01*
-X91138095Y-94476190D01*
-X91090476Y-94571428D01*
-X91090476Y-94761904D01*
-X91138095Y-94857142D01*
-X91185714Y-94904761D01*
-X91280952Y-94952380D01*
-X91471428Y-94952380D01*
-X91566666Y-94904761D01*
-X91614285Y-94857142D01*
-X91661904Y-94761904D01*
-X91661904Y-94571428D01*
-X91614285Y-94476190D01*
-X91566666Y-94428571D01*
-X91471428Y-94380952D01*
-X96357142Y-96252380D02*
-X96023809Y-95776190D01*
-X95785714Y-96252380D02*
-X95785714Y-95252380D01*
-X96166666Y-95252380D01*
-X96261904Y-95300000D01*
-X96309523Y-95347619D01*
-X96357142Y-95442857D01*
-X96357142Y-95585714D01*
-X96309523Y-95680952D01*
-X96261904Y-95728571D01*
-X96166666Y-95776190D01*
-X95785714Y-95776190D01*
-X96690476Y-95252380D02*
-X97309523Y-95252380D01*
-X96976190Y-95633333D01*
-X97119047Y-95633333D01*
-X97214285Y-95680952D01*
-X97261904Y-95728571D01*
-X97309523Y-95823809D01*
-X97309523Y-96061904D01*
-X97261904Y-96157142D01*
-X97214285Y-96204761D01*
-X97119047Y-96252380D01*
-X96833333Y-96252380D01*
-X96738095Y-96204761D01*
-X96690476Y-96157142D01*
-X97642857Y-95252380D02*
-X98309523Y-95252380D01*
-X97880952Y-96252380D01*
-X98857142Y-93652380D02*
-X98523809Y-93176190D01*
-X98285714Y-93652380D02*
-X98285714Y-92652380D01*
-X98666666Y-92652380D01*
-X98761904Y-92700000D01*
-X98809523Y-92747619D01*
-X98857142Y-92842857D01*
-X98857142Y-92985714D01*
-X98809523Y-93080952D01*
-X98761904Y-93128571D01*
-X98666666Y-93176190D01*
-X98285714Y-93176190D01*
-X99190476Y-92652380D02*
-X99809523Y-92652380D01*
-X99476190Y-93033333D01*
-X99619047Y-93033333D01*
-X99714285Y-93080952D01*
-X99761904Y-93128571D01*
-X99809523Y-93223809D01*
-X99809523Y-93461904D01*
-X99761904Y-93557142D01*
-X99714285Y-93604761D01*
-X99619047Y-93652380D01*
-X99333333Y-93652380D01*
-X99238095Y-93604761D01*
-X99190476Y-93557142D01*
-X100666666Y-92652380D02*
-X100476190Y-92652380D01*
-X100380952Y-92700000D01*
-X100333333Y-92747619D01*
-X100238095Y-92890476D01*
-X100190476Y-93080952D01*
-X100190476Y-93461904D01*
-X100238095Y-93557142D01*
-X100285714Y-93604761D01*
-X100380952Y-93652380D01*
-X100571428Y-93652380D01*
-X100666666Y-93604761D01*
-X100714285Y-93557142D01*
-X100761904Y-93461904D01*
-X100761904Y-93223809D01*
-X100714285Y-93128571D01*
-X100666666Y-93080952D01*
-X100571428Y-93033333D01*
-X100380952Y-93033333D01*
-X100285714Y-93080952D01*
-X100238095Y-93128571D01*
-X100190476Y-93223809D01*
-X103357142Y-96352380D02*
-X103023809Y-95876190D01*
-X102785714Y-96352380D02*
-X102785714Y-95352380D01*
-X103166666Y-95352380D01*
-X103261904Y-95400000D01*
-X103309523Y-95447619D01*
-X103357142Y-95542857D01*
-X103357142Y-95685714D01*
-X103309523Y-95780952D01*
-X103261904Y-95828571D01*
-X103166666Y-95876190D01*
-X102785714Y-95876190D01*
-X103690476Y-95352380D02*
-X104309523Y-95352380D01*
-X103976190Y-95733333D01*
-X104119047Y-95733333D01*
-X104214285Y-95780952D01*
-X104261904Y-95828571D01*
-X104309523Y-95923809D01*
-X104309523Y-96161904D01*
-X104261904Y-96257142D01*
-X104214285Y-96304761D01*
-X104119047Y-96352380D01*
-X103833333Y-96352380D01*
-X103738095Y-96304761D01*
-X103690476Y-96257142D01*
-X105214285Y-95352380D02*
-X104738095Y-95352380D01*
-X104690476Y-95828571D01*
-X104738095Y-95780952D01*
-X104833333Y-95733333D01*
-X105071428Y-95733333D01*
-X105166666Y-95780952D01*
-X105214285Y-95828571D01*
-X105261904Y-95923809D01*
-X105261904Y-96161904D01*
-X105214285Y-96257142D01*
-X105166666Y-96304761D01*
-X105071428Y-96352380D01*
-X104833333Y-96352380D01*
-X104738095Y-96304761D01*
-X104690476Y-96257142D01*
-X99957142Y-96252380D02*
-X99623809Y-95776190D01*
-X99385714Y-96252380D02*
-X99385714Y-95252380D01*
-X99766666Y-95252380D01*
-X99861904Y-95300000D01*
-X99909523Y-95347619D01*
-X99957142Y-95442857D01*
-X99957142Y-95585714D01*
-X99909523Y-95680952D01*
-X99861904Y-95728571D01*
-X99766666Y-95776190D01*
-X99385714Y-95776190D01*
-X100290476Y-95252380D02*
-X100909523Y-95252380D01*
-X100576190Y-95633333D01*
-X100719047Y-95633333D01*
-X100814285Y-95680952D01*
-X100861904Y-95728571D01*
-X100909523Y-95823809D01*
-X100909523Y-96061904D01*
-X100861904Y-96157142D01*
-X100814285Y-96204761D01*
-X100719047Y-96252380D01*
-X100433333Y-96252380D01*
-X100338095Y-96204761D01*
-X100290476Y-96157142D01*
-X101766666Y-95585714D02*
-X101766666Y-96252380D01*
-X101528571Y-95204761D02*
-X101290476Y-95919047D01*
-X101909523Y-95919047D01*
-X103257142Y-87322380D02*
-X102923809Y-86846190D01*
-X102685714Y-87322380D02*
-X102685714Y-86322380D01*
-X103066666Y-86322380D01*
-X103161904Y-86370000D01*
-X103209523Y-86417619D01*
-X103257142Y-86512857D01*
-X103257142Y-86655714D01*
-X103209523Y-86750952D01*
-X103161904Y-86798571D01*
-X103066666Y-86846190D01*
-X102685714Y-86846190D01*
-X103590476Y-86322380D02*
-X104209523Y-86322380D01*
-X103876190Y-86703333D01*
-X104019047Y-86703333D01*
-X104114285Y-86750952D01*
-X104161904Y-86798571D01*
-X104209523Y-86893809D01*
-X104209523Y-87131904D01*
-X104161904Y-87227142D01*
-X104114285Y-87274761D01*
-X104019047Y-87322380D01*
-X103733333Y-87322380D01*
-X103638095Y-87274761D01*
-X103590476Y-87227142D01*
-X104542857Y-86322380D02*
-X105161904Y-86322380D01*
-X104828571Y-86703333D01*
-X104971428Y-86703333D01*
-X105066666Y-86750952D01*
-X105114285Y-86798571D01*
-X105161904Y-86893809D01*
-X105161904Y-87131904D01*
-X105114285Y-87227142D01*
-X105066666Y-87274761D01*
-X104971428Y-87322380D01*
-X104685714Y-87322380D01*
-X104590476Y-87274761D01*
-X104542857Y-87227142D01*
-X101952380Y-84642857D02*
-X101476190Y-84976190D01*
-X101952380Y-85214285D02*
-X100952380Y-85214285D01*
-X100952380Y-84833333D01*
-X101000000Y-84738095D01*
-X101047619Y-84690476D01*
-X101142857Y-84642857D01*
-X101285714Y-84642857D01*
-X101380952Y-84690476D01*
-X101428571Y-84738095D01*
-X101476190Y-84833333D01*
-X101476190Y-85214285D01*
-X101047619Y-84261904D02*
-X101000000Y-84214285D01*
-X100952380Y-84119047D01*
-X100952380Y-83880952D01*
-X101000000Y-83785714D01*
-X101047619Y-83738095D01*
-X101142857Y-83690476D01*
-X101238095Y-83690476D01*
-X101380952Y-83738095D01*
-X101952380Y-84309523D01*
-X101952380Y-83690476D01*
-X100952380Y-82785714D02*
-X100952380Y-83261904D01*
-X101428571Y-83309523D01*
-X101380952Y-83261904D01*
-X101333333Y-83166666D01*
-X101333333Y-82928571D01*
-X101380952Y-82833333D01*
-X101428571Y-82785714D01*
-X101523809Y-82738095D01*
-X101761904Y-82738095D01*
-X101857142Y-82785714D01*
-X101904761Y-82833333D01*
-X101952380Y-82928571D01*
-X101952380Y-83166666D01*
-X101904761Y-83261904D01*
-X101857142Y-83309523D01*
-X133357142Y-89652380D02*
-X133023809Y-89176190D01*
-X132785714Y-89652380D02*
-X132785714Y-88652380D01*
-X133166666Y-88652380D01*
-X133261904Y-88700000D01*
-X133309523Y-88747619D01*
-X133357142Y-88842857D01*
-X133357142Y-88985714D01*
-X133309523Y-89080952D01*
-X133261904Y-89128571D01*
-X133166666Y-89176190D01*
-X132785714Y-89176190D01*
-X133738095Y-88747619D02*
-X133785714Y-88700000D01*
-X133880952Y-88652380D01*
-X134119047Y-88652380D01*
-X134214285Y-88700000D01*
-X134261904Y-88747619D01*
-X134309523Y-88842857D01*
-X134309523Y-88938095D01*
-X134261904Y-89080952D01*
-X133690476Y-89652380D01*
-X134309523Y-89652380D01*
-X135166666Y-88985714D02*
-X135166666Y-89652380D01*
-X134928571Y-88604761D02*
-X134690476Y-89319047D01*
-X135309523Y-89319047D01*
-X138757142Y-85422380D02*
-X138423809Y-84946190D01*
-X138185714Y-85422380D02*
-X138185714Y-84422380D01*
-X138566666Y-84422380D01*
-X138661904Y-84470000D01*
-X138709523Y-84517619D01*
-X138757142Y-84612857D01*
-X138757142Y-84755714D01*
-X138709523Y-84850952D01*
-X138661904Y-84898571D01*
-X138566666Y-84946190D01*
-X138185714Y-84946190D01*
-X139709523Y-85422380D02*
-X139138095Y-85422380D01*
-X139423809Y-85422380D02*
-X139423809Y-84422380D01*
-X139328571Y-84565238D01*
-X139233333Y-84660476D01*
-X139138095Y-84708095D01*
-X140280952Y-84850952D02*
-X140185714Y-84803333D01*
-X140138095Y-84755714D01*
-X140090476Y-84660476D01*
-X140090476Y-84612857D01*
-X140138095Y-84517619D01*
-X140185714Y-84470000D01*
-X140280952Y-84422380D01*
-X140471428Y-84422380D01*
-X140566666Y-84470000D01*
-X140614285Y-84517619D01*
-X140661904Y-84612857D01*
-X140661904Y-84660476D01*
-X140614285Y-84755714D01*
-X140566666Y-84803333D01*
-X140471428Y-84850952D01*
-X140280952Y-84850952D01*
-X140185714Y-84898571D01*
-X140138095Y-84946190D01*
-X140090476Y-85041428D01*
-X140090476Y-85231904D01*
-X140138095Y-85327142D01*
-X140185714Y-85374761D01*
-X140280952Y-85422380D01*
-X140471428Y-85422380D01*
-X140566666Y-85374761D01*
-X140614285Y-85327142D01*
-X140661904Y-85231904D01*
-X140661904Y-85041428D01*
-X140614285Y-84946190D01*
-X140566666Y-84898571D01*
-X140471428Y-84850952D01*
-X107204761Y-94347619D02*
-X107109523Y-94300000D01*
-X107014285Y-94204761D01*
-X106871428Y-94061904D01*
-X106776190Y-94014285D01*
-X106680952Y-94014285D01*
-X106728571Y-94252380D02*
-X106633333Y-94204761D01*
-X106538095Y-94109523D01*
-X106490476Y-93919047D01*
-X106490476Y-93585714D01*
-X106538095Y-93395238D01*
-X106633333Y-93300000D01*
-X106728571Y-93252380D01*
-X106919047Y-93252380D01*
-X107014285Y-93300000D01*
-X107109523Y-93395238D01*
-X107157142Y-93585714D01*
-X107157142Y-93919047D01*
-X107109523Y-94109523D01*
-X107014285Y-94204761D01*
-X106919047Y-94252380D01*
-X106728571Y-94252380D01*
-X108061904Y-93252380D02*
-X107585714Y-93252380D01*
-X107538095Y-93728571D01*
-X107585714Y-93680952D01*
-X107680952Y-93633333D01*
-X107919047Y-93633333D01*
-X108014285Y-93680952D01*
-X108061904Y-93728571D01*
-X108109523Y-93823809D01*
-X108109523Y-94061904D01*
-X108061904Y-94157142D01*
-X108014285Y-94204761D01*
-X107919047Y-94252380D01*
-X107680952Y-94252380D01*
-X107585714Y-94204761D01*
-X107538095Y-94157142D01*
-X98057142Y-88066666D02*
-X98104761Y-88114285D01*
-X98152380Y-88257142D01*
-X98152380Y-88352380D01*
-X98104761Y-88495238D01*
-X98009523Y-88590476D01*
-X97914285Y-88638095D01*
-X97723809Y-88685714D01*
-X97580952Y-88685714D01*
-X97390476Y-88638095D01*
-X97295238Y-88590476D01*
-X97200000Y-88495238D01*
-X97152380Y-88352380D01*
-X97152380Y-88257142D01*
-X97200000Y-88114285D01*
-X97247619Y-88066666D01*
-X97152380Y-87733333D02*
-X97152380Y-87066666D01*
-X98152380Y-87495238D01*
-X121307142Y-120166666D02*
-X121354761Y-120214285D01*
-X121402380Y-120357142D01*
-X121402380Y-120452380D01*
-X121354761Y-120595238D01*
-X121259523Y-120690476D01*
-X121164285Y-120738095D01*
-X120973809Y-120785714D01*
-X120830952Y-120785714D01*
-X120640476Y-120738095D01*
-X120545238Y-120690476D01*
-X120450000Y-120595238D01*
-X120402380Y-120452380D01*
-X120402380Y-120357142D01*
-X120450000Y-120214285D01*
-X120497619Y-120166666D01*
-X120402380Y-119309523D02*
-X120402380Y-119500000D01*
-X120450000Y-119595238D01*
-X120497619Y-119642857D01*
-X120640476Y-119738095D01*
-X120830952Y-119785714D01*
-X121211904Y-119785714D01*
-X121307142Y-119738095D01*
-X121354761Y-119690476D01*
-X121402380Y-119595238D01*
-X121402380Y-119404761D01*
-X121354761Y-119309523D01*
-X121307142Y-119261904D01*
-X121211904Y-119214285D01*
-X120973809Y-119214285D01*
-X120878571Y-119261904D01*
-X120830952Y-119309523D01*
-X120783333Y-119404761D01*
-X120783333Y-119595238D01*
-X120830952Y-119690476D01*
-X120878571Y-119738095D01*
-X120973809Y-119785714D01*
-X93057142Y-81557142D02*
-X93009523Y-81604761D01*
-X92866666Y-81652380D01*
-X92771428Y-81652380D01*
-X92628571Y-81604761D01*
-X92533333Y-81509523D01*
-X92485714Y-81414285D01*
-X92438095Y-81223809D01*
-X92438095Y-81080952D01*
-X92485714Y-80890476D01*
-X92533333Y-80795238D01*
-X92628571Y-80700000D01*
-X92771428Y-80652380D01*
-X92866666Y-80652380D01*
-X93009523Y-80700000D01*
-X93057142Y-80747619D01*
-X93438095Y-80747619D02*
-X93485714Y-80700000D01*
-X93580952Y-80652380D01*
-X93819047Y-80652380D01*
-X93914285Y-80700000D01*
-X93961904Y-80747619D01*
-X94009523Y-80842857D01*
-X94009523Y-80938095D01*
-X93961904Y-81080952D01*
-X93390476Y-81652380D01*
-X94009523Y-81652380D01*
-X94485714Y-81652380D02*
-X94676190Y-81652380D01*
-X94771428Y-81604761D01*
-X94819047Y-81557142D01*
-X94914285Y-81414285D01*
-X94961904Y-81223809D01*
-X94961904Y-80842857D01*
-X94914285Y-80747619D01*
-X94866666Y-80700000D01*
-X94771428Y-80652380D01*
-X94580952Y-80652380D01*
-X94485714Y-80700000D01*
-X94438095Y-80747619D01*
-X94390476Y-80842857D01*
-X94390476Y-81080952D01*
-X94438095Y-81176190D01*
-X94485714Y-81223809D01*
-X94580952Y-81271428D01*
-X94771428Y-81271428D01*
-X94866666Y-81223809D01*
-X94914285Y-81176190D01*
-X94961904Y-81080952D01*
-X163516666Y-80652380D02*
-X163516666Y-81366666D01*
-X163469047Y-81509523D01*
-X163373809Y-81604761D01*
-X163230952Y-81652380D01*
-X163135714Y-81652380D01*
-X164421428Y-80652380D02*
-X164230952Y-80652380D01*
-X164135714Y-80700000D01*
-X164088095Y-80747619D01*
-X163992857Y-80890476D01*
-X163945238Y-81080952D01*
-X163945238Y-81461904D01*
-X163992857Y-81557142D01*
-X164040476Y-81604761D01*
-X164135714Y-81652380D01*
-X164326190Y-81652380D01*
-X164421428Y-81604761D01*
-X164469047Y-81557142D01*
-X164516666Y-81461904D01*
-X164516666Y-81223809D01*
-X164469047Y-81128571D01*
-X164421428Y-81080952D01*
-X164326190Y-81033333D01*
-X164135714Y-81033333D01*
-X164040476Y-81080952D01*
-X163992857Y-81128571D01*
-X163945238Y-81223809D01*
-X91638095Y-85552380D02*
-X91638095Y-86361904D01*
-X91685714Y-86457142D01*
-X91733333Y-86504761D01*
-X91828571Y-86552380D01*
-X92019047Y-86552380D01*
-X92114285Y-86504761D01*
-X92161904Y-86457142D01*
-X92209523Y-86361904D01*
-X92209523Y-85552380D01*
-X92590476Y-85552380D02*
-X93257142Y-85552380D01*
-X92828571Y-86552380D01*
-X121638095Y-73552380D02*
-X121638095Y-74361904D01*
-X121685714Y-74457142D01*
-X121733333Y-74504761D01*
-X121828571Y-74552380D01*
-X122019047Y-74552380D01*
-X122114285Y-74504761D01*
-X122161904Y-74457142D01*
-X122209523Y-74361904D01*
-X122209523Y-73552380D01*
-X123114285Y-73552380D02*
-X122923809Y-73552380D01*
-X122828571Y-73600000D01*
-X122780952Y-73647619D01*
-X122685714Y-73790476D01*
-X122638095Y-73980952D01*
-X122638095Y-74361904D01*
-X122685714Y-74457142D01*
-X122733333Y-74504761D01*
-X122828571Y-74552380D01*
-X123019047Y-74552380D01*
-X123114285Y-74504761D01*
-X123161904Y-74457142D01*
-X123209523Y-74361904D01*
-X123209523Y-74123809D01*
-X123161904Y-74028571D01*
-X123114285Y-73980952D01*
-X123019047Y-73933333D01*
-X122828571Y-73933333D01*
-X122733333Y-73980952D01*
-X122685714Y-74028571D01*
-X122638095Y-74123809D01*
-X111433333Y-66352380D02*
-X111100000Y-65876190D01*
-X110861904Y-66352380D02*
-X110861904Y-65352380D01*
-X111242857Y-65352380D01*
-X111338095Y-65400000D01*
-X111385714Y-65447619D01*
-X111433333Y-65542857D01*
-X111433333Y-65685714D01*
-X111385714Y-65780952D01*
-X111338095Y-65828571D01*
-X111242857Y-65876190D01*
-X110861904Y-65876190D01*
-X111766666Y-65352380D02*
-X112385714Y-65352380D01*
-X112052380Y-65733333D01*
-X112195238Y-65733333D01*
-X112290476Y-65780952D01*
-X112338095Y-65828571D01*
-X112385714Y-65923809D01*
-X112385714Y-66161904D01*
-X112338095Y-66257142D01*
-X112290476Y-66304761D01*
-X112195238Y-66352380D01*
-X111909523Y-66352380D01*
-X111814285Y-66304761D01*
-X111766666Y-66257142D01*
-X133704761Y-97347619D02*
-X133609523Y-97300000D01*
-X133514285Y-97204761D01*
-X133371428Y-97061904D01*
-X133276190Y-97014285D01*
-X133180952Y-97014285D01*
-X133228571Y-97252380D02*
-X133133333Y-97204761D01*
-X133038095Y-97109523D01*
-X132990476Y-96919047D01*
-X132990476Y-96585714D01*
-X133038095Y-96395238D01*
-X133133333Y-96300000D01*
-X133228571Y-96252380D01*
-X133419047Y-96252380D01*
-X133514285Y-96300000D01*
-X133609523Y-96395238D01*
-X133657142Y-96585714D01*
-X133657142Y-96919047D01*
-X133609523Y-97109523D01*
-X133514285Y-97204761D01*
-X133419047Y-97252380D01*
-X133228571Y-97252380D01*
-X134609523Y-97252380D02*
-X134038095Y-97252380D01*
-X134323809Y-97252380D02*
-X134323809Y-96252380D01*
-X134228571Y-96395238D01*
-X134133333Y-96490476D01*
-X134038095Y-96538095D01*
-X134004761Y-144247619D02*
-X133909523Y-144200000D01*
-X133814285Y-144104761D01*
-X133671428Y-143961904D01*
-X133576190Y-143914285D01*
-X133480952Y-143914285D01*
-X133528571Y-144152380D02*
-X133433333Y-144104761D01*
-X133338095Y-144009523D01*
-X133290476Y-143819047D01*
-X133290476Y-143485714D01*
-X133338095Y-143295238D01*
-X133433333Y-143200000D01*
-X133528571Y-143152380D01*
-X133719047Y-143152380D01*
-X133814285Y-143200000D01*
-X133909523Y-143295238D01*
-X133957142Y-143485714D01*
-X133957142Y-143819047D01*
-X133909523Y-144009523D01*
-X133814285Y-144104761D01*
-X133719047Y-144152380D01*
-X133528571Y-144152380D01*
-X134338095Y-143247619D02*
-X134385714Y-143200000D01*
-X134480952Y-143152380D01*
-X134719047Y-143152380D01*
-X134814285Y-143200000D01*
-X134861904Y-143247619D01*
-X134909523Y-143342857D01*
-X134909523Y-143438095D01*
-X134861904Y-143580952D01*
-X134290476Y-144152380D01*
-X134909523Y-144152380D01*
-X125604761Y-110247619D02*
-X125509523Y-110200000D01*
-X125414285Y-110104761D01*
-X125271428Y-109961904D01*
-X125176190Y-109914285D01*
-X125080952Y-109914285D01*
-X125128571Y-110152380D02*
-X125033333Y-110104761D01*
-X124938095Y-110009523D01*
-X124890476Y-109819047D01*
-X124890476Y-109485714D01*
-X124938095Y-109295238D01*
-X125033333Y-109200000D01*
-X125128571Y-109152380D01*
-X125319047Y-109152380D01*
-X125414285Y-109200000D01*
-X125509523Y-109295238D01*
-X125557142Y-109485714D01*
-X125557142Y-109819047D01*
-X125509523Y-110009523D01*
-X125414285Y-110104761D01*
-X125319047Y-110152380D01*
-X125128571Y-110152380D01*
-X125890476Y-109152380D02*
-X126509523Y-109152380D01*
-X126176190Y-109533333D01*
-X126319047Y-109533333D01*
-X126414285Y-109580952D01*
-X126461904Y-109628571D01*
-X126509523Y-109723809D01*
-X126509523Y-109961904D01*
-X126461904Y-110057142D01*
-X126414285Y-110104761D01*
-X126319047Y-110152380D01*
-X126033333Y-110152380D01*
-X125938095Y-110104761D01*
-X125890476Y-110057142D01*
-X126104761Y-130947619D02*
-X126009523Y-130900000D01*
-X125914285Y-130804761D01*
-X125771428Y-130661904D01*
-X125676190Y-130614285D01*
-X125580952Y-130614285D01*
-X125628571Y-130852380D02*
-X125533333Y-130804761D01*
-X125438095Y-130709523D01*
-X125390476Y-130519047D01*
-X125390476Y-130185714D01*
-X125438095Y-129995238D01*
-X125533333Y-129900000D01*
-X125628571Y-129852380D01*
-X125819047Y-129852380D01*
-X125914285Y-129900000D01*
-X126009523Y-129995238D01*
-X126057142Y-130185714D01*
-X126057142Y-130519047D01*
-X126009523Y-130709523D01*
-X125914285Y-130804761D01*
-X125819047Y-130852380D01*
-X125628571Y-130852380D01*
-X126914285Y-130185714D02*
-X126914285Y-130852380D01*
-X126676190Y-129804761D02*
-X126438095Y-130519047D01*
-X127057142Y-130519047D01*
-X107033333Y-68457142D02*
-X106985714Y-68504761D01*
-X106842857Y-68552380D01*
-X106747619Y-68552380D01*
-X106604761Y-68504761D01*
-X106509523Y-68409523D01*
-X106461904Y-68314285D01*
-X106414285Y-68123809D01*
-X106414285Y-67980952D01*
-X106461904Y-67790476D01*
-X106509523Y-67695238D01*
-X106604761Y-67600000D01*
-X106747619Y-67552380D01*
-X106842857Y-67552380D01*
-X106985714Y-67600000D01*
-X107033333Y-67647619D01*
-X107985714Y-68552380D02*
-X107414285Y-68552380D01*
-X107700000Y-68552380D02*
-X107700000Y-67552380D01*
-X107604761Y-67695238D01*
-X107509523Y-67790476D01*
-X107414285Y-67838095D01*
-X81433333Y-68257142D02*
-X81385714Y-68304761D01*
-X81242857Y-68352380D01*
-X81147619Y-68352380D01*
-X81004761Y-68304761D01*
-X80909523Y-68209523D01*
-X80861904Y-68114285D01*
-X80814285Y-67923809D01*
-X80814285Y-67780952D01*
-X80861904Y-67590476D01*
-X80909523Y-67495238D01*
-X81004761Y-67400000D01*
-X81147619Y-67352380D01*
-X81242857Y-67352380D01*
-X81385714Y-67400000D01*
-X81433333Y-67447619D01*
-X82290476Y-67685714D02*
-X82290476Y-68352380D01*
-X82052380Y-67304761D02*
-X81814285Y-68019047D01*
-X82433333Y-68019047D01*
-X111408332Y-72782141D02*
-X111360713Y-72829760D01*
-X111217856Y-72877379D01*
-X111122618Y-72877379D01*
-X110979760Y-72829760D01*
-X110884522Y-72734522D01*
-X110836903Y-72639284D01*
-X110789284Y-72448808D01*
-X110789284Y-72305951D01*
-X110836903Y-72115475D01*
-X110884522Y-72020237D01*
-X110979760Y-71924999D01*
-X111122618Y-71877379D01*
-X111217856Y-71877379D01*
-X111360713Y-71924999D01*
-X111408332Y-71972618D01*
-X112313094Y-71877379D02*
-X111836903Y-71877379D01*
-X111789284Y-72353570D01*
-X111836903Y-72305951D01*
-X111932141Y-72258332D01*
-X112170237Y-72258332D01*
-X112265475Y-72305951D01*
-X112313094Y-72353570D01*
-X112360713Y-72448808D01*
-X112360713Y-72686903D01*
-X112313094Y-72782141D01*
-X112265475Y-72829760D01*
-X112170237Y-72877379D01*
-X111932141Y-72877379D01*
-X111836903Y-72829760D01*
-X111789284Y-72782141D01*
-X130357142Y-80242857D02*
-X130404761Y-80290476D01*
-X130452380Y-80433333D01*
-X130452380Y-80528571D01*
-X130404761Y-80671428D01*
-X130309523Y-80766666D01*
-X130214285Y-80814285D01*
-X130023809Y-80861904D01*
-X129880952Y-80861904D01*
-X129690476Y-80814285D01*
-X129595238Y-80766666D01*
-X129500000Y-80671428D01*
-X129452380Y-80528571D01*
-X129452380Y-80433333D01*
-X129500000Y-80290476D01*
-X129547619Y-80242857D01*
-X130452380Y-79290476D02*
-X130452380Y-79861904D01*
-X130452380Y-79576190D02*
-X129452380Y-79576190D01*
-X129595238Y-79671428D01*
-X129690476Y-79766666D01*
-X129738095Y-79861904D01*
-X129547619Y-78909523D02*
-X129500000Y-78861904D01*
-X129452380Y-78766666D01*
-X129452380Y-78528571D01*
-X129500000Y-78433333D01*
-X129547619Y-78385714D01*
-X129642857Y-78338095D01*
-X129738095Y-78338095D01*
-X129880952Y-78385714D01*
-X130452380Y-78957142D01*
-X130452380Y-78338095D01*
-X156607142Y-94557142D02*
-X156559523Y-94604761D01*
-X156416666Y-94652380D01*
-X156321428Y-94652380D01*
-X156178571Y-94604761D01*
-X156083333Y-94509523D01*
-X156035714Y-94414285D01*
-X155988095Y-94223809D01*
-X155988095Y-94080952D01*
-X156035714Y-93890476D01*
-X156083333Y-93795238D01*
-X156178571Y-93700000D01*
-X156321428Y-93652380D01*
-X156416666Y-93652380D01*
-X156559523Y-93700000D01*
-X156607142Y-93747619D01*
-X157559523Y-94652380D02*
-X156988095Y-94652380D01*
-X157273809Y-94652380D02*
-X157273809Y-93652380D01*
-X157178571Y-93795238D01*
-X157083333Y-93890476D01*
-X156988095Y-93938095D01*
-X157892857Y-93652380D02*
-X158511904Y-93652380D01*
-X158178571Y-94033333D01*
-X158321428Y-94033333D01*
-X158416666Y-94080952D01*
-X158464285Y-94128571D01*
-X158511904Y-94223809D01*
-X158511904Y-94461904D01*
-X158464285Y-94557142D01*
-X158416666Y-94604761D01*
-X158321428Y-94652380D01*
-X158035714Y-94652380D01*
-X157940476Y-94604761D01*
-X157892857Y-94557142D01*
-X82157142Y-83157142D02*
-X82109523Y-83204761D01*
-X81966666Y-83252380D01*
-X81871428Y-83252380D01*
-X81728571Y-83204761D01*
-X81633333Y-83109523D01*
-X81585714Y-83014285D01*
-X81538095Y-82823809D01*
-X81538095Y-82680952D01*
-X81585714Y-82490476D01*
-X81633333Y-82395238D01*
-X81728571Y-82300000D01*
-X81871428Y-82252380D01*
-X81966666Y-82252380D01*
-X82109523Y-82300000D01*
-X82157142Y-82347619D01*
-X83109523Y-83252380D02*
-X82538095Y-83252380D01*
-X82823809Y-83252380D02*
-X82823809Y-82252380D01*
-X82728571Y-82395238D01*
-X82633333Y-82490476D01*
-X82538095Y-82538095D01*
-X83966666Y-82252380D02*
-X83776190Y-82252380D01*
-X83680952Y-82300000D01*
-X83633333Y-82347619D01*
-X83538095Y-82490476D01*
-X83490476Y-82680952D01*
-X83490476Y-83061904D01*
-X83538095Y-83157142D01*
-X83585714Y-83204761D01*
-X83680952Y-83252380D01*
-X83871428Y-83252380D01*
-X83966666Y-83204761D01*
-X84014285Y-83157142D01*
-X84061904Y-83061904D01*
-X84061904Y-82823809D01*
-X84014285Y-82728571D01*
-X83966666Y-82680952D01*
-X83871428Y-82633333D01*
-X83680952Y-82633333D01*
-X83585714Y-82680952D01*
-X83538095Y-82728571D01*
-X83490476Y-82823809D01*
-X92257142Y-78542857D02*
-X92304761Y-78590476D01*
-X92352380Y-78733333D01*
-X92352380Y-78828571D01*
-X92304761Y-78971428D01*
-X92209523Y-79066666D01*
-X92114285Y-79114285D01*
-X91923809Y-79161904D01*
-X91780952Y-79161904D01*
-X91590476Y-79114285D01*
-X91495238Y-79066666D01*
-X91400000Y-78971428D01*
-X91352380Y-78828571D01*
-X91352380Y-78733333D01*
-X91400000Y-78590476D01*
-X91447619Y-78542857D01*
-X92352380Y-77590476D02*
-X92352380Y-78161904D01*
-X92352380Y-77876190D02*
-X91352380Y-77876190D01*
-X91495238Y-77971428D01*
-X91590476Y-78066666D01*
-X91638095Y-78161904D01*
-X91352380Y-77257142D02*
-X91352380Y-76590476D01*
-X92352380Y-77019047D01*
-X93657142Y-75157142D02*
-X93609523Y-75204761D01*
-X93466666Y-75252380D01*
-X93371428Y-75252380D01*
-X93228571Y-75204761D01*
-X93133333Y-75109523D01*
-X93085714Y-75014285D01*
-X93038095Y-74823809D01*
-X93038095Y-74680952D01*
-X93085714Y-74490476D01*
-X93133333Y-74395238D01*
-X93228571Y-74300000D01*
-X93371428Y-74252380D01*
-X93466666Y-74252380D01*
-X93609523Y-74300000D01*
-X93657142Y-74347619D01*
-X94609523Y-75252380D02*
-X94038095Y-75252380D01*
-X94323809Y-75252380D02*
-X94323809Y-74252380D01*
-X94228571Y-74395238D01*
-X94133333Y-74490476D01*
-X94038095Y-74538095D01*
-X95180952Y-74680952D02*
-X95085714Y-74633333D01*
-X95038095Y-74585714D01*
-X94990476Y-74490476D01*
-X94990476Y-74442857D01*
-X95038095Y-74347619D01*
-X95085714Y-74300000D01*
-X95180952Y-74252380D01*
-X95371428Y-74252380D01*
-X95466666Y-74300000D01*
-X95514285Y-74347619D01*
-X95561904Y-74442857D01*
-X95561904Y-74490476D01*
-X95514285Y-74585714D01*
-X95466666Y-74633333D01*
-X95371428Y-74680952D01*
-X95180952Y-74680952D01*
-X95085714Y-74728571D01*
-X95038095Y-74776190D01*
-X94990476Y-74871428D01*
-X94990476Y-75061904D01*
-X95038095Y-75157142D01*
-X95085714Y-75204761D01*
-X95180952Y-75252380D01*
-X95371428Y-75252380D01*
-X95466666Y-75204761D01*
-X95514285Y-75157142D01*
-X95561904Y-75061904D01*
-X95561904Y-74871428D01*
-X95514285Y-74776190D01*
-X95466666Y-74728571D01*
-X95371428Y-74680952D01*
-X112857142Y-82307142D02*
-X112809523Y-82354761D01*
-X112666666Y-82402380D01*
-X112571428Y-82402380D01*
-X112428571Y-82354761D01*
-X112333333Y-82259523D01*
-X112285714Y-82164285D01*
-X112238095Y-81973809D01*
-X112238095Y-81830952D01*
-X112285714Y-81640476D01*
-X112333333Y-81545238D01*
-X112428571Y-81450000D01*
-X112571428Y-81402380D01*
-X112666666Y-81402380D01*
-X112809523Y-81450000D01*
-X112857142Y-81497619D01*
-X113238095Y-81497619D02*
-X113285714Y-81450000D01*
-X113380952Y-81402380D01*
-X113619047Y-81402380D01*
-X113714285Y-81450000D01*
-X113761904Y-81497619D01*
-X113809523Y-81592857D01*
-X113809523Y-81688095D01*
-X113761904Y-81830952D01*
-X113190476Y-82402380D01*
-X113809523Y-82402380D01*
-X114428571Y-81402380D02*
-X114523809Y-81402380D01*
-X114619047Y-81450000D01*
-X114666666Y-81497619D01*
-X114714285Y-81592857D01*
-X114761904Y-81783333D01*
-X114761904Y-82021428D01*
-X114714285Y-82211904D01*
-X114666666Y-82307142D01*
-X114619047Y-82354761D01*
-X114523809Y-82402380D01*
-X114428571Y-82402380D01*
-X114333333Y-82354761D01*
-X114285714Y-82307142D01*
-X114238095Y-82211904D01*
-X114190476Y-82021428D01*
-X114190476Y-81783333D01*
-X114238095Y-81592857D01*
-X114285714Y-81497619D01*
-X114333333Y-81450000D01*
-X114428571Y-81402380D01*
-X109157142Y-93257142D02*
-X109109523Y-93304761D01*
-X108966666Y-93352380D01*
-X108871428Y-93352380D01*
-X108728571Y-93304761D01*
-X108633333Y-93209523D01*
-X108585714Y-93114285D01*
-X108538095Y-92923809D01*
-X108538095Y-92780952D01*
-X108585714Y-92590476D01*
-X108633333Y-92495238D01*
-X108728571Y-92400000D01*
-X108871428Y-92352380D01*
-X108966666Y-92352380D01*
-X109109523Y-92400000D01*
-X109157142Y-92447619D01*
-X109538095Y-92447619D02*
-X109585714Y-92400000D01*
-X109680952Y-92352380D01*
-X109919047Y-92352380D01*
-X110014285Y-92400000D01*
-X110061904Y-92447619D01*
-X110109523Y-92542857D01*
-X110109523Y-92638095D01*
-X110061904Y-92780952D01*
-X109490476Y-93352380D01*
-X110109523Y-93352380D01*
-X111061904Y-93352380D02*
-X110490476Y-93352380D01*
-X110776190Y-93352380D02*
-X110776190Y-92352380D01*
-X110680952Y-92495238D01*
-X110585714Y-92590476D01*
-X110490476Y-92638095D01*
-X108857142Y-74457142D02*
-X108809523Y-74504761D01*
-X108666666Y-74552380D01*
-X108571428Y-74552380D01*
-X108428571Y-74504761D01*
-X108333333Y-74409523D01*
-X108285714Y-74314285D01*
-X108238095Y-74123809D01*
-X108238095Y-73980952D01*
-X108285714Y-73790476D01*
-X108333333Y-73695238D01*
-X108428571Y-73600000D01*
-X108571428Y-73552380D01*
-X108666666Y-73552380D01*
-X108809523Y-73600000D01*
-X108857142Y-73647619D01*
-X109238095Y-73647619D02*
-X109285714Y-73600000D01*
-X109380952Y-73552380D01*
-X109619047Y-73552380D01*
-X109714285Y-73600000D01*
-X109761904Y-73647619D01*
-X109809523Y-73742857D01*
-X109809523Y-73838095D01*
-X109761904Y-73980952D01*
-X109190476Y-74552380D01*
-X109809523Y-74552380D01*
-X110190476Y-73647619D02*
-X110238095Y-73600000D01*
-X110333333Y-73552380D01*
-X110571428Y-73552380D01*
-X110666666Y-73600000D01*
-X110714285Y-73647619D01*
-X110761904Y-73742857D01*
-X110761904Y-73838095D01*
-X110714285Y-73980952D01*
-X110142857Y-74552380D01*
-X110761904Y-74552380D01*
-X123957142Y-84857142D02*
-X123909523Y-84904761D01*
-X123766666Y-84952380D01*
-X123671428Y-84952380D01*
-X123528571Y-84904761D01*
-X123433333Y-84809523D01*
-X123385714Y-84714285D01*
-X123338095Y-84523809D01*
-X123338095Y-84380952D01*
-X123385714Y-84190476D01*
-X123433333Y-84095238D01*
-X123528571Y-84000000D01*
-X123671428Y-83952380D01*
-X123766666Y-83952380D01*
-X123909523Y-84000000D01*
-X123957142Y-84047619D01*
-X124338095Y-84047619D02*
-X124385714Y-84000000D01*
-X124480952Y-83952380D01*
-X124719047Y-83952380D01*
-X124814285Y-84000000D01*
-X124861904Y-84047619D01*
-X124909523Y-84142857D01*
-X124909523Y-84238095D01*
-X124861904Y-84380952D01*
-X124290476Y-84952380D01*
-X124909523Y-84952380D01*
-X125242857Y-83952380D02*
-X125861904Y-83952380D01*
-X125528571Y-84333333D01*
-X125671428Y-84333333D01*
-X125766666Y-84380952D01*
-X125814285Y-84428571D01*
-X125861904Y-84523809D01*
-X125861904Y-84761904D01*
-X125814285Y-84857142D01*
-X125766666Y-84904761D01*
-X125671428Y-84952380D01*
-X125385714Y-84952380D01*
-X125290476Y-84904761D01*
-X125242857Y-84857142D01*
-X142157142Y-94557142D02*
-X142109523Y-94604761D01*
-X141966666Y-94652380D01*
-X141871428Y-94652380D01*
-X141728571Y-94604761D01*
-X141633333Y-94509523D01*
-X141585714Y-94414285D01*
-X141538095Y-94223809D01*
-X141538095Y-94080952D01*
-X141585714Y-93890476D01*
-X141633333Y-93795238D01*
-X141728571Y-93700000D01*
-X141871428Y-93652380D01*
-X141966666Y-93652380D01*
-X142109523Y-93700000D01*
-X142157142Y-93747619D01*
-X142538095Y-93747619D02*
-X142585714Y-93700000D01*
-X142680952Y-93652380D01*
-X142919047Y-93652380D01*
-X143014285Y-93700000D01*
-X143061904Y-93747619D01*
-X143109523Y-93842857D01*
-X143109523Y-93938095D01*
-X143061904Y-94080952D01*
-X142490476Y-94652380D01*
-X143109523Y-94652380D01*
-X143966666Y-93985714D02*
-X143966666Y-94652380D01*
-X143728571Y-93604761D02*
-X143490476Y-94319047D01*
-X144109523Y-94319047D01*
-X130257142Y-86957142D02*
-X130209523Y-87004761D01*
-X130066666Y-87052380D01*
-X129971428Y-87052380D01*
-X129828571Y-87004761D01*
-X129733333Y-86909523D01*
-X129685714Y-86814285D01*
-X129638095Y-86623809D01*
-X129638095Y-86480952D01*
-X129685714Y-86290476D01*
-X129733333Y-86195238D01*
-X129828571Y-86100000D01*
-X129971428Y-86052380D01*
-X130066666Y-86052380D01*
-X130209523Y-86100000D01*
-X130257142Y-86147619D01*
-X130638095Y-86147619D02*
-X130685714Y-86100000D01*
-X130780952Y-86052380D01*
-X131019047Y-86052380D01*
-X131114285Y-86100000D01*
-X131161904Y-86147619D01*
-X131209523Y-86242857D01*
-X131209523Y-86338095D01*
-X131161904Y-86480952D01*
-X130590476Y-87052380D01*
-X131209523Y-87052380D01*
-X132114285Y-86052380D02*
-X131638095Y-86052380D01*
-X131590476Y-86528571D01*
-X131638095Y-86480952D01*
-X131733333Y-86433333D01*
-X131971428Y-86433333D01*
-X132066666Y-86480952D01*
-X132114285Y-86528571D01*
-X132161904Y-86623809D01*
-X132161904Y-86861904D01*
-X132114285Y-86957142D01*
-X132066666Y-87004761D01*
-X131971428Y-87052380D01*
-X131733333Y-87052380D01*
-X131638095Y-87004761D01*
-X131590476Y-86957142D01*
-X148357142Y-88357142D02*
-X148309523Y-88404761D01*
-X148166666Y-88452380D01*
-X148071428Y-88452380D01*
-X147928571Y-88404761D01*
-X147833333Y-88309523D01*
-X147785714Y-88214285D01*
-X147738095Y-88023809D01*
-X147738095Y-87880952D01*
-X147785714Y-87690476D01*
-X147833333Y-87595238D01*
-X147928571Y-87500000D01*
-X148071428Y-87452380D01*
-X148166666Y-87452380D01*
-X148309523Y-87500000D01*
-X148357142Y-87547619D01*
-X148738095Y-87547619D02*
-X148785714Y-87500000D01*
-X148880952Y-87452380D01*
-X149119047Y-87452380D01*
-X149214285Y-87500000D01*
-X149261904Y-87547619D01*
-X149309523Y-87642857D01*
-X149309523Y-87738095D01*
-X149261904Y-87880952D01*
-X148690476Y-88452380D01*
-X149309523Y-88452380D01*
-X150166666Y-87452380D02*
-X149976190Y-87452380D01*
-X149880952Y-87500000D01*
-X149833333Y-87547619D01*
-X149738095Y-87690476D01*
-X149690476Y-87880952D01*
-X149690476Y-88261904D01*
-X149738095Y-88357142D01*
-X149785714Y-88404761D01*
-X149880952Y-88452380D01*
-X150071428Y-88452380D01*
-X150166666Y-88404761D01*
-X150214285Y-88357142D01*
-X150261904Y-88261904D01*
-X150261904Y-88023809D01*
-X150214285Y-87928571D01*
-X150166666Y-87880952D01*
-X150071428Y-87833333D01*
-X149880952Y-87833333D01*
-X149785714Y-87880952D01*
-X149738095Y-87928571D01*
-X149690476Y-88023809D01*
-X130257142Y-94957142D02*
-X130209523Y-95004761D01*
-X130066666Y-95052380D01*
-X129971428Y-95052380D01*
-X129828571Y-95004761D01*
-X129733333Y-94909523D01*
-X129685714Y-94814285D01*
-X129638095Y-94623809D01*
-X129638095Y-94480952D01*
-X129685714Y-94290476D01*
-X129733333Y-94195238D01*
-X129828571Y-94100000D01*
-X129971428Y-94052380D01*
-X130066666Y-94052380D01*
-X130209523Y-94100000D01*
-X130257142Y-94147619D01*
-X130638095Y-94147619D02*
-X130685714Y-94100000D01*
-X130780952Y-94052380D01*
-X131019047Y-94052380D01*
-X131114285Y-94100000D01*
-X131161904Y-94147619D01*
-X131209523Y-94242857D01*
-X131209523Y-94338095D01*
-X131161904Y-94480952D01*
-X130590476Y-95052380D01*
-X131209523Y-95052380D01*
-X131542857Y-94052380D02*
-X132209523Y-94052380D01*
-X131780952Y-95052380D01*
-X148357142Y-93757142D02*
-X148309523Y-93804761D01*
-X148166666Y-93852380D01*
-X148071428Y-93852380D01*
-X147928571Y-93804761D01*
-X147833333Y-93709523D01*
-X147785714Y-93614285D01*
-X147738095Y-93423809D01*
-X147738095Y-93280952D01*
-X147785714Y-93090476D01*
-X147833333Y-92995238D01*
-X147928571Y-92900000D01*
-X148071428Y-92852380D01*
-X148166666Y-92852380D01*
-X148309523Y-92900000D01*
-X148357142Y-92947619D01*
-X148738095Y-92947619D02*
-X148785714Y-92900000D01*
-X148880952Y-92852380D01*
-X149119047Y-92852380D01*
-X149214285Y-92900000D01*
-X149261904Y-92947619D01*
-X149309523Y-93042857D01*
-X149309523Y-93138095D01*
-X149261904Y-93280952D01*
-X148690476Y-93852380D01*
-X149309523Y-93852380D01*
-X149880952Y-93280952D02*
-X149785714Y-93233333D01*
-X149738095Y-93185714D01*
-X149690476Y-93090476D01*
-X149690476Y-93042857D01*
-X149738095Y-92947619D01*
-X149785714Y-92900000D01*
-X149880952Y-92852380D01*
-X150071428Y-92852380D01*
-X150166666Y-92900000D01*
-X150214285Y-92947619D01*
-X150261904Y-93042857D01*
-X150261904Y-93090476D01*
-X150214285Y-93185714D01*
-X150166666Y-93233333D01*
-X150071428Y-93280952D01*
-X149880952Y-93280952D01*
-X149785714Y-93328571D01*
-X149738095Y-93376190D01*
-X149690476Y-93471428D01*
-X149690476Y-93661904D01*
-X149738095Y-93757142D01*
-X149785714Y-93804761D01*
-X149880952Y-93852380D01*
-X150071428Y-93852380D01*
-X150166666Y-93804761D01*
-X150214285Y-93757142D01*
-X150261904Y-93661904D01*
-X150261904Y-93471428D01*
-X150214285Y-93376190D01*
-X150166666Y-93328571D01*
-X150071428Y-93280952D01*
-X98961904Y-67702380D02*
-X98961904Y-66702380D01*
-X99200000Y-66702380D01*
-X99342857Y-66750000D01*
-X99438095Y-66845238D01*
-X99485714Y-66940476D01*
-X99533333Y-67130952D01*
-X99533333Y-67273809D01*
-X99485714Y-67464285D01*
-X99438095Y-67559523D01*
-X99342857Y-67654761D01*
-X99200000Y-67702380D01*
-X98961904Y-67702380D01*
-X100485714Y-67702380D02*
-X99914285Y-67702380D01*
-X100200000Y-67702380D02*
-X100200000Y-66702380D01*
-X100104761Y-66845238D01*
-X100009523Y-66940476D01*
-X99914285Y-66988095D01*
-X160866666Y-118052380D02*
-X160866666Y-118766666D01*
-X160819047Y-118909523D01*
-X160723809Y-119004761D01*
-X160580952Y-119052380D01*
-X160485714Y-119052380D01*
-X161866666Y-119052380D02*
-X161295238Y-119052380D01*
-X161580952Y-119052380D02*
-X161580952Y-118052380D01*
-X161485714Y-118195238D01*
-X161390476Y-118290476D01*
-X161295238Y-118338095D01*
-X160866666Y-138252380D02*
-X160866666Y-138966666D01*
-X160819047Y-139109523D01*
-X160723809Y-139204761D01*
-X160580952Y-139252380D01*
-X160485714Y-139252380D01*
-X161295238Y-138347619D02*
-X161342857Y-138300000D01*
-X161438095Y-138252380D01*
-X161676190Y-138252380D01*
-X161771428Y-138300000D01*
-X161819047Y-138347619D01*
-X161866666Y-138442857D01*
-X161866666Y-138538095D01*
-X161819047Y-138680952D01*
-X161247619Y-139252380D01*
-X161866666Y-139252380D01*
-X78766666Y-138252380D02*
-X78766666Y-138966666D01*
-X78719047Y-139109523D01*
-X78623809Y-139204761D01*
-X78480952Y-139252380D01*
-X78385714Y-139252380D01*
-X79147619Y-138252380D02*
-X79814285Y-138252380D01*
-X79385714Y-139252380D01*
-X78466666Y-118252380D02*
-X78466666Y-118966666D01*
-X78419047Y-119109523D01*
-X78323809Y-119204761D01*
-X78180952Y-119252380D01*
-X78085714Y-119252380D01*
-X79085714Y-118680952D02*
-X78990476Y-118633333D01*
-X78942857Y-118585714D01*
-X78895238Y-118490476D01*
-X78895238Y-118442857D01*
-X78942857Y-118347619D01*
-X78990476Y-118300000D01*
-X79085714Y-118252380D01*
-X79276190Y-118252380D01*
-X79371428Y-118300000D01*
-X79419047Y-118347619D01*
-X79466666Y-118442857D01*
-X79466666Y-118490476D01*
-X79419047Y-118585714D01*
-X79371428Y-118633333D01*
-X79276190Y-118680952D01*
-X79085714Y-118680952D01*
-X78990476Y-118728571D01*
-X78942857Y-118776190D01*
-X78895238Y-118871428D01*
-X78895238Y-119061904D01*
-X78942857Y-119157142D01*
-X78990476Y-119204761D01*
-X79085714Y-119252380D01*
-X79276190Y-119252380D01*
-X79371428Y-119204761D01*
-X79419047Y-119157142D01*
-X79466666Y-119061904D01*
-X79466666Y-118871428D01*
-X79419047Y-118776190D01*
-X79371428Y-118728571D01*
-X79276190Y-118680952D01*
-X90833333Y-75052380D02*
-X90357142Y-75052380D01*
-X90357142Y-74052380D01*
-X91690476Y-75052380D02*
-X91119047Y-75052380D01*
-X91404761Y-75052380D02*
-X91404761Y-74052380D01*
-X91309523Y-74195238D01*
-X91214285Y-74290476D01*
-X91119047Y-74338095D01*
-X106833333Y-70652380D02*
-X106500000Y-70176190D01*
-X106261904Y-70652380D02*
-X106261904Y-69652380D01*
-X106642857Y-69652380D01*
-X106738095Y-69700000D01*
-X106785714Y-69747619D01*
-X106833333Y-69842857D01*
-X106833333Y-69985714D01*
-X106785714Y-70080952D01*
-X106738095Y-70128571D01*
-X106642857Y-70176190D01*
-X106261904Y-70176190D01*
-X107214285Y-69747619D02*
-X107261904Y-69700000D01*
-X107357142Y-69652380D01*
-X107595238Y-69652380D01*
-X107690476Y-69700000D01*
-X107738095Y-69747619D01*
-X107785714Y-69842857D01*
-X107785714Y-69938095D01*
-X107738095Y-70080952D01*
-X107166666Y-70652380D01*
-X107785714Y-70652380D01*
-X106252380Y-72166666D02*
-X105776190Y-72500000D01*
-X106252380Y-72738095D02*
-X105252380Y-72738095D01*
-X105252380Y-72357142D01*
-X105300000Y-72261904D01*
-X105347619Y-72214285D01*
-X105442857Y-72166666D01*
-X105585714Y-72166666D01*
-X105680952Y-72214285D01*
-X105728571Y-72261904D01*
-X105776190Y-72357142D01*
-X105776190Y-72738095D01*
-X105585714Y-71309523D02*
-X106252380Y-71309523D01*
-X105204761Y-71547619D02*
-X105919047Y-71785714D01*
-X105919047Y-71166666D01*
-X113933333Y-70552380D02*
-X113600000Y-70076190D01*
-X113361904Y-70552380D02*
-X113361904Y-69552380D01*
-X113742857Y-69552380D01*
-X113838095Y-69600000D01*
-X113885714Y-69647619D01*
-X113933333Y-69742857D01*
-X113933333Y-69885714D01*
-X113885714Y-69980952D01*
-X113838095Y-70028571D01*
-X113742857Y-70076190D01*
-X113361904Y-70076190D01*
-X114838095Y-69552380D02*
-X114361904Y-69552380D01*
-X114314285Y-70028571D01*
-X114361904Y-69980952D01*
-X114457142Y-69933333D01*
-X114695238Y-69933333D01*
-X114790476Y-69980952D01*
-X114838095Y-70028571D01*
-X114885714Y-70123809D01*
-X114885714Y-70361904D01*
-X114838095Y-70457142D01*
-X114790476Y-70504761D01*
-X114695238Y-70552380D01*
-X114457142Y-70552380D01*
-X114361904Y-70504761D01*
-X114314285Y-70457142D01*
-X71933333Y-84252380D02*
-X71600000Y-83776190D01*
-X71361904Y-84252380D02*
-X71361904Y-83252380D01*
-X71742857Y-83252380D01*
-X71838095Y-83300000D01*
-X71885714Y-83347619D01*
-X71933333Y-83442857D01*
-X71933333Y-83585714D01*
-X71885714Y-83680952D01*
-X71838095Y-83728571D01*
-X71742857Y-83776190D01*
-X71361904Y-83776190D01*
-X72266666Y-83252380D02*
-X72933333Y-83252380D01*
-X72504761Y-84252380D01*
-X119583333Y-93452380D02*
-X119250000Y-92976190D01*
-X119011904Y-93452380D02*
-X119011904Y-92452380D01*
-X119392857Y-92452380D01*
-X119488095Y-92500000D01*
-X119535714Y-92547619D01*
-X119583333Y-92642857D01*
-X119583333Y-92785714D01*
-X119535714Y-92880952D01*
-X119488095Y-92928571D01*
-X119392857Y-92976190D01*
-X119011904Y-92976190D01*
-X120154761Y-92880952D02*
-X120059523Y-92833333D01*
-X120011904Y-92785714D01*
-X119964285Y-92690476D01*
-X119964285Y-92642857D01*
-X120011904Y-92547619D01*
-X120059523Y-92500000D01*
-X120154761Y-92452380D01*
-X120345238Y-92452380D01*
-X120440476Y-92500000D01*
-X120488095Y-92547619D01*
-X120535714Y-92642857D01*
-X120535714Y-92690476D01*
-X120488095Y-92785714D01*
-X120440476Y-92833333D01*
-X120345238Y-92880952D01*
-X120154761Y-92880952D01*
-X120059523Y-92928571D01*
-X120011904Y-92976190D01*
-X119964285Y-93071428D01*
-X119964285Y-93261904D01*
-X120011904Y-93357142D01*
-X120059523Y-93404761D01*
-X120154761Y-93452380D01*
-X120345238Y-93452380D01*
-X120440476Y-93404761D01*
-X120488095Y-93357142D01*
-X120535714Y-93261904D01*
-X120535714Y-93071428D01*
-X120488095Y-92976190D01*
-X120440476Y-92928571D01*
-X120345238Y-92880952D01*
-X113433333Y-93452380D02*
-X113100000Y-92976190D01*
-X112861904Y-93452380D02*
-X112861904Y-92452380D01*
-X113242857Y-92452380D01*
-X113338095Y-92500000D01*
-X113385714Y-92547619D01*
-X113433333Y-92642857D01*
-X113433333Y-92785714D01*
-X113385714Y-92880952D01*
-X113338095Y-92928571D01*
-X113242857Y-92976190D01*
-X112861904Y-92976190D01*
-X113909523Y-93452380D02*
-X114100000Y-93452380D01*
-X114195238Y-93404761D01*
-X114242857Y-93357142D01*
-X114338095Y-93214285D01*
-X114385714Y-93023809D01*
-X114385714Y-92642857D01*
-X114338095Y-92547619D01*
-X114290476Y-92500000D01*
-X114195238Y-92452380D01*
-X114004761Y-92452380D01*
-X113909523Y-92500000D01*
-X113861904Y-92547619D01*
-X113814285Y-92642857D01*
-X113814285Y-92880952D01*
-X113861904Y-92976190D01*
-X113909523Y-93023809D01*
-X114004761Y-93071428D01*
-X114195238Y-93071428D01*
-X114290476Y-93023809D01*
-X114338095Y-92976190D01*
-X114385714Y-92880952D01*
-X111852380Y-81542857D02*
-X111376190Y-81876190D01*
-X111852380Y-82114285D02*
-X110852380Y-82114285D01*
-X110852380Y-81733333D01*
-X110900000Y-81638095D01*
-X110947619Y-81590476D01*
-X111042857Y-81542857D01*
-X111185714Y-81542857D01*
-X111280952Y-81590476D01*
-X111328571Y-81638095D01*
-X111376190Y-81733333D01*
-X111376190Y-82114285D01*
-X111852380Y-80590476D02*
-X111852380Y-81161904D01*
-X111852380Y-80876190D02*
-X110852380Y-80876190D01*
-X110995238Y-80971428D01*
-X111090476Y-81066666D01*
-X111138095Y-81161904D01*
-X110852380Y-79971428D02*
-X110852380Y-79876190D01*
-X110900000Y-79780952D01*
-X110947619Y-79733333D01*
-X111042857Y-79685714D01*
-X111233333Y-79638095D01*
-X111471428Y-79638095D01*
-X111661904Y-79685714D01*
-X111757142Y-79733333D01*
-X111804761Y-79780952D01*
-X111852380Y-79876190D01*
-X111852380Y-79971428D01*
-X111804761Y-80066666D01*
-X111757142Y-80114285D01*
-X111661904Y-80161904D01*
-X111471428Y-80209523D01*
-X111233333Y-80209523D01*
-X111042857Y-80161904D01*
-X110947619Y-80114285D01*
-X110900000Y-80066666D01*
-X110852380Y-79971428D01*
-X129352380Y-89542857D02*
-X128876190Y-89876190D01*
-X129352380Y-90114285D02*
-X128352380Y-90114285D01*
-X128352380Y-89733333D01*
-X128400000Y-89638095D01*
-X128447619Y-89590476D01*
-X128542857Y-89542857D01*
-X128685714Y-89542857D01*
-X128780952Y-89590476D01*
-X128828571Y-89638095D01*
-X128876190Y-89733333D01*
-X128876190Y-90114285D01*
-X129352380Y-88590476D02*
-X129352380Y-89161904D01*
-X129352380Y-88876190D02*
-X128352380Y-88876190D01*
-X128495238Y-88971428D01*
-X128590476Y-89066666D01*
-X128638095Y-89161904D01*
-X129352380Y-88114285D02*
-X129352380Y-87923809D01*
-X129304761Y-87828571D01*
-X129257142Y-87780952D01*
-X129114285Y-87685714D01*
-X128923809Y-87638095D01*
-X128542857Y-87638095D01*
-X128447619Y-87685714D01*
-X128400000Y-87733333D01*
-X128352380Y-87828571D01*
-X128352380Y-88019047D01*
-X128400000Y-88114285D01*
-X128447619Y-88161904D01*
-X128542857Y-88209523D01*
-X128780952Y-88209523D01*
-X128876190Y-88161904D01*
-X128923809Y-88114285D01*
-X128971428Y-88019047D01*
-X128971428Y-87828571D01*
-X128923809Y-87733333D01*
-X128876190Y-87685714D01*
-X128780952Y-87638095D01*
-X148357142Y-90252380D02*
-X148023809Y-89776190D01*
-X147785714Y-90252380D02*
-X147785714Y-89252380D01*
-X148166666Y-89252380D01*
-X148261904Y-89300000D01*
-X148309523Y-89347619D01*
-X148357142Y-89442857D01*
-X148357142Y-89585714D01*
-X148309523Y-89680952D01*
-X148261904Y-89728571D01*
-X148166666Y-89776190D01*
-X147785714Y-89776190D01*
-X148738095Y-89347619D02*
-X148785714Y-89300000D01*
-X148880952Y-89252380D01*
-X149119047Y-89252380D01*
-X149214285Y-89300000D01*
-X149261904Y-89347619D01*
-X149309523Y-89442857D01*
-X149309523Y-89538095D01*
-X149261904Y-89680952D01*
-X148690476Y-90252380D01*
-X149309523Y-90252380D01*
-X149928571Y-89252380D02*
-X150023809Y-89252380D01*
-X150119047Y-89300000D01*
-X150166666Y-89347619D01*
-X150214285Y-89442857D01*
-X150261904Y-89633333D01*
-X150261904Y-89871428D01*
-X150214285Y-90061904D01*
-X150166666Y-90157142D01*
-X150119047Y-90204761D01*
-X150023809Y-90252380D01*
-X149928571Y-90252380D01*
-X149833333Y-90204761D01*
-X149785714Y-90157142D01*
-X149738095Y-90061904D01*
-X149690476Y-89871428D01*
-X149690476Y-89633333D01*
-X149738095Y-89442857D01*
-X149785714Y-89347619D01*
-X149833333Y-89300000D01*
-X149928571Y-89252380D01*
-X129252380Y-92542857D02*
-X128776190Y-92876190D01*
-X129252380Y-93114285D02*
-X128252380Y-93114285D01*
-X128252380Y-92733333D01*
-X128300000Y-92638095D01*
-X128347619Y-92590476D01*
-X128442857Y-92542857D01*
-X128585714Y-92542857D01*
-X128680952Y-92590476D01*
-X128728571Y-92638095D01*
-X128776190Y-92733333D01*
-X128776190Y-93114285D01*
-X128347619Y-92161904D02*
-X128300000Y-92114285D01*
-X128252380Y-92019047D01*
-X128252380Y-91780952D01*
-X128300000Y-91685714D01*
-X128347619Y-91638095D01*
-X128442857Y-91590476D01*
-X128538095Y-91590476D01*
-X128680952Y-91638095D01*
-X129252380Y-92209523D01*
-X129252380Y-91590476D01*
-X129252380Y-90638095D02*
-X129252380Y-91209523D01*
-X129252380Y-90923809D02*
-X128252380Y-90923809D01*
-X128395238Y-91019047D01*
-X128490476Y-91114285D01*
-X128538095Y-91209523D01*
-X148357142Y-91752380D02*
-X148023809Y-91276190D01*
-X147785714Y-91752380D02*
-X147785714Y-90752380D01*
-X148166666Y-90752380D01*
-X148261904Y-90800000D01*
-X148309523Y-90847619D01*
-X148357142Y-90942857D01*
-X148357142Y-91085714D01*
-X148309523Y-91180952D01*
-X148261904Y-91228571D01*
-X148166666Y-91276190D01*
-X147785714Y-91276190D01*
-X148738095Y-90847619D02*
-X148785714Y-90800000D01*
-X148880952Y-90752380D01*
-X149119047Y-90752380D01*
-X149214285Y-90800000D01*
-X149261904Y-90847619D01*
-X149309523Y-90942857D01*
-X149309523Y-91038095D01*
-X149261904Y-91180952D01*
-X148690476Y-91752380D01*
-X149309523Y-91752380D01*
-X149690476Y-90847619D02*
-X149738095Y-90800000D01*
-X149833333Y-90752380D01*
-X150071428Y-90752380D01*
-X150166666Y-90800000D01*
-X150214285Y-90847619D01*
-X150261904Y-90942857D01*
-X150261904Y-91038095D01*
-X150214285Y-91180952D01*
-X149642857Y-91752380D01*
-X150261904Y-91752380D01*
-X140802380Y-76342857D02*
-X140326190Y-76676190D01*
-X140802380Y-76914285D02*
-X139802380Y-76914285D01*
-X139802380Y-76533333D01*
-X139850000Y-76438095D01*
-X139897619Y-76390476D01*
-X139992857Y-76342857D01*
-X140135714Y-76342857D01*
-X140230952Y-76390476D01*
-X140278571Y-76438095D01*
-X140326190Y-76533333D01*
-X140326190Y-76914285D01*
-X139897619Y-75961904D02*
-X139850000Y-75914285D01*
-X139802380Y-75819047D01*
-X139802380Y-75580952D01*
-X139850000Y-75485714D01*
-X139897619Y-75438095D01*
-X139992857Y-75390476D01*
-X140088095Y-75390476D01*
-X140230952Y-75438095D01*
-X140802380Y-76009523D01*
-X140802380Y-75390476D01*
-X139802380Y-75057142D02*
-X139802380Y-74438095D01*
-X140183333Y-74771428D01*
-X140183333Y-74628571D01*
-X140230952Y-74533333D01*
-X140278571Y-74485714D01*
-X140373809Y-74438095D01*
-X140611904Y-74438095D01*
-X140707142Y-74485714D01*
-X140754761Y-74533333D01*
-X140802380Y-74628571D01*
-X140802380Y-74914285D01*
-X140754761Y-75009523D01*
-X140707142Y-75057142D01*
-X125302380Y-73442857D02*
-X124826190Y-73776190D01*
-X125302380Y-74014285D02*
-X124302380Y-74014285D01*
-X124302380Y-73633333D01*
-X124350000Y-73538095D01*
-X124397619Y-73490476D01*
-X124492857Y-73442857D01*
-X124635714Y-73442857D01*
-X124730952Y-73490476D01*
-X124778571Y-73538095D01*
-X124826190Y-73633333D01*
-X124826190Y-74014285D01*
-X124397619Y-73061904D02*
-X124350000Y-73014285D01*
-X124302380Y-72919047D01*
-X124302380Y-72680952D01*
-X124350000Y-72585714D01*
-X124397619Y-72538095D01*
-X124492857Y-72490476D01*
-X124588095Y-72490476D01*
-X124730952Y-72538095D01*
-X125302380Y-73109523D01*
-X125302380Y-72490476D01*
-X124302380Y-71633333D02*
-X124302380Y-71823809D01*
-X124350000Y-71919047D01*
-X124397619Y-71966666D01*
-X124540476Y-72061904D01*
-X124730952Y-72109523D01*
-X125111904Y-72109523D01*
-X125207142Y-72061904D01*
-X125254761Y-72014285D01*
-X125302380Y-71919047D01*
-X125302380Y-71728571D01*
-X125254761Y-71633333D01*
-X125207142Y-71585714D01*
-X125111904Y-71538095D01*
-X124873809Y-71538095D01*
-X124778571Y-71585714D01*
-X124730952Y-71633333D01*
-X124683333Y-71728571D01*
-X124683333Y-71919047D01*
-X124730952Y-72014285D01*
-X124778571Y-72061904D01*
-X124873809Y-72109523D01*
-X129882380Y-73342857D02*
-X129406190Y-73676190D01*
-X129882380Y-73914285D02*
-X128882380Y-73914285D01*
-X128882380Y-73533333D01*
-X128930000Y-73438095D01*
-X128977619Y-73390476D01*
-X129072857Y-73342857D01*
-X129215714Y-73342857D01*
-X129310952Y-73390476D01*
-X129358571Y-73438095D01*
-X129406190Y-73533333D01*
-X129406190Y-73914285D01*
-X128977619Y-72961904D02*
-X128930000Y-72914285D01*
-X128882380Y-72819047D01*
-X128882380Y-72580952D01*
-X128930000Y-72485714D01*
-X128977619Y-72438095D01*
-X129072857Y-72390476D01*
-X129168095Y-72390476D01*
-X129310952Y-72438095D01*
-X129882380Y-73009523D01*
-X129882380Y-72390476D01*
-X128882380Y-72057142D02*
-X128882380Y-71390476D01*
-X129882380Y-71819047D01*
-X94857142Y-124072380D02*
-X94523809Y-123596190D01*
-X94285714Y-124072380D02*
-X94285714Y-123072380D01*
-X94666666Y-123072380D01*
-X94761904Y-123120000D01*
-X94809523Y-123167619D01*
-X94857142Y-123262857D01*
-X94857142Y-123405714D01*
-X94809523Y-123500952D01*
-X94761904Y-123548571D01*
-X94666666Y-123596190D01*
-X94285714Y-123596190D01*
-X95238095Y-123167619D02*
-X95285714Y-123120000D01*
-X95380952Y-123072380D01*
-X95619047Y-123072380D01*
-X95714285Y-123120000D01*
-X95761904Y-123167619D01*
-X95809523Y-123262857D01*
-X95809523Y-123358095D01*
-X95761904Y-123500952D01*
-X95190476Y-124072380D01*
-X95809523Y-124072380D01*
-X96380952Y-123500952D02*
-X96285714Y-123453333D01*
-X96238095Y-123405714D01*
-X96190476Y-123310476D01*
-X96190476Y-123262857D01*
-X96238095Y-123167619D01*
-X96285714Y-123120000D01*
-X96380952Y-123072380D01*
-X96571428Y-123072380D01*
-X96666666Y-123120000D01*
-X96714285Y-123167619D01*
-X96761904Y-123262857D01*
-X96761904Y-123310476D01*
-X96714285Y-123405714D01*
-X96666666Y-123453333D01*
-X96571428Y-123500952D01*
-X96380952Y-123500952D01*
-X96285714Y-123548571D01*
-X96238095Y-123596190D01*
-X96190476Y-123691428D01*
-X96190476Y-123881904D01*
-X96238095Y-123977142D01*
-X96285714Y-124024761D01*
-X96380952Y-124072380D01*
-X96571428Y-124072380D01*
-X96666666Y-124024761D01*
-X96714285Y-123977142D01*
-X96761904Y-123881904D01*
-X96761904Y-123691428D01*
-X96714285Y-123596190D01*
-X96666666Y-123548571D01*
-X96571428Y-123500952D01*
-X94857142Y-101072380D02*
-X94523809Y-100596190D01*
-X94285714Y-101072380D02*
-X94285714Y-100072380D01*
-X94666666Y-100072380D01*
-X94761904Y-100120000D01*
-X94809523Y-100167619D01*
-X94857142Y-100262857D01*
-X94857142Y-100405714D01*
-X94809523Y-100500952D01*
-X94761904Y-100548571D01*
-X94666666Y-100596190D01*
-X94285714Y-100596190D01*
-X95238095Y-100167619D02*
-X95285714Y-100120000D01*
-X95380952Y-100072380D01*
-X95619047Y-100072380D01*
-X95714285Y-100120000D01*
-X95761904Y-100167619D01*
-X95809523Y-100262857D01*
-X95809523Y-100358095D01*
-X95761904Y-100500952D01*
-X95190476Y-101072380D01*
-X95809523Y-101072380D01*
-X96285714Y-101072380D02*
-X96476190Y-101072380D01*
-X96571428Y-101024761D01*
-X96619047Y-100977142D01*
-X96714285Y-100834285D01*
-X96761904Y-100643809D01*
-X96761904Y-100262857D01*
-X96714285Y-100167619D01*
-X96666666Y-100120000D01*
-X96571428Y-100072380D01*
-X96380952Y-100072380D01*
-X96285714Y-100120000D01*
-X96238095Y-100167619D01*
-X96190476Y-100262857D01*
-X96190476Y-100500952D01*
-X96238095Y-100596190D01*
-X96285714Y-100643809D01*
-X96380952Y-100691428D01*
-X96571428Y-100691428D01*
-X96666666Y-100643809D01*
-X96714285Y-100596190D01*
-X96761904Y-100500952D01*
-X94857142Y-112572380D02*
-X94523809Y-112096190D01*
-X94285714Y-112572380D02*
-X94285714Y-111572380D01*
-X94666666Y-111572380D01*
-X94761904Y-111620000D01*
-X94809523Y-111667619D01*
-X94857142Y-111762857D01*
-X94857142Y-111905714D01*
-X94809523Y-112000952D01*
-X94761904Y-112048571D01*
-X94666666Y-112096190D01*
-X94285714Y-112096190D01*
-X95190476Y-111572380D02*
-X95809523Y-111572380D01*
-X95476190Y-111953333D01*
-X95619047Y-111953333D01*
-X95714285Y-112000952D01*
-X95761904Y-112048571D01*
-X95809523Y-112143809D01*
-X95809523Y-112381904D01*
-X95761904Y-112477142D01*
-X95714285Y-112524761D01*
-X95619047Y-112572380D01*
-X95333333Y-112572380D01*
-X95238095Y-112524761D01*
-X95190476Y-112477142D01*
-X96428571Y-111572380D02*
-X96523809Y-111572380D01*
-X96619047Y-111620000D01*
-X96666666Y-111667619D01*
-X96714285Y-111762857D01*
-X96761904Y-111953333D01*
-X96761904Y-112191428D01*
-X96714285Y-112381904D01*
-X96666666Y-112477142D01*
-X96619047Y-112524761D01*
-X96523809Y-112572380D01*
-X96428571Y-112572380D01*
-X96333333Y-112524761D01*
-X96285714Y-112477142D01*
-X96238095Y-112381904D01*
-X96190476Y-112191428D01*
-X96190476Y-111953333D01*
-X96238095Y-111762857D01*
-X96285714Y-111667619D01*
-X96333333Y-111620000D01*
-X96428571Y-111572380D01*
-X94857142Y-106572380D02*
-X94523809Y-106096190D01*
-X94285714Y-106572380D02*
-X94285714Y-105572380D01*
-X94666666Y-105572380D01*
-X94761904Y-105620000D01*
-X94809523Y-105667619D01*
-X94857142Y-105762857D01*
-X94857142Y-105905714D01*
-X94809523Y-106000952D01*
-X94761904Y-106048571D01*
-X94666666Y-106096190D01*
-X94285714Y-106096190D01*
-X95190476Y-105572380D02*
-X95809523Y-105572380D01*
-X95476190Y-105953333D01*
-X95619047Y-105953333D01*
-X95714285Y-106000952D01*
-X95761904Y-106048571D01*
-X95809523Y-106143809D01*
-X95809523Y-106381904D01*
-X95761904Y-106477142D01*
-X95714285Y-106524761D01*
-X95619047Y-106572380D01*
-X95333333Y-106572380D01*
-X95238095Y-106524761D01*
-X95190476Y-106477142D01*
-X96761904Y-106572380D02*
-X96190476Y-106572380D01*
-X96476190Y-106572380D02*
-X96476190Y-105572380D01*
-X96380952Y-105715238D01*
-X96285714Y-105810476D01*
-X96190476Y-105858095D01*
-X94857142Y-118572380D02*
-X94523809Y-118096190D01*
-X94285714Y-118572380D02*
-X94285714Y-117572380D01*
-X94666666Y-117572380D01*
-X94761904Y-117620000D01*
-X94809523Y-117667619D01*
-X94857142Y-117762857D01*
-X94857142Y-117905714D01*
-X94809523Y-118000952D01*
-X94761904Y-118048571D01*
-X94666666Y-118096190D01*
-X94285714Y-118096190D01*
-X95190476Y-117572380D02*
-X95809523Y-117572380D01*
-X95476190Y-117953333D01*
-X95619047Y-117953333D01*
-X95714285Y-118000952D01*
-X95761904Y-118048571D01*
-X95809523Y-118143809D01*
-X95809523Y-118381904D01*
-X95761904Y-118477142D01*
-X95714285Y-118524761D01*
-X95619047Y-118572380D01*
-X95333333Y-118572380D01*
-X95238095Y-118524761D01*
-X95190476Y-118477142D01*
-X96190476Y-117667619D02*
-X96238095Y-117620000D01*
-X96333333Y-117572380D01*
-X96571428Y-117572380D01*
-X96666666Y-117620000D01*
-X96714285Y-117667619D01*
-X96761904Y-117762857D01*
-X96761904Y-117858095D01*
-X96714285Y-118000952D01*
-X96142857Y-118572380D01*
-X96761904Y-118572380D01*
-X96638095Y-72452380D02*
-X96638095Y-73261904D01*
-X96685714Y-73357142D01*
-X96733333Y-73404761D01*
-X96828571Y-73452380D01*
-X97019047Y-73452380D01*
-X97114285Y-73404761D01*
-X97161904Y-73357142D01*
-X97209523Y-73261904D01*
-X97209523Y-72452380D01*
-X98209523Y-73452380D02*
-X97638095Y-73452380D01*
-X97923809Y-73452380D02*
-X97923809Y-72452380D01*
-X97828571Y-72595238D01*
-X97733333Y-72690476D01*
-X97638095Y-72738095D01*
-X87688095Y-74302380D02*
-X87688095Y-75111904D01*
-X87735714Y-75207142D01*
-X87783333Y-75254761D01*
-X87878571Y-75302380D01*
-X88069047Y-75302380D01*
-X88164285Y-75254761D01*
-X88211904Y-75207142D01*
-X88259523Y-75111904D01*
-X88259523Y-74302380D01*
-X88640476Y-74302380D02*
-X89259523Y-74302380D01*
-X88926190Y-74683333D01*
-X89069047Y-74683333D01*
-X89164285Y-74730952D01*
-X89211904Y-74778571D01*
-X89259523Y-74873809D01*
-X89259523Y-75111904D01*
-X89211904Y-75207142D01*
-X89164285Y-75254761D01*
-X89069047Y-75302380D01*
-X88783333Y-75302380D01*
-X88688095Y-75254761D01*
-X88640476Y-75207142D01*
-X113023809Y-72326190D02*
-X113023809Y-72802380D01*
-X112690476Y-71802380D02*
-X113023809Y-72326190D01*
-X113357142Y-71802380D01*
-X114214285Y-72802380D02*
-X113642857Y-72802380D01*
-X113928571Y-72802380D02*
-X113928571Y-71802380D01*
-X113833333Y-71945238D01*
-X113738095Y-72040476D01*
-X113642857Y-72088095D01*
-X123838095Y-93252380D02*
-X123838095Y-94061904D01*
-X123885714Y-94157142D01*
-X123933333Y-94204761D01*
-X124028571Y-94252380D01*
-X124219047Y-94252380D01*
-X124314285Y-94204761D01*
-X124361904Y-94157142D01*
-X124409523Y-94061904D01*
-X124409523Y-93252380D01*
-X125314285Y-93585714D02*
-X125314285Y-94252380D01*
-X125076190Y-93204761D02*
-X124838095Y-93919047D01*
-X125457142Y-93919047D01*
-X141238095Y-86477380D02*
-X141238095Y-87286904D01*
-X141285714Y-87382142D01*
-X141333333Y-87429761D01*
-X141428571Y-87477380D01*
-X141619047Y-87477380D01*
-X141714285Y-87429761D01*
-X141761904Y-87382142D01*
-X141809523Y-87286904D01*
-X141809523Y-86477380D01*
-X142761904Y-86477380D02*
-X142285714Y-86477380D01*
-X142238095Y-86953571D01*
-X142285714Y-86905952D01*
-X142380952Y-86858333D01*
-X142619047Y-86858333D01*
-X142714285Y-86905952D01*
-X142761904Y-86953571D01*
-X142809523Y-87048809D01*
-X142809523Y-87286904D01*
-X142761904Y-87382142D01*
-X142714285Y-87429761D01*
-X142619047Y-87477380D01*
-X142380952Y-87477380D01*
-X142285714Y-87429761D01*
-X142238095Y-87382142D01*
-X102833333Y-57357142D02*
-X102785714Y-57404761D01*
-X102642857Y-57452380D01*
-X102547619Y-57452380D01*
-X102404761Y-57404761D01*
-X102309523Y-57309523D01*
-X102261904Y-57214285D01*
-X102214285Y-57023809D01*
-X102214285Y-56880952D01*
-X102261904Y-56690476D01*
-X102309523Y-56595238D01*
-X102404761Y-56500000D01*
-X102547619Y-56452380D01*
-X102642857Y-56452380D01*
-X102785714Y-56500000D01*
-X102833333Y-56547619D01*
-X103214285Y-56547619D02*
-X103261904Y-56500000D01*
-X103357142Y-56452380D01*
-X103595238Y-56452380D01*
-X103690476Y-56500000D01*
-X103738095Y-56547619D01*
-X103785714Y-56642857D01*
-X103785714Y-56738095D01*
-X103738095Y-56880952D01*
-X103166666Y-57452380D01*
-X103785714Y-57452380D01*
-X90733333Y-57357142D02*
-X90685714Y-57404761D01*
-X90542857Y-57452380D01*
-X90447619Y-57452380D01*
-X90304761Y-57404761D01*
-X90209523Y-57309523D01*
-X90161904Y-57214285D01*
-X90114285Y-57023809D01*
-X90114285Y-56880952D01*
-X90161904Y-56690476D01*
-X90209523Y-56595238D01*
-X90304761Y-56500000D01*
-X90447619Y-56452380D01*
-X90542857Y-56452380D01*
-X90685714Y-56500000D01*
-X90733333Y-56547619D01*
-X91066666Y-56452380D02*
-X91685714Y-56452380D01*
-X91352380Y-56833333D01*
-X91495238Y-56833333D01*
-X91590476Y-56880952D01*
-X91638095Y-56928571D01*
-X91685714Y-57023809D01*
-X91685714Y-57261904D01*
-X91638095Y-57357142D01*
-X91590476Y-57404761D01*
-X91495238Y-57452380D01*
-X91209523Y-57452380D01*
-X91114285Y-57404761D01*
-X91066666Y-57357142D01*
-X80357142Y-93007142D02*
-X80309523Y-93054761D01*
-X80166666Y-93102380D01*
-X80071428Y-93102380D01*
-X79928571Y-93054761D01*
-X79833333Y-92959523D01*
-X79785714Y-92864285D01*
-X79738095Y-92673809D01*
-X79738095Y-92530952D01*
-X79785714Y-92340476D01*
-X79833333Y-92245238D01*
-X79928571Y-92150000D01*
-X80071428Y-92102380D01*
-X80166666Y-92102380D01*
-X80309523Y-92150000D01*
-X80357142Y-92197619D01*
-X81309523Y-93102380D02*
-X80738095Y-93102380D01*
-X81023809Y-93102380D02*
-X81023809Y-92102380D01*
-X80928571Y-92245238D01*
-X80833333Y-92340476D01*
-X80738095Y-92388095D01*
-X82214285Y-92102380D02*
-X81738095Y-92102380D01*
-X81690476Y-92578571D01*
-X81738095Y-92530952D01*
-X81833333Y-92483333D01*
-X82071428Y-92483333D01*
-X82166666Y-92530952D01*
-X82214285Y-92578571D01*
-X82261904Y-92673809D01*
-X82261904Y-92911904D01*
-X82214285Y-93007142D01*
-X82166666Y-93054761D01*
-X82071428Y-93102380D01*
-X81833333Y-93102380D01*
-X81738095Y-93054761D01*
-X81690476Y-93007142D01*
-X75957142Y-70357142D02*
-X75909523Y-70404761D01*
-X75766666Y-70452380D01*
-X75671428Y-70452380D01*
-X75528571Y-70404761D01*
-X75433333Y-70309523D01*
-X75385714Y-70214285D01*
-X75338095Y-70023809D01*
-X75338095Y-69880952D01*
-X75385714Y-69690476D01*
-X75433333Y-69595238D01*
-X75528571Y-69500000D01*
-X75671428Y-69452380D01*
-X75766666Y-69452380D01*
-X75909523Y-69500000D01*
-X75957142Y-69547619D01*
-X76909523Y-70452380D02*
-X76338095Y-70452380D01*
-X76623809Y-70452380D02*
-X76623809Y-69452380D01*
-X76528571Y-69595238D01*
-X76433333Y-69690476D01*
-X76338095Y-69738095D01*
-X77385714Y-70452380D02*
-X77576190Y-70452380D01*
-X77671428Y-70404761D01*
-X77719047Y-70357142D01*
-X77814285Y-70214285D01*
-X77861904Y-70023809D01*
-X77861904Y-69642857D01*
-X77814285Y-69547619D01*
-X77766666Y-69500000D01*
-X77671428Y-69452380D01*
-X77480952Y-69452380D01*
-X77385714Y-69500000D01*
-X77338095Y-69547619D01*
-X77290476Y-69642857D01*
-X77290476Y-69880952D01*
-X77338095Y-69976190D01*
-X77385714Y-70023809D01*
-X77480952Y-70071428D01*
-X77671428Y-70071428D01*
-X77766666Y-70023809D01*
-X77814285Y-69976190D01*
-X77861904Y-69880952D01*
-X116266666Y-56152380D02*
-X116266666Y-56866666D01*
-X116219047Y-57009523D01*
-X116123809Y-57104761D01*
-X115980952Y-57152380D01*
-X115885714Y-57152380D01*
-X116790476Y-57152380D02*
-X116980952Y-57152380D01*
-X117076190Y-57104761D01*
-X117123809Y-57057142D01*
-X117219047Y-56914285D01*
-X117266666Y-56723809D01*
-X117266666Y-56342857D01*
-X117219047Y-56247619D01*
-X117171428Y-56200000D01*
-X117076190Y-56152380D01*
-X116885714Y-56152380D01*
-X116790476Y-56200000D01*
-X116742857Y-56247619D01*
-X116695238Y-56342857D01*
-X116695238Y-56580952D01*
-X116742857Y-56676190D01*
-X116790476Y-56723809D01*
-X116885714Y-56771428D01*
-X117076190Y-56771428D01*
-X117171428Y-56723809D01*
-X117219047Y-56676190D01*
-X117266666Y-56580952D01*
-X84433333Y-72352380D02*
-X84100000Y-71876190D01*
-X83861904Y-72352380D02*
-X83861904Y-71352380D01*
-X84242857Y-71352380D01*
-X84338095Y-71400000D01*
-X84385714Y-71447619D01*
-X84433333Y-71542857D01*
-X84433333Y-71685714D01*
-X84385714Y-71780952D01*
-X84338095Y-71828571D01*
-X84242857Y-71876190D01*
-X83861904Y-71876190D01*
-X85385714Y-72352380D02*
-X84814285Y-72352380D01*
-X85100000Y-72352380D02*
-X85100000Y-71352380D01*
-X85004761Y-71495238D01*
-X84909523Y-71590476D01*
-X84814285Y-71638095D01*
-X88452380Y-63809523D02*
-X89166666Y-63809523D01*
-X89309523Y-63857142D01*
-X89404761Y-63952380D01*
-X89452380Y-64095238D01*
-X89452380Y-64190476D01*
-X89452380Y-62809523D02*
-X89452380Y-63380952D01*
-X89452380Y-63095238D02*
-X88452380Y-63095238D01*
-X88595238Y-63190476D01*
-X88690476Y-63285714D01*
-X88738095Y-63380952D01*
-X88452380Y-62190476D02*
-X88452380Y-62095238D01*
-X88500000Y-62000000D01*
-X88547619Y-61952380D01*
-X88642857Y-61904761D01*
-X88833333Y-61857142D01*
-X89071428Y-61857142D01*
-X89261904Y-61904761D01*
-X89357142Y-61952380D01*
-X89404761Y-62000000D01*
-X89452380Y-62095238D01*
-X89452380Y-62190476D01*
-X89404761Y-62285714D01*
-X89357142Y-62333333D01*
-X89261904Y-62380952D01*
-X89071428Y-62428571D01*
-X88833333Y-62428571D01*
-X88642857Y-62380952D01*
-X88547619Y-62333333D01*
-X88500000Y-62285714D01*
-X88452380Y-62190476D01*
-X125838095Y-122052330D02*
-X125838095Y-122861854D01*
-X125885714Y-122957092D01*
-X125933333Y-123004711D01*
-X126028571Y-123052330D01*
-X126219047Y-123052330D01*
-X126314285Y-123004711D01*
-X126361904Y-122957092D01*
-X126409523Y-122861854D01*
-X126409523Y-122052330D01*
-X127028571Y-122480902D02*
-X126933333Y-122433283D01*
-X126885714Y-122385664D01*
-X126838095Y-122290426D01*
-X126838095Y-122242807D01*
-X126885714Y-122147569D01*
-X126933333Y-122099950D01*
-X127028571Y-122052330D01*
-X127219047Y-122052330D01*
-X127314285Y-122099950D01*
-X127361904Y-122147569D01*
-X127409523Y-122242807D01*
-X127409523Y-122290426D01*
-X127361904Y-122385664D01*
-X127314285Y-122433283D01*
-X127219047Y-122480902D01*
-X127028571Y-122480902D01*
-X126933333Y-122528521D01*
-X126885714Y-122576140D01*
-X126838095Y-122671378D01*
-X126838095Y-122861854D01*
-X126885714Y-122957092D01*
-X126933333Y-123004711D01*
-X127028571Y-123052330D01*
-X127219047Y-123052330D01*
-X127314285Y-123004711D01*
-X127361904Y-122957092D01*
-X127409523Y-122861854D01*
-X127409523Y-122671378D01*
-X127361904Y-122576140D01*
-X127314285Y-122528521D01*
-X127219047Y-122480902D01*
-X166333333Y-71032380D02*
-X166000000Y-70556190D01*
-X165761904Y-71032380D02*
-X165761904Y-70032380D01*
-X166142857Y-70032380D01*
-X166238095Y-70080000D01*
-X166285714Y-70127619D01*
-X166333333Y-70222857D01*
-X166333333Y-70365714D01*
-X166285714Y-70460952D01*
-X166238095Y-70508571D01*
-X166142857Y-70556190D01*
-X165761904Y-70556190D01*
-X167190476Y-70032380D02*
-X167000000Y-70032380D01*
-X166904761Y-70080000D01*
-X166857142Y-70127619D01*
-X166761904Y-70270476D01*
-X166714285Y-70460952D01*
-X166714285Y-70841904D01*
-X166761904Y-70937142D01*
-X166809523Y-70984761D01*
-X166904761Y-71032380D01*
-X167095238Y-71032380D01*
-X167190476Y-70984761D01*
-X167238095Y-70937142D01*
-X167285714Y-70841904D01*
-X167285714Y-70603809D01*
-X167238095Y-70508571D01*
-X167190476Y-70460952D01*
-X167095238Y-70413333D01*
-X166904761Y-70413333D01*
-X166809523Y-70460952D01*
-X166761904Y-70508571D01*
-X166714285Y-70603809D01*
-X165857142Y-66752380D02*
-X165523809Y-66276190D01*
-X165285714Y-66752380D02*
-X165285714Y-65752380D01*
-X165666666Y-65752380D01*
-X165761904Y-65800000D01*
-X165809523Y-65847619D01*
-X165857142Y-65942857D01*
-X165857142Y-66085714D01*
-X165809523Y-66180952D01*
-X165761904Y-66228571D01*
-X165666666Y-66276190D01*
-X165285714Y-66276190D01*
-X166809523Y-66752380D02*
-X166238095Y-66752380D01*
-X166523809Y-66752380D02*
-X166523809Y-65752380D01*
-X166428571Y-65895238D01*
-X166333333Y-65990476D01*
-X166238095Y-66038095D01*
-X167190476Y-65847619D02*
-X167238095Y-65800000D01*
-X167333333Y-65752380D01*
-X167571428Y-65752380D01*
-X167666666Y-65800000D01*
-X167714285Y-65847619D01*
-X167761904Y-65942857D01*
-X167761904Y-66038095D01*
-X167714285Y-66180952D01*
-X167142857Y-66752380D01*
-X167761904Y-66752380D01*
-X157266666Y-55852380D02*
-X157266666Y-56566666D01*
-X157219047Y-56709523D01*
-X157123809Y-56804761D01*
-X156980952Y-56852380D01*
-X156885714Y-56852380D01*
-X158171428Y-56185714D02*
-X158171428Y-56852380D01*
-X157933333Y-55804761D02*
-X157695238Y-56519047D01*
-X158314285Y-56519047D01*
-X120066666Y-70952380D02*
-X120066666Y-71666666D01*
-X120019047Y-71809523D01*
-X119923809Y-71904761D01*
-X119780952Y-71952380D01*
-X119685714Y-71952380D01*
-X121019047Y-70952380D02*
-X120542857Y-70952380D01*
-X120495238Y-71428571D01*
-X120542857Y-71380952D01*
-X120638095Y-71333333D01*
-X120876190Y-71333333D01*
-X120971428Y-71380952D01*
-X121019047Y-71428571D01*
-X121066666Y-71523809D01*
-X121066666Y-71761904D01*
-X121019047Y-71857142D01*
-X120971428Y-71904761D01*
-X120876190Y-71952380D01*
-X120638095Y-71952380D01*
-X120542857Y-71904761D01*
-X120495238Y-71857142D01*
-X165638095Y-84452380D02*
-X165638095Y-85261904D01*
-X165685714Y-85357142D01*
-X165733333Y-85404761D01*
-X165828571Y-85452380D01*
-X166019047Y-85452380D01*
-X166114285Y-85404761D01*
-X166161904Y-85357142D01*
-X166209523Y-85261904D01*
-X166209523Y-84452380D01*
-X166638095Y-84547619D02*
-X166685714Y-84500000D01*
-X166780952Y-84452380D01*
-X167019047Y-84452380D01*
-X167114285Y-84500000D01*
-X167161904Y-84547619D01*
-X167209523Y-84642857D01*
-X167209523Y-84738095D01*
-X167161904Y-84880952D01*
-X166590476Y-85452380D01*
-X167209523Y-85452380D01*
-X161507142Y-71032380D02*
-X161173809Y-70556190D01*
-X160935714Y-71032380D02*
-X160935714Y-70032380D01*
-X161316666Y-70032380D01*
-X161411904Y-70080000D01*
-X161459523Y-70127619D01*
-X161507142Y-70222857D01*
-X161507142Y-70365714D01*
-X161459523Y-70460952D01*
-X161411904Y-70508571D01*
-X161316666Y-70556190D01*
-X160935714Y-70556190D01*
-X162459523Y-71032380D02*
-X161888095Y-71032380D01*
-X162173809Y-71032380D02*
-X162173809Y-70032380D01*
-X162078571Y-70175238D01*
-X161983333Y-70270476D01*
-X161888095Y-70318095D01*
-X163411904Y-71032380D02*
-X162840476Y-71032380D01*
-X163126190Y-71032380D02*
-X163126190Y-70032380D01*
-X163030952Y-70175238D01*
-X162935714Y-70270476D01*
-X162840476Y-70318095D01*
-X161507142Y-66652380D02*
-X161173809Y-66176190D01*
-X160935714Y-66652380D02*
-X160935714Y-65652380D01*
-X161316666Y-65652380D01*
-X161411904Y-65700000D01*
-X161459523Y-65747619D01*
-X161507142Y-65842857D01*
-X161507142Y-65985714D01*
-X161459523Y-66080952D01*
-X161411904Y-66128571D01*
-X161316666Y-66176190D01*
-X160935714Y-66176190D01*
-X162459523Y-66652380D02*
-X161888095Y-66652380D01*
-X162173809Y-66652380D02*
-X162173809Y-65652380D01*
-X162078571Y-65795238D01*
-X161983333Y-65890476D01*
-X161888095Y-65938095D01*
-X162792857Y-65652380D02*
-X163459523Y-65652380D01*
-X163030952Y-66652380D01*
-M02*
+G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5)-3*
+G04 #@! TF.CreationDate,2020-05-02T20:20:03+02:00*
+G04 #@! TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,rev?*
+G04 #@! TF.SameCoordinates,Original*
+G04 #@! TF.FileFunction,Legend,Top*
+G04 #@! TF.FilePolarity,Positive*
+%FSLAX46Y46*%
+G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
+G04 Created by KiCad (PCBNEW (5.1.5)-3) date 2020-05-02 20:20:03*
+%MOMM*%
+%LPD*%
+G04 APERTURE LIST*
+%ADD10C,0.300000*%
+%ADD11C,0.150000*%
+%ADD12C,0.100000*%
+%ADD13C,0.450000*%
+%ADD14C,0.200000*%
+%ADD15C,0.600000*%
+%ADD16C,0.120000*%
+G04 APERTURE END LIST*
+D10*
+X142600000Y-73350000D02*
+X142600000Y-70950000D01*
+X142000000Y-71850000D02*
+X142600000Y-72450000D01*
+X138750000Y-71900000D02*
+X142000000Y-71900000D01*
+X137250000Y-70400000D02*
+X138750000Y-71900000D01*
+X143428571Y-79542857D02*
+X142714285Y-80042857D01*
+X143428571Y-80400000D02*
+X141928571Y-80400000D01*
+X141928571Y-79828571D01*
+X142000000Y-79685714D01*
+X142071428Y-79614285D01*
+X142214285Y-79542857D01*
+X142428571Y-79542857D01*
+X142571428Y-79614285D01*
+X142642857Y-79685714D01*
+X142714285Y-79828571D01*
+X142714285Y-80400000D01*
+X143357142Y-78971428D02*
+X143428571Y-78757142D01*
+X143428571Y-78400000D01*
+X143357142Y-78257142D01*
+X143285714Y-78185714D01*
+X143142857Y-78114285D01*
+X143000000Y-78114285D01*
+X142857142Y-78185714D01*
+X142785714Y-78257142D01*
+X142714285Y-78400000D01*
+X142642857Y-78685714D01*
+X142571428Y-78828571D01*
+X142500000Y-78900000D01*
+X142357142Y-78971428D01*
+X142214285Y-78971428D01*
+X142071428Y-78900000D01*
+X142000000Y-78828571D01*
+X141928571Y-78685714D01*
+X141928571Y-78328571D01*
+X142000000Y-78114285D01*
+X142428571Y-76828571D02*
+X143428571Y-76828571D01*
+X141857142Y-77185714D02*
+X142928571Y-77542857D01*
+X142928571Y-76614285D01*
+X142571428Y-75828571D02*
+X142500000Y-75971428D01*
+X142428571Y-76042857D01*
+X142285714Y-76114285D01*
+X142214285Y-76114285D01*
+X142071428Y-76042857D01*
+X142000000Y-75971428D01*
+X141928571Y-75828571D01*
+X141928571Y-75542857D01*
+X142000000Y-75400000D01*
+X142071428Y-75328571D01*
+X142214285Y-75257142D01*
+X142285714Y-75257142D01*
+X142428571Y-75328571D01*
+X142500000Y-75400000D01*
+X142571428Y-75542857D01*
+X142571428Y-75828571D01*
+X142642857Y-75971428D01*
+X142714285Y-76042857D01*
+X142857142Y-76114285D01*
+X143142857Y-76114285D01*
+X143285714Y-76042857D01*
+X143357142Y-75971428D01*
+X143428571Y-75828571D01*
+X143428571Y-75542857D01*
+X143357142Y-75400000D01*
+X143285714Y-75328571D01*
+X143142857Y-75257142D01*
+X142857142Y-75257142D01*
+X142714285Y-75328571D01*
+X142642857Y-75400000D01*
+X142571428Y-75542857D01*
+X141928571Y-73900000D02*
+X141928571Y-74614285D01*
+X142642857Y-74685714D01*
+X142571428Y-74614285D01*
+X142500000Y-74471428D01*
+X142500000Y-74114285D01*
+X142571428Y-73971428D01*
+X142642857Y-73900000D01*
+X142785714Y-73828571D01*
+X143142857Y-73828571D01*
+X143285714Y-73900000D01*
+X143357142Y-73971428D01*
+X143428571Y-74114285D01*
+X143428571Y-74471428D01*
+X143357142Y-74614285D01*
+X143285714Y-74685714D01*
+X128050000Y-121500000D02*
+G75*
+G03X128050000Y-121500000I-550000J0D01*
+G01*
+D11*
+X82238095Y-133452380D02*
+X82238095Y-132452380D01*
+X82571428Y-133166666D01*
+X82904761Y-132452380D01*
+X82904761Y-133452380D01*
+X83333333Y-133166666D02*
+X83809523Y-133166666D01*
+X83238095Y-133452380D02*
+X83571428Y-132452380D01*
+X83904761Y-133452380D01*
+X84809523Y-133357142D02*
+X84761904Y-133404761D01*
+X84619047Y-133452380D01*
+X84523809Y-133452380D01*
+X84380952Y-133404761D01*
+X84285714Y-133309523D01*
+X84238095Y-133214285D01*
+X84190476Y-133023809D01*
+X84190476Y-132880952D01*
+X84238095Y-132690476D01*
+X84285714Y-132595238D01*
+X84380952Y-132500000D01*
+X84523809Y-132452380D01*
+X84619047Y-132452380D01*
+X84761904Y-132500000D01*
+X84809523Y-132547619D01*
+D12*
+G36*
+X98000000Y-143000000D02*
+G01*
+X82000000Y-143000000D01*
+X82000000Y-134000000D01*
+X98000000Y-134000000D01*
+X98000000Y-143000000D01*
+G37*
+X98000000Y-143000000D02*
+X82000000Y-143000000D01*
+X82000000Y-134000000D01*
+X98000000Y-134000000D01*
+X98000000Y-143000000D01*
+D10*
+X131100000Y-90600000D02*
+X131800000Y-89800000D01*
+X130400000Y-89800000D02*
+X131100000Y-90600000D01*
+X131700000Y-89800000D02*
+X130400000Y-89800000D01*
+X131800000Y-90800000D02*
+X130400000Y-90800000D01*
+X145250000Y-89900000D02*
+X143950000Y-89900000D01*
+X145350000Y-90900000D02*
+X143950000Y-90900000D01*
+X143950000Y-89900000D02*
+X144650000Y-90700000D01*
+X144650000Y-90700000D02*
+X145350000Y-89900000D01*
+X90500000Y-71750000D02*
+X90500000Y-73150000D01*
+X89500000Y-73150000D02*
+X90300000Y-72450000D01*
+X89500000Y-71850000D02*
+X89500000Y-73150000D01*
+X90300000Y-72450000D02*
+X89500000Y-71750000D01*
+X129200000Y-89300000D02*
+G75*
+G03X129200000Y-89300000I-550000J0D01*
+G01*
+D11*
+X84338095Y-56528571D02*
+X84004761Y-56528571D01*
+X84004761Y-57052380D02*
+X84004761Y-56052380D01*
+X84480952Y-56052380D01*
+X85290476Y-57052380D02*
+X85290476Y-56528571D01*
+X85242857Y-56433333D01*
+X85147619Y-56385714D01*
+X84957142Y-56385714D01*
+X84861904Y-56433333D01*
+X85290476Y-57004761D02*
+X85195238Y-57052380D01*
+X84957142Y-57052380D01*
+X84861904Y-57004761D01*
+X84814285Y-56909523D01*
+X84814285Y-56814285D01*
+X84861904Y-56719047D01*
+X84957142Y-56671428D01*
+X85195238Y-56671428D01*
+X85290476Y-56623809D01*
+X85766666Y-56385714D02*
+X85766666Y-57052380D01*
+X85766666Y-56480952D02*
+X85814285Y-56433333D01*
+X85909523Y-56385714D01*
+X86052380Y-56385714D01*
+X86147619Y-56433333D01*
+X86195238Y-56528571D01*
+X86195238Y-57052380D01*
+D13*
+X84138095Y-65842857D02*
+X85661904Y-65842857D01*
+X84900000Y-66604761D02*
+X84900000Y-65080952D01*
+D11*
+X132600000Y-77000000D02*
+G75*
+G03X132600000Y-77000000I-400000J0D01*
+G01*
+D10*
+X113585714Y-96478571D02*
+X113585714Y-94978571D01*
+X114085714Y-96050000D01*
+X114585714Y-94978571D01*
+X114585714Y-96478571D01*
+X115157142Y-94978571D02*
+X116085714Y-94978571D01*
+X115585714Y-95550000D01*
+X115800000Y-95550000D01*
+X115942857Y-95621428D01*
+X116014285Y-95692857D01*
+X116085714Y-95835714D01*
+X116085714Y-96192857D01*
+X116014285Y-96335714D01*
+X115942857Y-96407142D01*
+X115800000Y-96478571D01*
+X115371428Y-96478571D01*
+X115228571Y-96407142D01*
+X115157142Y-96335714D01*
+D14*
+X114499999Y-149662380D02*
+X114499999Y-148995714D01*
+X114499999Y-149186190D02*
+X114547618Y-149090952D01*
+X114595237Y-149043333D01*
+X114690475Y-148995714D01*
+X114785714Y-148995714D01*
+X115499999Y-149614761D02*
+X115404761Y-149662380D01*
+X115214285Y-149662380D01*
+X115119047Y-149614761D01*
+X115071428Y-149519523D01*
+X115071428Y-149138571D01*
+X115119047Y-149043333D01*
+X115214285Y-148995714D01*
+X115404761Y-148995714D01*
+X115499999Y-149043333D01*
+X115547618Y-149138571D01*
+X115547618Y-149233809D01*
+X115071428Y-149329047D01*
+X115880952Y-148995714D02*
+X116119047Y-149662380D01*
+X116357142Y-148995714D01*
+X117976190Y-148662380D02*
+X117499999Y-148662380D01*
+X117452380Y-149138571D01*
+X117499999Y-149090952D01*
+X117595237Y-149043333D01*
+X117833333Y-149043333D01*
+X117928571Y-149090952D01*
+X117976190Y-149138571D01*
+X118023809Y-149233809D01*
+X118023809Y-149471904D01*
+X117976190Y-149567142D01*
+X117928571Y-149614761D01*
+X117833333Y-149662380D01*
+X117595237Y-149662380D01*
+X117499999Y-149614761D01*
+X117452380Y-149567142D01*
+X115547619Y-152785714D02*
+X114785714Y-153071428D01*
+X115547619Y-153357142D01*
+X116023809Y-153452380D02*
+X116023809Y-152452380D01*
+X116452380Y-153452380D02*
+X116452380Y-152928571D01*
+X116404761Y-152833333D01*
+X116309523Y-152785714D01*
+X116166666Y-152785714D01*
+X116071428Y-152833333D01*
+X116023809Y-152880952D01*
+X116833333Y-152785714D02*
+X117023809Y-153452380D01*
+X117214285Y-152976190D01*
+X117404761Y-153452380D01*
+X117595238Y-152785714D01*
+X118595238Y-152976190D02*
+X118547619Y-152928571D01*
+X118452380Y-152880952D01*
+X118357142Y-152880952D01*
+X118261904Y-152928571D01*
+X118214285Y-152976190D01*
+X118166666Y-153071428D01*
+X118166666Y-153166666D01*
+X118214285Y-153261904D01*
+X118261904Y-153309523D01*
+X118357142Y-153357142D01*
+X118452380Y-153357142D01*
+X118547619Y-153309523D01*
+X118595238Y-153261904D01*
+X118595238Y-152880952D02*
+X118595238Y-153261904D01*
+X118642857Y-153309523D01*
+X118690476Y-153309523D01*
+X118785714Y-153261904D01*
+X118833333Y-153166666D01*
+X118833333Y-152928571D01*
+X118738095Y-152785714D01*
+X118595238Y-152690476D01*
+X118404761Y-152642857D01*
+X118214285Y-152690476D01*
+X118071428Y-152785714D01*
+X117976190Y-152928571D01*
+X117928571Y-153119047D01*
+X117976190Y-153309523D01*
+X118071428Y-153452380D01*
+X118214285Y-153547619D01*
+X118404761Y-153595238D01*
+X118595238Y-153547619D01*
+X118738095Y-153452380D01*
+X119261904Y-152785714D02*
+X119261904Y-153642857D01*
+X119214285Y-153738095D01*
+X119119047Y-153785714D01*
+X119071428Y-153785714D01*
+X119261904Y-152452380D02*
+X119214285Y-152500000D01*
+X119261904Y-152547619D01*
+X119309523Y-152500000D01*
+X119261904Y-152452380D01*
+X119261904Y-152547619D01*
+X120166666Y-153452380D02*
+X120166666Y-152928571D01*
+X120119047Y-152833333D01*
+X120023809Y-152785714D01*
+X119833333Y-152785714D01*
+X119738095Y-152833333D01*
+X120166666Y-153404761D02*
+X120071428Y-153452380D01*
+X119833333Y-153452380D01*
+X119738095Y-153404761D01*
+X119690476Y-153309523D01*
+X119690476Y-153214285D01*
+X119738095Y-153119047D01*
+X119833333Y-153071428D01*
+X120071428Y-153071428D01*
+X120166666Y-153023809D01*
+X120595238Y-153404761D02*
+X120690476Y-153452380D01*
+X120880952Y-153452380D01*
+X120976190Y-153404761D01*
+X121023809Y-153309523D01*
+X121023809Y-153261904D01*
+X120976190Y-153166666D01*
+X120880952Y-153119047D01*
+X120738095Y-153119047D01*
+X120642857Y-153071428D01*
+X120595238Y-152976190D01*
+X120595238Y-152928571D01*
+X120642857Y-152833333D01*
+X120738095Y-152785714D01*
+X120880952Y-152785714D01*
+X120976190Y-152833333D01*
+X121833333Y-153404761D02*
+X121738095Y-153452380D01*
+X121547619Y-153452380D01*
+X121452380Y-153404761D01*
+X121404761Y-153309523D01*
+X121404761Y-152928571D01*
+X121452380Y-152833333D01*
+X121547619Y-152785714D01*
+X121738095Y-152785714D01*
+X121833333Y-152833333D01*
+X121880952Y-152928571D01*
+X121880952Y-153023809D01*
+X121404761Y-153119047D01*
+X122738095Y-152785714D02*
+X122738095Y-153595238D01*
+X122690476Y-153690476D01*
+X122642857Y-153738095D01*
+X122547619Y-153785714D01*
+X122404761Y-153785714D01*
+X122309523Y-153738095D01*
+X122738095Y-153404761D02*
+X122642857Y-153452380D01*
+X122452380Y-153452380D01*
+X122357142Y-153404761D01*
+X122309523Y-153357142D01*
+X122261904Y-153261904D01*
+X122261904Y-152976190D01*
+X122309523Y-152880952D01*
+X122357142Y-152833333D01*
+X122452380Y-152785714D01*
+X122642857Y-152785714D01*
+X122738095Y-152833333D01*
+X123214285Y-153357142D02*
+X123261904Y-153404761D01*
+X123214285Y-153452380D01*
+X123166666Y-153404761D01*
+X123214285Y-153357142D01*
+X123214285Y-153452380D01*
+X124119047Y-153452380D02*
+X124119047Y-152452380D01*
+X124119047Y-153404761D02*
+X124023809Y-153452380D01*
+X123833333Y-153452380D01*
+X123738095Y-153404761D01*
+X123690476Y-153357142D01*
+X123642857Y-153261904D01*
+X123642857Y-152976190D01*
+X123690476Y-152880952D01*
+X123738095Y-152833333D01*
+X123833333Y-152785714D01*
+X124023809Y-152785714D01*
+X124119047Y-152833333D01*
+X124976190Y-153404761D02*
+X124880952Y-153452380D01*
+X124690476Y-153452380D01*
+X124595238Y-153404761D01*
+X124547619Y-153309523D01*
+X124547619Y-152928571D01*
+X124595238Y-152833333D01*
+X124690476Y-152785714D01*
+X124880952Y-152785714D01*
+X124976190Y-152833333D01*
+X125023809Y-152928571D01*
+X125023809Y-153023809D01*
+X124547619Y-153119047D01*
+X125452380Y-152785714D02*
+X126214285Y-153071428D01*
+X125452380Y-153357142D01*
+D10*
+X162950000Y-93500000D02*
+G75*
+G03X162950000Y-93500000I-550000J0D01*
+G01*
+X121550000Y-75000000D02*
+G75*
+G03X121550000Y-75000000I-550000J0D01*
+G01*
+X113371428Y-56764285D02*
+X114871428Y-56764285D01*
+X114871428Y-57764285D02*
+X114871428Y-58050000D01*
+X114800000Y-58192857D01*
+X114657142Y-58335714D01*
+X114371428Y-58407142D01*
+X113871428Y-58407142D01*
+X113585714Y-58335714D01*
+X113442857Y-58192857D01*
+X113371428Y-58050000D01*
+X113371428Y-57764285D01*
+X113442857Y-57621428D01*
+X113585714Y-57478571D01*
+X113871428Y-57407142D01*
+X114371428Y-57407142D01*
+X114657142Y-57478571D01*
+X114800000Y-57621428D01*
+X114871428Y-57764285D01*
+X112871428Y-59407142D02*
+X115014285Y-59407142D01*
+X114228571Y-60335714D02*
+X114228571Y-61764285D01*
+X113800000Y-60621428D02*
+X113800000Y-61478571D01*
+X114871428Y-61050000D02*
+X114228571Y-61050000D01*
+X113371428Y-61192857D02*
+X113371428Y-60907142D01*
+X112871428Y-62692857D02*
+X115014285Y-62692857D01*
+X113514285Y-64621428D02*
+X113442857Y-64550000D01*
+X113371428Y-64335714D01*
+X113371428Y-64192857D01*
+X113442857Y-63978571D01*
+X113585714Y-63835714D01*
+X113728571Y-63764285D01*
+X114014285Y-63692857D01*
+X114228571Y-63692857D01*
+X114514285Y-63764285D01*
+X114657142Y-63835714D01*
+X114800000Y-63978571D01*
+X114871428Y-64192857D01*
+X114871428Y-64335714D01*
+X114800000Y-64550000D01*
+X114728571Y-64621428D01*
+X113371428Y-65264285D02*
+X114871428Y-65264285D01*
+X113371428Y-66121428D02*
+X114228571Y-65478571D01*
+X114871428Y-66121428D02*
+X114014285Y-65264285D01*
+X112871428Y-67121428D02*
+X115014285Y-67121428D01*
+X113942857Y-68192857D02*
+X113942857Y-69335714D01*
+X113371428Y-68764285D02*
+X114514285Y-68764285D01*
+D15*
+X161750000Y-94957142D02*
+X162321428Y-94957142D01*
+X162607142Y-95100000D01*
+X162892857Y-95385714D01*
+X163035714Y-95957142D01*
+X163035714Y-96957142D01*
+X162892857Y-97528571D01*
+X162607142Y-97814285D01*
+X162321428Y-97957142D01*
+X161750000Y-97957142D01*
+X161464285Y-97814285D01*
+X161178571Y-97528571D01*
+X161035714Y-96957142D01*
+X161035714Y-95957142D01*
+X161178571Y-95385714D01*
+X161464285Y-95100000D01*
+X161750000Y-94957142D01*
+X164321428Y-94957142D02*
+X164321428Y-97385714D01*
+X164464285Y-97671428D01*
+X164607142Y-97814285D01*
+X164892857Y-97957142D01*
+X165464285Y-97957142D01*
+X165750000Y-97814285D01*
+X165892857Y-97671428D01*
+X166035714Y-97385714D01*
+X166035714Y-94957142D01*
+X167035714Y-94957142D02*
+X168750000Y-94957142D01*
+X167892857Y-97957142D02*
+X167892857Y-94957142D01*
+D10*
+X97250000Y-88600000D02*
+G75*
+G03X97250000Y-88600000I-550000J0D01*
+G01*
+X89378571Y-152728571D02*
+X88664285Y-152728571D01*
+X88664285Y-151228571D01*
+X90092857Y-152728571D02*
+X89950000Y-152657142D01*
+X89878571Y-152585714D01*
+X89807142Y-152442857D01*
+X89807142Y-152014285D01*
+X89878571Y-151871428D01*
+X89950000Y-151800000D01*
+X90092857Y-151728571D01*
+X90307142Y-151728571D01*
+X90450000Y-151800000D01*
+X90521428Y-151871428D01*
+X90592857Y-152014285D01*
+X90592857Y-152442857D01*
+X90521428Y-152585714D01*
+X90450000Y-152657142D01*
+X90307142Y-152728571D01*
+X90092857Y-152728571D01*
+X91878571Y-152728571D02*
+X91878571Y-151942857D01*
+X91807142Y-151800000D01*
+X91664285Y-151728571D01*
+X91378571Y-151728571D01*
+X91235714Y-151800000D01*
+X91878571Y-152657142D02*
+X91735714Y-152728571D01*
+X91378571Y-152728571D01*
+X91235714Y-152657142D01*
+X91164285Y-152514285D01*
+X91164285Y-152371428D01*
+X91235714Y-152228571D01*
+X91378571Y-152157142D01*
+X91735714Y-152157142D01*
+X91878571Y-152085714D01*
+X93235714Y-152728571D02*
+X93235714Y-151228571D01*
+X93235714Y-152657142D02*
+X93092857Y-152728571D01*
+X92807142Y-152728571D01*
+X92664285Y-152657142D01*
+X92592857Y-152585714D01*
+X92521428Y-152442857D01*
+X92521428Y-152014285D01*
+X92592857Y-151871428D01*
+X92664285Y-151800000D01*
+X92807142Y-151728571D01*
+X93092857Y-151728571D01*
+X93235714Y-151800000D01*
+X88607142Y-146992857D02*
+X89107142Y-146992857D01*
+X89321428Y-147778571D02*
+X88607142Y-147778571D01*
+X88607142Y-146278571D01*
+X89321428Y-146278571D01*
+X89964285Y-147778571D02*
+X89964285Y-146778571D01*
+X89964285Y-147064285D02*
+X90035714Y-146921428D01*
+X90107142Y-146850000D01*
+X90250000Y-146778571D01*
+X90392857Y-146778571D01*
+X90892857Y-147778571D02*
+X90892857Y-146778571D01*
+X90892857Y-147064285D02*
+X90964285Y-146921428D01*
+X91035714Y-146850000D01*
+X91178571Y-146778571D01*
+X91321428Y-146778571D01*
+X92035714Y-147778571D02*
+X91892857Y-147707142D01*
+X91821428Y-147635714D01*
+X91750000Y-147492857D01*
+X91750000Y-147064285D01*
+X91821428Y-146921428D01*
+X91892857Y-146850000D01*
+X92035714Y-146778571D01*
+X92250000Y-146778571D01*
+X92392857Y-146850000D01*
+X92464285Y-146921428D01*
+X92535714Y-147064285D01*
+X92535714Y-147492857D01*
+X92464285Y-147635714D01*
+X92392857Y-147707142D01*
+X92250000Y-147778571D01*
+X92035714Y-147778571D01*
+X93178571Y-147778571D02*
+X93178571Y-146778571D01*
+X93178571Y-147064285D02*
+X93250000Y-146921428D01*
+X93321428Y-146850000D01*
+X93464285Y-146778571D01*
+X93607142Y-146778571D01*
+X138164285Y-152878571D02*
+X138164285Y-151378571D01*
+X139021428Y-152878571D01*
+X139021428Y-151378571D01*
+X140307142Y-152807142D02*
+X140164285Y-152878571D01*
+X139878571Y-152878571D01*
+X139735714Y-152807142D01*
+X139664285Y-152664285D01*
+X139664285Y-152092857D01*
+X139735714Y-151950000D01*
+X139878571Y-151878571D01*
+X140164285Y-151878571D01*
+X140307142Y-151950000D01*
+X140378571Y-152092857D01*
+X140378571Y-152235714D01*
+X139664285Y-152378571D01*
+X140807142Y-151878571D02*
+X141378571Y-151878571D01*
+X141021428Y-151378571D02*
+X141021428Y-152664285D01*
+X141092857Y-152807142D01*
+X141235714Y-152878571D01*
+X141378571Y-152878571D01*
+X141735714Y-151878571D02*
+X142021428Y-152878571D01*
+X142307142Y-152164285D01*
+X142592857Y-152878571D01*
+X142878571Y-151878571D01*
+X143664285Y-152878571D02*
+X143521428Y-152807142D01*
+X143450000Y-152735714D01*
+X143378571Y-152592857D01*
+X143378571Y-152164285D01*
+X143450000Y-152021428D01*
+X143521428Y-151950000D01*
+X143664285Y-151878571D01*
+X143878571Y-151878571D01*
+X144021428Y-151950000D01*
+X144092857Y-152021428D01*
+X144164285Y-152164285D01*
+X144164285Y-152592857D01*
+X144092857Y-152735714D01*
+X144021428Y-152807142D01*
+X143878571Y-152878571D01*
+X143664285Y-152878571D01*
+X144807142Y-152878571D02*
+X144807142Y-151878571D01*
+X144807142Y-152164285D02*
+X144878571Y-152021428D01*
+X144950000Y-151950000D01*
+X145092857Y-151878571D01*
+X145235714Y-151878571D01*
+X145735714Y-152878571D02*
+X145735714Y-151378571D01*
+X145878571Y-152307142D02*
+X146307142Y-152878571D01*
+X146307142Y-151878571D02*
+X145735714Y-152450000D01*
+X138450000Y-146278571D02*
+X138735714Y-146278571D01*
+X138878571Y-146350000D01*
+X139021428Y-146492857D01*
+X139092857Y-146778571D01*
+X139092857Y-147278571D01*
+X139021428Y-147564285D01*
+X138878571Y-147707142D01*
+X138735714Y-147778571D01*
+X138450000Y-147778571D01*
+X138307142Y-147707142D01*
+X138164285Y-147564285D01*
+X138092857Y-147278571D01*
+X138092857Y-146778571D01*
+X138164285Y-146492857D01*
+X138307142Y-146350000D01*
+X138450000Y-146278571D01*
+X139735714Y-146778571D02*
+X139735714Y-148278571D01*
+X139735714Y-146850000D02*
+X139878571Y-146778571D01*
+X140164285Y-146778571D01*
+X140307142Y-146850000D01*
+X140378571Y-146921428D01*
+X140450000Y-147064285D01*
+X140450000Y-147492857D01*
+X140378571Y-147635714D01*
+X140307142Y-147707142D01*
+X140164285Y-147778571D01*
+X139878571Y-147778571D01*
+X139735714Y-147707142D01*
+X141664285Y-147707142D02*
+X141521428Y-147778571D01*
+X141235714Y-147778571D01*
+X141092857Y-147707142D01*
+X141021428Y-147564285D01*
+X141021428Y-146992857D01*
+X141092857Y-146850000D01*
+X141235714Y-146778571D01*
+X141521428Y-146778571D01*
+X141664285Y-146850000D01*
+X141735714Y-146992857D01*
+X141735714Y-147135714D01*
+X141021428Y-147278571D01*
+X142378571Y-147778571D02*
+X142378571Y-146778571D01*
+X142378571Y-147064285D02*
+X142450000Y-146921428D01*
+X142521428Y-146850000D01*
+X142664285Y-146778571D01*
+X142807142Y-146778571D01*
+X143950000Y-147778571D02*
+X143950000Y-146992857D01*
+X143878571Y-146850000D01*
+X143735714Y-146778571D01*
+X143450000Y-146778571D01*
+X143307142Y-146850000D01*
+X143950000Y-147707142D02*
+X143807142Y-147778571D01*
+X143450000Y-147778571D01*
+X143307142Y-147707142D01*
+X143235714Y-147564285D01*
+X143235714Y-147421428D01*
+X143307142Y-147278571D01*
+X143450000Y-147207142D01*
+X143807142Y-147207142D01*
+X143950000Y-147135714D01*
+X144450000Y-146778571D02*
+X145021428Y-146778571D01*
+X144664285Y-146278571D02*
+X144664285Y-147564285D01*
+X144735714Y-147707142D01*
+X144878571Y-147778571D01*
+X145021428Y-147778571D01*
+X145521428Y-147778571D02*
+X145521428Y-146778571D01*
+X145521428Y-146278571D02*
+X145450000Y-146350000D01*
+X145521428Y-146421428D01*
+X145592857Y-146350000D01*
+X145521428Y-146278571D01*
+X145521428Y-146421428D01*
+X146450000Y-147778571D02*
+X146307142Y-147707142D01*
+X146235714Y-147635714D01*
+X146164285Y-147492857D01*
+X146164285Y-147064285D01*
+X146235714Y-146921428D01*
+X146307142Y-146850000D01*
+X146450000Y-146778571D01*
+X146664285Y-146778571D01*
+X146807142Y-146850000D01*
+X146878571Y-146921428D01*
+X146950000Y-147064285D01*
+X146950000Y-147492857D01*
+X146878571Y-147635714D01*
+X146807142Y-147707142D01*
+X146664285Y-147778571D01*
+X146450000Y-147778571D01*
+X147592857Y-146778571D02*
+X147592857Y-147778571D01*
+X147592857Y-146921428D02*
+X147664285Y-146850000D01*
+X147807142Y-146778571D01*
+X148021428Y-146778571D01*
+X148164285Y-146850000D01*
+X148235714Y-146992857D01*
+X148235714Y-147778571D01*
+X78385714Y-57178571D02*
+X78385714Y-55678571D01*
+X78885714Y-56750000D01*
+X79385714Y-55678571D01*
+X79385714Y-57178571D01*
+X79957142Y-55678571D02*
+X80885714Y-55678571D01*
+X80385714Y-56250000D01*
+X80600000Y-56250000D01*
+X80742857Y-56321428D01*
+X80814285Y-56392857D01*
+X80885714Y-56535714D01*
+X80885714Y-56892857D01*
+X80814285Y-57035714D01*
+X80742857Y-57107142D01*
+X80600000Y-57178571D01*
+X80171428Y-57178571D01*
+X80028571Y-57107142D01*
+X79957142Y-57035714D01*
+D14*
+X115309523Y-150795476D02*
+X115214285Y-150747857D01*
+X115023809Y-150747857D01*
+X114928571Y-150795476D01*
+X114833332Y-150890714D01*
+X114785713Y-150985952D01*
+X114785713Y-151176428D01*
+X114833332Y-151271666D01*
+X114928571Y-151366904D01*
+X115023809Y-151414523D01*
+X115214285Y-151414523D01*
+X115309523Y-151366904D01*
+X115119047Y-150414523D02*
+X114880952Y-150462142D01*
+X114642856Y-150605000D01*
+X114499999Y-150843095D01*
+X114452380Y-151081190D01*
+X114499999Y-151319285D01*
+X114642856Y-151557380D01*
+X114880952Y-151700238D01*
+X115119047Y-151747857D01*
+X115357142Y-151700238D01*
+X115595237Y-151557380D01*
+X115738094Y-151319285D01*
+X115785713Y-151081190D01*
+X115738094Y-150843095D01*
+X115595237Y-150605000D01*
+X115357142Y-150462142D01*
+X115119047Y-150414523D01*
+X116166666Y-150652619D02*
+X116214285Y-150605000D01*
+X116309523Y-150557380D01*
+X116547618Y-150557380D01*
+X116642856Y-150605000D01*
+X116690475Y-150652619D01*
+X116738094Y-150747857D01*
+X116738094Y-150843095D01*
+X116690475Y-150985952D01*
+X116119047Y-151557380D01*
+X116738094Y-151557380D01*
+X117357142Y-150557380D02*
+X117452380Y-150557380D01*
+X117547618Y-150605000D01*
+X117595237Y-150652619D01*
+X117642856Y-150747857D01*
+X117690475Y-150938333D01*
+X117690475Y-151176428D01*
+X117642856Y-151366904D01*
+X117595237Y-151462142D01*
+X117547618Y-151509761D01*
+X117452380Y-151557380D01*
+X117357142Y-151557380D01*
+X117261904Y-151509761D01*
+X117214285Y-151462142D01*
+X117166666Y-151366904D01*
+X117119047Y-151176428D01*
+X117119047Y-150938333D01*
+X117166666Y-150747857D01*
+X117214285Y-150652619D01*
+X117261904Y-150605000D01*
+X117357142Y-150557380D01*
+X118071428Y-150652619D02*
+X118119047Y-150605000D01*
+X118214285Y-150557380D01*
+X118452380Y-150557380D01*
+X118547618Y-150605000D01*
+X118595237Y-150652619D01*
+X118642856Y-150747857D01*
+X118642856Y-150843095D01*
+X118595237Y-150985952D01*
+X118023809Y-151557380D01*
+X118642856Y-151557380D01*
+X119261904Y-150557380D02*
+X119357142Y-150557380D01*
+X119452380Y-150605000D01*
+X119499999Y-150652619D01*
+X119547618Y-150747857D01*
+X119595237Y-150938333D01*
+X119595237Y-151176428D01*
+X119547618Y-151366904D01*
+X119499999Y-151462142D01*
+X119452380Y-151509761D01*
+X119357142Y-151557380D01*
+X119261904Y-151557380D01*
+X119166666Y-151509761D01*
+X119119047Y-151462142D01*
+X119071428Y-151366904D01*
+X119023809Y-151176428D01*
+X119023809Y-150938333D01*
+X119071428Y-150747857D01*
+X119119047Y-150652619D01*
+X119166666Y-150605000D01*
+X119261904Y-150557380D01*
+X120785713Y-150890714D02*
+X120785713Y-151747857D01*
+X120738094Y-151843095D01*
+X120642856Y-151890714D01*
+X120595237Y-151890714D01*
+X120785713Y-150557380D02*
+X120738094Y-150605000D01*
+X120785713Y-150652619D01*
+X120833332Y-150605000D01*
+X120785713Y-150557380D01*
+X120785713Y-150652619D01*
+X121690475Y-151557380D02*
+X121690475Y-151033571D01*
+X121642856Y-150938333D01*
+X121547618Y-150890714D01*
+X121357142Y-150890714D01*
+X121261904Y-150938333D01*
+X121690475Y-151509761D02*
+X121595237Y-151557380D01*
+X121357142Y-151557380D01*
+X121261904Y-151509761D01*
+X121214285Y-151414523D01*
+X121214285Y-151319285D01*
+X121261904Y-151224047D01*
+X121357142Y-151176428D01*
+X121595237Y-151176428D01*
+X121690475Y-151128809D01*
+X122119047Y-151509761D02*
+X122214285Y-151557380D01*
+X122404761Y-151557380D01*
+X122499999Y-151509761D01*
+X122547618Y-151414523D01*
+X122547618Y-151366904D01*
+X122499999Y-151271666D01*
+X122404761Y-151224047D01*
+X122261904Y-151224047D01*
+X122166666Y-151176428D01*
+X122119047Y-151081190D01*
+X122119047Y-151033571D01*
+X122166666Y-150938333D01*
+X122261904Y-150890714D01*
+X122404761Y-150890714D01*
+X122499999Y-150938333D01*
+X123357142Y-151509761D02*
+X123261904Y-151557380D01*
+X123071428Y-151557380D01*
+X122976190Y-151509761D01*
+X122928571Y-151414523D01*
+X122928571Y-151033571D01*
+X122976190Y-150938333D01*
+X123071428Y-150890714D01*
+X123261904Y-150890714D01*
+X123357142Y-150938333D01*
+X123404761Y-151033571D01*
+X123404761Y-151128809D01*
+X122928571Y-151224047D01*
+X124261904Y-150890714D02*
+X124261904Y-151700238D01*
+X124214285Y-151795476D01*
+X124166666Y-151843095D01*
+X124071428Y-151890714D01*
+X123928571Y-151890714D01*
+X123833332Y-151843095D01*
+X124261904Y-151509761D02*
+X124166666Y-151557380D01*
+X123976190Y-151557380D01*
+X123880952Y-151509761D01*
+X123833332Y-151462142D01*
+X123785713Y-151366904D01*
+X123785713Y-151081190D01*
+X123833332Y-150985952D01*
+X123880952Y-150938333D01*
+X123976190Y-150890714D01*
+X124166666Y-150890714D01*
+X124261904Y-150938333D01*
+D10*
+X114678571Y-147321428D02*
+X114535714Y-147250000D01*
+X114464285Y-147178571D01*
+X114392857Y-147035714D01*
+X114392857Y-146964285D01*
+X114464285Y-146821428D01*
+X114535714Y-146750000D01*
+X114678571Y-146678571D01*
+X114964285Y-146678571D01*
+X115107142Y-146750000D01*
+X115178571Y-146821428D01*
+X115250000Y-146964285D01*
+X115250000Y-147035714D01*
+X115178571Y-147178571D01*
+X115107142Y-147250000D01*
+X114964285Y-147321428D01*
+X114678571Y-147321428D01*
+X114535714Y-147392857D01*
+X114464285Y-147464285D01*
+X114392857Y-147607142D01*
+X114392857Y-147892857D01*
+X114464285Y-148035714D01*
+X114535714Y-148107142D01*
+X114678571Y-148178571D01*
+X114964285Y-148178571D01*
+X115107142Y-148107142D01*
+X115178571Y-148035714D01*
+X115250000Y-147892857D01*
+X115250000Y-147607142D01*
+X115178571Y-147464285D01*
+X115107142Y-147392857D01*
+X114964285Y-147321428D01*
+X115821428Y-148107142D02*
+X115964285Y-148178571D01*
+X116250000Y-148178571D01*
+X116392857Y-148107142D01*
+X116464285Y-147964285D01*
+X116464285Y-147892857D01*
+X116392857Y-147750000D01*
+X116250000Y-147678571D01*
+X116035714Y-147678571D01*
+X115892857Y-147607142D01*
+X115821428Y-147464285D01*
+X115821428Y-147392857D01*
+X115892857Y-147250000D01*
+X116035714Y-147178571D01*
+X116250000Y-147178571D01*
+X116392857Y-147250000D01*
+X117678571Y-148107142D02*
+X117535714Y-148178571D01*
+X117250000Y-148178571D01*
+X117107142Y-148107142D01*
+X117035714Y-147964285D01*
+X117035714Y-147392857D01*
+X117107142Y-147250000D01*
+X117250000Y-147178571D01*
+X117535714Y-147178571D01*
+X117678571Y-147250000D01*
+X117750000Y-147392857D01*
+X117750000Y-147535714D01*
+X117035714Y-147678571D01*
+X119035714Y-147178571D02*
+X119035714Y-148392857D01*
+X118964285Y-148535714D01*
+X118892857Y-148607142D01*
+X118750000Y-148678571D01*
+X118535714Y-148678571D01*
+X118392857Y-148607142D01*
+X119035714Y-148107142D02*
+X118892857Y-148178571D01*
+X118607142Y-148178571D01*
+X118464285Y-148107142D01*
+X118392857Y-148035714D01*
+X118321428Y-147892857D01*
+X118321428Y-147464285D01*
+X118392857Y-147321428D01*
+X118464285Y-147250000D01*
+X118607142Y-147178571D01*
+X118892857Y-147178571D01*
+X119035714Y-147250000D01*
+X121535714Y-148178571D02*
+X121535714Y-146678571D01*
+X121535714Y-148107142D02*
+X121392857Y-148178571D01*
+X121107142Y-148178571D01*
+X120964285Y-148107142D01*
+X120892857Y-148035714D01*
+X120821428Y-147892857D01*
+X120821428Y-147464285D01*
+X120892857Y-147321428D01*
+X120964285Y-147250000D01*
+X121107142Y-147178571D01*
+X121392857Y-147178571D01*
+X121535714Y-147250000D01*
+X122250000Y-148178571D02*
+X122250000Y-147178571D01*
+X122250000Y-147464285D02*
+X122321428Y-147321428D01*
+X122392857Y-147250000D01*
+X122535714Y-147178571D01*
+X122678571Y-147178571D01*
+X123178571Y-148178571D02*
+X123178571Y-147178571D01*
+X123178571Y-146678571D02*
+X123107142Y-146750000D01*
+X123178571Y-146821428D01*
+X123250000Y-146750000D01*
+X123178571Y-146678571D01*
+X123178571Y-146821428D01*
+X123750000Y-147178571D02*
+X124107142Y-148178571D01*
+X124464285Y-147178571D01*
+X125607142Y-148107142D02*
+X125464285Y-148178571D01*
+X125178571Y-148178571D01*
+X125035714Y-148107142D01*
+X124964285Y-147964285D01*
+X124964285Y-147392857D01*
+X125035714Y-147250000D01*
+X125178571Y-147178571D01*
+X125464285Y-147178571D01*
+X125607142Y-147250000D01*
+X125678571Y-147392857D01*
+X125678571Y-147535714D01*
+X124964285Y-147678571D01*
+X126321428Y-148178571D02*
+X126321428Y-147178571D01*
+X126321428Y-147464285D02*
+X126392857Y-147321428D01*
+X126464285Y-147250000D01*
+X126607142Y-147178571D01*
+X126750000Y-147178571D01*
+X92150000Y-75000000D02*
+G75*
+G03X92150000Y-75000000I-550000J0D01*
+G01*
+X142750000Y-89150000D02*
+G75*
+G03X142750000Y-89150000I-550000J0D01*
+G01*
+D14*
+X153590476Y-72180952D02*
+X154542857Y-72180952D01*
+X153780952Y-72466666D02*
+X154352380Y-72466666D01*
+X154066666Y-71752380D02*
+X154066666Y-72180952D01*
+X154161904Y-72752380D02*
+X153971428Y-72752380D01*
+X155161904Y-73085714D02*
+X155161904Y-71657142D01*
+X155780952Y-71752380D02*
+X156400000Y-71752380D01*
+X156066666Y-72133333D01*
+X156209523Y-72133333D01*
+X156304761Y-72180952D01*
+X156352380Y-72228571D01*
+X156400000Y-72323809D01*
+X156400000Y-72561904D01*
+X156352380Y-72657142D01*
+X156304761Y-72704761D01*
+X156209523Y-72752380D01*
+X155923809Y-72752380D01*
+X155828571Y-72704761D01*
+X155780952Y-72657142D01*
+X156828571Y-72657142D02*
+X156876190Y-72704761D01*
+X156828571Y-72752380D01*
+X156780952Y-72704761D01*
+X156828571Y-72657142D01*
+X156828571Y-72752380D01*
+X157209523Y-71752380D02*
+X157828571Y-71752380D01*
+X157495238Y-72133333D01*
+X157638095Y-72133333D01*
+X157733333Y-72180952D01*
+X157780952Y-72228571D01*
+X157828571Y-72323809D01*
+X157828571Y-72561904D01*
+X157780952Y-72657142D01*
+X157733333Y-72704761D01*
+X157638095Y-72752380D01*
+X157352380Y-72752380D01*
+X157257142Y-72704761D01*
+X157209523Y-72657142D01*
+X158114285Y-71752380D02*
+X158447619Y-72752380D01*
+X158780952Y-71752380D01*
+X159352380Y-73085714D02*
+X159352380Y-71657142D01*
+X160019047Y-72704761D02*
+X160161904Y-72752380D01*
+X160400000Y-72752380D01*
+X160495238Y-72704761D01*
+X160542857Y-72657142D01*
+X160590476Y-72561904D01*
+X160590476Y-72466666D01*
+X160542857Y-72371428D01*
+X160495238Y-72323809D01*
+X160400000Y-72276190D01*
+X160209523Y-72228571D01*
+X160114285Y-72180952D01*
+X160066666Y-72133333D01*
+X160019047Y-72038095D01*
+X160019047Y-71942857D01*
+X160066666Y-71847619D01*
+X160114285Y-71800000D01*
+X160209523Y-71752380D01*
+X160447619Y-71752380D01*
+X160590476Y-71800000D01*
+X161019047Y-72752380D02*
+X161019047Y-71752380D01*
+X161257142Y-71752380D01*
+X161400000Y-71800000D01*
+X161495238Y-71895238D01*
+X161542857Y-71990476D01*
+X161590476Y-72180952D01*
+X161590476Y-72323809D01*
+X161542857Y-72514285D01*
+X161495238Y-72609523D01*
+X161400000Y-72704761D01*
+X161257142Y-72752380D01*
+X161019047Y-72752380D01*
+X161971428Y-72466666D02*
+X162447619Y-72466666D01*
+X161876190Y-72752380D02*
+X162209523Y-71752380D01*
+X162542857Y-72752380D01*
+X163114285Y-73085714D02*
+X163114285Y-71657142D01*
+X163780952Y-72704761D02*
+X163923809Y-72752380D01*
+X164161904Y-72752380D01*
+X164257142Y-72704761D01*
+X164304761Y-72657142D01*
+X164352380Y-72561904D01*
+X164352380Y-72466666D01*
+X164304761Y-72371428D01*
+X164257142Y-72323809D01*
+X164161904Y-72276190D01*
+X163971428Y-72228571D01*
+X163876190Y-72180952D01*
+X163828571Y-72133333D01*
+X163780952Y-72038095D01*
+X163780952Y-71942857D01*
+X163828571Y-71847619D01*
+X163876190Y-71800000D01*
+X163971428Y-71752380D01*
+X164209523Y-71752380D01*
+X164352380Y-71800000D01*
+X165352380Y-72657142D02*
+X165304761Y-72704761D01*
+X165161904Y-72752380D01*
+X165066666Y-72752380D01*
+X164923809Y-72704761D01*
+X164828571Y-72609523D01*
+X164780952Y-72514285D01*
+X164733333Y-72323809D01*
+X164733333Y-72180952D01*
+X164780952Y-71990476D01*
+X164828571Y-71895238D01*
+X164923809Y-71800000D01*
+X165066666Y-71752380D01*
+X165161904Y-71752380D01*
+X165304761Y-71800000D01*
+X165352380Y-71847619D01*
+X166257142Y-72752380D02*
+X165780952Y-72752380D01*
+X165780952Y-71752380D01*
+D10*
+X147633571Y-76603571D02*
+X146776428Y-76603571D01*
+X147205000Y-76603571D02*
+X147205000Y-75103571D01*
+X147062142Y-75317857D01*
+X146919285Y-75460714D01*
+X146776428Y-75532142D01*
+X148919285Y-75103571D02*
+X148633571Y-75103571D01*
+X148490714Y-75175000D01*
+X148419285Y-75246428D01*
+X148276428Y-75460714D01*
+X148205000Y-75746428D01*
+X148205000Y-76317857D01*
+X148276428Y-76460714D01*
+X148347857Y-76532142D01*
+X148490714Y-76603571D01*
+X148776428Y-76603571D01*
+X148919285Y-76532142D01*
+X148990714Y-76460714D01*
+X149062142Y-76317857D01*
+X149062142Y-75960714D01*
+X148990714Y-75817857D01*
+X148919285Y-75746428D01*
+X148776428Y-75675000D01*
+X148490714Y-75675000D01*
+X148347857Y-75746428D01*
+X148276428Y-75817857D01*
+X148205000Y-75960714D01*
+X149990714Y-75103571D02*
+X150133571Y-75103571D01*
+X150276428Y-75175000D01*
+X150347857Y-75246428D01*
+X150419285Y-75389285D01*
+X150490714Y-75675000D01*
+X150490714Y-76032142D01*
+X150419285Y-76317857D01*
+X150347857Y-76460714D01*
+X150276428Y-76532142D01*
+X150133571Y-76603571D01*
+X149990714Y-76603571D01*
+X149847857Y-76532142D01*
+X149776428Y-76460714D01*
+X149705000Y-76317857D01*
+X149633571Y-76032142D01*
+X149633571Y-75675000D01*
+X149705000Y-75389285D01*
+X149776428Y-75246428D01*
+X149847857Y-75175000D01*
+X149990714Y-75103571D01*
+X151062142Y-75246428D02*
+X151133571Y-75175000D01*
+X151276428Y-75103571D01*
+X151633571Y-75103571D01*
+X151776428Y-75175000D01*
+X151847857Y-75246428D01*
+X151919285Y-75389285D01*
+X151919285Y-75532142D01*
+X151847857Y-75746428D01*
+X150990714Y-76603571D01*
+X151919285Y-76603571D01*
+X149062142Y-79153571D02*
+X148347857Y-79153571D01*
+X148347857Y-77653571D01*
+X150419285Y-79010714D02*
+X150347857Y-79082142D01*
+X150133571Y-79153571D01*
+X149990714Y-79153571D01*
+X149776428Y-79082142D01*
+X149633571Y-78939285D01*
+X149562142Y-78796428D01*
+X149490714Y-78510714D01*
+X149490714Y-78296428D01*
+X149562142Y-78010714D01*
+X149633571Y-77867857D01*
+X149776428Y-77725000D01*
+X149990714Y-77653571D01*
+X150133571Y-77653571D01*
+X150347857Y-77725000D01*
+X150419285Y-77796428D01*
+X151062142Y-79153571D02*
+X151062142Y-77653571D01*
+X151419285Y-77653571D01*
+X151633571Y-77725000D01*
+X151776428Y-77867857D01*
+X151847857Y-78010714D01*
+X151919285Y-78296428D01*
+X151919285Y-78510714D01*
+X151847857Y-78796428D01*
+X151776428Y-78939285D01*
+X151633571Y-79082142D01*
+X151419285Y-79153571D01*
+X151062142Y-79153571D01*
+X115942857Y-68814285D02*
+X115871428Y-69028571D01*
+X115871428Y-69385714D01*
+X115942857Y-69528571D01*
+X116014285Y-69600000D01*
+X116157142Y-69671428D01*
+X116300000Y-69671428D01*
+X116442857Y-69600000D01*
+X116514285Y-69528571D01*
+X116585714Y-69385714D01*
+X116657142Y-69100000D01*
+X116728571Y-68957142D01*
+X116800000Y-68885714D01*
+X116942857Y-68814285D01*
+X117085714Y-68814285D01*
+X117228571Y-68885714D01*
+X117300000Y-68957142D01*
+X117371428Y-69100000D01*
+X117371428Y-69457142D01*
+X117300000Y-69671428D01*
+X117371428Y-70171428D02*
+X115871428Y-70528571D01*
+X116942857Y-70814285D01*
+X115871428Y-71100000D01*
+X117371428Y-71457142D01*
+X115871428Y-72028571D02*
+X117371428Y-72028571D01*
+X117371428Y-72385714D01*
+X117300000Y-72600000D01*
+X117157142Y-72742857D01*
+X117014285Y-72814285D01*
+X116728571Y-72885714D01*
+X116514285Y-72885714D01*
+X116228571Y-72814285D01*
+X116085714Y-72742857D01*
+X115942857Y-72600000D01*
+X115871428Y-72385714D01*
+X115871428Y-72028571D01*
+D13*
+X165342857Y-139457142D02*
+X165628571Y-139552380D01*
+X165723809Y-139647619D01*
+X165819047Y-139838095D01*
+X165819047Y-140123809D01*
+X165723809Y-140314285D01*
+X165628571Y-140409523D01*
+X165438095Y-140504761D01*
+X164676190Y-140504761D01*
+X164676190Y-138504761D01*
+X165342857Y-138504761D01*
+X165533333Y-138600000D01*
+X165628571Y-138695238D01*
+X165723809Y-138885714D01*
+X165723809Y-139076190D01*
+X165628571Y-139266666D01*
+X165533333Y-139361904D01*
+X165342857Y-139457142D01*
+X164676190Y-139457142D01*
+D15*
+X161750000Y-141307142D02*
+X162321428Y-141307142D01*
+X162607142Y-141450000D01*
+X162892857Y-141735714D01*
+X163035714Y-142307142D01*
+X163035714Y-143307142D01*
+X162892857Y-143878571D01*
+X162607142Y-144164285D01*
+X162321428Y-144307142D01*
+X161750000Y-144307142D01*
+X161464285Y-144164285D01*
+X161178571Y-143878571D01*
+X161035714Y-143307142D01*
+X161035714Y-142307142D01*
+X161178571Y-141735714D01*
+X161464285Y-141450000D01*
+X161750000Y-141307142D01*
+X164321428Y-141307142D02*
+X164321428Y-143735714D01*
+X164464285Y-144021428D01*
+X164607142Y-144164285D01*
+X164892857Y-144307142D01*
+X165464285Y-144307142D01*
+X165750000Y-144164285D01*
+X165892857Y-144021428D01*
+X166035714Y-143735714D01*
+X166035714Y-141307142D01*
+X167035714Y-141307142D02*
+X168750000Y-141307142D01*
+X167892857Y-144307142D02*
+X167892857Y-141307142D01*
+D13*
+X164723809Y-100283333D02*
+X165676190Y-100283333D01*
+X164533333Y-100854761D02*
+X165200000Y-98854761D01*
+X165866666Y-100854761D01*
+D15*
+X73414285Y-98050000D02*
+X73128571Y-97907142D01*
+X72700000Y-97907142D01*
+X72271428Y-98050000D01*
+X71985714Y-98335714D01*
+X71842857Y-98621428D01*
+X71700000Y-99192857D01*
+X71700000Y-99621428D01*
+X71842857Y-100192857D01*
+X71985714Y-100478571D01*
+X72271428Y-100764285D01*
+X72700000Y-100907142D01*
+X72985714Y-100907142D01*
+X73414285Y-100764285D01*
+X73557142Y-100621428D01*
+X73557142Y-99621428D01*
+X72985714Y-99621428D01*
+X74842857Y-100907142D02*
+X74842857Y-97907142D01*
+X76557142Y-100907142D01*
+X76557142Y-97907142D01*
+X77985714Y-100907142D02*
+X77985714Y-97907142D01*
+X78700000Y-97907142D01*
+X79128571Y-98050000D01*
+X79414285Y-98335714D01*
+X79557142Y-98621428D01*
+X79700000Y-99192857D01*
+X79700000Y-99621428D01*
+X79557142Y-100192857D01*
+X79414285Y-100478571D01*
+X79128571Y-100764285D01*
+X78700000Y-100907142D01*
+X77985714Y-100907142D01*
+X72050000Y-139807142D02*
+X73050000Y-142807142D01*
+X74050000Y-139807142D01*
+X76764285Y-142521428D02*
+X76621428Y-142664285D01*
+X76192857Y-142807142D01*
+X75907142Y-142807142D01*
+X75478571Y-142664285D01*
+X75192857Y-142378571D01*
+X75050000Y-142092857D01*
+X74907142Y-141521428D01*
+X74907142Y-141092857D01*
+X75050000Y-140521428D01*
+X75192857Y-140235714D01*
+X75478571Y-139950000D01*
+X75907142Y-139807142D01*
+X76192857Y-139807142D01*
+X76621428Y-139950000D01*
+X76764285Y-140092857D01*
+X79764285Y-142521428D02*
+X79621428Y-142664285D01*
+X79192857Y-142807142D01*
+X78907142Y-142807142D01*
+X78478571Y-142664285D01*
+X78192857Y-142378571D01*
+X78050000Y-142092857D01*
+X77907142Y-141521428D01*
+X77907142Y-141092857D01*
+X78050000Y-140521428D01*
+X78192857Y-140235714D01*
+X78478571Y-139950000D01*
+X78907142Y-139807142D01*
+X79192857Y-139807142D01*
+X79621428Y-139950000D01*
+X79764285Y-140092857D01*
+D16*
+X144821267Y-86160000D02*
+X144478733Y-86160000D01*
+X144821267Y-85140000D02*
+X144478733Y-85140000D01*
+X92750000Y-74940000D02*
+X89300000Y-74940000D01*
+X92750000Y-74940000D02*
+X94700000Y-74940000D01*
+X92750000Y-80060000D02*
+X90800000Y-80060000D01*
+X92750000Y-80060000D02*
+X94700000Y-80060000D01*
+X104602064Y-69060000D02*
+X103397936Y-69060000D01*
+X104602064Y-67240000D02*
+X103397936Y-67240000D01*
+X91400000Y-90850000D02*
+X91400000Y-90150000D01*
+X92600000Y-90150000D02*
+X92600000Y-90850000D01*
+X112460000Y-60521267D02*
+X112460000Y-60178733D01*
+X111440000Y-60521267D02*
+X111440000Y-60178733D01*
+X104171267Y-65390000D02*
+X103828733Y-65390000D01*
+X104171267Y-66410000D02*
+X103828733Y-66410000D01*
+X127421267Y-84490000D02*
+X127078733Y-84490000D01*
+X127421267Y-85510000D02*
+X127078733Y-85510000D01*
+X85870000Y-75250000D02*
+G75*
+G03X85870000Y-75250000I-6620000J0D01*
+G01*
+X85830000Y-75250000D02*
+X72670000Y-75250000D01*
+X85830000Y-75290000D02*
+X72670000Y-75290000D01*
+X85830000Y-75330000D02*
+X72670000Y-75330000D01*
+X85829000Y-75370000D02*
+X72671000Y-75370000D01*
+X85829000Y-75410000D02*
+X72671000Y-75410000D01*
+X85827000Y-75450000D02*
+X72673000Y-75450000D01*
+X85826000Y-75490000D02*
+X72674000Y-75490000D01*
+X85825000Y-75530000D02*
+X72675000Y-75530000D01*
+X85823000Y-75570000D02*
+X72677000Y-75570000D01*
+X85821000Y-75610000D02*
+X72679000Y-75610000D01*
+X85818000Y-75650000D02*
+X72682000Y-75650000D01*
+X85816000Y-75690000D02*
+X72684000Y-75690000D01*
+X85813000Y-75730000D02*
+X72687000Y-75730000D01*
+X85810000Y-75770000D02*
+X72690000Y-75770000D01*
+X85807000Y-75810000D02*
+X72693000Y-75810000D01*
+X85803000Y-75850000D02*
+X72697000Y-75850000D01*
+X85799000Y-75890000D02*
+X72701000Y-75890000D01*
+X85795000Y-75930000D02*
+X72705000Y-75930000D01*
+X85791000Y-75971000D02*
+X72709000Y-75971000D01*
+X85787000Y-76011000D02*
+X72713000Y-76011000D01*
+X85782000Y-76051000D02*
+X72718000Y-76051000D01*
+X85777000Y-76091000D02*
+X72723000Y-76091000D01*
+X85772000Y-76131000D02*
+X72728000Y-76131000D01*
+X85766000Y-76171000D02*
+X72734000Y-76171000D01*
+X85761000Y-76211000D02*
+X72739000Y-76211000D01*
+X85755000Y-76251000D02*
+X72745000Y-76251000D01*
+X85748000Y-76291000D02*
+X72752000Y-76291000D01*
+X85742000Y-76331000D02*
+X80690000Y-76331000D01*
+X77810000Y-76331000D02*
+X72758000Y-76331000D01*
+X85735000Y-76371000D02*
+X80690000Y-76371000D01*
+X77810000Y-76371000D02*
+X72765000Y-76371000D01*
+X85728000Y-76411000D02*
+X80690000Y-76411000D01*
+X77810000Y-76411000D02*
+X72772000Y-76411000D01*
+X85721000Y-76451000D02*
+X80690000Y-76451000D01*
+X77810000Y-76451000D02*
+X72779000Y-76451000D01*
+X85713000Y-76491000D02*
+X80690000Y-76491000D01*
+X77810000Y-76491000D02*
+X72787000Y-76491000D01*
+X85706000Y-76531000D02*
+X80690000Y-76531000D01*
+X77810000Y-76531000D02*
+X72794000Y-76531000D01*
+X85698000Y-76571000D02*
+X80690000Y-76571000D01*
+X77810000Y-76571000D02*
+X72802000Y-76571000D01*
+X85689000Y-76611000D02*
+X80690000Y-76611000D01*
+X77810000Y-76611000D02*
+X72811000Y-76611000D01*
+X85681000Y-76651000D02*
+X80690000Y-76651000D01*
+X77810000Y-76651000D02*
+X72819000Y-76651000D01*
+X85672000Y-76691000D02*
+X80690000Y-76691000D01*
+X77810000Y-76691000D02*
+X72828000Y-76691000D01*
+X85663000Y-76731000D02*
+X80690000Y-76731000D01*
+X77810000Y-76731000D02*
+X72837000Y-76731000D01*
+X85654000Y-76771000D02*
+X80690000Y-76771000D01*
+X77810000Y-76771000D02*
+X72846000Y-76771000D01*
+X85644000Y-76811000D02*
+X80690000Y-76811000D01*
+X77810000Y-76811000D02*
+X72856000Y-76811000D01*
+X85634000Y-76851000D02*
+X80690000Y-76851000D01*
+X77810000Y-76851000D02*
+X72866000Y-76851000D01*
+X85624000Y-76891000D02*
+X80690000Y-76891000D01*
+X77810000Y-76891000D02*
+X72876000Y-76891000D01*
+X85614000Y-76931000D02*
+X80690000Y-76931000D01*
+X77810000Y-76931000D02*
+X72886000Y-76931000D01*
+X85603000Y-76971000D02*
+X80690000Y-76971000D01*
+X77810000Y-76971000D02*
+X72897000Y-76971000D01*
+X85592000Y-77011000D02*
+X80690000Y-77011000D01*
+X77810000Y-77011000D02*
+X72908000Y-77011000D01*
+X85581000Y-77051000D02*
+X80690000Y-77051000D01*
+X77810000Y-77051000D02*
+X72919000Y-77051000D01*
+X85570000Y-77091000D02*
+X80690000Y-77091000D01*
+X77810000Y-77091000D02*
+X72930000Y-77091000D01*
+X85558000Y-77131000D02*
+X80690000Y-77131000D01*
+X77810000Y-77131000D02*
+X72942000Y-77131000D01*
+X85546000Y-77171000D02*
+X80690000Y-77171000D01*
+X77810000Y-77171000D02*
+X72954000Y-77171000D01*
+X85534000Y-77211000D02*
+X80690000Y-77211000D01*
+X77810000Y-77211000D02*
+X72966000Y-77211000D01*
+X85521000Y-77251000D02*
+X80690000Y-77251000D01*
+X77810000Y-77251000D02*
+X72979000Y-77251000D01*
+X85508000Y-77291000D02*
+X80690000Y-77291000D01*
+X77810000Y-77291000D02*
+X72992000Y-77291000D01*
+X85495000Y-77331000D02*
+X80690000Y-77331000D01*
+X77810000Y-77331000D02*
+X73005000Y-77331000D01*
+X85482000Y-77371000D02*
+X80690000Y-77371000D01*
+X77810000Y-77371000D02*
+X73018000Y-77371000D01*
+X85468000Y-77411000D02*
+X80690000Y-77411000D01*
+X77810000Y-77411000D02*
+X73032000Y-77411000D01*
+X85454000Y-77451000D02*
+X80690000Y-77451000D01*
+X77810000Y-77451000D02*
+X73046000Y-77451000D01*
+X85440000Y-77491000D02*
+X80690000Y-77491000D01*
+X77810000Y-77491000D02*
+X73060000Y-77491000D01*
+X85425000Y-77531000D02*
+X80690000Y-77531000D01*
+X77810000Y-77531000D02*
+X73075000Y-77531000D01*
+X85411000Y-77571000D02*
+X80690000Y-77571000D01*
+X77810000Y-77571000D02*
+X73089000Y-77571000D01*
+X85396000Y-77611000D02*
+X80690000Y-77611000D01*
+X77810000Y-77611000D02*
+X73104000Y-77611000D01*
+X85380000Y-77651000D02*
+X80690000Y-77651000D01*
+X77810000Y-77651000D02*
+X73120000Y-77651000D01*
+X85364000Y-77691000D02*
+X80690000Y-77691000D01*
+X77810000Y-77691000D02*
+X73136000Y-77691000D01*
+X85348000Y-77731000D02*
+X80690000Y-77731000D01*
+X77810000Y-77731000D02*
+X73152000Y-77731000D01*
+X85332000Y-77771000D02*
+X80690000Y-77771000D01*
+X77810000Y-77771000D02*
+X73168000Y-77771000D01*
+X85315000Y-77811000D02*
+X80690000Y-77811000D01*
+X77810000Y-77811000D02*
+X73185000Y-77811000D01*
+X85299000Y-77851000D02*
+X80690000Y-77851000D01*
+X77810000Y-77851000D02*
+X73201000Y-77851000D01*
+X85281000Y-77891000D02*
+X80690000Y-77891000D01*
+X77810000Y-77891000D02*
+X73219000Y-77891000D01*
+X85264000Y-77931000D02*
+X80690000Y-77931000D01*
+X77810000Y-77931000D02*
+X73236000Y-77931000D01*
+X85246000Y-77971000D02*
+X80690000Y-77971000D01*
+X77810000Y-77971000D02*
+X73254000Y-77971000D01*
+X85228000Y-78011000D02*
+X80690000Y-78011000D01*
+X77810000Y-78011000D02*
+X73272000Y-78011000D01*
+X85209000Y-78051000D02*
+X80690000Y-78051000D01*
+X77810000Y-78051000D02*
+X73291000Y-78051000D01*
+X85190000Y-78091000D02*
+X80690000Y-78091000D01*
+X77810000Y-78091000D02*
+X73310000Y-78091000D01*
+X85171000Y-78131000D02*
+X80690000Y-78131000D01*
+X77810000Y-78131000D02*
+X73329000Y-78131000D01*
+X85152000Y-78171000D02*
+X80690000Y-78171000D01*
+X77810000Y-78171000D02*
+X73348000Y-78171000D01*
+X85132000Y-78211000D02*
+X80690000Y-78211000D01*
+X77810000Y-78211000D02*
+X73368000Y-78211000D01*
+X85112000Y-78251000D02*
+X80690000Y-78251000D01*
+X77810000Y-78251000D02*
+X73388000Y-78251000D01*
+X85091000Y-78291000D02*
+X80690000Y-78291000D01*
+X77810000Y-78291000D02*
+X73409000Y-78291000D01*
+X85070000Y-78331000D02*
+X80690000Y-78331000D01*
+X77810000Y-78331000D02*
+X73430000Y-78331000D01*
+X85049000Y-78371000D02*
+X80690000Y-78371000D01*
+X77810000Y-78371000D02*
+X73451000Y-78371000D01*
+X85028000Y-78411000D02*
+X80690000Y-78411000D01*
+X77810000Y-78411000D02*
+X73472000Y-78411000D01*
+X85006000Y-78451000D02*
+X80690000Y-78451000D01*
+X77810000Y-78451000D02*
+X73494000Y-78451000D01*
+X84983000Y-78491000D02*
+X80690000Y-78491000D01*
+X77810000Y-78491000D02*
+X73517000Y-78491000D01*
+X84961000Y-78531000D02*
+X80690000Y-78531000D01*
+X77810000Y-78531000D02*
+X73539000Y-78531000D01*
+X84938000Y-78571000D02*
+X80690000Y-78571000D01*
+X77810000Y-78571000D02*
+X73562000Y-78571000D01*
+X84914000Y-78611000D02*
+X80690000Y-78611000D01*
+X77810000Y-78611000D02*
+X73586000Y-78611000D01*
+X84891000Y-78651000D02*
+X80690000Y-78651000D01*
+X77810000Y-78651000D02*
+X73609000Y-78651000D01*
+X84867000Y-78691000D02*
+X80690000Y-78691000D01*
+X77810000Y-78691000D02*
+X73633000Y-78691000D01*
+X84842000Y-78731000D02*
+X80690000Y-78731000D01*
+X77810000Y-78731000D02*
+X73658000Y-78731000D01*
+X84817000Y-78771000D02*
+X80690000Y-78771000D01*
+X77810000Y-78771000D02*
+X73683000Y-78771000D01*
+X84792000Y-78811000D02*
+X80690000Y-78811000D01*
+X77810000Y-78811000D02*
+X73708000Y-78811000D01*
+X84766000Y-78851000D02*
+X80690000Y-78851000D01*
+X77810000Y-78851000D02*
+X73734000Y-78851000D01*
+X84740000Y-78891000D02*
+X80690000Y-78891000D01*
+X77810000Y-78891000D02*
+X73760000Y-78891000D01*
+X84713000Y-78931000D02*
+X80690000Y-78931000D01*
+X77810000Y-78931000D02*
+X73787000Y-78931000D01*
+X84686000Y-78971000D02*
+X80690000Y-78971000D01*
+X77810000Y-78971000D02*
+X73814000Y-78971000D01*
+X84659000Y-79011000D02*
+X80690000Y-79011000D01*
+X77810000Y-79011000D02*
+X73841000Y-79011000D01*
+X84631000Y-79051000D02*
+X80690000Y-79051000D01*
+X77810000Y-79051000D02*
+X73869000Y-79051000D01*
+X84603000Y-79091000D02*
+X80690000Y-79091000D01*
+X77810000Y-79091000D02*
+X73897000Y-79091000D01*
+X84574000Y-79131000D02*
+X80690000Y-79131000D01*
+X77810000Y-79131000D02*
+X73926000Y-79131000D01*
+X84545000Y-79171000D02*
+X80690000Y-79171000D01*
+X77810000Y-79171000D02*
+X73955000Y-79171000D01*
+X84515000Y-79211000D02*
+X73985000Y-79211000D01*
+X84485000Y-79251000D02*
+X74015000Y-79251000D01*
+X84455000Y-79291000D02*
+X74045000Y-79291000D01*
+X84424000Y-79331000D02*
+X74076000Y-79331000D01*
+X84392000Y-79371000D02*
+X74108000Y-79371000D01*
+X84360000Y-79411000D02*
+X74140000Y-79411000D01*
+X84328000Y-79451000D02*
+X74172000Y-79451000D01*
+X84294000Y-79491000D02*
+X74206000Y-79491000D01*
+X84261000Y-79531000D02*
+X74239000Y-79531000D01*
+X84227000Y-79571000D02*
+X74273000Y-79571000D01*
+X84192000Y-79611000D02*
+X74308000Y-79611000D01*
+X84157000Y-79651000D02*
+X74343000Y-79651000D01*
+X84121000Y-79691000D02*
+X74379000Y-79691000D01*
+X84084000Y-79731000D02*
+X74416000Y-79731000D01*
+X84047000Y-79771000D02*
+X74453000Y-79771000D01*
+X84010000Y-79811000D02*
+X74490000Y-79811000D01*
+X83971000Y-79851000D02*
+X74529000Y-79851000D01*
+X83932000Y-79891000D02*
+X74568000Y-79891000D01*
+X83893000Y-79931000D02*
+X74607000Y-79931000D01*
+X83852000Y-79971000D02*
+X74648000Y-79971000D01*
+X83811000Y-80011000D02*
+X74689000Y-80011000D01*
+X83769000Y-80051000D02*
+X74731000Y-80051000D01*
+X83727000Y-80091000D02*
+X74773000Y-80091000D01*
+X83684000Y-80131000D02*
+X74816000Y-80131000D01*
+X83640000Y-80171000D02*
+X74860000Y-80171000D01*
+X83595000Y-80211000D02*
+X74905000Y-80211000D01*
+X83549000Y-80251000D02*
+X74951000Y-80251000D01*
+X83503000Y-80291000D02*
+X74997000Y-80291000D01*
+X83455000Y-80331000D02*
+X75045000Y-80331000D01*
+X83407000Y-80371000D02*
+X75093000Y-80371000D01*
+X83358000Y-80411000D02*
+X75142000Y-80411000D01*
+X83307000Y-80451000D02*
+X75193000Y-80451000D01*
+X83256000Y-80491000D02*
+X75244000Y-80491000D01*
+X83204000Y-80531000D02*
+X75296000Y-80531000D01*
+X83150000Y-80571000D02*
+X75350000Y-80571000D01*
+X83096000Y-80611000D02*
+X75404000Y-80611000D01*
+X83040000Y-80651000D02*
+X75460000Y-80651000D01*
+X82983000Y-80691000D02*
+X75517000Y-80691000D01*
+X82925000Y-80731000D02*
+X75575000Y-80731000D01*
+X82865000Y-80771000D02*
+X75635000Y-80771000D01*
+X82804000Y-80811000D02*
+X75696000Y-80811000D01*
+X82741000Y-80851000D02*
+X75759000Y-80851000D01*
+X82677000Y-80891000D02*
+X75823000Y-80891000D01*
+X82611000Y-80931000D02*
+X75889000Y-80931000D01*
+X82543000Y-80971000D02*
+X75957000Y-80971000D01*
+X82473000Y-81011000D02*
+X76027000Y-81011000D01*
+X82402000Y-81051000D02*
+X76098000Y-81051000D01*
+X82328000Y-81091000D02*
+X76172000Y-81091000D01*
+X82252000Y-81131000D02*
+X76248000Y-81131000D01*
+X82173000Y-81171000D02*
+X76327000Y-81171000D01*
+X82092000Y-81211000D02*
+X76408000Y-81211000D01*
+X82008000Y-81251000D02*
+X76492000Y-81251000D01*
+X81920000Y-81291000D02*
+X76580000Y-81291000D01*
+X81829000Y-81331000D02*
+X76671000Y-81331000D01*
+X81734000Y-81371000D02*
+X76766000Y-81371000D01*
+X81635000Y-81411000D02*
+X76865000Y-81411000D01*
+X81531000Y-81451000D02*
+X76969000Y-81451000D01*
+X81421000Y-81491000D02*
+X77079000Y-81491000D01*
+X81305000Y-81531000D02*
+X77195000Y-81531000D01*
+X81181000Y-81571000D02*
+X77319000Y-81571000D01*
+X81048000Y-81611000D02*
+X77452000Y-81611000D01*
+X80903000Y-81651000D02*
+X77597000Y-81651000D01*
+X80744000Y-81691000D02*
+X77756000Y-81691000D01*
+X80565000Y-81731000D02*
+X77935000Y-81731000D01*
+X80357000Y-81771000D02*
+X78143000Y-81771000D01*
+X80100000Y-81811000D02*
+X78400000Y-81811000D01*
+X79725000Y-81851000D02*
+X78775000Y-81851000D01*
+X82965000Y-68165431D02*
+X82965000Y-69465431D01*
+X83615000Y-68815431D02*
+X82315000Y-68815431D01*
+X76534756Y-114396682D02*
+G75*
+G02X76680000Y-115080000I-1534756J-683318D01*
+G01*
+X74316958Y-113544574D02*
+G75*
+G02X75684000Y-113545000I683042J-1535426D01*
+G01*
+X73464574Y-115763042D02*
+G75*
+G02X73465000Y-114396000I1535426J683042D01*
+G01*
+X75683042Y-116615426D02*
+G75*
+G02X74316000Y-116615000I-683042J1535426D01*
+G01*
+X76680253Y-115051195D02*
+G75*
+G02X76535000Y-115764000I-1680253J-28805D01*
+G01*
+X76680000Y-110080000D02*
+G75*
+G03X76680000Y-110080000I-1680000J0D01*
+G01*
+X76680000Y-105080000D02*
+G75*
+G03X76680000Y-105080000I-1680000J0D01*
+G01*
+X79100000Y-117640000D02*
+X79100000Y-102520000D01*
+X77600000Y-117640000D02*
+X77600000Y-102520000D01*
+X72699000Y-117640000D02*
+X72699000Y-102520000D01*
+X69739000Y-117640000D02*
+X69739000Y-102520000D01*
+X79660000Y-117640000D02*
+X79660000Y-102520000D01*
+X69739000Y-117640000D02*
+X79660000Y-117640000D01*
+X69739000Y-102520000D02*
+X79660000Y-102520000D01*
+X73931000Y-108805000D02*
+X73977000Y-108852000D01*
+X76239000Y-111114000D02*
+X76274000Y-111149000D01*
+X73725000Y-109010000D02*
+X73761000Y-109045000D01*
+X76023000Y-111307000D02*
+X76069000Y-111354000D01*
+X73931000Y-103805000D02*
+X73977000Y-103852000D01*
+X76239000Y-106114000D02*
+X76274000Y-106149000D01*
+X73725000Y-104010000D02*
+X73761000Y-104045000D01*
+X76023000Y-106307000D02*
+X76069000Y-106354000D01*
+X79160000Y-117880000D02*
+X79900000Y-117880000D01*
+X79900000Y-117880000D02*
+X79900000Y-117380000D01*
+X76534756Y-134396682D02*
+G75*
+G02X76680000Y-135080000I-1534756J-683318D01*
+G01*
+X74316958Y-133544574D02*
+G75*
+G02X75684000Y-133545000I683042J-1535426D01*
+G01*
+X73464574Y-135763042D02*
+G75*
+G02X73465000Y-134396000I1535426J683042D01*
+G01*
+X75683042Y-136615426D02*
+G75*
+G02X74316000Y-136615000I-683042J1535426D01*
+G01*
+X76680253Y-135051195D02*
+G75*
+G02X76535000Y-135764000I-1680253J-28805D01*
+G01*
+X76680000Y-130080000D02*
+G75*
+G03X76680000Y-130080000I-1680000J0D01*
+G01*
+X76680000Y-125080000D02*
+G75*
+G03X76680000Y-125080000I-1680000J0D01*
+G01*
+X79100000Y-137640000D02*
+X79100000Y-122520000D01*
+X77600000Y-137640000D02*
+X77600000Y-122520000D01*
+X72699000Y-137640000D02*
+X72699000Y-122520000D01*
+X69739000Y-137640000D02*
+X69739000Y-122520000D01*
+X79660000Y-137640000D02*
+X79660000Y-122520000D01*
+X69739000Y-137640000D02*
+X79660000Y-137640000D01*
+X69739000Y-122520000D02*
+X79660000Y-122520000D01*
+X73931000Y-128805000D02*
+X73977000Y-128852000D01*
+X76239000Y-131114000D02*
+X76274000Y-131149000D01*
+X73725000Y-129010000D02*
+X73761000Y-129045000D01*
+X76023000Y-131307000D02*
+X76069000Y-131354000D01*
+X73931000Y-123805000D02*
+X73977000Y-123852000D01*
+X76239000Y-126114000D02*
+X76274000Y-126149000D01*
+X73725000Y-124010000D02*
+X73761000Y-124045000D01*
+X76023000Y-126307000D02*
+X76069000Y-126354000D01*
+X79160000Y-137880000D02*
+X79900000Y-137880000D01*
+X79900000Y-137880000D02*
+X79900000Y-137380000D01*
+X163465244Y-125603318D02*
+G75*
+G02X163320000Y-124920000I1534756J683318D01*
+G01*
+X165683042Y-126455426D02*
+G75*
+G02X164316000Y-126455000I-683042J1535426D01*
+G01*
+X166535426Y-124236958D02*
+G75*
+G02X166535000Y-125604000I-1535426J-683042D01*
+G01*
+X164316958Y-123384574D02*
+G75*
+G02X165684000Y-123385000I683042J-1535426D01*
+G01*
+X163319747Y-124948805D02*
+G75*
+G02X163465000Y-124236000I1680253J28805D01*
+G01*
+X166680000Y-129920000D02*
+G75*
+G03X166680000Y-129920000I-1680000J0D01*
+G01*
+X166680000Y-134920000D02*
+G75*
+G03X166680000Y-134920000I-1680000J0D01*
+G01*
+X160900000Y-122360000D02*
+X160900000Y-137480000D01*
+X162400000Y-122360000D02*
+X162400000Y-137480000D01*
+X167301000Y-122360000D02*
+X167301000Y-137480000D01*
+X170261000Y-122360000D02*
+X170261000Y-137480000D01*
+X160340000Y-122360000D02*
+X160340000Y-137480000D01*
+X170261000Y-122360000D02*
+X160340000Y-122360000D01*
+X170261000Y-137480000D02*
+X160340000Y-137480000D01*
+X166069000Y-131195000D02*
+X166023000Y-131148000D01*
+X163761000Y-128886000D02*
+X163726000Y-128851000D01*
+X166275000Y-130990000D02*
+X166239000Y-130955000D01*
+X163977000Y-128693000D02*
+X163931000Y-128646000D01*
+X166069000Y-136195000D02*
+X166023000Y-136148000D01*
+X163761000Y-133886000D02*
+X163726000Y-133851000D01*
+X166275000Y-135990000D02*
+X166239000Y-135955000D01*
+X163977000Y-133693000D02*
+X163931000Y-133646000D01*
+X160840000Y-122120000D02*
+X160100000Y-122120000D01*
+X160100000Y-122120000D02*
+X160100000Y-122620000D01*
+X163465244Y-105523318D02*
+G75*
+G02X163320000Y-104840000I1534756J683318D01*
+G01*
+X165683042Y-106375426D02*
+G75*
+G02X164316000Y-106375000I-683042J1535426D01*
+G01*
+X166535426Y-104156958D02*
+G75*
+G02X166535000Y-105524000I-1535426J-683042D01*
+G01*
+X164316958Y-103304574D02*
+G75*
+G02X165684000Y-103305000I683042J-1535426D01*
+G01*
+X163319747Y-104868805D02*
+G75*
+G02X163465000Y-104156000I1680253J28805D01*
+G01*
+X166680000Y-109840000D02*
+G75*
+G03X166680000Y-109840000I-1680000J0D01*
+G01*
+X166680000Y-114840000D02*
+G75*
+G03X166680000Y-114840000I-1680000J0D01*
+G01*
+X160900000Y-102280000D02*
+X160900000Y-117400000D01*
+X162400000Y-102280000D02*
+X162400000Y-117400000D01*
+X167301000Y-102280000D02*
+X167301000Y-117400000D01*
+X170261000Y-102280000D02*
+X170261000Y-117400000D01*
+X160340000Y-102280000D02*
+X160340000Y-117400000D01*
+X170261000Y-102280000D02*
+X160340000Y-102280000D01*
+X170261000Y-117400000D02*
+X160340000Y-117400000D01*
+X166069000Y-111115000D02*
+X166023000Y-111068000D01*
+X163761000Y-108806000D02*
+X163726000Y-108771000D01*
+X166275000Y-110910000D02*
+X166239000Y-110875000D01*
+X163977000Y-108613000D02*
+X163931000Y-108566000D01*
+X166069000Y-116115000D02*
+X166023000Y-116068000D01*
+X163761000Y-113806000D02*
+X163726000Y-113771000D01*
+X166275000Y-115910000D02*
+X166239000Y-115875000D01*
+X163977000Y-113613000D02*
+X163931000Y-113566000D01*
+X160840000Y-102040000D02*
+X160100000Y-102040000D01*
+X160100000Y-102040000D02*
+X160100000Y-102540000D01*
+X122610000Y-92397936D02*
+X122610000Y-93602064D01*
+X120790000Y-92397936D02*
+X120790000Y-93602064D01*
+X107410000Y-58240000D02*
+X106150000Y-58240000D01*
+X100590000Y-58240000D02*
+X101850000Y-58240000D01*
+X107410000Y-62000000D02*
+X107410000Y-58240000D01*
+X100590000Y-64250000D02*
+X100590000Y-58240000D01*
+X136990000Y-90250000D02*
+X136990000Y-92200000D01*
+X136990000Y-90250000D02*
+X136990000Y-88300000D01*
+X142110000Y-90250000D02*
+X142110000Y-92200000D01*
+X142110000Y-90250000D02*
+X142110000Y-86800000D01*
+X123540000Y-90250000D02*
+X123540000Y-92200000D01*
+X123540000Y-90250000D02*
+X123540000Y-88300000D01*
+X128660000Y-90250000D02*
+X128660000Y-92200000D01*
+X128660000Y-90250000D02*
+X128660000Y-86800000D01*
+X104150000Y-72250000D02*
+G75*
+G03X104150000Y-72250000I-950000J0D01*
+G01*
+X118900000Y-88050000D02*
+G75*
+G03X118900000Y-88050000I-950000J0D01*
+G01*
+X121260000Y-85878733D02*
+X121260000Y-86221267D01*
+X120240000Y-85878733D02*
+X120240000Y-86221267D01*
+X100260000Y-80328733D02*
+X100260000Y-80671267D01*
+X99240000Y-80328733D02*
+X99240000Y-80671267D01*
+X102260000Y-77578733D02*
+X102260000Y-77921267D01*
+X101240000Y-77578733D02*
+X101240000Y-77921267D01*
+X98421267Y-69010000D02*
+X98078733Y-69010000D01*
+X98421267Y-67990000D02*
+X98078733Y-67990000D01*
+X98921267Y-78260000D02*
+X98578733Y-78260000D01*
+X98921267Y-77240000D02*
+X98578733Y-77240000D01*
+X131221267Y-86110000D02*
+X130878733Y-86110000D01*
+X131221267Y-85090000D02*
+X130878733Y-85090000D01*
+X86360000Y-82647936D02*
+X86360000Y-83852064D01*
+X83640000Y-82647936D02*
+X83640000Y-83852064D01*
+X101150000Y-74350000D02*
+X95150000Y-74350000D01*
+X101150000Y-70150000D02*
+X95150000Y-70150000D01*
+X143000000Y-93700000D02*
+X146300000Y-93700000D01*
+X146300000Y-93700000D02*
+X146300000Y-88300000D01*
+X143000000Y-93700000D02*
+X143000000Y-88300000D01*
+X93400000Y-74150000D02*
+X93400000Y-70850000D01*
+X93400000Y-70850000D02*
+X88000000Y-70850000D01*
+X93400000Y-74150000D02*
+X88000000Y-74150000D01*
+X129450000Y-93700000D02*
+X132750000Y-93700000D01*
+X132750000Y-93700000D02*
+X132750000Y-88300000D01*
+X129450000Y-93700000D02*
+X129450000Y-88300000D01*
+X108490000Y-61002064D02*
+X108490000Y-59797936D01*
+X110310000Y-61002064D02*
+X110310000Y-59797936D01*
+X112020000Y-67200000D02*
+G75*
+G03X112020000Y-67200000I-2620000J0D01*
+G01*
+X108360000Y-67200000D02*
+X106820000Y-67200000D01*
+X111980000Y-67200000D02*
+X110440000Y-67200000D01*
+X108360000Y-67240000D02*
+X106820000Y-67240000D01*
+X111980000Y-67240000D02*
+X110440000Y-67240000D01*
+X111979000Y-67280000D02*
+X110440000Y-67280000D01*
+X108360000Y-67280000D02*
+X106821000Y-67280000D01*
+X111978000Y-67320000D02*
+X110440000Y-67320000D01*
+X108360000Y-67320000D02*
+X106822000Y-67320000D01*
+X111976000Y-67360000D02*
+X110440000Y-67360000D01*
+X108360000Y-67360000D02*
+X106824000Y-67360000D01*
+X111973000Y-67400000D02*
+X110440000Y-67400000D01*
+X108360000Y-67400000D02*
+X106827000Y-67400000D01*
+X111969000Y-67440000D02*
+X110440000Y-67440000D01*
+X108360000Y-67440000D02*
+X106831000Y-67440000D01*
+X111965000Y-67480000D02*
+X110440000Y-67480000D01*
+X108360000Y-67480000D02*
+X106835000Y-67480000D01*
+X111961000Y-67520000D02*
+X110440000Y-67520000D01*
+X108360000Y-67520000D02*
+X106839000Y-67520000D01*
+X111956000Y-67560000D02*
+X110440000Y-67560000D01*
+X108360000Y-67560000D02*
+X106844000Y-67560000D01*
+X111950000Y-67600000D02*
+X110440000Y-67600000D01*
+X108360000Y-67600000D02*
+X106850000Y-67600000D01*
+X111943000Y-67640000D02*
+X110440000Y-67640000D01*
+X108360000Y-67640000D02*
+X106857000Y-67640000D01*
+X111936000Y-67680000D02*
+X110440000Y-67680000D01*
+X108360000Y-67680000D02*
+X106864000Y-67680000D01*
+X111928000Y-67720000D02*
+X110440000Y-67720000D01*
+X108360000Y-67720000D02*
+X106872000Y-67720000D01*
+X111920000Y-67760000D02*
+X110440000Y-67760000D01*
+X108360000Y-67760000D02*
+X106880000Y-67760000D01*
+X111911000Y-67800000D02*
+X110440000Y-67800000D01*
+X108360000Y-67800000D02*
+X106889000Y-67800000D01*
+X111901000Y-67840000D02*
+X110440000Y-67840000D01*
+X108360000Y-67840000D02*
+X106899000Y-67840000D01*
+X111891000Y-67880000D02*
+X110440000Y-67880000D01*
+X108360000Y-67880000D02*
+X106909000Y-67880000D01*
+X111880000Y-67921000D02*
+X110440000Y-67921000D01*
+X108360000Y-67921000D02*
+X106920000Y-67921000D01*
+X111868000Y-67961000D02*
+X110440000Y-67961000D01*
+X108360000Y-67961000D02*
+X106932000Y-67961000D01*
+X111855000Y-68001000D02*
+X110440000Y-68001000D01*
+X108360000Y-68001000D02*
+X106945000Y-68001000D01*
+X111842000Y-68041000D02*
+X110440000Y-68041000D01*
+X108360000Y-68041000D02*
+X106958000Y-68041000D01*
+X111828000Y-68081000D02*
+X110440000Y-68081000D01*
+X108360000Y-68081000D02*
+X106972000Y-68081000D01*
+X111814000Y-68121000D02*
+X110440000Y-68121000D01*
+X108360000Y-68121000D02*
+X106986000Y-68121000D01*
+X111798000Y-68161000D02*
+X110440000Y-68161000D01*
+X108360000Y-68161000D02*
+X107002000Y-68161000D01*
+X111782000Y-68201000D02*
+X110440000Y-68201000D01*
+X108360000Y-68201000D02*
+X107018000Y-68201000D01*
+X111765000Y-68241000D02*
+X110440000Y-68241000D01*
+X108360000Y-68241000D02*
+X107035000Y-68241000D01*
+X111748000Y-68281000D02*
+X110440000Y-68281000D01*
+X108360000Y-68281000D02*
+X107052000Y-68281000D01*
+X111729000Y-68321000D02*
+X110440000Y-68321000D01*
+X108360000Y-68321000D02*
+X107071000Y-68321000D01*
+X111710000Y-68361000D02*
+X110440000Y-68361000D01*
+X108360000Y-68361000D02*
+X107090000Y-68361000D01*
+X111690000Y-68401000D02*
+X110440000Y-68401000D01*
+X108360000Y-68401000D02*
+X107110000Y-68401000D01*
+X111668000Y-68441000D02*
+X110440000Y-68441000D01*
+X108360000Y-68441000D02*
+X107132000Y-68441000D01*
+X111647000Y-68481000D02*
+X110440000Y-68481000D01*
+X108360000Y-68481000D02*
+X107153000Y-68481000D01*
+X111624000Y-68521000D02*
+X110440000Y-68521000D01*
+X108360000Y-68521000D02*
+X107176000Y-68521000D01*
+X111600000Y-68561000D02*
+X110440000Y-68561000D01*
+X108360000Y-68561000D02*
+X107200000Y-68561000D01*
+X111575000Y-68601000D02*
+X110440000Y-68601000D01*
+X108360000Y-68601000D02*
+X107225000Y-68601000D01*
+X111549000Y-68641000D02*
+X110440000Y-68641000D01*
+X108360000Y-68641000D02*
+X107251000Y-68641000D01*
+X111522000Y-68681000D02*
+X110440000Y-68681000D01*
+X108360000Y-68681000D02*
+X107278000Y-68681000D01*
+X111495000Y-68721000D02*
+X110440000Y-68721000D01*
+X108360000Y-68721000D02*
+X107305000Y-68721000D01*
+X111465000Y-68761000D02*
+X110440000Y-68761000D01*
+X108360000Y-68761000D02*
+X107335000Y-68761000D01*
+X111435000Y-68801000D02*
+X110440000Y-68801000D01*
+X108360000Y-68801000D02*
+X107365000Y-68801000D01*
+X111404000Y-68841000D02*
+X110440000Y-68841000D01*
+X108360000Y-68841000D02*
+X107396000Y-68841000D01*
+X111371000Y-68881000D02*
+X110440000Y-68881000D01*
+X108360000Y-68881000D02*
+X107429000Y-68881000D01*
+X111337000Y-68921000D02*
+X110440000Y-68921000D01*
+X108360000Y-68921000D02*
+X107463000Y-68921000D01*
+X111301000Y-68961000D02*
+X110440000Y-68961000D01*
+X108360000Y-68961000D02*
+X107499000Y-68961000D01*
+X111264000Y-69001000D02*
+X110440000Y-69001000D01*
+X108360000Y-69001000D02*
+X107536000Y-69001000D01*
+X111226000Y-69041000D02*
+X110440000Y-69041000D01*
+X108360000Y-69041000D02*
+X107574000Y-69041000D01*
+X111185000Y-69081000D02*
+X110440000Y-69081000D01*
+X108360000Y-69081000D02*
+X107615000Y-69081000D01*
+X111143000Y-69121000D02*
+X110440000Y-69121000D01*
+X108360000Y-69121000D02*
+X107657000Y-69121000D01*
+X111099000Y-69161000D02*
+X110440000Y-69161000D01*
+X108360000Y-69161000D02*
+X107701000Y-69161000D01*
+X111053000Y-69201000D02*
+X110440000Y-69201000D01*
+X108360000Y-69201000D02*
+X107747000Y-69201000D01*
+X111005000Y-69241000D02*
+X107795000Y-69241000D01*
+X110954000Y-69281000D02*
+X107846000Y-69281000D01*
+X110900000Y-69321000D02*
+X107900000Y-69321000D01*
+X110843000Y-69361000D02*
+X107957000Y-69361000D01*
+X110783000Y-69401000D02*
+X108017000Y-69401000D01*
+X110719000Y-69441000D02*
+X108081000Y-69441000D01*
+X110651000Y-69481000D02*
+X108149000Y-69481000D01*
+X110578000Y-69521000D02*
+X108222000Y-69521000D01*
+X110498000Y-69561000D02*
+X108302000Y-69561000D01*
+X110411000Y-69601000D02*
+X108389000Y-69601000D01*
+X110315000Y-69641000D02*
+X108485000Y-69641000D01*
+X110205000Y-69681000D02*
+X108595000Y-69681000D01*
+X110077000Y-69721000D02*
+X108723000Y-69721000D01*
+X109918000Y-69761000D02*
+X108882000Y-69761000D01*
+X109684000Y-69801000D02*
+X109116000Y-69801000D01*
+X110875000Y-64395225D02*
+X110875000Y-64895225D01*
+X111125000Y-64645225D02*
+X110625000Y-64645225D01*
+X135810000Y-92410436D02*
+X135810000Y-93614564D01*
+X133990000Y-92410436D02*
+X133990000Y-93614564D01*
+X98260000Y-80328733D02*
+X98260000Y-80671267D01*
+X97240000Y-80328733D02*
+X97240000Y-80671267D01*
+X98921267Y-76510000D02*
+X98578733Y-76510000D01*
+X98921267Y-75490000D02*
+X98578733Y-75490000D01*
+X88478733Y-81190000D02*
+X88821267Y-81190000D01*
+X88478733Y-82210000D02*
+X88821267Y-82210000D01*
+X83520000Y-87950000D02*
+G75*
+G03X83520000Y-87950000I-5120000J0D01*
+G01*
+X78400000Y-93030000D02*
+X78400000Y-82870000D01*
+X78360000Y-93030000D02*
+X78360000Y-82870000D01*
+X78320000Y-93030000D02*
+X78320000Y-82870000D01*
+X78280000Y-93029000D02*
+X78280000Y-82871000D01*
+X78240000Y-93028000D02*
+X78240000Y-82872000D01*
+X78200000Y-93027000D02*
+X78200000Y-82873000D01*
+X78160000Y-93025000D02*
+X78160000Y-82875000D01*
+X78120000Y-93023000D02*
+X78120000Y-82877000D01*
+X78080000Y-93020000D02*
+X78080000Y-82880000D01*
+X78040000Y-93018000D02*
+X78040000Y-82882000D01*
+X78000000Y-93015000D02*
+X78000000Y-82885000D01*
+X77960000Y-93012000D02*
+X77960000Y-82888000D01*
+X77920000Y-93008000D02*
+X77920000Y-82892000D01*
+X77880000Y-93004000D02*
+X77880000Y-82896000D01*
+X77840000Y-93000000D02*
+X77840000Y-82900000D01*
+X77800000Y-92995000D02*
+X77800000Y-82905000D01*
+X77760000Y-92990000D02*
+X77760000Y-82910000D01*
+X77720000Y-92985000D02*
+X77720000Y-82915000D01*
+X77679000Y-92980000D02*
+X77679000Y-82920000D01*
+X77639000Y-92974000D02*
+X77639000Y-82926000D01*
+X77599000Y-92968000D02*
+X77599000Y-82932000D01*
+X77559000Y-92961000D02*
+X77559000Y-82939000D01*
+X77519000Y-92954000D02*
+X77519000Y-82946000D01*
+X77479000Y-92947000D02*
+X77479000Y-82953000D01*
+X77439000Y-92940000D02*
+X77439000Y-82960000D01*
+X77399000Y-92932000D02*
+X77399000Y-82968000D01*
+X77359000Y-92924000D02*
+X77359000Y-82976000D01*
+X77319000Y-92915000D02*
+X77319000Y-82985000D01*
+X77279000Y-92906000D02*
+X77279000Y-82994000D01*
+X77239000Y-92897000D02*
+X77239000Y-83003000D01*
+X77199000Y-92888000D02*
+X77199000Y-83012000D01*
+X77159000Y-92878000D02*
+X77159000Y-83022000D01*
+X77119000Y-92868000D02*
+X77119000Y-89191000D01*
+X77119000Y-86709000D02*
+X77119000Y-83032000D01*
+X77079000Y-92857000D02*
+X77079000Y-89191000D01*
+X77079000Y-86709000D02*
+X77079000Y-83043000D01*
+X77039000Y-92847000D02*
+X77039000Y-89191000D01*
+X77039000Y-86709000D02*
+X77039000Y-83053000D01*
+X76999000Y-92835000D02*
+X76999000Y-89191000D01*
+X76999000Y-86709000D02*
+X76999000Y-83065000D01*
+X76959000Y-92824000D02*
+X76959000Y-89191000D01*
+X76959000Y-86709000D02*
+X76959000Y-83076000D01*
+X76919000Y-92812000D02*
+X76919000Y-89191000D01*
+X76919000Y-86709000D02*
+X76919000Y-83088000D01*
+X76879000Y-92800000D02*
+X76879000Y-89191000D01*
+X76879000Y-86709000D02*
+X76879000Y-83100000D01*
+X76839000Y-92787000D02*
+X76839000Y-89191000D01*
+X76839000Y-86709000D02*
+X76839000Y-83113000D01*
+X76799000Y-92774000D02*
+X76799000Y-89191000D01*
+X76799000Y-86709000D02*
+X76799000Y-83126000D01*
+X76759000Y-92761000D02*
+X76759000Y-89191000D01*
+X76759000Y-86709000D02*
+X76759000Y-83139000D01*
+X76719000Y-92747000D02*
+X76719000Y-89191000D01*
+X76719000Y-86709000D02*
+X76719000Y-83153000D01*
+X76679000Y-92733000D02*
+X76679000Y-89191000D01*
+X76679000Y-86709000D02*
+X76679000Y-83167000D01*
+X76639000Y-92718000D02*
+X76639000Y-89191000D01*
+X76639000Y-86709000D02*
+X76639000Y-83182000D01*
+X76599000Y-92704000D02*
+X76599000Y-89191000D01*
+X76599000Y-86709000D02*
+X76599000Y-83196000D01*
+X76559000Y-92688000D02*
+X76559000Y-89191000D01*
+X76559000Y-86709000D02*
+X76559000Y-83212000D01*
+X76519000Y-92673000D02*
+X76519000Y-89191000D01*
+X76519000Y-86709000D02*
+X76519000Y-83227000D01*
+X76479000Y-92657000D02*
+X76479000Y-89191000D01*
+X76479000Y-86709000D02*
+X76479000Y-83243000D01*
+X76439000Y-92640000D02*
+X76439000Y-89191000D01*
+X76439000Y-86709000D02*
+X76439000Y-83260000D01*
+X76399000Y-92624000D02*
+X76399000Y-89191000D01*
+X76399000Y-86709000D02*
+X76399000Y-83276000D01*
+X76359000Y-92607000D02*
+X76359000Y-89191000D01*
+X76359000Y-86709000D02*
+X76359000Y-83293000D01*
+X76319000Y-92589000D02*
+X76319000Y-89191000D01*
+X76319000Y-86709000D02*
+X76319000Y-83311000D01*
+X76279000Y-92571000D02*
+X76279000Y-89191000D01*
+X76279000Y-86709000D02*
+X76279000Y-83329000D01*
+X76239000Y-92553000D02*
+X76239000Y-89191000D01*
+X76239000Y-86709000D02*
+X76239000Y-83347000D01*
+X76199000Y-92534000D02*
+X76199000Y-89191000D01*
+X76199000Y-86709000D02*
+X76199000Y-83366000D01*
+X76159000Y-92514000D02*
+X76159000Y-89191000D01*
+X76159000Y-86709000D02*
+X76159000Y-83386000D01*
+X76119000Y-92495000D02*
+X76119000Y-89191000D01*
+X76119000Y-86709000D02*
+X76119000Y-83405000D01*
+X76079000Y-92475000D02*
+X76079000Y-89191000D01*
+X76079000Y-86709000D02*
+X76079000Y-83425000D01*
+X76039000Y-92454000D02*
+X76039000Y-89191000D01*
+X76039000Y-86709000D02*
+X76039000Y-83446000D01*
+X75999000Y-92433000D02*
+X75999000Y-89191000D01*
+X75999000Y-86709000D02*
+X75999000Y-83467000D01*
+X75959000Y-92412000D02*
+X75959000Y-89191000D01*
+X75959000Y-86709000D02*
+X75959000Y-83488000D01*
+X75919000Y-92390000D02*
+X75919000Y-89191000D01*
+X75919000Y-86709000D02*
+X75919000Y-83510000D01*
+X75879000Y-92367000D02*
+X75879000Y-89191000D01*
+X75879000Y-86709000D02*
+X75879000Y-83533000D01*
+X75839000Y-92345000D02*
+X75839000Y-89191000D01*
+X75839000Y-86709000D02*
+X75839000Y-83555000D01*
+X75799000Y-92321000D02*
+X75799000Y-89191000D01*
+X75799000Y-86709000D02*
+X75799000Y-83579000D01*
+X75759000Y-92297000D02*
+X75759000Y-89191000D01*
+X75759000Y-86709000D02*
+X75759000Y-83603000D01*
+X75719000Y-92273000D02*
+X75719000Y-89191000D01*
+X75719000Y-86709000D02*
+X75719000Y-83627000D01*
+X75679000Y-92248000D02*
+X75679000Y-89191000D01*
+X75679000Y-86709000D02*
+X75679000Y-83652000D01*
+X75639000Y-92223000D02*
+X75639000Y-89191000D01*
+X75639000Y-86709000D02*
+X75639000Y-83677000D01*
+X75599000Y-92197000D02*
+X75599000Y-89191000D01*
+X75599000Y-86709000D02*
+X75599000Y-83703000D01*
+X75559000Y-92171000D02*
+X75559000Y-89191000D01*
+X75559000Y-86709000D02*
+X75559000Y-83729000D01*
+X75519000Y-92144000D02*
+X75519000Y-89191000D01*
+X75519000Y-86709000D02*
+X75519000Y-83756000D01*
+X75479000Y-92116000D02*
+X75479000Y-89191000D01*
+X75479000Y-86709000D02*
+X75479000Y-83784000D01*
+X75439000Y-92088000D02*
+X75439000Y-89191000D01*
+X75439000Y-86709000D02*
+X75439000Y-83812000D01*
+X75399000Y-92060000D02*
+X75399000Y-89191000D01*
+X75399000Y-86709000D02*
+X75399000Y-83840000D01*
+X75359000Y-92030000D02*
+X75359000Y-89191000D01*
+X75359000Y-86709000D02*
+X75359000Y-83870000D01*
+X75319000Y-92000000D02*
+X75319000Y-89191000D01*
+X75319000Y-86709000D02*
+X75319000Y-83900000D01*
+X75279000Y-91970000D02*
+X75279000Y-89191000D01*
+X75279000Y-86709000D02*
+X75279000Y-83930000D01*
+X75239000Y-91939000D02*
+X75239000Y-89191000D01*
+X75239000Y-86709000D02*
+X75239000Y-83961000D01*
+X75199000Y-91907000D02*
+X75199000Y-89191000D01*
+X75199000Y-86709000D02*
+X75199000Y-83993000D01*
+X75159000Y-91875000D02*
+X75159000Y-89191000D01*
+X75159000Y-86709000D02*
+X75159000Y-84025000D01*
+X75119000Y-91842000D02*
+X75119000Y-89191000D01*
+X75119000Y-86709000D02*
+X75119000Y-84058000D01*
+X75079000Y-91808000D02*
+X75079000Y-89191000D01*
+X75079000Y-86709000D02*
+X75079000Y-84092000D01*
+X75039000Y-91774000D02*
+X75039000Y-89191000D01*
+X75039000Y-86709000D02*
+X75039000Y-84126000D01*
+X74999000Y-91739000D02*
+X74999000Y-89191000D01*
+X74999000Y-86709000D02*
+X74999000Y-84161000D01*
+X74959000Y-91703000D02*
+X74959000Y-89191000D01*
+X74959000Y-86709000D02*
+X74959000Y-84197000D01*
+X74919000Y-91666000D02*
+X74919000Y-89191000D01*
+X74919000Y-86709000D02*
+X74919000Y-84234000D01*
+X74879000Y-91629000D02*
+X74879000Y-89191000D01*
+X74879000Y-86709000D02*
+X74879000Y-84271000D01*
+X74839000Y-91590000D02*
+X74839000Y-89191000D01*
+X74839000Y-86709000D02*
+X74839000Y-84310000D01*
+X74799000Y-91551000D02*
+X74799000Y-89191000D01*
+X74799000Y-86709000D02*
+X74799000Y-84349000D01*
+X74759000Y-91511000D02*
+X74759000Y-89191000D01*
+X74759000Y-86709000D02*
+X74759000Y-84389000D01*
+X74719000Y-91470000D02*
+X74719000Y-89191000D01*
+X74719000Y-86709000D02*
+X74719000Y-84430000D01*
+X74679000Y-91428000D02*
+X74679000Y-89191000D01*
+X74679000Y-86709000D02*
+X74679000Y-84472000D01*
+X74639000Y-91386000D02*
+X74639000Y-84514000D01*
+X74599000Y-91342000D02*
+X74599000Y-84558000D01*
+X74559000Y-91297000D02*
+X74559000Y-84603000D01*
+X74519000Y-91251000D02*
+X74519000Y-84649000D01*
+X74479000Y-91204000D02*
+X74479000Y-84696000D01*
+X74439000Y-91156000D02*
+X74439000Y-84744000D01*
+X74399000Y-91106000D02*
+X74399000Y-84794000D01*
+X74359000Y-91056000D02*
+X74359000Y-84844000D01*
+X74319000Y-91004000D02*
+X74319000Y-84896000D01*
+X74279000Y-90950000D02*
+X74279000Y-84950000D01*
+X74239000Y-90895000D02*
+X74239000Y-85005000D01*
+X74199000Y-90839000D02*
+X74199000Y-85061000D01*
+X74159000Y-90780000D02*
+X74159000Y-85120000D01*
+X74119000Y-90720000D02*
+X74119000Y-85180000D01*
+X74079000Y-90659000D02*
+X74079000Y-85241000D01*
+X74039000Y-90595000D02*
+X74039000Y-85305000D01*
+X73999000Y-90529000D02*
+X73999000Y-85371000D01*
+X73959000Y-90460000D02*
+X73959000Y-85440000D01*
+X73919000Y-90389000D02*
+X73919000Y-85511000D01*
+X73879000Y-90315000D02*
+X73879000Y-85585000D01*
+X73839000Y-90239000D02*
+X73839000Y-85661000D01*
+X73799000Y-90159000D02*
+X73799000Y-85741000D01*
+X73759000Y-90075000D02*
+X73759000Y-85825000D01*
+X73719000Y-89987000D02*
+X73719000Y-85913000D01*
+X73679000Y-89894000D02*
+X73679000Y-86006000D01*
+X73639000Y-89796000D02*
+X73639000Y-86104000D01*
+X73599000Y-89692000D02*
+X73599000Y-86208000D01*
+X73559000Y-89580000D02*
+X73559000Y-86320000D01*
+X73519000Y-89460000D02*
+X73519000Y-86440000D01*
+X73479000Y-89328000D02*
+X73479000Y-86572000D01*
+X73439000Y-89180000D02*
+X73439000Y-86720000D01*
+X73399000Y-89012000D02*
+X73399000Y-86888000D01*
+X73359000Y-88812000D02*
+X73359000Y-87088000D01*
+X73319000Y-88549000D02*
+X73319000Y-87351000D01*
+X83879646Y-90825000D02*
+X82879646Y-90825000D01*
+X83379646Y-91325000D02*
+X83379646Y-90325000D01*
+X157550000Y-84600000D02*
+G75*
+G03X157550000Y-84600000I-950000J0D01*
+G01*
+X154700000Y-89000000D02*
+G75*
+G03X154700000Y-89000000I-950000J0D01*
+G01*
+X155650000Y-86550000D02*
+G75*
+G03X155650000Y-86550000I-950000J0D01*
+G01*
+X113650000Y-87050000D02*
+G75*
+G03X113650000Y-87050000I-950000J0D01*
+G01*
+X111150000Y-88700000D02*
+G75*
+G03X111150000Y-88700000I-950000J0D01*
+G01*
+X109150000Y-87700000D02*
+G75*
+G03X109150000Y-87700000I-950000J0D01*
+G01*
+X99700000Y-63450000D02*
+G75*
+G03X99700000Y-63450000I-950000J0D01*
+G01*
+X135300000Y-85400000D02*
+G75*
+G03X135300000Y-85400000I-950000J0D01*
+G01*
+X99750000Y-60300000D02*
+G75*
+G03X99750000Y-60300000I-950000J0D01*
+G01*
+X86350000Y-88400000D02*
+G75*
+G03X86350000Y-88400000I-950000J0D01*
+G01*
+X86350000Y-91450000D02*
+G75*
+G03X86350000Y-91450000I-950000J0D01*
+G01*
+X100300000Y-86950000D02*
+X100300000Y-87650000D01*
+X99100000Y-87650000D02*
+X99100000Y-86950000D01*
+X101600000Y-92610000D02*
+X103400000Y-92610000D01*
+X103400000Y-89390000D02*
+X100950000Y-89390000D01*
+X139150000Y-75600000D02*
+X139150000Y-76300000D01*
+X137950000Y-76300000D02*
+X137950000Y-75600000D01*
+X130400000Y-73600000D02*
+X130400000Y-72900000D01*
+X131600000Y-72900000D02*
+X131600000Y-73600000D01*
+D11*
+X132175000Y-77825000D02*
+X132225000Y-77825000D01*
+X132175000Y-73675000D02*
+X132320000Y-73675000D01*
+X137325000Y-73675000D02*
+X137180000Y-73675000D01*
+X137325000Y-77825000D02*
+X137180000Y-77825000D01*
+X132175000Y-77825000D02*
+X132175000Y-73675000D01*
+X137325000Y-77825000D02*
+X137325000Y-73675000D01*
+X132225000Y-77825000D02*
+X132225000Y-79225000D01*
+D16*
+X158740000Y-65421267D02*
+X158740000Y-65078733D01*
+X159760000Y-65421267D02*
+X159760000Y-65078733D01*
+X100010000Y-90828733D02*
+X100010000Y-91171267D01*
+X98990000Y-90828733D02*
+X98990000Y-91171267D01*
+X106028733Y-91440000D02*
+X106371267Y-91440000D01*
+X106028733Y-92460000D02*
+X106371267Y-92460000D01*
+X103728733Y-87790000D02*
+X104071267Y-87790000D01*
+X103728733Y-88810000D02*
+X104071267Y-88810000D01*
+X100790000Y-87471267D02*
+X100790000Y-87128733D01*
+X101810000Y-87471267D02*
+X101810000Y-87128733D01*
+X122960000Y-85903733D02*
+X122960000Y-86246267D01*
+X121940000Y-85903733D02*
+X121940000Y-86246267D01*
+X121600000Y-120350000D02*
+X121600000Y-119650000D01*
+X122800000Y-119650000D02*
+X122800000Y-120350000D01*
+X164770000Y-80220000D02*
+X164770000Y-74220000D01*
+X164770000Y-74220000D02*
+X154410000Y-74220000D01*
+X154410000Y-74220000D02*
+X154410000Y-80220000D01*
+X154410000Y-80220000D02*
+X164770000Y-80220000D01*
+X163400000Y-74220000D02*
+X163400000Y-75220000D01*
+X163400000Y-75220000D02*
+X155780000Y-75220000D01*
+X155780000Y-75220000D02*
+X155780000Y-74220000D01*
+X163400000Y-75220000D02*
+X163150000Y-75650000D01*
+X163150000Y-75650000D02*
+X156030000Y-75650000D01*
+X156030000Y-75650000D02*
+X155780000Y-75220000D01*
+X163150000Y-74220000D02*
+X163150000Y-75220000D01*
+X156030000Y-74220000D02*
+X156030000Y-75220000D01*
+X164200000Y-80220000D02*
+X164200000Y-79600000D01*
+X164200000Y-79600000D02*
+X162600000Y-79600000D01*
+X162600000Y-79600000D02*
+X162600000Y-80220000D01*
+X161660000Y-80220000D02*
+X161660000Y-79600000D01*
+X161660000Y-79600000D02*
+X160060000Y-79600000D01*
+X160060000Y-79600000D02*
+X160060000Y-80220000D01*
+X159120000Y-80220000D02*
+X159120000Y-79600000D01*
+X159120000Y-79600000D02*
+X157520000Y-79600000D01*
+X157520000Y-79600000D02*
+X157520000Y-80220000D01*
+X156580000Y-80220000D02*
+X156580000Y-79600000D01*
+X156580000Y-79600000D02*
+X154980000Y-79600000D01*
+X154980000Y-79600000D02*
+X154980000Y-80220000D01*
+D11*
+X96450000Y-87825000D02*
+X96450000Y-86600000D01*
+X93325000Y-87825000D02*
+X93325000Y-91175000D01*
+X96675000Y-87825000D02*
+X96675000Y-91175000D01*
+X93325000Y-87825000D02*
+X93625000Y-87825000D01*
+X93325000Y-91175000D02*
+X93625000Y-91175000D01*
+X96675000Y-91175000D02*
+X96375000Y-91175000D01*
+X96675000Y-87825000D02*
+X96450000Y-87825000D01*
+X118650000Y-74950000D02*
+X124625000Y-74950000D01*
+X120175000Y-81850000D02*
+X124625000Y-81850000D01*
+D16*
+X128000000Y-78650000D02*
+X128000000Y-77950000D01*
+X129200000Y-77950000D02*
+X129200000Y-78650000D01*
+X155400000Y-92150000D02*
+X155400000Y-91450000D01*
+X156600000Y-91450000D02*
+X156600000Y-92150000D01*
+X113150000Y-79850000D02*
+X113850000Y-79850000D01*
+X113850000Y-81050000D02*
+X113150000Y-81050000D01*
+X110150000Y-92100000D02*
+X109450000Y-92100000D01*
+X109450000Y-90900000D02*
+X110150000Y-90900000D01*
+X117600000Y-74150000D02*
+X117600000Y-74850000D01*
+X116400000Y-74850000D02*
+X116400000Y-74150000D01*
+X139200000Y-84250000D02*
+X139900000Y-84250000D01*
+X139900000Y-85450000D02*
+X139200000Y-85450000D01*
+X136300000Y-153600000D02*
+X132300000Y-153600000D01*
+X136250000Y-150400000D02*
+X132250000Y-150400000D01*
+X86450000Y-150400000D02*
+X82450000Y-150400000D01*
+X86500000Y-153600000D02*
+X82500000Y-153600000D01*
+X86450000Y-145400000D02*
+X82450000Y-145400000D01*
+X86500000Y-148600000D02*
+X82500000Y-148600000D01*
+X136300000Y-148600000D02*
+X132300000Y-148600000D01*
+X136250000Y-145400000D02*
+X132250000Y-145400000D01*
+X116971267Y-93660000D02*
+X116628733Y-93660000D01*
+X116971267Y-92640000D02*
+X116628733Y-92640000D01*
+X114321267Y-90990000D02*
+X113978733Y-90990000D01*
+X114321267Y-92010000D02*
+X113978733Y-92010000D01*
+X113671267Y-83010000D02*
+X113328733Y-83010000D01*
+X113671267Y-81990000D02*
+X113328733Y-81990000D01*
+X129628733Y-150710000D02*
+X129971267Y-150710000D01*
+X129628733Y-149690000D02*
+X129971267Y-149690000D01*
+X80540000Y-152171267D02*
+X80540000Y-151828733D01*
+X81560000Y-152171267D02*
+X81560000Y-151828733D01*
+X80590000Y-147171267D02*
+X80590000Y-146828733D01*
+X81610000Y-147171267D02*
+X81610000Y-146828733D01*
+X129628733Y-151690000D02*
+X129971267Y-151690000D01*
+X129628733Y-152710000D02*
+X129971267Y-152710000D01*
+X136478733Y-80710000D02*
+X136821267Y-80710000D01*
+X136478733Y-79690000D02*
+X136821267Y-79690000D01*
+X125790000Y-72528733D02*
+X125790000Y-72871267D01*
+X126810000Y-72528733D02*
+X126810000Y-72871267D01*
+X128510000Y-72528733D02*
+X128510000Y-72871267D01*
+X127490000Y-72528733D02*
+X127490000Y-72871267D01*
+X97552064Y-122710000D02*
+X93447936Y-122710000D01*
+X97552064Y-119290000D02*
+X93447936Y-119290000D01*
+X97552064Y-96290000D02*
+X93447936Y-96290000D01*
+X97552064Y-99710000D02*
+X93447936Y-99710000D01*
+X97552064Y-107790000D02*
+X93447936Y-107790000D01*
+X97552064Y-111210000D02*
+X93447936Y-111210000D01*
+X97552064Y-105210000D02*
+X93447936Y-105210000D01*
+X97552064Y-101790000D02*
+X93447936Y-101790000D01*
+X97552064Y-113790000D02*
+X93447936Y-113790000D01*
+X97552064Y-117210000D02*
+X93447936Y-117210000D01*
+X111150000Y-73250000D02*
+X111150000Y-78650000D01*
+X115650000Y-73250000D02*
+X111150000Y-73250000D01*
+X117880000Y-67920000D02*
+X116550000Y-67920000D01*
+X117880000Y-66590000D02*
+X117880000Y-67920000D01*
+X117880000Y-65320000D02*
+X115220000Y-65320000D01*
+X115220000Y-65320000D02*
+X115220000Y-57640000D01*
+X117880000Y-65320000D02*
+X117880000Y-57640000D01*
+X117880000Y-57640000D02*
+X115220000Y-57640000D01*
+X90340000Y-59350000D02*
+X90340000Y-58730000D01*
+X88740000Y-59350000D02*
+X90340000Y-59350000D01*
+X88740000Y-58730000D02*
+X88740000Y-59350000D01*
+X87800000Y-59350000D02*
+X87800000Y-58730000D01*
+X86200000Y-59350000D02*
+X87800000Y-59350000D01*
+X86200000Y-58730000D02*
+X86200000Y-59350000D01*
+X89290000Y-64730000D02*
+X89290000Y-63730000D01*
+X87250000Y-64730000D02*
+X87250000Y-63730000D01*
+X89290000Y-63300000D02*
+X89540000Y-63730000D01*
+X87250000Y-63300000D02*
+X89290000Y-63300000D01*
+X87000000Y-63730000D02*
+X87250000Y-63300000D01*
+X89540000Y-63730000D02*
+X89540000Y-64730000D01*
+X87000000Y-63730000D02*
+X89540000Y-63730000D01*
+X87000000Y-64730000D02*
+X87000000Y-63730000D01*
+X90910000Y-58730000D02*
+X85630000Y-58730000D01*
+X90910000Y-64730000D02*
+X90910000Y-58730000D01*
+X85630000Y-64730000D02*
+X90910000Y-64730000D01*
+X85630000Y-58730000D02*
+X85630000Y-64730000D01*
+D11*
+X128275000Y-121499950D02*
+X129525000Y-121499950D01*
+X128275000Y-118324950D02*
+X124925000Y-118324950D01*
+X128275000Y-121674950D02*
+X124925000Y-121674950D01*
+X128275000Y-118324950D02*
+X128275000Y-118574950D01*
+X124925000Y-118324950D02*
+X124925000Y-118574950D01*
+X124925000Y-121674950D02*
+X124925000Y-121424950D01*
+X128275000Y-121674950D02*
+X128275000Y-121499950D01*
+D16*
+X166671267Y-69660000D02*
+X166328733Y-69660000D01*
+X166671267Y-68640000D02*
+X166328733Y-68640000D01*
+X166671267Y-67140000D02*
+X166328733Y-67140000D01*
+X166671267Y-68160000D02*
+X166328733Y-68160000D01*
+X140040000Y-54635000D02*
+X156680000Y-54635000D01*
+X140040000Y-54635000D02*
+X140040000Y-62340000D01*
+X156680000Y-54635000D02*
+X156680000Y-62340000D01*
+X140040000Y-70515000D02*
+X156680000Y-70515000D01*
+X140040000Y-70515000D02*
+X140040000Y-64840000D01*
+X156680000Y-70515000D02*
+X156680000Y-64840000D01*
+X139800000Y-62200000D02*
+X139300000Y-62700000D01*
+X139300000Y-62700000D02*
+X139300000Y-61700000D01*
+X139300000Y-61700000D02*
+X139800000Y-62200000D01*
+X119450000Y-61700000D02*
+X119950000Y-62200000D01*
+X119450000Y-62700000D02*
+X119450000Y-61700000D01*
+X119950000Y-62200000D02*
+X119450000Y-62700000D01*
+X136830000Y-70515000D02*
+X136830000Y-64840000D01*
+X120190000Y-70515000D02*
+X120190000Y-64840000D01*
+X120190000Y-70515000D02*
+X136830000Y-70515000D01*
+X136830000Y-54635000D02*
+X136830000Y-62340000D01*
+X120190000Y-54635000D02*
+X120190000Y-62340000D01*
+X120190000Y-54635000D02*
+X136830000Y-54635000D01*
+D11*
+X163475000Y-93400000D02*
+X164850000Y-93400000D01*
+X163475000Y-83275000D02*
+X159325000Y-83275000D01*
+X163475000Y-93425000D02*
+X159325000Y-93425000D01*
+X163475000Y-83275000D02*
+X163475000Y-83380000D01*
+X159325000Y-83275000D02*
+X159325000Y-83380000D01*
+X159325000Y-93425000D02*
+X159325000Y-93320000D01*
+X163475000Y-93425000D02*
+X163475000Y-93400000D01*
+D16*
+X162321267Y-68640000D02*
+X161978733Y-68640000D01*
+X162321267Y-69660000D02*
+X161978733Y-69660000D01*
+X162321267Y-68160000D02*
+X161978733Y-68160000D01*
+X162321267Y-67140000D02*
+X161978733Y-67140000D01*
+D11*
+X144007142Y-84852380D02*
+X143673809Y-84376190D01*
+X143435714Y-84852380D02*
+X143435714Y-83852380D01*
+X143816666Y-83852380D01*
+X143911904Y-83900000D01*
+X143959523Y-83947619D01*
+X144007142Y-84042857D01*
+X144007142Y-84185714D01*
+X143959523Y-84280952D01*
+X143911904Y-84328571D01*
+X143816666Y-84376190D01*
+X143435714Y-84376190D01*
+X144959523Y-84852380D02*
+X144388095Y-84852380D01*
+X144673809Y-84852380D02*
+X144673809Y-83852380D01*
+X144578571Y-83995238D01*
+X144483333Y-84090476D01*
+X144388095Y-84138095D01*
+X145435714Y-84852380D02*
+X145626190Y-84852380D01*
+X145721428Y-84804761D01*
+X145769047Y-84757142D01*
+X145864285Y-84614285D01*
+X145911904Y-84423809D01*
+X145911904Y-84042857D01*
+X145864285Y-83947619D01*
+X145816666Y-83900000D01*
+X145721428Y-83852380D01*
+X145530952Y-83852380D01*
+X145435714Y-83900000D01*
+X145388095Y-83947619D01*
+X145340476Y-84042857D01*
+X145340476Y-84280952D01*
+X145388095Y-84376190D01*
+X145435714Y-84423809D01*
+X145530952Y-84471428D01*
+X145721428Y-84471428D01*
+X145816666Y-84423809D01*
+X145864285Y-84376190D01*
+X145911904Y-84280952D01*
+X91988095Y-80452380D02*
+X91988095Y-81261904D01*
+X92035714Y-81357142D01*
+X92083333Y-81404761D01*
+X92178571Y-81452380D01*
+X92369047Y-81452380D01*
+X92464285Y-81404761D01*
+X92511904Y-81357142D01*
+X92559523Y-81261904D01*
+X92559523Y-80452380D01*
+X92940476Y-80452380D02*
+X93559523Y-80452380D01*
+X93226190Y-80833333D01*
+X93369047Y-80833333D01*
+X93464285Y-80880952D01*
+X93511904Y-80928571D01*
+X93559523Y-81023809D01*
+X93559523Y-81261904D01*
+X93511904Y-81357142D01*
+X93464285Y-81404761D01*
+X93369047Y-81452380D01*
+X93083333Y-81452380D01*
+X92988095Y-81404761D01*
+X92940476Y-81357142D01*
+X103107142Y-70357142D02*
+X103059523Y-70404761D01*
+X102916666Y-70452380D01*
+X102821428Y-70452380D01*
+X102678571Y-70404761D01*
+X102583333Y-70309523D01*
+X102535714Y-70214285D01*
+X102488095Y-70023809D01*
+X102488095Y-69880952D01*
+X102535714Y-69690476D01*
+X102583333Y-69595238D01*
+X102678571Y-69500000D01*
+X102821428Y-69452380D01*
+X102916666Y-69452380D01*
+X103059523Y-69500000D01*
+X103107142Y-69547619D01*
+X104059523Y-70452380D02*
+X103488095Y-70452380D01*
+X103773809Y-70452380D02*
+X103773809Y-69452380D01*
+X103678571Y-69595238D01*
+X103583333Y-69690476D01*
+X103488095Y-69738095D01*
+X104964285Y-69452380D02*
+X104488095Y-69452380D01*
+X104440476Y-69928571D01*
+X104488095Y-69880952D01*
+X104583333Y-69833333D01*
+X104821428Y-69833333D01*
+X104916666Y-69880952D01*
+X104964285Y-69928571D01*
+X105011904Y-70023809D01*
+X105011904Y-70261904D01*
+X104964285Y-70357142D01*
+X104916666Y-70404761D01*
+X104821428Y-70452380D01*
+X104583333Y-70452380D01*
+X104488095Y-70404761D01*
+X104440476Y-70357142D01*
+X90957142Y-91242857D02*
+X91004761Y-91290476D01*
+X91052380Y-91433333D01*
+X91052380Y-91528571D01*
+X91004761Y-91671428D01*
+X90909523Y-91766666D01*
+X90814285Y-91814285D01*
+X90623809Y-91861904D01*
+X90480952Y-91861904D01*
+X90290476Y-91814285D01*
+X90195238Y-91766666D01*
+X90100000Y-91671428D01*
+X90052380Y-91528571D01*
+X90052380Y-91433333D01*
+X90100000Y-91290476D01*
+X90147619Y-91242857D01*
+X90147619Y-90861904D02*
+X90100000Y-90814285D01*
+X90052380Y-90719047D01*
+X90052380Y-90480952D01*
+X90100000Y-90385714D01*
+X90147619Y-90338095D01*
+X90242857Y-90290476D01*
+X90338095Y-90290476D01*
+X90480952Y-90338095D01*
+X91052380Y-90909523D01*
+X91052380Y-90290476D01*
+X91052380Y-89814285D02*
+X91052380Y-89623809D01*
+X91004761Y-89528571D01*
+X90957142Y-89480952D01*
+X90814285Y-89385714D01*
+X90623809Y-89338095D01*
+X90242857Y-89338095D01*
+X90147619Y-89385714D01*
+X90100000Y-89433333D01*
+X90052380Y-89528571D01*
+X90052380Y-89719047D01*
+X90100000Y-89814285D01*
+X90147619Y-89861904D01*
+X90242857Y-89909523D01*
+X90480952Y-89909523D01*
+X90576190Y-89861904D01*
+X90623809Y-89814285D01*
+X90671428Y-89719047D01*
+X90671428Y-89528571D01*
+X90623809Y-89433333D01*
+X90576190Y-89385714D01*
+X90480952Y-89338095D01*
+X112357142Y-58042857D02*
+X112404761Y-58090476D01*
+X112452380Y-58233333D01*
+X112452380Y-58328571D01*
+X112404761Y-58471428D01*
+X112309523Y-58566666D01*
+X112214285Y-58614285D01*
+X112023809Y-58661904D01*
+X111880952Y-58661904D01*
+X111690476Y-58614285D01*
+X111595238Y-58566666D01*
+X111500000Y-58471428D01*
+X111452380Y-58328571D01*
+X111452380Y-58233333D01*
+X111500000Y-58090476D01*
+X111547619Y-58042857D01*
+X112452380Y-57090476D02*
+X112452380Y-57661904D01*
+X112452380Y-57376190D02*
+X111452380Y-57376190D01*
+X111595238Y-57471428D01*
+X111690476Y-57566666D01*
+X111738095Y-57661904D01*
+X112452380Y-56614285D02*
+X112452380Y-56423809D01*
+X112404761Y-56328571D01*
+X112357142Y-56280952D01*
+X112214285Y-56185714D01*
+X112023809Y-56138095D01*
+X111642857Y-56138095D01*
+X111547619Y-56185714D01*
+X111500000Y-56233333D01*
+X111452380Y-56328571D01*
+X111452380Y-56519047D01*
+X111500000Y-56614285D01*
+X111547619Y-56661904D01*
+X111642857Y-56709523D01*
+X111880952Y-56709523D01*
+X111976190Y-56661904D01*
+X112023809Y-56614285D01*
+X112071428Y-56519047D01*
+X112071428Y-56328571D01*
+X112023809Y-56233333D01*
+X111976190Y-56185714D01*
+X111880952Y-56138095D01*
+X100357142Y-66357142D02*
+X100309523Y-66404761D01*
+X100166666Y-66452380D01*
+X100071428Y-66452380D01*
+X99928571Y-66404761D01*
+X99833333Y-66309523D01*
+X99785714Y-66214285D01*
+X99738095Y-66023809D01*
+X99738095Y-65880952D01*
+X99785714Y-65690476D01*
+X99833333Y-65595238D01*
+X99928571Y-65500000D01*
+X100071428Y-65452380D01*
+X100166666Y-65452380D01*
+X100309523Y-65500000D01*
+X100357142Y-65547619D01*
+X101309523Y-66452380D02*
+X100738095Y-66452380D01*
+X101023809Y-66452380D02*
+X101023809Y-65452380D01*
+X100928571Y-65595238D01*
+X100833333Y-65690476D01*
+X100738095Y-65738095D01*
+X102166666Y-65452380D02*
+X101976190Y-65452380D01*
+X101880952Y-65500000D01*
+X101833333Y-65547619D01*
+X101738095Y-65690476D01*
+X101690476Y-65880952D01*
+X101690476Y-66261904D01*
+X101738095Y-66357142D01*
+X101785714Y-66404761D01*
+X101880952Y-66452380D01*
+X102071428Y-66452380D01*
+X102166666Y-66404761D01*
+X102214285Y-66357142D01*
+X102261904Y-66261904D01*
+X102261904Y-66023809D01*
+X102214285Y-65928571D01*
+X102166666Y-65880952D01*
+X102071428Y-65833333D01*
+X101880952Y-65833333D01*
+X101785714Y-65880952D01*
+X101738095Y-65928571D01*
+X101690476Y-66023809D01*
+X127033333Y-84007142D02*
+X126985714Y-84054761D01*
+X126842857Y-84102380D01*
+X126747619Y-84102380D01*
+X126604761Y-84054761D01*
+X126509523Y-83959523D01*
+X126461904Y-83864285D01*
+X126414285Y-83673809D01*
+X126414285Y-83530952D01*
+X126461904Y-83340476D01*
+X126509523Y-83245238D01*
+X126604761Y-83150000D01*
+X126747619Y-83102380D01*
+X126842857Y-83102380D01*
+X126985714Y-83150000D01*
+X127033333Y-83197619D01*
+X127414285Y-83197619D02*
+X127461904Y-83150000D01*
+X127557142Y-83102380D01*
+X127795238Y-83102380D01*
+X127890476Y-83150000D01*
+X127938095Y-83197619D01*
+X127985714Y-83292857D01*
+X127985714Y-83388095D01*
+X127938095Y-83530952D01*
+X127366666Y-84102380D01*
+X127985714Y-84102380D01*
+X87357142Y-75416666D02*
+X87404761Y-75464285D01*
+X87452380Y-75607142D01*
+X87452380Y-75702380D01*
+X87404761Y-75845238D01*
+X87309523Y-75940476D01*
+X87214285Y-75988095D01*
+X87023809Y-76035714D01*
+X86880952Y-76035714D01*
+X86690476Y-75988095D01*
+X86595238Y-75940476D01*
+X86500000Y-75845238D01*
+X86452380Y-75702380D01*
+X86452380Y-75607142D01*
+X86500000Y-75464285D01*
+X86547619Y-75416666D01*
+X86452380Y-75083333D02*
+X86452380Y-74416666D01*
+X87452380Y-74845238D01*
+X68192380Y-110413333D02*
+X68906666Y-110413333D01*
+X69049523Y-110460952D01*
+X69144761Y-110556190D01*
+X69192380Y-110699047D01*
+X69192380Y-110794285D01*
+X68620952Y-109794285D02*
+X68573333Y-109889523D01*
+X68525714Y-109937142D01*
+X68430476Y-109984761D01*
+X68382857Y-109984761D01*
+X68287619Y-109937142D01*
+X68240000Y-109889523D01*
+X68192380Y-109794285D01*
+X68192380Y-109603809D01*
+X68240000Y-109508571D01*
+X68287619Y-109460952D01*
+X68382857Y-109413333D01*
+X68430476Y-109413333D01*
+X68525714Y-109460952D01*
+X68573333Y-109508571D01*
+X68620952Y-109603809D01*
+X68620952Y-109794285D01*
+X68668571Y-109889523D01*
+X68716190Y-109937142D01*
+X68811428Y-109984761D01*
+X69001904Y-109984761D01*
+X69097142Y-109937142D01*
+X69144761Y-109889523D01*
+X69192380Y-109794285D01*
+X69192380Y-109603809D01*
+X69144761Y-109508571D01*
+X69097142Y-109460952D01*
+X69001904Y-109413333D01*
+X68811428Y-109413333D01*
+X68716190Y-109460952D01*
+X68668571Y-109508571D01*
+X68620952Y-109603809D01*
+X68192380Y-130413333D02*
+X68906666Y-130413333D01*
+X69049523Y-130460952D01*
+X69144761Y-130556190D01*
+X69192380Y-130699047D01*
+X69192380Y-130794285D01*
+X68192380Y-130032380D02*
+X68192380Y-129365714D01*
+X69192380Y-129794285D01*
+X170712380Y-130253333D02*
+X171426666Y-130253333D01*
+X171569523Y-130300952D01*
+X171664761Y-130396190D01*
+X171712380Y-130539047D01*
+X171712380Y-130634285D01*
+X170807619Y-129824761D02*
+X170760000Y-129777142D01*
+X170712380Y-129681904D01*
+X170712380Y-129443809D01*
+X170760000Y-129348571D01*
+X170807619Y-129300952D01*
+X170902857Y-129253333D01*
+X170998095Y-129253333D01*
+X171140952Y-129300952D01*
+X171712380Y-129872380D01*
+X171712380Y-129253333D01*
+X170712380Y-110173333D02*
+X171426666Y-110173333D01*
+X171569523Y-110220952D01*
+X171664761Y-110316190D01*
+X171712380Y-110459047D01*
+X171712380Y-110554285D01*
+X171712380Y-109173333D02*
+X171712380Y-109744761D01*
+X171712380Y-109459047D02*
+X170712380Y-109459047D01*
+X170855238Y-109554285D01*
+X170950476Y-109649523D01*
+X170998095Y-109744761D01*
+X120357142Y-93692857D02*
+X120404761Y-93740476D01*
+X120452380Y-93883333D01*
+X120452380Y-93978571D01*
+X120404761Y-94121428D01*
+X120309523Y-94216666D01*
+X120214285Y-94264285D01*
+X120023809Y-94311904D01*
+X119880952Y-94311904D01*
+X119690476Y-94264285D01*
+X119595238Y-94216666D01*
+X119500000Y-94121428D01*
+X119452380Y-93978571D01*
+X119452380Y-93883333D01*
+X119500000Y-93740476D01*
+X119547619Y-93692857D01*
+X119547619Y-93311904D02*
+X119500000Y-93264285D01*
+X119452380Y-93169047D01*
+X119452380Y-92930952D01*
+X119500000Y-92835714D01*
+X119547619Y-92788095D01*
+X119642857Y-92740476D01*
+X119738095Y-92740476D01*
+X119880952Y-92788095D01*
+X120452380Y-93359523D01*
+X120452380Y-92740476D01*
+X119452380Y-92407142D02*
+X119452380Y-91788095D01*
+X119833333Y-92121428D01*
+X119833333Y-91978571D01*
+X119880952Y-91883333D01*
+X119928571Y-91835714D01*
+X120023809Y-91788095D01*
+X120261904Y-91788095D01*
+X120357142Y-91835714D01*
+X120404761Y-91883333D01*
+X120452380Y-91978571D01*
+X120452380Y-92264285D01*
+X120404761Y-92359523D01*
+X120357142Y-92407142D01*
+X98952380Y-60911904D02*
+X99761904Y-60911904D01*
+X99857142Y-60864285D01*
+X99904761Y-60816666D01*
+X99952380Y-60721428D01*
+X99952380Y-60530952D01*
+X99904761Y-60435714D01*
+X99857142Y-60388095D01*
+X99761904Y-60340476D01*
+X98952380Y-60340476D01*
+X98952380Y-59388095D02*
+X98952380Y-59864285D01*
+X99428571Y-59911904D01*
+X99380952Y-59864285D01*
+X99333333Y-59769047D01*
+X99333333Y-59530952D01*
+X99380952Y-59435714D01*
+X99428571Y-59388095D01*
+X99523809Y-59340476D01*
+X99761904Y-59340476D01*
+X99857142Y-59388095D01*
+X99904761Y-59435714D01*
+X99952380Y-59530952D01*
+X99952380Y-59769047D01*
+X99904761Y-59864285D01*
+X99857142Y-59911904D01*
+X137438095Y-94052380D02*
+X137438095Y-94861904D01*
+X137485714Y-94957142D01*
+X137533333Y-95004761D01*
+X137628571Y-95052380D01*
+X137819047Y-95052380D01*
+X137914285Y-95004761D01*
+X137961904Y-94957142D01*
+X138009523Y-94861904D01*
+X138009523Y-94052380D01*
+X138914285Y-94385714D02*
+X138914285Y-95052380D01*
+X138676190Y-94004761D02*
+X138438095Y-94719047D01*
+X139057142Y-94719047D01*
+X126738095Y-94202380D02*
+X126738095Y-95011904D01*
+X126785714Y-95107142D01*
+X126833333Y-95154761D01*
+X126928571Y-95202380D01*
+X127119047Y-95202380D01*
+X127214285Y-95154761D01*
+X127261904Y-95107142D01*
+X127309523Y-95011904D01*
+X127309523Y-94202380D01*
+X128309523Y-95202380D02*
+X127738095Y-95202380D01*
+X128023809Y-95202380D02*
+X128023809Y-94202380D01*
+X127928571Y-94345238D01*
+X127833333Y-94440476D01*
+X127738095Y-94488095D01*
+X104488095Y-72321428D02*
+X105250000Y-72321428D01*
+X104869047Y-72702380D02*
+X104869047Y-71940476D01*
+X106250000Y-72702380D02*
+X105678571Y-72702380D01*
+X105964285Y-72702380D02*
+X105964285Y-71702380D01*
+X105869047Y-71845238D01*
+X105773809Y-71940476D01*
+X105678571Y-71988095D01*
+X106630952Y-71797619D02*
+X106678571Y-71750000D01*
+X106773809Y-71702380D01*
+X107011904Y-71702380D01*
+X107107142Y-71750000D01*
+X107154761Y-71797619D01*
+X107202380Y-71892857D01*
+X107202380Y-71988095D01*
+X107154761Y-72130952D01*
+X106583333Y-72702380D01*
+X107202380Y-72702380D01*
+X107488095Y-71702380D02*
+X107821428Y-72702380D01*
+X108154761Y-71702380D01*
+X116092857Y-90157142D02*
+X116045238Y-90204761D01*
+X115902380Y-90252380D01*
+X115807142Y-90252380D01*
+X115664285Y-90204761D01*
+X115569047Y-90109523D01*
+X115521428Y-90014285D01*
+X115473809Y-89823809D01*
+X115473809Y-89680952D01*
+X115521428Y-89490476D01*
+X115569047Y-89395238D01*
+X115664285Y-89300000D01*
+X115807142Y-89252380D01*
+X115902380Y-89252380D01*
+X116045238Y-89300000D01*
+X116092857Y-89347619D01*
+X116378571Y-89252380D02*
+X116950000Y-89252380D01*
+X116664285Y-90252380D02*
+X116664285Y-89252380D01*
+X117854761Y-90252380D02*
+X117521428Y-89776190D01*
+X117283333Y-90252380D02*
+X117283333Y-89252380D01*
+X117664285Y-89252380D01*
+X117759523Y-89300000D01*
+X117807142Y-89347619D01*
+X117854761Y-89442857D01*
+X117854761Y-89585714D01*
+X117807142Y-89680952D01*
+X117759523Y-89728571D01*
+X117664285Y-89776190D01*
+X117283333Y-89776190D01*
+X118759523Y-90252380D02*
+X118283333Y-90252380D01*
+X118283333Y-89252380D01*
+X118854761Y-90347619D02*
+X119616666Y-90347619D01*
+X120188095Y-89728571D02*
+X120330952Y-89776190D01*
+X120378571Y-89823809D01*
+X120426190Y-89919047D01*
+X120426190Y-90061904D01*
+X120378571Y-90157142D01*
+X120330952Y-90204761D01*
+X120235714Y-90252380D01*
+X119854761Y-90252380D01*
+X119854761Y-89252380D01*
+X120188095Y-89252380D01*
+X120283333Y-89300000D01*
+X120330952Y-89347619D01*
+X120378571Y-89442857D01*
+X120378571Y-89538095D01*
+X120330952Y-89633333D01*
+X120283333Y-89680952D01*
+X120188095Y-89728571D01*
+X119854761Y-89728571D01*
+X119852380Y-86642857D02*
+X119376190Y-86976190D01*
+X119852380Y-87214285D02*
+X118852380Y-87214285D01*
+X118852380Y-86833333D01*
+X118900000Y-86738095D01*
+X118947619Y-86690476D01*
+X119042857Y-86642857D01*
+X119185714Y-86642857D01*
+X119280952Y-86690476D01*
+X119328571Y-86738095D01*
+X119376190Y-86833333D01*
+X119376190Y-87214285D01*
+X118947619Y-86261904D02*
+X118900000Y-86214285D01*
+X118852380Y-86119047D01*
+X118852380Y-85880952D01*
+X118900000Y-85785714D01*
+X118947619Y-85738095D01*
+X119042857Y-85690476D01*
+X119138095Y-85690476D01*
+X119280952Y-85738095D01*
+X119852380Y-86309523D01*
+X119852380Y-85690476D01*
+X118852380Y-85071428D02*
+X118852380Y-84976190D01*
+X118900000Y-84880952D01*
+X118947619Y-84833333D01*
+X119042857Y-84785714D01*
+X119233333Y-84738095D01*
+X119471428Y-84738095D01*
+X119661904Y-84785714D01*
+X119757142Y-84833333D01*
+X119804761Y-84880952D01*
+X119852380Y-84976190D01*
+X119852380Y-85071428D01*
+X119804761Y-85166666D01*
+X119757142Y-85214285D01*
+X119661904Y-85261904D01*
+X119471428Y-85309523D01*
+X119233333Y-85309523D01*
+X119042857Y-85261904D01*
+X118947619Y-85214285D01*
+X118900000Y-85166666D01*
+X118852380Y-85071428D01*
+X101702380Y-81642857D02*
+X101226190Y-81976190D01*
+X101702380Y-82214285D02*
+X100702380Y-82214285D01*
+X100702380Y-81833333D01*
+X100750000Y-81738095D01*
+X100797619Y-81690476D01*
+X100892857Y-81642857D01*
+X101035714Y-81642857D01*
+X101130952Y-81690476D01*
+X101178571Y-81738095D01*
+X101226190Y-81833333D01*
+X101226190Y-82214285D01*
+X101702380Y-80690476D02*
+X101702380Y-81261904D01*
+X101702380Y-80976190D02*
+X100702380Y-80976190D01*
+X100845238Y-81071428D01*
+X100940476Y-81166666D01*
+X100988095Y-81261904D01*
+X101130952Y-80119047D02*
+X101083333Y-80214285D01*
+X101035714Y-80261904D01*
+X100940476Y-80309523D01*
+X100892857Y-80309523D01*
+X100797619Y-80261904D01*
+X100750000Y-80214285D01*
+X100702380Y-80119047D01*
+X100702380Y-79928571D01*
+X100750000Y-79833333D01*
+X100797619Y-79785714D01*
+X100892857Y-79738095D01*
+X100940476Y-79738095D01*
+X101035714Y-79785714D01*
+X101083333Y-79833333D01*
+X101130952Y-79928571D01*
+X101130952Y-80119047D01*
+X101178571Y-80214285D01*
+X101226190Y-80261904D01*
+X101321428Y-80309523D01*
+X101511904Y-80309523D01*
+X101607142Y-80261904D01*
+X101654761Y-80214285D01*
+X101702380Y-80119047D01*
+X101702380Y-79928571D01*
+X101654761Y-79833333D01*
+X101607142Y-79785714D01*
+X101511904Y-79738095D01*
+X101321428Y-79738095D01*
+X101226190Y-79785714D01*
+X101178571Y-79833333D01*
+X101130952Y-79928571D01*
+X103632380Y-77916666D02*
+X103156190Y-78250000D01*
+X103632380Y-78488095D02*
+X102632380Y-78488095D01*
+X102632380Y-78107142D01*
+X102680000Y-78011904D01*
+X102727619Y-77964285D01*
+X102822857Y-77916666D01*
+X102965714Y-77916666D01*
+X103060952Y-77964285D01*
+X103108571Y-78011904D01*
+X103156190Y-78107142D01*
+X103156190Y-78488095D01*
+X102632380Y-77583333D02*
+X102632380Y-76916666D01*
+X103632380Y-77345238D01*
+X95083333Y-68952380D02*
+X94750000Y-68476190D01*
+X94511904Y-68952380D02*
+X94511904Y-67952380D01*
+X94892857Y-67952380D01*
+X94988095Y-68000000D01*
+X95035714Y-68047619D01*
+X95083333Y-68142857D01*
+X95083333Y-68285714D01*
+X95035714Y-68380952D01*
+X94988095Y-68428571D01*
+X94892857Y-68476190D01*
+X94511904Y-68476190D01*
+X95988095Y-67952380D02*
+X95511904Y-67952380D01*
+X95464285Y-68428571D01*
+X95511904Y-68380952D01*
+X95607142Y-68333333D01*
+X95845238Y-68333333D01*
+X95940476Y-68380952D01*
+X95988095Y-68428571D01*
+X96035714Y-68523809D01*
+X96035714Y-68761904D01*
+X95988095Y-68857142D01*
+X95940476Y-68904761D01*
+X95845238Y-68952380D01*
+X95607142Y-68952380D01*
+X95511904Y-68904761D01*
+X95464285Y-68857142D01*
+D12*
+X100826190Y-78483333D02*
+X100588095Y-78650000D01*
+X100826190Y-78769047D02*
+X100326190Y-78769047D01*
+X100326190Y-78578571D01*
+X100350000Y-78530952D01*
+X100373809Y-78507142D01*
+X100421428Y-78483333D01*
+X100492857Y-78483333D01*
+X100540476Y-78507142D01*
+X100564285Y-78530952D01*
+X100588095Y-78578571D01*
+X100588095Y-78769047D01*
+X100492857Y-78054761D02*
+X100826190Y-78054761D01*
+X100302380Y-78173809D02*
+X100659523Y-78292857D01*
+X100659523Y-77983333D01*
+D11*
+X130833333Y-84702380D02*
+X130500000Y-84226190D01*
+X130261904Y-84702380D02*
+X130261904Y-83702380D01*
+X130642857Y-83702380D01*
+X130738095Y-83750000D01*
+X130785714Y-83797619D01*
+X130833333Y-83892857D01*
+X130833333Y-84035714D01*
+X130785714Y-84130952D01*
+X130738095Y-84178571D01*
+X130642857Y-84226190D01*
+X130261904Y-84226190D01*
+X131166666Y-83702380D02*
+X131785714Y-83702380D01*
+X131452380Y-84083333D01*
+X131595238Y-84083333D01*
+X131690476Y-84130952D01*
+X131738095Y-84178571D01*
+X131785714Y-84273809D01*
+X131785714Y-84511904D01*
+X131738095Y-84607142D01*
+X131690476Y-84654761D01*
+X131595238Y-84702380D01*
+X131309523Y-84702380D01*
+X131214285Y-84654761D01*
+X131166666Y-84607142D01*
+X87732380Y-83416666D02*
+X87256190Y-83750000D01*
+X87732380Y-83988095D02*
+X86732380Y-83988095D01*
+X86732380Y-83607142D01*
+X86780000Y-83511904D01*
+X86827619Y-83464285D01*
+X86922857Y-83416666D01*
+X87065714Y-83416666D01*
+X87160952Y-83464285D01*
+X87208571Y-83511904D01*
+X87256190Y-83607142D01*
+X87256190Y-83988095D01*
+X87732380Y-82464285D02*
+X87732380Y-83035714D01*
+X87732380Y-82750000D02*
+X86732380Y-82750000D01*
+X86875238Y-82845238D01*
+X86970476Y-82940476D01*
+X87018095Y-83035714D01*
+X94702380Y-72416666D02*
+X94702380Y-72892857D01*
+X93702380Y-72892857D01*
+X94702380Y-71559523D02*
+X94702380Y-72130952D01*
+X94702380Y-71845238D02*
+X93702380Y-71845238D01*
+X93845238Y-71940476D01*
+X93940476Y-72035714D01*
+X93988095Y-72130952D01*
+X147702380Y-91888095D02*
+X146702380Y-91888095D01*
+X146702380Y-91650000D01*
+X146750000Y-91507142D01*
+X146845238Y-91411904D01*
+X146940476Y-91364285D01*
+X147130952Y-91316666D01*
+X147273809Y-91316666D01*
+X147464285Y-91364285D01*
+X147559523Y-91411904D01*
+X147654761Y-91507142D01*
+X147702380Y-91650000D01*
+X147702380Y-91888095D01*
+X146702380Y-90983333D02*
+X146702380Y-90364285D01*
+X147083333Y-90697619D01*
+X147083333Y-90554761D01*
+X147130952Y-90459523D01*
+X147178571Y-90411904D01*
+X147273809Y-90364285D01*
+X147511904Y-90364285D01*
+X147607142Y-90411904D01*
+X147654761Y-90459523D01*
+X147702380Y-90554761D01*
+X147702380Y-90840476D01*
+X147654761Y-90935714D01*
+X147607142Y-90983333D01*
+X89261904Y-70452380D02*
+X89261904Y-69452380D01*
+X89500000Y-69452380D01*
+X89642857Y-69500000D01*
+X89738095Y-69595238D01*
+X89785714Y-69690476D01*
+X89833333Y-69880952D01*
+X89833333Y-70023809D01*
+X89785714Y-70214285D01*
+X89738095Y-70309523D01*
+X89642857Y-70404761D01*
+X89500000Y-70452380D01*
+X89261904Y-70452380D01*
+X90214285Y-69547619D02*
+X90261904Y-69500000D01*
+X90357142Y-69452380D01*
+X90595238Y-69452380D01*
+X90690476Y-69500000D01*
+X90738095Y-69547619D01*
+X90785714Y-69642857D01*
+X90785714Y-69738095D01*
+X90738095Y-69880952D01*
+X90166666Y-70452380D01*
+X90785714Y-70452380D01*
+X130261904Y-95202380D02*
+X130261904Y-94202380D01*
+X130500000Y-94202380D01*
+X130642857Y-94250000D01*
+X130738095Y-94345238D01*
+X130785714Y-94440476D01*
+X130833333Y-94630952D01*
+X130833333Y-94773809D01*
+X130785714Y-94964285D01*
+X130738095Y-95059523D01*
+X130642857Y-95154761D01*
+X130500000Y-95202380D01*
+X130261904Y-95202380D01*
+X131785714Y-95202380D02*
+X131214285Y-95202380D01*
+X131500000Y-95202380D02*
+X131500000Y-94202380D01*
+X131404761Y-94345238D01*
+X131309523Y-94440476D01*
+X131214285Y-94488095D01*
+X108757142Y-57757142D02*
+X108709523Y-57804761D01*
+X108566666Y-57852380D01*
+X108471428Y-57852380D01*
+X108328571Y-57804761D01*
+X108233333Y-57709523D01*
+X108185714Y-57614285D01*
+X108138095Y-57423809D01*
+X108138095Y-57280952D01*
+X108185714Y-57090476D01*
+X108233333Y-56995238D01*
+X108328571Y-56900000D01*
+X108471428Y-56852380D01*
+X108566666Y-56852380D01*
+X108709523Y-56900000D01*
+X108757142Y-56947619D01*
+X109709523Y-57852380D02*
+X109138095Y-57852380D01*
+X109423809Y-57852380D02*
+X109423809Y-56852380D01*
+X109328571Y-56995238D01*
+X109233333Y-57090476D01*
+X109138095Y-57138095D01*
+X110280952Y-57280952D02*
+X110185714Y-57233333D01*
+X110138095Y-57185714D01*
+X110090476Y-57090476D01*
+X110090476Y-57042857D01*
+X110138095Y-56947619D01*
+X110185714Y-56900000D01*
+X110280952Y-56852380D01*
+X110471428Y-56852380D01*
+X110566666Y-56900000D01*
+X110614285Y-56947619D01*
+X110661904Y-57042857D01*
+X110661904Y-57090476D01*
+X110614285Y-57185714D01*
+X110566666Y-57233333D01*
+X110471428Y-57280952D01*
+X110280952Y-57280952D01*
+X110185714Y-57328571D01*
+X110138095Y-57376190D01*
+X110090476Y-57471428D01*
+X110090476Y-57661904D01*
+X110138095Y-57757142D01*
+X110185714Y-57804761D01*
+X110280952Y-57852380D01*
+X110471428Y-57852380D01*
+X110566666Y-57804761D01*
+X110614285Y-57757142D01*
+X110661904Y-57661904D01*
+X110661904Y-57471428D01*
+X110614285Y-57376190D01*
+X110566666Y-57328571D01*
+X110471428Y-57280952D01*
+X108857142Y-71057142D02*
+X108809523Y-71104761D01*
+X108666666Y-71152380D01*
+X108571428Y-71152380D01*
+X108428571Y-71104761D01*
+X108333333Y-71009523D01*
+X108285714Y-70914285D01*
+X108238095Y-70723809D01*
+X108238095Y-70580952D01*
+X108285714Y-70390476D01*
+X108333333Y-70295238D01*
+X108428571Y-70200000D01*
+X108571428Y-70152380D01*
+X108666666Y-70152380D01*
+X108809523Y-70200000D01*
+X108857142Y-70247619D01*
+X109809523Y-71152380D02*
+X109238095Y-71152380D01*
+X109523809Y-71152380D02*
+X109523809Y-70152380D01*
+X109428571Y-70295238D01*
+X109333333Y-70390476D01*
+X109238095Y-70438095D01*
+X110142857Y-70152380D02*
+X110809523Y-70152380D01*
+X110380952Y-71152380D01*
+X135357142Y-89342857D02*
+X135404761Y-89390476D01*
+X135452380Y-89533333D01*
+X135452380Y-89628571D01*
+X135404761Y-89771428D01*
+X135309523Y-89866666D01*
+X135214285Y-89914285D01*
+X135023809Y-89961904D01*
+X134880952Y-89961904D01*
+X134690476Y-89914285D01*
+X134595238Y-89866666D01*
+X134500000Y-89771428D01*
+X134452380Y-89628571D01*
+X134452380Y-89533333D01*
+X134500000Y-89390476D01*
+X134547619Y-89342857D01*
+X135452380Y-88390476D02*
+X135452380Y-88961904D01*
+X135452380Y-88676190D02*
+X134452380Y-88676190D01*
+X134595238Y-88771428D01*
+X134690476Y-88866666D01*
+X134738095Y-88961904D01*
+X134452380Y-87771428D02*
+X134452380Y-87676190D01*
+X134500000Y-87580952D01*
+X134547619Y-87533333D01*
+X134642857Y-87485714D01*
+X134833333Y-87438095D01*
+X135071428Y-87438095D01*
+X135261904Y-87485714D01*
+X135357142Y-87533333D01*
+X135404761Y-87580952D01*
+X135452380Y-87676190D01*
+X135452380Y-87771428D01*
+X135404761Y-87866666D01*
+X135357142Y-87914285D01*
+X135261904Y-87961904D01*
+X135071428Y-88009523D01*
+X134833333Y-88009523D01*
+X134642857Y-87961904D01*
+X134547619Y-87914285D01*
+X134500000Y-87866666D01*
+X134452380Y-87771428D01*
+X96757142Y-81366666D02*
+X96804761Y-81414285D01*
+X96852380Y-81557142D01*
+X96852380Y-81652380D01*
+X96804761Y-81795238D01*
+X96709523Y-81890476D01*
+X96614285Y-81938095D01*
+X96423809Y-81985714D01*
+X96280952Y-81985714D01*
+X96090476Y-81938095D01*
+X95995238Y-81890476D01*
+X95900000Y-81795238D01*
+X95852380Y-81652380D01*
+X95852380Y-81557142D01*
+X95900000Y-81414285D01*
+X95947619Y-81366666D01*
+X95852380Y-80461904D02*
+X95852380Y-80938095D01*
+X96328571Y-80985714D01*
+X96280952Y-80938095D01*
+X96233333Y-80842857D01*
+X96233333Y-80604761D01*
+X96280952Y-80509523D01*
+X96328571Y-80461904D01*
+X96423809Y-80414285D01*
+X96661904Y-80414285D01*
+X96757142Y-80461904D01*
+X96804761Y-80509523D01*
+X96852380Y-80604761D01*
+X96852380Y-80842857D01*
+X96804761Y-80938095D01*
+X96757142Y-80985714D01*
+X101333333Y-76107142D02*
+X101285714Y-76154761D01*
+X101142857Y-76202380D01*
+X101047619Y-76202380D01*
+X100904761Y-76154761D01*
+X100809523Y-76059523D01*
+X100761904Y-75964285D01*
+X100714285Y-75773809D01*
+X100714285Y-75630952D01*
+X100761904Y-75440476D01*
+X100809523Y-75345238D01*
+X100904761Y-75250000D01*
+X101047619Y-75202380D01*
+X101142857Y-75202380D01*
+X101285714Y-75250000D01*
+X101333333Y-75297619D01*
+X102190476Y-75535714D02*
+X102190476Y-76202380D01*
+X101952380Y-75154761D02*
+X101714285Y-75869047D01*
+X102333333Y-75869047D01*
+X88483333Y-80627142D02*
+X88435714Y-80674761D01*
+X88292857Y-80722380D01*
+X88197619Y-80722380D01*
+X88054761Y-80674761D01*
+X87959523Y-80579523D01*
+X87911904Y-80484285D01*
+X87864285Y-80293809D01*
+X87864285Y-80150952D01*
+X87911904Y-79960476D01*
+X87959523Y-79865238D01*
+X88054761Y-79770000D01*
+X88197619Y-79722380D01*
+X88292857Y-79722380D01*
+X88435714Y-79770000D01*
+X88483333Y-79817619D01*
+X88816666Y-79722380D02*
+X89435714Y-79722380D01*
+X89102380Y-80103333D01*
+X89245238Y-80103333D01*
+X89340476Y-80150952D01*
+X89388095Y-80198571D01*
+X89435714Y-80293809D01*
+X89435714Y-80531904D01*
+X89388095Y-80627142D01*
+X89340476Y-80674761D01*
+X89245238Y-80722380D01*
+X88959523Y-80722380D01*
+X88864285Y-80674761D01*
+X88816666Y-80627142D01*
+X78233333Y-94557142D02*
+X78185714Y-94604761D01*
+X78042857Y-94652380D01*
+X77947619Y-94652380D01*
+X77804761Y-94604761D01*
+X77709523Y-94509523D01*
+X77661904Y-94414285D01*
+X77614285Y-94223809D01*
+X77614285Y-94080952D01*
+X77661904Y-93890476D01*
+X77709523Y-93795238D01*
+X77804761Y-93700000D01*
+X77947619Y-93652380D01*
+X78042857Y-93652380D01*
+X78185714Y-93700000D01*
+X78233333Y-93747619D01*
+X79185714Y-94652380D02*
+X78614285Y-94652380D01*
+X78900000Y-94652380D02*
+X78900000Y-93652380D01*
+X78804761Y-93795238D01*
+X78709523Y-93890476D01*
+X78614285Y-93938095D01*
+X152328571Y-84152380D02*
+X152328571Y-83152380D01*
+X152661904Y-83866666D01*
+X152995238Y-83152380D01*
+X152995238Y-84152380D01*
+X153661904Y-83152380D02*
+X153852380Y-83152380D01*
+X153947619Y-83200000D01*
+X154042857Y-83295238D01*
+X154090476Y-83485714D01*
+X154090476Y-83819047D01*
+X154042857Y-84009523D01*
+X153947619Y-84104761D01*
+X153852380Y-84152380D01*
+X153661904Y-84152380D01*
+X153566666Y-84104761D01*
+X153471428Y-84009523D01*
+X153423809Y-83819047D01*
+X153423809Y-83485714D01*
+X153471428Y-83295238D01*
+X153566666Y-83200000D01*
+X153661904Y-83152380D01*
+X154471428Y-84104761D02*
+X154614285Y-84152380D01*
+X154852380Y-84152380D01*
+X154947619Y-84104761D01*
+X154995238Y-84057142D01*
+X155042857Y-83961904D01*
+X155042857Y-83866666D01*
+X154995238Y-83771428D01*
+X154947619Y-83723809D01*
+X154852380Y-83676190D01*
+X154661904Y-83628571D01*
+X154566666Y-83580952D01*
+X154519047Y-83533333D01*
+X154471428Y-83438095D01*
+X154471428Y-83342857D01*
+X154519047Y-83247619D01*
+X154566666Y-83200000D01*
+X154661904Y-83152380D01*
+X154900000Y-83152380D01*
+X155042857Y-83200000D01*
+X155471428Y-84152380D02*
+X155471428Y-83152380D01*
+X152364285Y-91154761D02*
+X152507142Y-91202380D01*
+X152745238Y-91202380D01*
+X152840476Y-91154761D01*
+X152888095Y-91107142D01*
+X152935714Y-91011904D01*
+X152935714Y-90916666D01*
+X152888095Y-90821428D01*
+X152840476Y-90773809D01*
+X152745238Y-90726190D01*
+X152554761Y-90678571D01*
+X152459523Y-90630952D01*
+X152411904Y-90583333D01*
+X152364285Y-90488095D01*
+X152364285Y-90392857D01*
+X152411904Y-90297619D01*
+X152459523Y-90250000D01*
+X152554761Y-90202380D01*
+X152792857Y-90202380D01*
+X152935714Y-90250000D01*
+X153935714Y-91107142D02*
+X153888095Y-91154761D01*
+X153745238Y-91202380D01*
+X153650000Y-91202380D01*
+X153507142Y-91154761D01*
+X153411904Y-91059523D01*
+X153364285Y-90964285D01*
+X153316666Y-90773809D01*
+X153316666Y-90630952D01*
+X153364285Y-90440476D01*
+X153411904Y-90345238D01*
+X153507142Y-90250000D01*
+X153650000Y-90202380D01*
+X153745238Y-90202380D01*
+X153888095Y-90250000D01*
+X153935714Y-90297619D01*
+X154364285Y-91202380D02*
+X154364285Y-90202380D01*
+X154935714Y-91202380D02*
+X154507142Y-90630952D01*
+X154935714Y-90202380D02*
+X154364285Y-90773809D01*
+X149776190Y-87052380D02*
+X149300000Y-87052380D01*
+X149300000Y-86052380D01*
+X150061904Y-86766666D02*
+X150538095Y-86766666D01*
+X149966666Y-87052380D02*
+X150300000Y-86052380D01*
+X150633333Y-87052380D01*
+X150823809Y-86052380D02*
+X151395238Y-86052380D01*
+X151109523Y-87052380D02*
+X151109523Y-86052380D01*
+X152300000Y-86957142D02*
+X152252380Y-87004761D01*
+X152109523Y-87052380D01*
+X152014285Y-87052380D01*
+X151871428Y-87004761D01*
+X151776190Y-86909523D01*
+X151728571Y-86814285D01*
+X151680952Y-86623809D01*
+X151680952Y-86480952D01*
+X151728571Y-86290476D01*
+X151776190Y-86195238D01*
+X151871428Y-86100000D01*
+X152014285Y-86052380D01*
+X152109523Y-86052380D01*
+X152252380Y-86100000D01*
+X152300000Y-86147619D01*
+X152728571Y-87052380D02*
+X152728571Y-86052380D01*
+X152728571Y-86528571D02*
+X153300000Y-86528571D01*
+X153300000Y-87052380D02*
+X153300000Y-86052380D01*
+X112223809Y-88980952D02*
+X113176190Y-88980952D01*
+X112414285Y-89266666D02*
+X112985714Y-89266666D01*
+X112700000Y-88552380D02*
+X112700000Y-88980952D01*
+X112795238Y-89552380D02*
+X112604761Y-89552380D01*
+X110704761Y-87414285D02*
+X110752380Y-87271428D01*
+X110752380Y-87033333D01*
+X110704761Y-86938095D01*
+X110657142Y-86890476D01*
+X110561904Y-86842857D01*
+X110466666Y-86842857D01*
+X110371428Y-86890476D01*
+X110323809Y-86938095D01*
+X110276190Y-87033333D01*
+X110228571Y-87223809D01*
+X110180952Y-87319047D01*
+X110133333Y-87366666D01*
+X110038095Y-87414285D01*
+X109942857Y-87414285D01*
+X109847619Y-87366666D01*
+X109800000Y-87319047D01*
+X109752380Y-87223809D01*
+X109752380Y-86985714D01*
+X109800000Y-86842857D01*
+X110752380Y-86414285D02*
+X109752380Y-86414285D01*
+X109752380Y-86176190D01*
+X109800000Y-86033333D01*
+X109895238Y-85938095D01*
+X109990476Y-85890476D01*
+X110180952Y-85842857D01*
+X110323809Y-85842857D01*
+X110514285Y-85890476D01*
+X110609523Y-85938095D01*
+X110704761Y-86033333D01*
+X110752380Y-86176190D01*
+X110752380Y-86414285D01*
+X110466666Y-85461904D02*
+X110466666Y-84985714D01*
+X110752380Y-85557142D02*
+X109752380Y-85223809D01*
+X110752380Y-84890476D01*
+X108604761Y-86490476D02*
+X108652380Y-86347619D01*
+X108652380Y-86109523D01*
+X108604761Y-86014285D01*
+X108557142Y-85966666D01*
+X108461904Y-85919047D01*
+X108366666Y-85919047D01*
+X108271428Y-85966666D01*
+X108223809Y-86014285D01*
+X108176190Y-86109523D01*
+X108128571Y-86300000D01*
+X108080952Y-86395238D01*
+X108033333Y-86442857D01*
+X107938095Y-86490476D01*
+X107842857Y-86490476D01*
+X107747619Y-86442857D01*
+X107700000Y-86395238D01*
+X107652380Y-86300000D01*
+X107652380Y-86061904D01*
+X107700000Y-85919047D01*
+X108557142Y-84919047D02*
+X108604761Y-84966666D01*
+X108652380Y-85109523D01*
+X108652380Y-85204761D01*
+X108604761Y-85347619D01*
+X108509523Y-85442857D01*
+X108414285Y-85490476D01*
+X108223809Y-85538095D01*
+X108080952Y-85538095D01*
+X107890476Y-85490476D01*
+X107795238Y-85442857D01*
+X107700000Y-85347619D01*
+X107652380Y-85204761D01*
+X107652380Y-85109523D01*
+X107700000Y-84966666D01*
+X107747619Y-84919047D01*
+X108652380Y-84014285D02*
+X108652380Y-84490476D01*
+X107652380Y-84490476D01*
+X98273809Y-61330952D02*
+X99226190Y-61330952D01*
+X98464285Y-61616666D02*
+X99035714Y-61616666D01*
+X98750000Y-60902380D02*
+X98750000Y-61330952D01*
+X98845238Y-61902380D02*
+X98654761Y-61902380D01*
+X132714285Y-83607142D02*
+X132666666Y-83654761D01*
+X132523809Y-83702380D01*
+X132428571Y-83702380D01*
+X132285714Y-83654761D01*
+X132190476Y-83559523D01*
+X132142857Y-83464285D01*
+X132095238Y-83273809D01*
+X132095238Y-83130952D01*
+X132142857Y-82940476D01*
+X132190476Y-82845238D01*
+X132285714Y-82750000D01*
+X132428571Y-82702380D01*
+X132523809Y-82702380D01*
+X132666666Y-82750000D01*
+X132714285Y-82797619D01*
+X133000000Y-82702380D02*
+X133571428Y-82702380D01*
+X133285714Y-83702380D02*
+X133285714Y-82702380D01*
+X134476190Y-83702380D02*
+X134142857Y-83226190D01*
+X133904761Y-83702380D02*
+X133904761Y-82702380D01*
+X134285714Y-82702380D01*
+X134380952Y-82750000D01*
+X134428571Y-82797619D01*
+X134476190Y-82892857D01*
+X134476190Y-83035714D01*
+X134428571Y-83130952D01*
+X134380952Y-83178571D01*
+X134285714Y-83226190D01*
+X133904761Y-83226190D01*
+X135380952Y-83702380D02*
+X134904761Y-83702380D01*
+X134904761Y-82702380D01*
+X135476190Y-83797619D02*
+X136238095Y-83797619D01*
+X136428571Y-83416666D02*
+X136904761Y-83416666D01*
+X136333333Y-83702380D02*
+X136666666Y-82702380D01*
+X137000000Y-83702380D01*
+X93738095Y-60271428D02*
+X94500000Y-60271428D01*
+X94119047Y-60652380D02*
+X94119047Y-59890476D01*
+X94880952Y-59652380D02*
+X95500000Y-59652380D01*
+X95166666Y-60033333D01*
+X95309523Y-60033333D01*
+X95404761Y-60080952D01*
+X95452380Y-60128571D01*
+X95500000Y-60223809D01*
+X95500000Y-60461904D01*
+X95452380Y-60557142D01*
+X95404761Y-60604761D01*
+X95309523Y-60652380D01*
+X95023809Y-60652380D01*
+X94928571Y-60604761D01*
+X94880952Y-60557142D01*
+X95785714Y-59652380D02*
+X96119047Y-60652380D01*
+X96452380Y-59652380D01*
+X96690476Y-59652380D02*
+X97309523Y-59652380D01*
+X96976190Y-60033333D01*
+X97119047Y-60033333D01*
+X97214285Y-60080952D01*
+X97261904Y-60128571D01*
+X97309523Y-60223809D01*
+X97309523Y-60461904D01*
+X97261904Y-60557142D01*
+X97214285Y-60604761D01*
+X97119047Y-60652380D01*
+X96833333Y-60652380D01*
+X96738095Y-60604761D01*
+X96690476Y-60557142D01*
+X84476190Y-85852380D02*
+X84809523Y-86852380D01*
+X85142857Y-85852380D01*
+X85476190Y-86852380D02*
+X85476190Y-86185714D01*
+X85476190Y-85852380D02*
+X85428571Y-85900000D01*
+X85476190Y-85947619D01*
+X85523809Y-85900000D01*
+X85476190Y-85852380D01*
+X85476190Y-85947619D01*
+X85952380Y-86185714D02*
+X85952380Y-86852380D01*
+X85952380Y-86280952D02*
+X86000000Y-86233333D01*
+X86095238Y-86185714D01*
+X86238095Y-86185714D01*
+X86333333Y-86233333D01*
+X86380952Y-86328571D01*
+X86380952Y-86852380D01*
+X84923809Y-93080952D02*
+X85876190Y-93080952D01*
+X85114285Y-93366666D02*
+X85685714Y-93366666D01*
+X85400000Y-92652380D02*
+X85400000Y-93080952D01*
+X85495238Y-93652380D02*
+X85304761Y-93652380D01*
+X100157142Y-84566666D02*
+X100204761Y-84614285D01*
+X100252380Y-84757142D01*
+X100252380Y-84852380D01*
+X100204761Y-84995238D01*
+X100109523Y-85090476D01*
+X100014285Y-85138095D01*
+X99823809Y-85185714D01*
+X99680952Y-85185714D01*
+X99490476Y-85138095D01*
+X99395238Y-85090476D01*
+X99300000Y-84995238D01*
+X99252380Y-84852380D01*
+X99252380Y-84757142D01*
+X99300000Y-84614285D01*
+X99347619Y-84566666D01*
+X100252380Y-84090476D02*
+X100252380Y-83900000D01*
+X100204761Y-83804761D01*
+X100157142Y-83757142D01*
+X100014285Y-83661904D01*
+X99823809Y-83614285D01*
+X99442857Y-83614285D01*
+X99347619Y-83661904D01*
+X99300000Y-83709523D01*
+X99252380Y-83804761D01*
+X99252380Y-83995238D01*
+X99300000Y-84090476D01*
+X99347619Y-84138095D01*
+X99442857Y-84185714D01*
+X99680952Y-84185714D01*
+X99776190Y-84138095D01*
+X99823809Y-84090476D01*
+X99871428Y-83995238D01*
+X99871428Y-83804761D01*
+X99823809Y-83709523D01*
+X99776190Y-83661904D01*
+X99680952Y-83614285D01*
+X101538095Y-92752380D02*
+X101538095Y-93561904D01*
+X101585714Y-93657142D01*
+X101633333Y-93704761D01*
+X101728571Y-93752380D01*
+X101919047Y-93752380D01*
+X102014285Y-93704761D01*
+X102061904Y-93657142D01*
+X102109523Y-93561904D01*
+X102109523Y-92752380D01*
+X102633333Y-93752380D02*
+X102823809Y-93752380D01*
+X102919047Y-93704761D01*
+X102966666Y-93657142D01*
+X103061904Y-93514285D01*
+X103109523Y-93323809D01*
+X103109523Y-92942857D01*
+X103061904Y-92847619D01*
+X103014285Y-92800000D01*
+X102919047Y-92752380D01*
+X102728571Y-92752380D01*
+X102633333Y-92800000D01*
+X102585714Y-92847619D01*
+X102538095Y-92942857D01*
+X102538095Y-93180952D01*
+X102585714Y-93276190D01*
+X102633333Y-93323809D01*
+X102728571Y-93371428D01*
+X102919047Y-93371428D01*
+X103014285Y-93323809D01*
+X103061904Y-93276190D01*
+X103109523Y-93180952D01*
+X140607142Y-76892857D02*
+X140654761Y-76940476D01*
+X140702380Y-77083333D01*
+X140702380Y-77178571D01*
+X140654761Y-77321428D01*
+X140559523Y-77416666D01*
+X140464285Y-77464285D01*
+X140273809Y-77511904D01*
+X140130952Y-77511904D01*
+X139940476Y-77464285D01*
+X139845238Y-77416666D01*
+X139750000Y-77321428D01*
+X139702380Y-77178571D01*
+X139702380Y-77083333D01*
+X139750000Y-76940476D01*
+X139797619Y-76892857D01*
+X140702380Y-75940476D02*
+X140702380Y-76511904D01*
+X140702380Y-76226190D02*
+X139702380Y-76226190D01*
+X139845238Y-76321428D01*
+X139940476Y-76416666D01*
+X139988095Y-76511904D01*
+X140035714Y-75083333D02*
+X140702380Y-75083333D01*
+X139654761Y-75321428D02*
+X140369047Y-75559523D01*
+X140369047Y-74940476D01*
+X130583333Y-76107142D02*
+X130535714Y-76154761D01*
+X130392857Y-76202380D01*
+X130297619Y-76202380D01*
+X130154761Y-76154761D01*
+X130059523Y-76059523D01*
+X130011904Y-75964285D01*
+X129964285Y-75773809D01*
+X129964285Y-75630952D01*
+X130011904Y-75440476D01*
+X130059523Y-75345238D01*
+X130154761Y-75250000D01*
+X130297619Y-75202380D01*
+X130392857Y-75202380D01*
+X130535714Y-75250000D01*
+X130583333Y-75297619D01*
+X131154761Y-75630952D02*
+X131059523Y-75583333D01*
+X131011904Y-75535714D01*
+X130964285Y-75440476D01*
+X130964285Y-75392857D01*
+X131011904Y-75297619D01*
+X131059523Y-75250000D01*
+X131154761Y-75202380D01*
+X131345238Y-75202380D01*
+X131440476Y-75250000D01*
+X131488095Y-75297619D01*
+X131535714Y-75392857D01*
+X131535714Y-75440476D01*
+X131488095Y-75535714D01*
+X131440476Y-75583333D01*
+X131345238Y-75630952D01*
+X131154761Y-75630952D01*
+X131059523Y-75678571D01*
+X131011904Y-75726190D01*
+X130964285Y-75821428D01*
+X130964285Y-76011904D01*
+X131011904Y-76107142D01*
+X131059523Y-76154761D01*
+X131154761Y-76202380D01*
+X131345238Y-76202380D01*
+X131440476Y-76154761D01*
+X131488095Y-76107142D01*
+X131535714Y-76011904D01*
+X131535714Y-75821428D01*
+X131488095Y-75726190D01*
+X131440476Y-75678571D01*
+X131345238Y-75630952D01*
+X132061904Y-79752380D02*
+X132061904Y-80561904D01*
+X132109523Y-80657142D01*
+X132157142Y-80704761D01*
+X132252380Y-80752380D01*
+X132442857Y-80752380D01*
+X132538095Y-80704761D01*
+X132585714Y-80657142D01*
+X132633333Y-80561904D01*
+X132633333Y-79752380D01*
+X133633333Y-80752380D02*
+X133061904Y-80752380D01*
+X133347619Y-80752380D02*
+X133347619Y-79752380D01*
+X133252380Y-79895238D01*
+X133157142Y-79990476D01*
+X133061904Y-80038095D01*
+X133966666Y-79752380D02*
+X134585714Y-79752380D01*
+X134252380Y-80133333D01*
+X134395238Y-80133333D01*
+X134490476Y-80180952D01*
+X134538095Y-80228571D01*
+X134585714Y-80323809D01*
+X134585714Y-80561904D01*
+X134538095Y-80657142D01*
+X134490476Y-80704761D01*
+X134395238Y-80752380D01*
+X134109523Y-80752380D01*
+X134014285Y-80704761D01*
+X133966666Y-80657142D01*
+X158272380Y-65892857D02*
+X157796190Y-66226190D01*
+X158272380Y-66464285D02*
+X157272380Y-66464285D01*
+X157272380Y-66083333D01*
+X157320000Y-65988095D01*
+X157367619Y-65940476D01*
+X157462857Y-65892857D01*
+X157605714Y-65892857D01*
+X157700952Y-65940476D01*
+X157748571Y-65988095D01*
+X157796190Y-66083333D01*
+X157796190Y-66464285D01*
+X157272380Y-65559523D02*
+X157272380Y-64940476D01*
+X157653333Y-65273809D01*
+X157653333Y-65130952D01*
+X157700952Y-65035714D01*
+X157748571Y-64988095D01*
+X157843809Y-64940476D01*
+X158081904Y-64940476D01*
+X158177142Y-64988095D01*
+X158224761Y-65035714D01*
+X158272380Y-65130952D01*
+X158272380Y-65416666D01*
+X158224761Y-65511904D01*
+X158177142Y-65559523D01*
+X158272380Y-64464285D02*
+X158272380Y-64273809D01*
+X158224761Y-64178571D01*
+X158177142Y-64130952D01*
+X158034285Y-64035714D01*
+X157843809Y-63988095D01*
+X157462857Y-63988095D01*
+X157367619Y-64035714D01*
+X157320000Y-64083333D01*
+X157272380Y-64178571D01*
+X157272380Y-64369047D01*
+X157320000Y-64464285D01*
+X157367619Y-64511904D01*
+X157462857Y-64559523D01*
+X157700952Y-64559523D01*
+X157796190Y-64511904D01*
+X157843809Y-64464285D01*
+X157891428Y-64369047D01*
+X157891428Y-64178571D01*
+X157843809Y-64083333D01*
+X157796190Y-64035714D01*
+X157700952Y-63988095D01*
+X98857142Y-93652380D02*
+X98523809Y-93176190D01*
+X98285714Y-93652380D02*
+X98285714Y-92652380D01*
+X98666666Y-92652380D01*
+X98761904Y-92700000D01*
+X98809523Y-92747619D01*
+X98857142Y-92842857D01*
+X98857142Y-92985714D01*
+X98809523Y-93080952D01*
+X98761904Y-93128571D01*
+X98666666Y-93176190D01*
+X98285714Y-93176190D01*
+X99190476Y-92652380D02*
+X99809523Y-92652380D01*
+X99476190Y-93033333D01*
+X99619047Y-93033333D01*
+X99714285Y-93080952D01*
+X99761904Y-93128571D01*
+X99809523Y-93223809D01*
+X99809523Y-93461904D01*
+X99761904Y-93557142D01*
+X99714285Y-93604761D01*
+X99619047Y-93652380D01*
+X99333333Y-93652380D01*
+X99238095Y-93604761D01*
+X99190476Y-93557142D01*
+X100666666Y-92652380D02*
+X100476190Y-92652380D01*
+X100380952Y-92700000D01*
+X100333333Y-92747619D01*
+X100238095Y-92890476D01*
+X100190476Y-93080952D01*
+X100190476Y-93461904D01*
+X100238095Y-93557142D01*
+X100285714Y-93604761D01*
+X100380952Y-93652380D01*
+X100571428Y-93652380D01*
+X100666666Y-93604761D01*
+X100714285Y-93557142D01*
+X100761904Y-93461904D01*
+X100761904Y-93223809D01*
+X100714285Y-93128571D01*
+X100666666Y-93080952D01*
+X100571428Y-93033333D01*
+X100380952Y-93033333D01*
+X100285714Y-93080952D01*
+X100238095Y-93128571D01*
+X100190476Y-93223809D01*
+X105557142Y-93802380D02*
+X105223809Y-93326190D01*
+X104985714Y-93802380D02*
+X104985714Y-92802380D01*
+X105366666Y-92802380D01*
+X105461904Y-92850000D01*
+X105509523Y-92897619D01*
+X105557142Y-92992857D01*
+X105557142Y-93135714D01*
+X105509523Y-93230952D01*
+X105461904Y-93278571D01*
+X105366666Y-93326190D01*
+X104985714Y-93326190D01*
+X105890476Y-92802380D02*
+X106509523Y-92802380D01*
+X106176190Y-93183333D01*
+X106319047Y-93183333D01*
+X106414285Y-93230952D01*
+X106461904Y-93278571D01*
+X106509523Y-93373809D01*
+X106509523Y-93611904D01*
+X106461904Y-93707142D01*
+X106414285Y-93754761D01*
+X106319047Y-93802380D01*
+X106033333Y-93802380D01*
+X105938095Y-93754761D01*
+X105890476Y-93707142D01*
+X107414285Y-92802380D02*
+X106938095Y-92802380D01*
+X106890476Y-93278571D01*
+X106938095Y-93230952D01*
+X107033333Y-93183333D01*
+X107271428Y-93183333D01*
+X107366666Y-93230952D01*
+X107414285Y-93278571D01*
+X107461904Y-93373809D01*
+X107461904Y-93611904D01*
+X107414285Y-93707142D01*
+X107366666Y-93754761D01*
+X107271428Y-93802380D01*
+X107033333Y-93802380D01*
+X106938095Y-93754761D01*
+X106890476Y-93707142D01*
+X103257142Y-87322380D02*
+X102923809Y-86846190D01*
+X102685714Y-87322380D02*
+X102685714Y-86322380D01*
+X103066666Y-86322380D01*
+X103161904Y-86370000D01*
+X103209523Y-86417619D01*
+X103257142Y-86512857D01*
+X103257142Y-86655714D01*
+X103209523Y-86750952D01*
+X103161904Y-86798571D01*
+X103066666Y-86846190D01*
+X102685714Y-86846190D01*
+X103590476Y-86322380D02*
+X104209523Y-86322380D01*
+X103876190Y-86703333D01*
+X104019047Y-86703333D01*
+X104114285Y-86750952D01*
+X104161904Y-86798571D01*
+X104209523Y-86893809D01*
+X104209523Y-87131904D01*
+X104161904Y-87227142D01*
+X104114285Y-87274761D01*
+X104019047Y-87322380D01*
+X103733333Y-87322380D01*
+X103638095Y-87274761D01*
+X103590476Y-87227142D01*
+X104542857Y-86322380D02*
+X105161904Y-86322380D01*
+X104828571Y-86703333D01*
+X104971428Y-86703333D01*
+X105066666Y-86750952D01*
+X105114285Y-86798571D01*
+X105161904Y-86893809D01*
+X105161904Y-87131904D01*
+X105114285Y-87227142D01*
+X105066666Y-87274761D01*
+X104971428Y-87322380D01*
+X104685714Y-87322380D01*
+X104590476Y-87274761D01*
+X104542857Y-87227142D01*
+X101952380Y-84642857D02*
+X101476190Y-84976190D01*
+X101952380Y-85214285D02*
+X100952380Y-85214285D01*
+X100952380Y-84833333D01*
+X101000000Y-84738095D01*
+X101047619Y-84690476D01*
+X101142857Y-84642857D01*
+X101285714Y-84642857D01*
+X101380952Y-84690476D01*
+X101428571Y-84738095D01*
+X101476190Y-84833333D01*
+X101476190Y-85214285D01*
+X101047619Y-84261904D02*
+X101000000Y-84214285D01*
+X100952380Y-84119047D01*
+X100952380Y-83880952D01*
+X101000000Y-83785714D01*
+X101047619Y-83738095D01*
+X101142857Y-83690476D01*
+X101238095Y-83690476D01*
+X101380952Y-83738095D01*
+X101952380Y-84309523D01*
+X101952380Y-83690476D01*
+X100952380Y-82785714D02*
+X100952380Y-83261904D01*
+X101428571Y-83309523D01*
+X101380952Y-83261904D01*
+X101333333Y-83166666D01*
+X101333333Y-82928571D01*
+X101380952Y-82833333D01*
+X101428571Y-82785714D01*
+X101523809Y-82738095D01*
+X101761904Y-82738095D01*
+X101857142Y-82785714D01*
+X101904761Y-82833333D01*
+X101952380Y-82928571D01*
+X101952380Y-83166666D01*
+X101904761Y-83261904D01*
+X101857142Y-83309523D01*
+X121757142Y-84252380D02*
+X121423809Y-83776190D01*
+X121185714Y-84252380D02*
+X121185714Y-83252380D01*
+X121566666Y-83252380D01*
+X121661904Y-83300000D01*
+X121709523Y-83347619D01*
+X121757142Y-83442857D01*
+X121757142Y-83585714D01*
+X121709523Y-83680952D01*
+X121661904Y-83728571D01*
+X121566666Y-83776190D01*
+X121185714Y-83776190D01*
+X122138095Y-83347619D02*
+X122185714Y-83300000D01*
+X122280952Y-83252380D01*
+X122519047Y-83252380D01*
+X122614285Y-83300000D01*
+X122661904Y-83347619D01*
+X122709523Y-83442857D01*
+X122709523Y-83538095D01*
+X122661904Y-83680952D01*
+X122090476Y-84252380D01*
+X122709523Y-84252380D01*
+X123566666Y-83585714D02*
+X123566666Y-84252380D01*
+X123328571Y-83204761D02*
+X123090476Y-83919047D01*
+X123709523Y-83919047D01*
+X121307142Y-120166666D02*
+X121354761Y-120214285D01*
+X121402380Y-120357142D01*
+X121402380Y-120452380D01*
+X121354761Y-120595238D01*
+X121259523Y-120690476D01*
+X121164285Y-120738095D01*
+X120973809Y-120785714D01*
+X120830952Y-120785714D01*
+X120640476Y-120738095D01*
+X120545238Y-120690476D01*
+X120450000Y-120595238D01*
+X120402380Y-120452380D01*
+X120402380Y-120357142D01*
+X120450000Y-120214285D01*
+X120497619Y-120166666D01*
+X120402380Y-119309523D02*
+X120402380Y-119500000D01*
+X120450000Y-119595238D01*
+X120497619Y-119642857D01*
+X120640476Y-119738095D01*
+X120830952Y-119785714D01*
+X121211904Y-119785714D01*
+X121307142Y-119738095D01*
+X121354761Y-119690476D01*
+X121402380Y-119595238D01*
+X121402380Y-119404761D01*
+X121354761Y-119309523D01*
+X121307142Y-119261904D01*
+X121211904Y-119214285D01*
+X120973809Y-119214285D01*
+X120878571Y-119261904D01*
+X120830952Y-119309523D01*
+X120783333Y-119404761D01*
+X120783333Y-119595238D01*
+X120830952Y-119690476D01*
+X120878571Y-119738095D01*
+X120973809Y-119785714D01*
+X163516666Y-80652380D02*
+X163516666Y-81366666D01*
+X163469047Y-81509523D01*
+X163373809Y-81604761D01*
+X163230952Y-81652380D01*
+X163135714Y-81652380D01*
+X164421428Y-80652380D02*
+X164230952Y-80652380D01*
+X164135714Y-80700000D01*
+X164088095Y-80747619D01*
+X163992857Y-80890476D01*
+X163945238Y-81080952D01*
+X163945238Y-81461904D01*
+X163992857Y-81557142D01*
+X164040476Y-81604761D01*
+X164135714Y-81652380D01*
+X164326190Y-81652380D01*
+X164421428Y-81604761D01*
+X164469047Y-81557142D01*
+X164516666Y-81461904D01*
+X164516666Y-81223809D01*
+X164469047Y-81128571D01*
+X164421428Y-81080952D01*
+X164326190Y-81033333D01*
+X164135714Y-81033333D01*
+X164040476Y-81080952D01*
+X163992857Y-81128571D01*
+X163945238Y-81223809D01*
+X91638095Y-85552380D02*
+X91638095Y-86361904D01*
+X91685714Y-86457142D01*
+X91733333Y-86504761D01*
+X91828571Y-86552380D01*
+X92019047Y-86552380D01*
+X92114285Y-86504761D01*
+X92161904Y-86457142D01*
+X92209523Y-86361904D01*
+X92209523Y-85552380D01*
+X92590476Y-85552380D02*
+X93257142Y-85552380D01*
+X92828571Y-86552380D01*
+X121638095Y-73552380D02*
+X121638095Y-74361904D01*
+X121685714Y-74457142D01*
+X121733333Y-74504761D01*
+X121828571Y-74552380D01*
+X122019047Y-74552380D01*
+X122114285Y-74504761D01*
+X122161904Y-74457142D01*
+X122209523Y-74361904D01*
+X122209523Y-73552380D01*
+X123114285Y-73552380D02*
+X122923809Y-73552380D01*
+X122828571Y-73600000D01*
+X122780952Y-73647619D01*
+X122685714Y-73790476D01*
+X122638095Y-73980952D01*
+X122638095Y-74361904D01*
+X122685714Y-74457142D01*
+X122733333Y-74504761D01*
+X122828571Y-74552380D01*
+X123019047Y-74552380D01*
+X123114285Y-74504761D01*
+X123161904Y-74457142D01*
+X123209523Y-74361904D01*
+X123209523Y-74123809D01*
+X123161904Y-74028571D01*
+X123114285Y-73980952D01*
+X123019047Y-73933333D01*
+X122828571Y-73933333D01*
+X122733333Y-73980952D01*
+X122685714Y-74028571D01*
+X122638095Y-74123809D01*
+X133704761Y-97347619D02*
+X133609523Y-97300000D01*
+X133514285Y-97204761D01*
+X133371428Y-97061904D01*
+X133276190Y-97014285D01*
+X133180952Y-97014285D01*
+X133228571Y-97252380D02*
+X133133333Y-97204761D01*
+X133038095Y-97109523D01*
+X132990476Y-96919047D01*
+X132990476Y-96585714D01*
+X133038095Y-96395238D01*
+X133133333Y-96300000D01*
+X133228571Y-96252380D01*
+X133419047Y-96252380D01*
+X133514285Y-96300000D01*
+X133609523Y-96395238D01*
+X133657142Y-96585714D01*
+X133657142Y-96919047D01*
+X133609523Y-97109523D01*
+X133514285Y-97204761D01*
+X133419047Y-97252380D01*
+X133228571Y-97252380D01*
+X134609523Y-97252380D02*
+X134038095Y-97252380D01*
+X134323809Y-97252380D02*
+X134323809Y-96252380D01*
+X134228571Y-96395238D01*
+X134133333Y-96490476D01*
+X134038095Y-96538095D01*
+X134004761Y-144247619D02*
+X133909523Y-144200000D01*
+X133814285Y-144104761D01*
+X133671428Y-143961904D01*
+X133576190Y-143914285D01*
+X133480952Y-143914285D01*
+X133528571Y-144152380D02*
+X133433333Y-144104761D01*
+X133338095Y-144009523D01*
+X133290476Y-143819047D01*
+X133290476Y-143485714D01*
+X133338095Y-143295238D01*
+X133433333Y-143200000D01*
+X133528571Y-143152380D01*
+X133719047Y-143152380D01*
+X133814285Y-143200000D01*
+X133909523Y-143295238D01*
+X133957142Y-143485714D01*
+X133957142Y-143819047D01*
+X133909523Y-144009523D01*
+X133814285Y-144104761D01*
+X133719047Y-144152380D01*
+X133528571Y-144152380D01*
+X134338095Y-143247619D02*
+X134385714Y-143200000D01*
+X134480952Y-143152380D01*
+X134719047Y-143152380D01*
+X134814285Y-143200000D01*
+X134861904Y-143247619D01*
+X134909523Y-143342857D01*
+X134909523Y-143438095D01*
+X134861904Y-143580952D01*
+X134290476Y-144152380D01*
+X134909523Y-144152380D01*
+X125604761Y-110247619D02*
+X125509523Y-110200000D01*
+X125414285Y-110104761D01*
+X125271428Y-109961904D01*
+X125176190Y-109914285D01*
+X125080952Y-109914285D01*
+X125128571Y-110152380D02*
+X125033333Y-110104761D01*
+X124938095Y-110009523D01*
+X124890476Y-109819047D01*
+X124890476Y-109485714D01*
+X124938095Y-109295238D01*
+X125033333Y-109200000D01*
+X125128571Y-109152380D01*
+X125319047Y-109152380D01*
+X125414285Y-109200000D01*
+X125509523Y-109295238D01*
+X125557142Y-109485714D01*
+X125557142Y-109819047D01*
+X125509523Y-110009523D01*
+X125414285Y-110104761D01*
+X125319047Y-110152380D01*
+X125128571Y-110152380D01*
+X125890476Y-109152380D02*
+X126509523Y-109152380D01*
+X126176190Y-109533333D01*
+X126319047Y-109533333D01*
+X126414285Y-109580952D01*
+X126461904Y-109628571D01*
+X126509523Y-109723809D01*
+X126509523Y-109961904D01*
+X126461904Y-110057142D01*
+X126414285Y-110104761D01*
+X126319047Y-110152380D01*
+X126033333Y-110152380D01*
+X125938095Y-110104761D01*
+X125890476Y-110057142D01*
+X126104761Y-130947619D02*
+X126009523Y-130900000D01*
+X125914285Y-130804761D01*
+X125771428Y-130661904D01*
+X125676190Y-130614285D01*
+X125580952Y-130614285D01*
+X125628571Y-130852380D02*
+X125533333Y-130804761D01*
+X125438095Y-130709523D01*
+X125390476Y-130519047D01*
+X125390476Y-130185714D01*
+X125438095Y-129995238D01*
+X125533333Y-129900000D01*
+X125628571Y-129852380D01*
+X125819047Y-129852380D01*
+X125914285Y-129900000D01*
+X126009523Y-129995238D01*
+X126057142Y-130185714D01*
+X126057142Y-130519047D01*
+X126009523Y-130709523D01*
+X125914285Y-130804761D01*
+X125819047Y-130852380D01*
+X125628571Y-130852380D01*
+X126914285Y-130185714D02*
+X126914285Y-130852380D01*
+X126676190Y-129804761D02*
+X126438095Y-130519047D01*
+X127057142Y-130519047D01*
+X128857142Y-82142857D02*
+X128904761Y-82190476D01*
+X128952380Y-82333333D01*
+X128952380Y-82428571D01*
+X128904761Y-82571428D01*
+X128809523Y-82666666D01*
+X128714285Y-82714285D01*
+X128523809Y-82761904D01*
+X128380952Y-82761904D01*
+X128190476Y-82714285D01*
+X128095238Y-82666666D01*
+X128000000Y-82571428D01*
+X127952380Y-82428571D01*
+X127952380Y-82333333D01*
+X128000000Y-82190476D01*
+X128047619Y-82142857D01*
+X128952380Y-81190476D02*
+X128952380Y-81761904D01*
+X128952380Y-81476190D02*
+X127952380Y-81476190D01*
+X128095238Y-81571428D01*
+X128190476Y-81666666D01*
+X128238095Y-81761904D01*
+X128047619Y-80809523D02*
+X128000000Y-80761904D01*
+X127952380Y-80666666D01*
+X127952380Y-80428571D01*
+X128000000Y-80333333D01*
+X128047619Y-80285714D01*
+X128142857Y-80238095D01*
+X128238095Y-80238095D01*
+X128380952Y-80285714D01*
+X128952380Y-80857142D01*
+X128952380Y-80238095D01*
+X153157142Y-92757142D02*
+X153109523Y-92804761D01*
+X152966666Y-92852380D01*
+X152871428Y-92852380D01*
+X152728571Y-92804761D01*
+X152633333Y-92709523D01*
+X152585714Y-92614285D01*
+X152538095Y-92423809D01*
+X152538095Y-92280952D01*
+X152585714Y-92090476D01*
+X152633333Y-91995238D01*
+X152728571Y-91900000D01*
+X152871428Y-91852380D01*
+X152966666Y-91852380D01*
+X153109523Y-91900000D01*
+X153157142Y-91947619D01*
+X154109523Y-92852380D02*
+X153538095Y-92852380D01*
+X153823809Y-92852380D02*
+X153823809Y-91852380D01*
+X153728571Y-91995238D01*
+X153633333Y-92090476D01*
+X153538095Y-92138095D01*
+X154442857Y-91852380D02*
+X155061904Y-91852380D01*
+X154728571Y-92233333D01*
+X154871428Y-92233333D01*
+X154966666Y-92280952D01*
+X155014285Y-92328571D01*
+X155061904Y-92423809D01*
+X155061904Y-92661904D01*
+X155014285Y-92757142D01*
+X154966666Y-92804761D01*
+X154871428Y-92852380D01*
+X154585714Y-92852380D01*
+X154490476Y-92804761D01*
+X154442857Y-92757142D01*
+X109607142Y-80857142D02*
+X109559523Y-80904761D01*
+X109416666Y-80952380D01*
+X109321428Y-80952380D01*
+X109178571Y-80904761D01*
+X109083333Y-80809523D01*
+X109035714Y-80714285D01*
+X108988095Y-80523809D01*
+X108988095Y-80380952D01*
+X109035714Y-80190476D01*
+X109083333Y-80095238D01*
+X109178571Y-80000000D01*
+X109321428Y-79952380D01*
+X109416666Y-79952380D01*
+X109559523Y-80000000D01*
+X109607142Y-80047619D01*
+X109988095Y-80047619D02*
+X110035714Y-80000000D01*
+X110130952Y-79952380D01*
+X110369047Y-79952380D01*
+X110464285Y-80000000D01*
+X110511904Y-80047619D01*
+X110559523Y-80142857D01*
+X110559523Y-80238095D01*
+X110511904Y-80380952D01*
+X109940476Y-80952380D01*
+X110559523Y-80952380D01*
+X111178571Y-79952380D02*
+X111273809Y-79952380D01*
+X111369047Y-80000000D01*
+X111416666Y-80047619D01*
+X111464285Y-80142857D01*
+X111511904Y-80333333D01*
+X111511904Y-80571428D01*
+X111464285Y-80761904D01*
+X111416666Y-80857142D01*
+X111369047Y-80904761D01*
+X111273809Y-80952380D01*
+X111178571Y-80952380D01*
+X111083333Y-80904761D01*
+X111035714Y-80857142D01*
+X110988095Y-80761904D01*
+X110940476Y-80571428D01*
+X110940476Y-80333333D01*
+X110988095Y-80142857D01*
+X111035714Y-80047619D01*
+X111083333Y-80000000D01*
+X111178571Y-79952380D01*
+X109157142Y-93257142D02*
+X109109523Y-93304761D01*
+X108966666Y-93352380D01*
+X108871428Y-93352380D01*
+X108728571Y-93304761D01*
+X108633333Y-93209523D01*
+X108585714Y-93114285D01*
+X108538095Y-92923809D01*
+X108538095Y-92780952D01*
+X108585714Y-92590476D01*
+X108633333Y-92495238D01*
+X108728571Y-92400000D01*
+X108871428Y-92352380D01*
+X108966666Y-92352380D01*
+X109109523Y-92400000D01*
+X109157142Y-92447619D01*
+X109538095Y-92447619D02*
+X109585714Y-92400000D01*
+X109680952Y-92352380D01*
+X109919047Y-92352380D01*
+X110014285Y-92400000D01*
+X110061904Y-92447619D01*
+X110109523Y-92542857D01*
+X110109523Y-92638095D01*
+X110061904Y-92780952D01*
+X109490476Y-93352380D01*
+X110109523Y-93352380D01*
+X111061904Y-93352380D02*
+X110490476Y-93352380D01*
+X110776190Y-93352380D02*
+X110776190Y-92352380D01*
+X110680952Y-92495238D01*
+X110585714Y-92590476D01*
+X110490476Y-92638095D01*
+X118607142Y-74107142D02*
+X118559523Y-74154761D01*
+X118416666Y-74202380D01*
+X118321428Y-74202380D01*
+X118178571Y-74154761D01*
+X118083333Y-74059523D01*
+X118035714Y-73964285D01*
+X117988095Y-73773809D01*
+X117988095Y-73630952D01*
+X118035714Y-73440476D01*
+X118083333Y-73345238D01*
+X118178571Y-73250000D01*
+X118321428Y-73202380D01*
+X118416666Y-73202380D01*
+X118559523Y-73250000D01*
+X118607142Y-73297619D01*
+X118988095Y-73297619D02*
+X119035714Y-73250000D01*
+X119130952Y-73202380D01*
+X119369047Y-73202380D01*
+X119464285Y-73250000D01*
+X119511904Y-73297619D01*
+X119559523Y-73392857D01*
+X119559523Y-73488095D01*
+X119511904Y-73630952D01*
+X118940476Y-74202380D01*
+X119559523Y-74202380D01*
+X119940476Y-73297619D02*
+X119988095Y-73250000D01*
+X120083333Y-73202380D01*
+X120321428Y-73202380D01*
+X120416666Y-73250000D01*
+X120464285Y-73297619D01*
+X120511904Y-73392857D01*
+X120511904Y-73488095D01*
+X120464285Y-73630952D01*
+X119892857Y-74202380D01*
+X120511904Y-74202380D01*
+X138957142Y-83757142D02*
+X138909523Y-83804761D01*
+X138766666Y-83852380D01*
+X138671428Y-83852380D01*
+X138528571Y-83804761D01*
+X138433333Y-83709523D01*
+X138385714Y-83614285D01*
+X138338095Y-83423809D01*
+X138338095Y-83280952D01*
+X138385714Y-83090476D01*
+X138433333Y-82995238D01*
+X138528571Y-82900000D01*
+X138671428Y-82852380D01*
+X138766666Y-82852380D01*
+X138909523Y-82900000D01*
+X138957142Y-82947619D01*
+X139338095Y-82947619D02*
+X139385714Y-82900000D01*
+X139480952Y-82852380D01*
+X139719047Y-82852380D01*
+X139814285Y-82900000D01*
+X139861904Y-82947619D01*
+X139909523Y-83042857D01*
+X139909523Y-83138095D01*
+X139861904Y-83280952D01*
+X139290476Y-83852380D01*
+X139909523Y-83852380D01*
+X140766666Y-83185714D02*
+X140766666Y-83852380D01*
+X140528571Y-82804761D02*
+X140290476Y-83519047D01*
+X140909523Y-83519047D01*
+X117633333Y-92252380D02*
+X117300000Y-91776190D01*
+X117061904Y-92252380D02*
+X117061904Y-91252380D01*
+X117442857Y-91252380D01*
+X117538095Y-91300000D01*
+X117585714Y-91347619D01*
+X117633333Y-91442857D01*
+X117633333Y-91585714D01*
+X117585714Y-91680952D01*
+X117538095Y-91728571D01*
+X117442857Y-91776190D01*
+X117061904Y-91776190D01*
+X118204761Y-91680952D02*
+X118109523Y-91633333D01*
+X118061904Y-91585714D01*
+X118014285Y-91490476D01*
+X118014285Y-91442857D01*
+X118061904Y-91347619D01*
+X118109523Y-91300000D01*
+X118204761Y-91252380D01*
+X118395238Y-91252380D01*
+X118490476Y-91300000D01*
+X118538095Y-91347619D01*
+X118585714Y-91442857D01*
+X118585714Y-91490476D01*
+X118538095Y-91585714D01*
+X118490476Y-91633333D01*
+X118395238Y-91680952D01*
+X118204761Y-91680952D01*
+X118109523Y-91728571D01*
+X118061904Y-91776190D01*
+X118014285Y-91871428D01*
+X118014285Y-92061904D01*
+X118061904Y-92157142D01*
+X118109523Y-92204761D01*
+X118204761Y-92252380D01*
+X118395238Y-92252380D01*
+X118490476Y-92204761D01*
+X118538095Y-92157142D01*
+X118585714Y-92061904D01*
+X118585714Y-91871428D01*
+X118538095Y-91776190D01*
+X118490476Y-91728571D01*
+X118395238Y-91680952D01*
+X113033333Y-93452380D02*
+X112700000Y-92976190D01*
+X112461904Y-93452380D02*
+X112461904Y-92452380D01*
+X112842857Y-92452380D01*
+X112938095Y-92500000D01*
+X112985714Y-92547619D01*
+X113033333Y-92642857D01*
+X113033333Y-92785714D01*
+X112985714Y-92880952D01*
+X112938095Y-92928571D01*
+X112842857Y-92976190D01*
+X112461904Y-92976190D01*
+X113509523Y-93452380D02*
+X113700000Y-93452380D01*
+X113795238Y-93404761D01*
+X113842857Y-93357142D01*
+X113938095Y-93214285D01*
+X113985714Y-93023809D01*
+X113985714Y-92642857D01*
+X113938095Y-92547619D01*
+X113890476Y-92500000D01*
+X113795238Y-92452380D01*
+X113604761Y-92452380D01*
+X113509523Y-92500000D01*
+X113461904Y-92547619D01*
+X113414285Y-92642857D01*
+X113414285Y-92880952D01*
+X113461904Y-92976190D01*
+X113509523Y-93023809D01*
+X113604761Y-93071428D01*
+X113795238Y-93071428D01*
+X113890476Y-93023809D01*
+X113938095Y-92976190D01*
+X113985714Y-92880952D01*
+X112857142Y-84202380D02*
+X112523809Y-83726190D01*
+X112285714Y-84202380D02*
+X112285714Y-83202380D01*
+X112666666Y-83202380D01*
+X112761904Y-83250000D01*
+X112809523Y-83297619D01*
+X112857142Y-83392857D01*
+X112857142Y-83535714D01*
+X112809523Y-83630952D01*
+X112761904Y-83678571D01*
+X112666666Y-83726190D01*
+X112285714Y-83726190D01*
+X113809523Y-84202380D02*
+X113238095Y-84202380D01*
+X113523809Y-84202380D02*
+X113523809Y-83202380D01*
+X113428571Y-83345238D01*
+X113333333Y-83440476D01*
+X113238095Y-83488095D01*
+X114428571Y-83202380D02*
+X114523809Y-83202380D01*
+X114619047Y-83250000D01*
+X114666666Y-83297619D01*
+X114714285Y-83392857D01*
+X114761904Y-83583333D01*
+X114761904Y-83821428D01*
+X114714285Y-84011904D01*
+X114666666Y-84107142D01*
+X114619047Y-84154761D01*
+X114523809Y-84202380D01*
+X114428571Y-84202380D01*
+X114333333Y-84154761D01*
+X114285714Y-84107142D01*
+X114238095Y-84011904D01*
+X114190476Y-83821428D01*
+X114190476Y-83583333D01*
+X114238095Y-83392857D01*
+X114285714Y-83297619D01*
+X114333333Y-83250000D01*
+X114428571Y-83202380D01*
+X135907142Y-82002380D02*
+X135573809Y-81526190D01*
+X135335714Y-82002380D02*
+X135335714Y-81002380D01*
+X135716666Y-81002380D01*
+X135811904Y-81050000D01*
+X135859523Y-81097619D01*
+X135907142Y-81192857D01*
+X135907142Y-81335714D01*
+X135859523Y-81430952D01*
+X135811904Y-81478571D01*
+X135716666Y-81526190D01*
+X135335714Y-81526190D01*
+X136288095Y-81097619D02*
+X136335714Y-81050000D01*
+X136430952Y-81002380D01*
+X136669047Y-81002380D01*
+X136764285Y-81050000D01*
+X136811904Y-81097619D01*
+X136859523Y-81192857D01*
+X136859523Y-81288095D01*
+X136811904Y-81430952D01*
+X136240476Y-82002380D01*
+X136859523Y-82002380D01*
+X137192857Y-81002380D02*
+X137811904Y-81002380D01*
+X137478571Y-81383333D01*
+X137621428Y-81383333D01*
+X137716666Y-81430952D01*
+X137764285Y-81478571D01*
+X137811904Y-81573809D01*
+X137811904Y-81811904D01*
+X137764285Y-81907142D01*
+X137716666Y-81954761D01*
+X137621428Y-82002380D01*
+X137335714Y-82002380D01*
+X137240476Y-81954761D01*
+X137192857Y-81907142D01*
+X125302380Y-73442857D02*
+X124826190Y-73776190D01*
+X125302380Y-74014285D02*
+X124302380Y-74014285D01*
+X124302380Y-73633333D01*
+X124350000Y-73538095D01*
+X124397619Y-73490476D01*
+X124492857Y-73442857D01*
+X124635714Y-73442857D01*
+X124730952Y-73490476D01*
+X124778571Y-73538095D01*
+X124826190Y-73633333D01*
+X124826190Y-74014285D01*
+X124397619Y-73061904D02*
+X124350000Y-73014285D01*
+X124302380Y-72919047D01*
+X124302380Y-72680952D01*
+X124350000Y-72585714D01*
+X124397619Y-72538095D01*
+X124492857Y-72490476D01*
+X124588095Y-72490476D01*
+X124730952Y-72538095D01*
+X125302380Y-73109523D01*
+X125302380Y-72490476D01*
+X124302380Y-71633333D02*
+X124302380Y-71823809D01*
+X124350000Y-71919047D01*
+X124397619Y-71966666D01*
+X124540476Y-72061904D01*
+X124730952Y-72109523D01*
+X125111904Y-72109523D01*
+X125207142Y-72061904D01*
+X125254761Y-72014285D01*
+X125302380Y-71919047D01*
+X125302380Y-71728571D01*
+X125254761Y-71633333D01*
+X125207142Y-71585714D01*
+X125111904Y-71538095D01*
+X124873809Y-71538095D01*
+X124778571Y-71585714D01*
+X124730952Y-71633333D01*
+X124683333Y-71728571D01*
+X124683333Y-71919047D01*
+X124730952Y-72014285D01*
+X124778571Y-72061904D01*
+X124873809Y-72109523D01*
+X129882380Y-73342857D02*
+X129406190Y-73676190D01*
+X129882380Y-73914285D02*
+X128882380Y-73914285D01*
+X128882380Y-73533333D01*
+X128930000Y-73438095D01*
+X128977619Y-73390476D01*
+X129072857Y-73342857D01*
+X129215714Y-73342857D01*
+X129310952Y-73390476D01*
+X129358571Y-73438095D01*
+X129406190Y-73533333D01*
+X129406190Y-73914285D01*
+X128977619Y-72961904D02*
+X128930000Y-72914285D01*
+X128882380Y-72819047D01*
+X128882380Y-72580952D01*
+X128930000Y-72485714D01*
+X128977619Y-72438095D01*
+X129072857Y-72390476D01*
+X129168095Y-72390476D01*
+X129310952Y-72438095D01*
+X129882380Y-73009523D01*
+X129882380Y-72390476D01*
+X128882380Y-72057142D02*
+X128882380Y-71390476D01*
+X129882380Y-71819047D01*
+X94857142Y-124072380D02*
+X94523809Y-123596190D01*
+X94285714Y-124072380D02*
+X94285714Y-123072380D01*
+X94666666Y-123072380D01*
+X94761904Y-123120000D01*
+X94809523Y-123167619D01*
+X94857142Y-123262857D01*
+X94857142Y-123405714D01*
+X94809523Y-123500952D01*
+X94761904Y-123548571D01*
+X94666666Y-123596190D01*
+X94285714Y-123596190D01*
+X95238095Y-123167619D02*
+X95285714Y-123120000D01*
+X95380952Y-123072380D01*
+X95619047Y-123072380D01*
+X95714285Y-123120000D01*
+X95761904Y-123167619D01*
+X95809523Y-123262857D01*
+X95809523Y-123358095D01*
+X95761904Y-123500952D01*
+X95190476Y-124072380D01*
+X95809523Y-124072380D01*
+X96380952Y-123500952D02*
+X96285714Y-123453333D01*
+X96238095Y-123405714D01*
+X96190476Y-123310476D01*
+X96190476Y-123262857D01*
+X96238095Y-123167619D01*
+X96285714Y-123120000D01*
+X96380952Y-123072380D01*
+X96571428Y-123072380D01*
+X96666666Y-123120000D01*
+X96714285Y-123167619D01*
+X96761904Y-123262857D01*
+X96761904Y-123310476D01*
+X96714285Y-123405714D01*
+X96666666Y-123453333D01*
+X96571428Y-123500952D01*
+X96380952Y-123500952D01*
+X96285714Y-123548571D01*
+X96238095Y-123596190D01*
+X96190476Y-123691428D01*
+X96190476Y-123881904D01*
+X96238095Y-123977142D01*
+X96285714Y-124024761D01*
+X96380952Y-124072380D01*
+X96571428Y-124072380D01*
+X96666666Y-124024761D01*
+X96714285Y-123977142D01*
+X96761904Y-123881904D01*
+X96761904Y-123691428D01*
+X96714285Y-123596190D01*
+X96666666Y-123548571D01*
+X96571428Y-123500952D01*
+X94857142Y-101072380D02*
+X94523809Y-100596190D01*
+X94285714Y-101072380D02*
+X94285714Y-100072380D01*
+X94666666Y-100072380D01*
+X94761904Y-100120000D01*
+X94809523Y-100167619D01*
+X94857142Y-100262857D01*
+X94857142Y-100405714D01*
+X94809523Y-100500952D01*
+X94761904Y-100548571D01*
+X94666666Y-100596190D01*
+X94285714Y-100596190D01*
+X95238095Y-100167619D02*
+X95285714Y-100120000D01*
+X95380952Y-100072380D01*
+X95619047Y-100072380D01*
+X95714285Y-100120000D01*
+X95761904Y-100167619D01*
+X95809523Y-100262857D01*
+X95809523Y-100358095D01*
+X95761904Y-100500952D01*
+X95190476Y-101072380D01*
+X95809523Y-101072380D01*
+X96285714Y-101072380D02*
+X96476190Y-101072380D01*
+X96571428Y-101024761D01*
+X96619047Y-100977142D01*
+X96714285Y-100834285D01*
+X96761904Y-100643809D01*
+X96761904Y-100262857D01*
+X96714285Y-100167619D01*
+X96666666Y-100120000D01*
+X96571428Y-100072380D01*
+X96380952Y-100072380D01*
+X96285714Y-100120000D01*
+X96238095Y-100167619D01*
+X96190476Y-100262857D01*
+X96190476Y-100500952D01*
+X96238095Y-100596190D01*
+X96285714Y-100643809D01*
+X96380952Y-100691428D01*
+X96571428Y-100691428D01*
+X96666666Y-100643809D01*
+X96714285Y-100596190D01*
+X96761904Y-100500952D01*
+X94857142Y-112572380D02*
+X94523809Y-112096190D01*
+X94285714Y-112572380D02*
+X94285714Y-111572380D01*
+X94666666Y-111572380D01*
+X94761904Y-111620000D01*
+X94809523Y-111667619D01*
+X94857142Y-111762857D01*
+X94857142Y-111905714D01*
+X94809523Y-112000952D01*
+X94761904Y-112048571D01*
+X94666666Y-112096190D01*
+X94285714Y-112096190D01*
+X95190476Y-111572380D02*
+X95809523Y-111572380D01*
+X95476190Y-111953333D01*
+X95619047Y-111953333D01*
+X95714285Y-112000952D01*
+X95761904Y-112048571D01*
+X95809523Y-112143809D01*
+X95809523Y-112381904D01*
+X95761904Y-112477142D01*
+X95714285Y-112524761D01*
+X95619047Y-112572380D01*
+X95333333Y-112572380D01*
+X95238095Y-112524761D01*
+X95190476Y-112477142D01*
+X96428571Y-111572380D02*
+X96523809Y-111572380D01*
+X96619047Y-111620000D01*
+X96666666Y-111667619D01*
+X96714285Y-111762857D01*
+X96761904Y-111953333D01*
+X96761904Y-112191428D01*
+X96714285Y-112381904D01*
+X96666666Y-112477142D01*
+X96619047Y-112524761D01*
+X96523809Y-112572380D01*
+X96428571Y-112572380D01*
+X96333333Y-112524761D01*
+X96285714Y-112477142D01*
+X96238095Y-112381904D01*
+X96190476Y-112191428D01*
+X96190476Y-111953333D01*
+X96238095Y-111762857D01*
+X96285714Y-111667619D01*
+X96333333Y-111620000D01*
+X96428571Y-111572380D01*
+X94857142Y-106572380D02*
+X94523809Y-106096190D01*
+X94285714Y-106572380D02*
+X94285714Y-105572380D01*
+X94666666Y-105572380D01*
+X94761904Y-105620000D01*
+X94809523Y-105667619D01*
+X94857142Y-105762857D01*
+X94857142Y-105905714D01*
+X94809523Y-106000952D01*
+X94761904Y-106048571D01*
+X94666666Y-106096190D01*
+X94285714Y-106096190D01*
+X95190476Y-105572380D02*
+X95809523Y-105572380D01*
+X95476190Y-105953333D01*
+X95619047Y-105953333D01*
+X95714285Y-106000952D01*
+X95761904Y-106048571D01*
+X95809523Y-106143809D01*
+X95809523Y-106381904D01*
+X95761904Y-106477142D01*
+X95714285Y-106524761D01*
+X95619047Y-106572380D01*
+X95333333Y-106572380D01*
+X95238095Y-106524761D01*
+X95190476Y-106477142D01*
+X96761904Y-106572380D02*
+X96190476Y-106572380D01*
+X96476190Y-106572380D02*
+X96476190Y-105572380D01*
+X96380952Y-105715238D01*
+X96285714Y-105810476D01*
+X96190476Y-105858095D01*
+X94857142Y-118572380D02*
+X94523809Y-118096190D01*
+X94285714Y-118572380D02*
+X94285714Y-117572380D01*
+X94666666Y-117572380D01*
+X94761904Y-117620000D01*
+X94809523Y-117667619D01*
+X94857142Y-117762857D01*
+X94857142Y-117905714D01*
+X94809523Y-118000952D01*
+X94761904Y-118048571D01*
+X94666666Y-118096190D01*
+X94285714Y-118096190D01*
+X95190476Y-117572380D02*
+X95809523Y-117572380D01*
+X95476190Y-117953333D01*
+X95619047Y-117953333D01*
+X95714285Y-118000952D01*
+X95761904Y-118048571D01*
+X95809523Y-118143809D01*
+X95809523Y-118381904D01*
+X95761904Y-118477142D01*
+X95714285Y-118524761D01*
+X95619047Y-118572380D01*
+X95333333Y-118572380D01*
+X95238095Y-118524761D01*
+X95190476Y-118477142D01*
+X96190476Y-117667619D02*
+X96238095Y-117620000D01*
+X96333333Y-117572380D01*
+X96571428Y-117572380D01*
+X96666666Y-117620000D01*
+X96714285Y-117667619D01*
+X96761904Y-117762857D01*
+X96761904Y-117858095D01*
+X96714285Y-118000952D01*
+X96142857Y-118572380D01*
+X96761904Y-118572380D01*
+X113023809Y-72326190D02*
+X113023809Y-72802380D01*
+X112690476Y-71802380D02*
+X113023809Y-72326190D01*
+X113357142Y-71802380D01*
+X114214285Y-72802380D02*
+X113642857Y-72802380D01*
+X113928571Y-72802380D02*
+X113928571Y-71802380D01*
+X113833333Y-71945238D01*
+X113738095Y-72040476D01*
+X113642857Y-72088095D01*
+X116266666Y-56152380D02*
+X116266666Y-56866666D01*
+X116219047Y-57009523D01*
+X116123809Y-57104761D01*
+X115980952Y-57152380D01*
+X115885714Y-57152380D01*
+X116790476Y-57152380D02*
+X116980952Y-57152380D01*
+X117076190Y-57104761D01*
+X117123809Y-57057142D01*
+X117219047Y-56914285D01*
+X117266666Y-56723809D01*
+X117266666Y-56342857D01*
+X117219047Y-56247619D01*
+X117171428Y-56200000D01*
+X117076190Y-56152380D01*
+X116885714Y-56152380D01*
+X116790476Y-56200000D01*
+X116742857Y-56247619D01*
+X116695238Y-56342857D01*
+X116695238Y-56580952D01*
+X116742857Y-56676190D01*
+X116790476Y-56723809D01*
+X116885714Y-56771428D01*
+X117076190Y-56771428D01*
+X117171428Y-56723809D01*
+X117219047Y-56676190D01*
+X117266666Y-56580952D01*
+X85690476Y-65202380D02*
+X85690476Y-65916666D01*
+X85642857Y-66059523D01*
+X85547619Y-66154761D01*
+X85404761Y-66202380D01*
+X85309523Y-66202380D01*
+X86690476Y-66202380D02*
+X86119047Y-66202380D01*
+X86404761Y-66202380D02*
+X86404761Y-65202380D01*
+X86309523Y-65345238D01*
+X86214285Y-65440476D01*
+X86119047Y-65488095D01*
+X87309523Y-65202380D02*
+X87404761Y-65202380D01*
+X87500000Y-65250000D01*
+X87547619Y-65297619D01*
+X87595238Y-65392857D01*
+X87642857Y-65583333D01*
+X87642857Y-65821428D01*
+X87595238Y-66011904D01*
+X87547619Y-66107142D01*
+X87500000Y-66154761D01*
+X87404761Y-66202380D01*
+X87309523Y-66202380D01*
+X87214285Y-66154761D01*
+X87166666Y-66107142D01*
+X87119047Y-66011904D01*
+X87071428Y-65821428D01*
+X87071428Y-65583333D01*
+X87119047Y-65392857D01*
+X87166666Y-65297619D01*
+X87214285Y-65250000D01*
+X87309523Y-65202380D01*
+X124738095Y-122052330D02*
+X124738095Y-122861854D01*
+X124785714Y-122957092D01*
+X124833333Y-123004711D01*
+X124928571Y-123052330D01*
+X125119047Y-123052330D01*
+X125214285Y-123004711D01*
+X125261904Y-122957092D01*
+X125309523Y-122861854D01*
+X125309523Y-122052330D01*
+X125928571Y-122480902D02*
+X125833333Y-122433283D01*
+X125785714Y-122385664D01*
+X125738095Y-122290426D01*
+X125738095Y-122242807D01*
+X125785714Y-122147569D01*
+X125833333Y-122099950D01*
+X125928571Y-122052330D01*
+X126119047Y-122052330D01*
+X126214285Y-122099950D01*
+X126261904Y-122147569D01*
+X126309523Y-122242807D01*
+X126309523Y-122290426D01*
+X126261904Y-122385664D01*
+X126214285Y-122433283D01*
+X126119047Y-122480902D01*
+X125928571Y-122480902D01*
+X125833333Y-122528521D01*
+X125785714Y-122576140D01*
+X125738095Y-122671378D01*
+X125738095Y-122861854D01*
+X125785714Y-122957092D01*
+X125833333Y-123004711D01*
+X125928571Y-123052330D01*
+X126119047Y-123052330D01*
+X126214285Y-123004711D01*
+X126261904Y-122957092D01*
+X126309523Y-122861854D01*
+X126309523Y-122671378D01*
+X126261904Y-122576140D01*
+X126214285Y-122528521D01*
+X126119047Y-122480902D01*
+X166333333Y-71032380D02*
+X166000000Y-70556190D01*
+X165761904Y-71032380D02*
+X165761904Y-70032380D01*
+X166142857Y-70032380D01*
+X166238095Y-70080000D01*
+X166285714Y-70127619D01*
+X166333333Y-70222857D01*
+X166333333Y-70365714D01*
+X166285714Y-70460952D01*
+X166238095Y-70508571D01*
+X166142857Y-70556190D01*
+X165761904Y-70556190D01*
+X167190476Y-70032380D02*
+X167000000Y-70032380D01*
+X166904761Y-70080000D01*
+X166857142Y-70127619D01*
+X166761904Y-70270476D01*
+X166714285Y-70460952D01*
+X166714285Y-70841904D01*
+X166761904Y-70937142D01*
+X166809523Y-70984761D01*
+X166904761Y-71032380D01*
+X167095238Y-71032380D01*
+X167190476Y-70984761D01*
+X167238095Y-70937142D01*
+X167285714Y-70841904D01*
+X167285714Y-70603809D01*
+X167238095Y-70508571D01*
+X167190476Y-70460952D01*
+X167095238Y-70413333D01*
+X166904761Y-70413333D01*
+X166809523Y-70460952D01*
+X166761904Y-70508571D01*
+X166714285Y-70603809D01*
+X165857142Y-66752380D02*
+X165523809Y-66276190D01*
+X165285714Y-66752380D02*
+X165285714Y-65752380D01*
+X165666666Y-65752380D01*
+X165761904Y-65800000D01*
+X165809523Y-65847619D01*
+X165857142Y-65942857D01*
+X165857142Y-66085714D01*
+X165809523Y-66180952D01*
+X165761904Y-66228571D01*
+X165666666Y-66276190D01*
+X165285714Y-66276190D01*
+X166809523Y-66752380D02*
+X166238095Y-66752380D01*
+X166523809Y-66752380D02*
+X166523809Y-65752380D01*
+X166428571Y-65895238D01*
+X166333333Y-65990476D01*
+X166238095Y-66038095D01*
+X167190476Y-65847619D02*
+X167238095Y-65800000D01*
+X167333333Y-65752380D01*
+X167571428Y-65752380D01*
+X167666666Y-65800000D01*
+X167714285Y-65847619D01*
+X167761904Y-65942857D01*
+X167761904Y-66038095D01*
+X167714285Y-66180952D01*
+X167142857Y-66752380D01*
+X167761904Y-66752380D01*
+X157266666Y-55852380D02*
+X157266666Y-56566666D01*
+X157219047Y-56709523D01*
+X157123809Y-56804761D01*
+X156980952Y-56852380D01*
+X156885714Y-56852380D01*
+X158171428Y-56185714D02*
+X158171428Y-56852380D01*
+X157933333Y-55804761D02*
+X157695238Y-56519047D01*
+X158314285Y-56519047D01*
+X120066666Y-70952380D02*
+X120066666Y-71666666D01*
+X120019047Y-71809523D01*
+X119923809Y-71904761D01*
+X119780952Y-71952380D01*
+X119685714Y-71952380D01*
+X121019047Y-70952380D02*
+X120542857Y-70952380D01*
+X120495238Y-71428571D01*
+X120542857Y-71380952D01*
+X120638095Y-71333333D01*
+X120876190Y-71333333D01*
+X120971428Y-71380952D01*
+X121019047Y-71428571D01*
+X121066666Y-71523809D01*
+X121066666Y-71761904D01*
+X121019047Y-71857142D01*
+X120971428Y-71904761D01*
+X120876190Y-71952380D01*
+X120638095Y-71952380D01*
+X120542857Y-71904761D01*
+X120495238Y-71857142D01*
+X165638095Y-84452380D02*
+X165638095Y-85261904D01*
+X165685714Y-85357142D01*
+X165733333Y-85404761D01*
+X165828571Y-85452380D01*
+X166019047Y-85452380D01*
+X166114285Y-85404761D01*
+X166161904Y-85357142D01*
+X166209523Y-85261904D01*
+X166209523Y-84452380D01*
+X166638095Y-84547619D02*
+X166685714Y-84500000D01*
+X166780952Y-84452380D01*
+X167019047Y-84452380D01*
+X167114285Y-84500000D01*
+X167161904Y-84547619D01*
+X167209523Y-84642857D01*
+X167209523Y-84738095D01*
+X167161904Y-84880952D01*
+X166590476Y-85452380D01*
+X167209523Y-85452380D01*
+X161507142Y-71032380D02*
+X161173809Y-70556190D01*
+X160935714Y-71032380D02*
+X160935714Y-70032380D01*
+X161316666Y-70032380D01*
+X161411904Y-70080000D01*
+X161459523Y-70127619D01*
+X161507142Y-70222857D01*
+X161507142Y-70365714D01*
+X161459523Y-70460952D01*
+X161411904Y-70508571D01*
+X161316666Y-70556190D01*
+X160935714Y-70556190D01*
+X162459523Y-71032380D02*
+X161888095Y-71032380D01*
+X162173809Y-71032380D02*
+X162173809Y-70032380D01*
+X162078571Y-70175238D01*
+X161983333Y-70270476D01*
+X161888095Y-70318095D01*
+X163411904Y-71032380D02*
+X162840476Y-71032380D01*
+X163126190Y-71032380D02*
+X163126190Y-70032380D01*
+X163030952Y-70175238D01*
+X162935714Y-70270476D01*
+X162840476Y-70318095D01*
+X161507142Y-66652380D02*
+X161173809Y-66176190D01*
+X160935714Y-66652380D02*
+X160935714Y-65652380D01*
+X161316666Y-65652380D01*
+X161411904Y-65700000D01*
+X161459523Y-65747619D01*
+X161507142Y-65842857D01*
+X161507142Y-65985714D01*
+X161459523Y-66080952D01*
+X161411904Y-66128571D01*
+X161316666Y-66176190D01*
+X160935714Y-66176190D01*
+X162459523Y-66652380D02*
+X161888095Y-66652380D01*
+X162173809Y-66652380D02*
+X162173809Y-65652380D01*
+X162078571Y-65795238D01*
+X161983333Y-65890476D01*
+X161888095Y-65938095D01*
+X162792857Y-65652380D02*
+X163459523Y-65652380D01*
+X163030952Y-66652380D01*
+M02*
diff --git a/driver/gerber/driver-NPTH.drl b/driver/gerber/driver-NPTH.drl
index 85ddc18..48b089f 100644
--- a/driver/gerber/driver-NPTH.drl
+++ b/driver/gerber/driver-NPTH.drl
@@ -1,29 +1,29 @@
-M48
-; DRILL file {KiCad (5.1.0-344-gd281f051e)} date Thu Apr 25 22:00:14 2019
-; FORMAT={-:-/ absolute / inch / decimal}
-; #@! TF.CreationDate,2019-04-25T22:00:14+09:00
-; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.1.0-344-gd281f051e)
-; #@! TF.FileFunction,NonPlated,1,2,NPTH
-FMAT,2
-INCH
-T1C0.1260
-T2C0.1280
-%
-G90
-G05
-T1
-X6.0236Y-3.8189
-X4.3307Y-5.9055
-X4.3307Y-3.8189
-X2.9528Y-5.9055
-X2.9528Y-2.3622
-X6.4961Y-2.3622
-X6.0236Y-5.9055
-X6.4961Y-5.9055
-T2
-X5.5909Y-2.3685
-X6.0909Y-2.3685
-X4.8094Y-2.3685
-X5.3094Y-2.3685
-T0
-M30
+M48
+; DRILL file {KiCad (5.1.5)-3} date 5/2/2020 8:20:04 PM
+; FORMAT={-:-/ absolute / inch / decimal}
+; #@! TF.CreationDate,2020-05-02T20:20:04+02:00
+; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.1.5)-3
+; #@! TF.FileFunction,NonPlated,1,2,NPTH
+FMAT,2
+INCH
+T1C0.1260
+T2C0.1280
+%
+G90
+G05
+T1
+X6.0236Y-5.9055
+X4.3307Y-5.9055
+X4.3307Y-3.8189
+X6.4961Y-5.9055
+X6.4961Y-2.3622
+X2.9528Y-2.3622
+X2.9528Y-5.9055
+X6.0236Y-3.8189
+T2
+X4.8094Y-2.3685
+X5.3094Y-2.3685
+X5.5909Y-2.3685
+X6.0909Y-2.3685
+T0
+M30
diff --git a/driver/gerber/driver-PTH.drl b/driver/gerber/driver-PTH.drl
index 45e0ac7..7a23d00 100644
--- a/driver/gerber/driver-PTH.drl
+++ b/driver/gerber/driver-PTH.drl
@@ -1,388 +1,402 @@
-M48
-; DRILL file {KiCad (5.1.0-344-gd281f051e)} date Thu Apr 25 22:00:14 2019
-; FORMAT={-:-/ absolute / inch / decimal}
-; #@! TF.CreationDate,2019-04-25T22:00:14+09:00
-; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.1.0-344-gd281f051e)
-; #@! TF.FileFunction,Plated,1,2,PTH
-FMAT,2
-INCH
-T1C0.0157
-T2C0.0350
-T3C0.0394
-T4C0.0472
-T5C0.0512
-T6C0.0618
-%
-G90
-G05
-T1
-X3.1339Y-3.1811
-X3.1654Y-3.1299
-X3.2047Y-2.9921
-X3.2402Y-5.8691
-X3.2913Y-2.937
-X3.3858Y-3.0354
-X3.4606Y-5.9961
-X3.468Y-5.9099
-X3.5827Y-3.5276
-X3.622Y-3.4685
-X3.6909Y-3.6713
-X3.752Y-3.1969
-X3.7795Y-3.3819
-X3.815Y-3.4094
-X3.878Y-3.4528
-X3.878Y-3.4882
-X3.9173Y-2.685
-X3.9173Y-2.7323
-X3.9606Y-2.685
-X3.9606Y-2.7244
-X4.0394Y-3.5827
-X4.164Y-3.6509
-X4.164Y-3.689
-X4.2062Y-3.5143
-X4.28Y-3.5074
-X4.2874Y-3.5551
-X4.3268Y-3.5581
-X4.3465Y-3.0551
-X4.3937Y-3.122
-X4.4066Y-3.4921
-X4.4094Y-3.5669
-X4.6024Y-3.189
-X4.6102Y-2.878
-X4.6102Y-2.9252
-X4.6137Y-3.1501
-X4.6142Y-3.1102
-X4.6142Y-3.5981
-X4.6339Y-3.2441
-X4.6575Y-2.9252
-X4.6969Y-3.2638
-X4.7283Y-3.5394
-X4.7283Y-3.5748
-X4.7756Y-3.0
-X4.7756Y-3.0315
-X4.7992Y-3.2717
-X4.8071Y-3.0
-X4.8071Y-3.0315
-X4.8268Y-3.4094
-X4.8307Y-3.2717
-X4.8312Y-3.1147
-X4.8568Y-3.6314
-X4.8661Y-3.1142
-X4.8701Y-3.4252
-X4.8996Y-3.6339
-X4.9055Y-3.4843
-X4.9286Y-3.2525
-X4.9409Y-3.6624
-X4.9921Y-5.9921
-X5.0098Y-3.1398
-X5.0236Y-5.9606
-X5.0276Y-4.5984
-X5.0394Y-3.8583
-X5.0394Y-3.8976
-X5.0394Y-3.937
-X5.0394Y-3.9764
-X5.0394Y-4.0157
-X5.0394Y-5.1181
-X5.0394Y-5.4331
-X5.0394Y-5.4724
-X5.0394Y-5.5118
-X5.0394Y-5.5512
-X5.0394Y-5.5906
-X5.0483Y-3.2374
-X5.063Y-3.4449
-X5.0787Y-3.8583
-X5.0787Y-3.8976
-X5.0787Y-3.937
-X5.0787Y-3.9764
-X5.0787Y-4.0157
-X5.0787Y-4.9016
-X5.0787Y-4.9409
-X5.0787Y-4.9803
-X5.0787Y-5.0197
-X5.0787Y-5.0591
-X5.0787Y-5.4331
-X5.0787Y-5.4724
-X5.0787Y-5.5118
-X5.0787Y-5.5512
-X5.0787Y-5.5906
-X5.0945Y-3.2362
-X5.0945Y-3.1969
-X5.0979Y-3.1161
-X5.0984Y-4.3898
-X5.0984Y-4.4291
-X5.0984Y-4.4685
-X5.0984Y-4.5079
-X5.0984Y-4.5472
-X5.1024Y-3.0433
-X5.1181Y-3.8583
-X5.1181Y-3.8976
-X5.1181Y-3.937
-X5.1181Y-3.9764
-X5.1181Y-4.0157
-X5.1181Y-4.9016
-X5.1181Y-4.9409
-X5.1181Y-4.9803
-X5.1181Y-5.0197
-X5.1181Y-5.0591
-X5.1181Y-5.4331
-X5.1181Y-5.4724
-X5.1181Y-5.5118
-X5.1181Y-5.5512
-X5.1181Y-5.5906
-X5.1378Y-4.3898
-X5.1378Y-4.4291
-X5.1378Y-4.4685
-X5.1378Y-4.5079
-X5.1378Y-4.5472
-X5.1575Y-2.7854
-X5.1575Y-3.8583
-X5.1575Y-3.8976
-X5.1575Y-3.937
-X5.1575Y-3.9764
-X5.1575Y-4.0157
-X5.1575Y-4.9016
-X5.1575Y-4.9409
-X5.1575Y-4.9803
-X5.1575Y-5.0197
-X5.1575Y-5.0591
-X5.1575Y-5.4331
-X5.1575Y-5.4724
-X5.1575Y-5.5118
-X5.1575Y-5.5512
-X5.1575Y-5.5906
-X5.1614Y-4.7402
-X5.1772Y-4.3898
-X5.1772Y-4.4291
-X5.1772Y-4.4685
-X5.1772Y-4.5079
-X5.1772Y-4.5472
-X5.1969Y-4.9016
-X5.1969Y-4.9409
-X5.1969Y-4.9803
-X5.1969Y-5.0197
-X5.1969Y-5.0591
-X5.2047Y-5.378
-X5.2126Y-3.7598
-X5.2567Y-3.7607
-X5.2835Y-5.8937
-X5.315Y-2.5984
-X5.325Y-3.6259
-X5.3268Y-3.5866
-X5.3346Y-2.5591
-X5.4134Y-2.7165
-X5.4528Y-2.7165
-X5.5236Y-5.9016
-X5.6102Y-3.6909
-X5.6614Y-3.4921
-X5.702Y-5.9382
-X5.7591Y-5.9325
-X5.7598Y-6.0197
-X5.8056Y-5.841
-X5.8189Y-3.7244
-X5.8386Y-6.0512
-X5.9331Y-3.5472
-X6.0709Y-3.6014
-X6.1417Y-3.5157
-X6.1811Y-3.5551
-X6.185Y-3.5157
-X6.189Y-5.6939
-X6.2106Y-2.6083
-X6.2913Y-3.1417
-X6.3287Y-2.5787
-X6.3465Y-3.5984
-X6.4016Y-3.6693
-X6.4065Y-3.6299
-X6.4724Y-3.252
-X6.5157Y-3.252
-X6.5157Y-3.2874
-X6.5551Y-3.7323
-X6.5906Y-3.685
-X6.6437Y-3.5846
-T2
-X5.5709Y-2.7283
-X5.661Y-2.7283
-X5.7008Y-2.4685
-X5.7409Y-2.5386
-X5.7811Y-2.4685
-X5.8213Y-2.5386
-X5.8614Y-2.4685
-X5.9016Y-2.5386
-X5.9417Y-2.4685
-X5.9819Y-2.5386
-X6.0209Y-2.7283
-X6.111Y-2.7283
-X4.7894Y-2.7283
-X4.8795Y-2.7283
-X4.9193Y-2.4685
-X4.9594Y-2.5386
-X4.9996Y-2.4685
-X5.0398Y-2.5386
-X5.0799Y-2.4685
-X5.1201Y-2.5386
-X5.1602Y-2.4685
-X5.2004Y-2.5386
-X5.2394Y-2.7283
-X5.3295Y-2.7283
-T3
-X2.9055Y-2.6614
-X3.061Y-3.2283
-X3.1024Y-2.7106
-X3.2089Y-2.6282
-X3.4055Y-2.9429
-X3.4154Y-3.2579
-X3.5039Y-3.8583
-X3.5039Y-3.9567
-X3.5039Y-4.0551
-X3.5039Y-4.1535
-X3.5039Y-4.252
-X3.5039Y-4.3504
-X3.5039Y-4.4488
-X3.5039Y-4.5472
-X3.5039Y-4.6457
-X3.5039Y-4.7441
-X3.5236Y-2.4016
-X3.6024Y-5.7283
-X3.7008Y-5.0394
-X3.7205Y-2.7362
-X3.7795Y-5.1181
-X3.8189Y-5.0
-X3.8228Y-2.9961
-X3.8228Y-3.0787
-X3.8228Y-3.1614
-X3.8583Y-5.1969
-X3.8976Y-5.0787
-X3.937Y-2.5886
-X3.937Y-4.9606
-X3.937Y-5.2756
-X3.9764Y-5.1575
-X3.9882Y-3.0276
-X3.9882Y-3.1181
-X4.0157Y-2.3917
-X4.0157Y-5.0394
-X4.0157Y-5.3543
-X4.0551Y-5.2362
-X4.0945Y-5.1181
-X4.1339Y-5.315
-X4.1614Y-3.063
-X4.1614Y-3.1535
-X4.1614Y-3.2441
-X4.1732Y-5.1969
-X4.2008Y-3.3465
-X4.2126Y-5.0787
-X4.2323Y-2.9488
-X4.252Y-5.2756
-X4.2795Y-2.7992
-X4.2913Y-5.1575
-X4.3189Y-2.5787
-X4.3701Y-5.2362
-X4.4094Y-5.1181
-X4.4882Y-5.1969
-X4.5276Y-3.3465
-X4.5276Y-5.3543
-X4.5276Y-5.4724
-X4.5276Y-5.5906
-X4.5276Y-5.7087
-X4.5823Y-3.5427
-X4.6457Y-3.7992
-X4.6457Y-3.8976
-X4.6457Y-4.0157
-X4.6457Y-4.1339
-X4.6457Y-5.3543
-X4.6457Y-5.4724
-X4.6457Y-5.5906
-X4.6457Y-5.7087
-X4.7638Y-3.7992
-X4.7638Y-3.8976
-X4.7638Y-4.0157
-X4.7638Y-4.1339
-X4.7638Y-5.3543
-X4.7638Y-5.4724
-X4.7638Y-5.5906
-X4.7638Y-5.7087
-X4.8819Y-3.7992
-X4.8819Y-3.8976
-X4.8819Y-4.0157
-X4.8819Y-4.1339
-X4.8819Y-5.3543
-X4.8819Y-5.7087
-X5.0Y-3.7992
-X5.0Y-4.1339
-X5.0Y-5.3543
-X5.0Y-5.7087
-X5.1181Y-3.7992
-X5.1181Y-4.1339
-X5.1181Y-4.2913
-X5.1181Y-5.1575
-X5.1181Y-5.3543
-X5.1181Y-5.7087
-X5.2362Y-4.2913
-X5.2362Y-4.6457
-X5.2362Y-4.8031
-X5.2362Y-5.1575
-X5.2795Y-3.4488
-X5.3543Y-4.2913
-X5.3543Y-4.2913
-X5.3543Y-4.2913
-X5.3543Y-4.4094
-X5.3543Y-4.5276
-X5.3543Y-4.6457
-X5.3543Y-4.8031
-X5.3543Y-4.9213
-X5.3543Y-5.0394
-X5.3543Y-5.1575
-X5.4724Y-4.2913
-X5.4724Y-4.4094
-X5.4724Y-4.5276
-X5.4724Y-4.6457
-X5.4724Y-4.8031
-X5.4724Y-4.9213
-X5.4724Y-5.0394
-X5.4724Y-5.1575
-X5.4921Y-3.5433
-X5.5906Y-4.2913
-X5.5906Y-4.4094
-X5.5906Y-4.5276
-X5.5906Y-4.6457
-X5.5906Y-4.8031
-X5.5906Y-4.9213
-X5.5906Y-5.0394
-X5.5906Y-5.1575
-X4.2441Y-2.2992
-X4.2441Y-2.4961
-X4.5886Y-2.3217
-X4.5886Y-2.4217
-X4.5886Y-2.5217
-X4.5886Y-2.6217
-X3.7835Y-2.2992
-X3.7835Y-2.4961
-X2.9055Y-2.9882
-X3.1024Y-2.9882
-X2.9055Y-3.4685
-X3.1024Y-3.4685
-T4
-X3.3465Y-2.3606
-X3.3465Y-2.4606
-X6.1331Y-3.0394
-X6.2331Y-3.0394
-X6.3331Y-3.0394
-X6.4331Y-3.0394
-T5
-X2.9528Y-4.9181
-X2.9528Y-5.1181
-X2.9528Y-5.3181
-X6.4961Y-4.9181
-X6.4961Y-5.1181
-X6.4961Y-5.3181
-X2.9528Y-4.1307
-X2.9528Y-4.3307
-X2.9528Y-4.5307
-X6.4961Y-4.1276
-X6.4961Y-4.3276
-X6.4961Y-4.5276
-T6
-X5.5209Y-2.5035
-X6.161Y-2.5035
-X4.7394Y-2.5035
-X5.3795Y-2.5035
-T0
-M30
+M48
+; DRILL file {KiCad (5.1.5)-3} date 5/2/2020 8:20:04 PM
+; FORMAT={-:-/ absolute / inch / decimal}
+; #@! TF.CreationDate,2020-05-02T20:20:04+02:00
+; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.1.5)-3
+; #@! TF.FileFunction,Plated,1,2,PTH
+FMAT,2
+INCH
+T1C0.0157
+T2C0.0315
+T3C0.0350
+T4C0.0394
+T5C0.0472
+T6C0.0512
+T7C0.0618
+%
+G90
+G05
+T1
+X3.2402Y-5.8691
+X3.3622Y-3.6004
+X3.3819Y-2.7894
+X3.3819Y-2.8327
+X3.3819Y-2.876
+X3.3819Y-2.9173
+X3.4232Y-2.7894
+X3.4232Y-2.9173
+X3.4606Y-5.9961
+X3.4646Y-2.7894
+X3.4646Y-2.9173
+X3.468Y-5.9099
+X3.5236Y-3.2618
+X3.5295Y-3.1654
+X3.5669Y-3.2165
+X3.5748Y-3.1654
+X3.5827Y-3.5276
+X3.6201Y-3.126
+X3.6201Y-3.1654
+X3.622Y-3.4685
+X3.6614Y-3.5827
+X3.7579Y-3.5039
+X3.7795Y-3.3819
+X3.7972Y-3.2047
+X3.815Y-3.4094
+X3.8484Y-3.2559
+X3.878Y-3.4528
+X3.878Y-3.4882
+X3.8878Y-3.3996
+X3.8976Y-3.2717
+X3.937Y-2.5531
+X3.9783Y-3.2028
+X3.9882Y-2.6319
+X3.9882Y-2.6811
+X4.0039Y-2.4272
+X4.0138Y-2.5945
+X4.0354Y-2.7461
+X4.0394Y-3.5827
+X4.0886Y-2.7244
+X4.1063Y-3.2008
+X4.1575Y-3.6772
+X4.1654Y-3.1299
+X4.1732Y-3.252
+X4.2062Y-3.5143
+X4.2146Y-3.5728
+X4.2283Y-3.2579
+X4.248Y-2.3209
+X4.25Y-2.3602
+X4.2756Y-2.7874
+X4.2756Y-2.8346
+X4.2756Y-2.8858
+X4.2756Y-3.3012
+X4.28Y-3.5074
+X4.2874Y-3.5551
+X4.2913Y-3.1929
+X4.3268Y-3.5581
+X4.3386Y-3.2362
+X4.3465Y-3.0551
+X4.372Y-2.2953
+X4.3858Y-3.2362
+X4.3858Y-3.374
+X4.3937Y-3.122
+X4.4066Y-3.4921
+X4.4094Y-3.5669
+X4.4134Y-2.2913
+X4.4193Y-3.3268
+X4.4567Y-2.3425
+X4.4685Y-3.2087
+X4.5079Y-3.3268
+X4.5079Y-3.437
+X4.5098Y-2.8642
+X4.5295Y-3.5571
+X4.5551Y-3.3268
+X4.5787Y-3.5059
+X4.5906Y-3.2441
+X4.5965Y-3.3386
+X4.6024Y-3.189
+X4.6063Y-2.8484
+X4.6137Y-3.1501
+X4.6142Y-3.1102
+X4.6339Y-3.2441
+X4.6575Y-3.2795
+X4.6752Y-2.878
+X4.6752Y-2.9232
+X4.6969Y-3.2638
+X4.7028Y-3.4331
+X4.7106Y-3.4685
+X4.7146Y-2.9232
+X4.7756Y-3.0
+X4.7756Y-3.0315
+X4.7844Y-3.3071
+X4.8071Y-3.0
+X4.8071Y-3.0315
+X4.8312Y-3.1147
+X4.8543Y-3.7677
+X4.8661Y-3.1004
+X4.8799Y-2.9055
+X4.8894Y-3.2653
+X4.8937Y-3.7205
+X4.9075Y-4.5955
+X4.9213Y-3.3465
+X4.9259Y-3.2499
+X4.9921Y-5.9921
+X4.9951Y-5.1201
+X5.0059Y-5.189
+X5.0236Y-5.9606
+X5.0346Y-3.385
+X5.0394Y-3.8583
+X5.0394Y-3.8976
+X5.0394Y-3.937
+X5.0394Y-3.9764
+X5.0394Y-4.0157
+X5.0394Y-5.4331
+X5.0394Y-5.4724
+X5.0394Y-5.5118
+X5.0394Y-5.5512
+X5.0394Y-5.5906
+X5.0787Y-3.5394
+X5.0787Y-3.8583
+X5.0787Y-3.8976
+X5.0787Y-3.937
+X5.0787Y-3.9764
+X5.0787Y-4.0157
+X5.0787Y-4.9409
+X5.0787Y-4.9803
+X5.0787Y-5.0197
+X5.0787Y-5.0591
+X5.0787Y-5.4331
+X5.0787Y-5.4724
+X5.0787Y-5.5118
+X5.0787Y-5.5512
+X5.0787Y-5.5906
+X5.0979Y-3.1161
+X5.0984Y-4.3898
+X5.0984Y-4.4291
+X5.0984Y-4.4685
+X5.0984Y-4.5079
+X5.0984Y-4.5472
+X5.1024Y-3.0433
+X5.1081Y-3.1909
+X5.1181Y-3.8583
+X5.1181Y-3.8976
+X5.1181Y-3.937
+X5.1181Y-3.9764
+X5.1181Y-4.0157
+X5.1181Y-4.9016
+X5.1181Y-4.9409
+X5.1181Y-4.9803
+X5.1181Y-5.0197
+X5.1181Y-5.0591
+X5.1181Y-5.4331
+X5.1181Y-5.4724
+X5.1181Y-5.5118
+X5.1181Y-5.5512
+X5.1181Y-5.5906
+X5.1378Y-4.3898
+X5.1378Y-4.4291
+X5.1378Y-4.4685
+X5.1378Y-4.5079
+X5.1378Y-4.5472
+X5.1565Y-4.749
+X5.1575Y-3.1752
+X5.1575Y-3.8583
+X5.1575Y-3.8976
+X5.1575Y-3.937
+X5.1575Y-3.9764
+X5.1575Y-4.0157
+X5.1575Y-4.9016
+X5.1575Y-4.9409
+X5.1575Y-4.9803
+X5.1575Y-5.0197
+X5.1575Y-5.0591
+X5.1575Y-5.4331
+X5.1575Y-5.4724
+X5.1575Y-5.5118
+X5.1575Y-5.5512
+X5.1575Y-5.5906
+X5.1579Y-3.1358
+X5.1772Y-4.3898
+X5.1772Y-4.4291
+X5.1772Y-4.4685
+X5.1772Y-4.5079
+X5.1772Y-4.5472
+X5.1969Y-4.9016
+X5.1969Y-4.9409
+X5.1969Y-4.9803
+X5.1969Y-5.0197
+X5.1969Y-5.0591
+X5.2559Y-3.5394
+X5.2835Y-5.8937
+X5.315Y-2.5984
+X5.331Y-2.5624
+X5.3583Y-3.3976
+X5.385Y-3.7205
+X5.3898Y-3.8189
+X5.4035Y-3.3169
+X5.4134Y-2.7165
+X5.4528Y-2.7205
+X5.4685Y-3.1752
+X5.5Y-2.9783
+X5.5069Y-3.0758
+X5.5177Y-3.1752
+X5.5197Y-3.7441
+X5.5236Y-5.9016
+X5.5691Y-3.3781
+X5.6102Y-3.5394
+X5.626Y-3.4331
+X5.702Y-5.9382
+X5.7283Y-3.0778
+X5.7591Y-5.9325
+X5.7598Y-6.0197
+X5.8012Y-3.0059
+X5.8056Y-5.841
+X5.8228Y-3.5394
+X5.8228Y-3.685
+X5.8268Y-3.4252
+X5.8386Y-6.0512
+X5.9055Y-3.2382
+X5.9055Y-3.3307
+X5.9843Y-3.1909
+X6.0712Y-3.601
+X6.1417Y-3.5157
+X6.185Y-3.5157
+X6.189Y-5.6939
+X6.2913Y-3.1417
+X6.3307Y-2.56
+X6.3465Y-3.5984
+X6.4016Y-3.6693
+X6.4065Y-3.6299
+X6.4724Y-3.252
+X6.5157Y-3.252
+X6.5157Y-3.2874
+X6.5551Y-3.7323
+X6.5906Y-3.685
+X6.6437Y-3.5846
+T2
+X4.3071Y-2.6063
+X4.3071Y-2.685
+T3
+X4.7894Y-2.7283
+X4.8795Y-2.7283
+X4.9193Y-2.4685
+X4.9594Y-2.5386
+X4.9996Y-2.4685
+X5.0398Y-2.5386
+X5.0799Y-2.4685
+X5.1201Y-2.5386
+X5.1602Y-2.4685
+X5.2004Y-2.5386
+X5.2394Y-2.7283
+X5.3295Y-2.7283
+X5.5709Y-2.7283
+X5.661Y-2.7283
+X5.7008Y-2.4685
+X5.7409Y-2.5386
+X5.7811Y-2.4685
+X5.8213Y-2.5386
+X5.8614Y-2.4685
+X5.9016Y-2.5386
+X5.9417Y-2.4685
+X5.9819Y-2.5386
+X6.0209Y-2.7283
+X6.111Y-2.7283
+T4
+X3.5039Y-3.9567
+X3.5039Y-4.0551
+X3.5039Y-4.1535
+X3.5039Y-4.252
+X3.5039Y-4.3504
+X3.5039Y-4.4488
+X3.5039Y-4.5472
+X3.5039Y-4.6457
+X3.5039Y-4.7441
+X3.6024Y-5.7283
+X3.7008Y-5.0394
+X3.7795Y-5.1181
+X3.8189Y-5.0
+X3.8583Y-5.1969
+X3.8976Y-5.0787
+X3.937Y-4.9606
+X3.937Y-5.2756
+X3.9764Y-5.1575
+X4.0157Y-5.0394
+X4.0157Y-5.3543
+X4.0551Y-5.2362
+X4.0945Y-5.1181
+X4.1339Y-5.315
+X4.1732Y-5.1969
+X4.2126Y-5.0787
+X4.252Y-5.2756
+X4.2913Y-5.1575
+X4.3701Y-5.2362
+X4.4094Y-5.1181
+X4.4882Y-5.1969
+X4.5276Y-5.3543
+X4.5276Y-5.4724
+X4.5276Y-5.5906
+X4.5276Y-5.7087
+X4.6457Y-3.7992
+X4.6457Y-3.8976
+X4.6457Y-4.0157
+X4.6457Y-4.1339
+X4.6457Y-5.3543
+X4.6457Y-5.4724
+X4.6457Y-5.5906
+X4.6457Y-5.7087
+X4.7638Y-3.8976
+X4.7638Y-4.0157
+X4.7638Y-4.1339
+X4.7638Y-5.3543
+X4.7638Y-5.4724
+X4.7638Y-5.5906
+X4.7638Y-5.7087
+X4.8819Y-3.8976
+X4.8819Y-4.0157
+X4.8819Y-4.1339
+X4.8819Y-5.3543
+X4.8819Y-5.7087
+X5.0Y-5.3543
+X5.0Y-5.7087
+X5.1181Y-5.1575
+X5.2362Y-4.6457
+X5.2362Y-5.1575
+X5.3543Y-4.2913
+X5.3543Y-4.2913
+X5.3543Y-4.4094
+X5.3543Y-4.5276
+X5.3543Y-4.6457
+X5.3543Y-4.8031
+X5.3543Y-4.9213
+X5.3543Y-5.0394
+X5.3543Y-5.1575
+X5.4724Y-4.2913
+X5.4724Y-4.4094
+X5.4724Y-4.5276
+X5.4724Y-4.6457
+X5.4724Y-4.8031
+X5.4724Y-4.9213
+X5.4724Y-5.0394
+X5.4724Y-5.1575
+X5.5906Y-4.2913
+X5.5906Y-4.4094
+X5.5906Y-4.5276
+X5.5906Y-4.6457
+X5.5906Y-4.8031
+X5.5906Y-4.9213
+X5.5906Y-5.0394
+X5.5906Y-5.1575
+X4.5886Y-2.3217
+X4.5886Y-2.4217
+X4.5886Y-2.5217
+X4.5886Y-2.6217
+X2.9882Y-3.4626
+X3.185Y-3.4626
+T5
+X3.4252Y-2.4311
+X3.5252Y-2.4311
+X6.1331Y-3.0394
+X6.2331Y-3.0394
+X6.3331Y-3.0394
+X6.4331Y-3.0394
+X3.1201Y-2.8642
+X3.1201Y-3.061
+T6
+X2.9528Y-4.9244
+X2.9528Y-5.1213
+X2.9528Y-5.3181
+X6.4961Y-4.1276
+X6.4961Y-4.3244
+X6.4961Y-4.5213
+X2.9528Y-4.137
+X2.9528Y-4.3339
+X2.9528Y-4.5307
+X6.4961Y-4.9181
+X6.4961Y-5.115
+X6.4961Y-5.3118
+T7
+X4.7394Y-2.5035
+X5.3795Y-2.5035
+X5.5209Y-2.5035
+X6.161Y-2.5035
+T0
+M30
diff --git a/driver/sym-lib-table b/driver/sym-lib-table
index e5f4edc..c7622e8 100644
--- a/driver/sym-lib-table
+++ b/driver/sym-lib-table
@@ -1,3 +1,6 @@
-(sym_lib_table
- (lib (name components)(type Legacy)(uri /home/user/toys/led_tape_display/driver/components.lib)(options "")(descr ""))
-)
+(sym_lib_table
+ (lib (name components)(type Legacy)(uri /home/user/toys/led_tape_display/driver/components.lib)(options "")(descr ""))
+ (lib (name driver-rescue)(type Legacy)(uri ${KIPRJMOD}/driver-rescue.lib)(options "")(descr ""))
+ (lib (name center-rescue)(type Legacy)(uri C:/Users/jaseg/shared/center/center-rescue.lib)(options "")(descr ""))
+ (lib (name tx4138)(type Legacy)(uri ${KIPRJMOD}/tx4138.lib)(options "")(descr ""))
+)
diff --git a/driver/tx4138.dcm b/driver/tx4138.dcm
new file mode 100644
index 0000000..5dd71cb
--- /dev/null
+++ b/driver/tx4138.dcm
@@ -0,0 +1,3 @@
+EESchema-DOCLIB Version 2.0
+#
+#End Doc Library
diff --git a/driver/tx4138.lib b/driver/tx4138.lib
new file mode 100644
index 0000000..31c0681
--- /dev/null
+++ b/driver/tx4138.lib
@@ -0,0 +1,25 @@
+EESchema-LIBRARY Version 2.4
+#encoding utf-8
+#
+# TX4138
+#
+DEF TX4138 U 0 40 Y Y 1 F N
+F0 "U" 0 0 50 H V C CNN
+F1 "TX4138" 0 300 50 V V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+DRAW
+S -300 -400 300 600 0 1 0 f
+X SW 1 500 300 200 L 50 50 1 1 I
+X ILIM 2 -500 300 200 R 50 50 1 1 I
+X VIN 3 -500 500 200 R 50 50 1 1 I
+X GND 4 0 -600 200 U 50 50 1 1 I
+X FB 5 500 100 200 L 50 50 1 1 I
+X EN 6 -500 -100 200 R 50 50 1 1 I
+X POK 7 500 -100 200 L 50 50 1 1 I
+X BS 8 500 500 200 L 50 50 1 1 I
+X ILIM 9 -500 200 200 R 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+#End Library