From 87c874251fe629b296ead673bb0c09db366dabce Mon Sep 17 00:00:00 2001 From: ↑←↑↓→↓→←↑ Date: Mon, 21 Nov 2016 11:53:33 +0100 Subject: layouting along --- .../led_drv PCB ECO 11-20-2016 2-53-40 PM.LOG | 335 +++++++++++++++++++++ .../led_drv PCB ECO 11-20-2016 2-56-40 PM.LOG | 335 +++++++++++++++++++++ .../led_drv PCB ECO 11-20-2016 3-14-20 PM.LOG | 17 ++ .../led_drv PCB ECO 11-20-2016 3-19-40 PM.LOG | 7 + .../led_drv PCB ECO 11-20-2016 5-45-08 PM.LOG | 8 + .../led_drv PCB ECO 11-20-2016 6-24-22 PM.LOG | 0 .../led_drv PCB ECO 11-20-2016 6-35-02 PM.LOG | 76 +++++ .../led_drv PCB ECO 11-20-2016 6-35-42 PM.LOG | 20 ++ .../led_drv PCB ECO 11-20-2016 6-36-02 PM.LOG | 4 + .../led_drv PCB ECO 11-20-2016 6-37-14 PM.LOG | 16 + .../led_drv PCB ECO 11-20-2016 6-56-25 PM.LOG | 0 .../led_drv PCB ECO 11-20-2016 6-58-02 PM.LOG | 12 + .../led_drv PCB ECO 11-20-2016 7-04-56 PM.LOG | 1 + .../led_drv PCB ECO 11-20-2016 7-08-04 PM.LOG | 1 + .../led_drv PCB ECO 11-20-2016 7-08-25 PM.LOG | 1 + .../led_drv PCB ECO 11-20-2016 7-50-14 PM.LOG | 51 ++++ .../led_drv PCB ECO 11-21-2016 11-17-19 AM.LOG | 3 + .../led_drv PCB ECO 11-21-2016 11-18-18 AM.LOG | 1 + .../led_drv PCB ECO 11-21-2016 11-52-20 AM.LOG | 1 + .../main SCH ECO 11-20-2016 2-52-41 PM.LOG | 64 ++++ .../main SCH ECO 11-20-2016 5-45-00 PM.LOG | 2 + 21 files changed, 955 insertions(+) create mode 100644 olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 2-53-40 PM.LOG create mode 100644 olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 2-56-40 PM.LOG create mode 100644 olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 3-14-20 PM.LOG create mode 100644 olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 3-19-40 PM.LOG create mode 100644 olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 5-45-08 PM.LOG create mode 100644 olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-24-22 PM.LOG create mode 100644 olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-35-02 PM.LOG create mode 100644 olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-35-42 PM.LOG create mode 100644 olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-36-02 PM.LOG create mode 100644 olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-37-14 PM.LOG create mode 100644 olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-56-25 PM.LOG create mode 100644 olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-58-02 PM.LOG create mode 100644 olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 7-04-56 PM.LOG create mode 100644 olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 7-08-04 PM.LOG create mode 100644 olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 7-08-25 PM.LOG create mode 100644 olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 7-50-14 PM.LOG create mode 100644 olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-21-2016 11-17-19 AM.LOG create mode 100644 olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-21-2016 11-18-18 AM.LOG create mode 100644 olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-21-2016 11-52-20 AM.LOG create mode 100644 olsndot/PCB_Project/Project Logs for olsndot/main SCH ECO 11-20-2016 2-52-41 PM.LOG create mode 100644 olsndot/PCB_Project/Project Logs for olsndot/main SCH ECO 11-20-2016 5-45-00 PM.LOG (limited to 'olsndot/PCB_Project/Project Logs for olsndot') diff --git a/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 2-53-40 PM.LOG b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 2-53-40 PM.LOG new file mode 100644 index 0000000..adc214e --- /dev/null +++ b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 2-53-40 PM.LOG @@ -0,0 +1,335 @@ +Added Component: Designator=J1(DSUB1.385-2H9) +Added Component: Designator=J2(DSUB1.385-2H9) +Added Component: Designator=J3(DSUB1.385-2H9) +Added Component: Designator=J4(DSUB1.385-2H9) +Added Component: Designator=Q1(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q2(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q3(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q4(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q5(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q6(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q7(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q8(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q9(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q10(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q11(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q12(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q13(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q14(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q15(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q16(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q17(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q18(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q19(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q20(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q21(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q22(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q23(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q24(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q25(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q26(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q27(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q28(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q29(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q30(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q31(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q32(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=U1(D0016A_M) +Added Component: Designator=U2(STM-TSSOP20_L) +Added Component: Designator=U3(D0016A_M) +Added Component: Designator=U4(D0016A_M) +Added Component: Designator=U5(D0016A_M) +Added Component: Designator=U6(SOIC-SN8_N) +Added Pin To Net: NetName=+12V Pin=J1-10 +Added Pin To Net: NetName=+12V Pin=J1-11 +Added Pin To Net: NetName=+12V Pin=J2-10 +Added Pin To Net: NetName=+12V Pin=J2-11 +Added Pin To Net: NetName=+12V Pin=J3-10 +Added Pin To Net: NetName=+12V Pin=J3-11 +Added Pin To Net: NetName=+12V Pin=J4-10 +Added Pin To Net: NetName=+12V Pin=J4-11 +Added Net: Name=+12V +Added Pin To Net: NetName=AVCC Pin=U2-5 +Added Pin To Net: NetName=AVCC Pin=U6-7 +Added Net: Name=AVCC +Added Pin To Net: NetName=CH0 Pin=J1-1 +Added Pin To Net: NetName=CH0 Pin=Q4-3 +Added Net: Name=CH0 +Added Pin To Net: NetName=CH1 Pin=J1-6 +Added Pin To Net: NetName=CH1 Pin=Q3-3 +Added Net: Name=CH1 +Added Pin To Net: NetName=CH2 Pin=J1-2 +Added Pin To Net: NetName=CH2 Pin=Q2-3 +Added Net: Name=CH2 +Added Pin To Net: NetName=CH3 Pin=J1-7 +Added Pin To Net: NetName=CH3 Pin=Q1-3 +Added Net: Name=CH3 +Added Pin To Net: NetName=CH4 Pin=J1-8 +Added Pin To Net: NetName=CH4 Pin=Q5-3 +Added Net: Name=CH4 +Added Pin To Net: NetName=CH5 Pin=J1-4 +Added Pin To Net: NetName=CH5 Pin=Q6-3 +Added Net: Name=CH5 +Added Pin To Net: NetName=CH6 Pin=J1-9 +Added Pin To Net: NetName=CH6 Pin=Q7-3 +Added Net: Name=CH6 +Added Pin To Net: NetName=CH7 Pin=J1-5 +Added Pin To Net: NetName=CH7 Pin=Q8-3 +Added Net: Name=CH7 +Added Pin To Net: NetName=CH8 Pin=J2-1 +Added Pin To Net: NetName=CH8 Pin=Q12-3 +Added Net: Name=CH8 +Added Pin To Net: NetName=CH9 Pin=J2-6 +Added Pin To Net: NetName=CH9 Pin=Q11-3 +Added Net: Name=CH9 +Added Pin To Net: NetName=CH10 Pin=J2-2 +Added Pin To Net: NetName=CH10 Pin=Q10-3 +Added Net: Name=CH10 +Added Pin To Net: NetName=CH11 Pin=J2-7 +Added Pin To Net: NetName=CH11 Pin=Q9-3 +Added Net: Name=CH11 +Added Pin To Net: NetName=CH12 Pin=J2-8 +Added Pin To Net: NetName=CH12 Pin=Q13-3 +Added Net: Name=CH12 +Added Pin To Net: NetName=CH13 Pin=J2-4 +Added Pin To Net: NetName=CH13 Pin=Q14-3 +Added Net: Name=CH13 +Added Pin To Net: NetName=CH14 Pin=J2-9 +Added Pin To Net: NetName=CH14 Pin=Q15-3 +Added Net: Name=CH14 +Added Pin To Net: NetName=CH15 Pin=J2-5 +Added Pin To Net: NetName=CH15 Pin=Q16-3 +Added Net: Name=CH15 +Added Pin To Net: NetName=CH16 Pin=J3-1 +Added Pin To Net: NetName=CH16 Pin=Q20-3 +Added Net: Name=CH16 +Added Pin To Net: NetName=CH17 Pin=J3-6 +Added Pin To Net: NetName=CH17 Pin=Q19-3 +Added Net: Name=CH17 +Added Pin To Net: NetName=CH18 Pin=J3-2 +Added Pin To Net: NetName=CH18 Pin=Q18-3 +Added Net: Name=CH18 +Added Pin To Net: NetName=CH19 Pin=J3-7 +Added Pin To Net: NetName=CH19 Pin=Q17-3 +Added Net: Name=CH19 +Added Pin To Net: NetName=CH20 Pin=J3-8 +Added Pin To Net: NetName=CH20 Pin=Q21-3 +Added Net: Name=CH20 +Added Pin To Net: NetName=CH21 Pin=J3-4 +Added Pin To Net: NetName=CH21 Pin=Q22-3 +Added Net: Name=CH21 +Added Pin To Net: NetName=CH22 Pin=J3-9 +Added Pin To Net: NetName=CH22 Pin=Q23-3 +Added Net: Name=CH22 +Added Pin To Net: NetName=CH23 Pin=J3-5 +Added Pin To Net: NetName=CH23 Pin=Q24-3 +Added Net: Name=CH23 +Added Pin To Net: NetName=CH24 Pin=J4-1 +Added Pin To Net: NetName=CH24 Pin=Q28-3 +Added Net: Name=CH24 +Added Pin To Net: NetName=CH25 Pin=J4-6 +Added Pin To Net: NetName=CH25 Pin=Q27-3 +Added Net: Name=CH25 +Added Pin To Net: NetName=CH26 Pin=J4-2 +Added Pin To Net: NetName=CH26 Pin=Q26-3 +Added Net: Name=CH26 +Added Pin To Net: NetName=CH27 Pin=J4-7 +Added Pin To Net: NetName=CH27 Pin=Q25-3 +Added Net: Name=CH27 +Added Pin To Net: NetName=CH28 Pin=J4-8 +Added Pin To Net: NetName=CH28 Pin=Q29-3 +Added Net: Name=CH28 +Added Pin To Net: NetName=CH29 Pin=J4-4 +Added Pin To Net: NetName=CH29 Pin=Q30-3 +Added Net: Name=CH29 +Added Pin To Net: NetName=CH30 Pin=J4-9 +Added Pin To Net: NetName=CH30 Pin=Q31-3 +Added Net: Name=CH30 +Added Pin To Net: NetName=CH31 Pin=J4-5 +Added Pin To Net: NetName=CH31 Pin=Q32-3 +Added Net: Name=CH31 +Added Pin To Net: NetName=GATE0 Pin=Q4-1 +Added Pin To Net: NetName=GATE0 Pin=U1-15 +Added Net: Name=GATE0 +Added Pin To Net: NetName=GATE1 Pin=Q3-1 +Added Pin To Net: NetName=GATE1 Pin=U1-1 +Added Net: Name=GATE1 +Added Pin To Net: NetName=GATE2 Pin=Q2-1 +Added Pin To Net: NetName=GATE2 Pin=U1-2 +Added Net: Name=GATE2 +Added Pin To Net: NetName=GATE3 Pin=Q1-1 +Added Pin To Net: NetName=GATE3 Pin=U1-3 +Added Net: Name=GATE3 +Added Pin To Net: NetName=GATE4 Pin=Q5-1 +Added Pin To Net: NetName=GATE4 Pin=U1-4 +Added Net: Name=GATE4 +Added Pin To Net: NetName=GATE5 Pin=Q6-1 +Added Pin To Net: NetName=GATE5 Pin=U1-5 +Added Net: Name=GATE5 +Added Pin To Net: NetName=GATE6 Pin=Q7-1 +Added Pin To Net: NetName=GATE6 Pin=U1-6 +Added Net: Name=GATE6 +Added Pin To Net: NetName=GATE7 Pin=Q8-1 +Added Pin To Net: NetName=GATE7 Pin=U1-7 +Added Net: Name=GATE7 +Added Pin To Net: NetName=GATE8 Pin=Q12-1 +Added Pin To Net: NetName=GATE8 Pin=U3-15 +Added Net: Name=GATE8 +Added Pin To Net: NetName=GATE9 Pin=Q11-1 +Added Pin To Net: NetName=GATE9 Pin=U3-1 +Added Net: Name=GATE9 +Added Pin To Net: NetName=GATE10 Pin=Q10-1 +Added Pin To Net: NetName=GATE10 Pin=U3-2 +Added Net: Name=GATE10 +Added Pin To Net: NetName=GATE11 Pin=Q9-1 +Added Pin To Net: NetName=GATE11 Pin=U3-3 +Added Net: Name=GATE11 +Added Pin To Net: NetName=GATE12 Pin=Q13-1 +Added Pin To Net: NetName=GATE12 Pin=U3-4 +Added Net: Name=GATE12 +Added Pin To Net: NetName=GATE13 Pin=Q14-1 +Added Pin To Net: NetName=GATE13 Pin=U3-5 +Added Net: Name=GATE13 +Added Pin To Net: NetName=GATE14 Pin=Q15-1 +Added Pin To Net: NetName=GATE14 Pin=U3-6 +Added Net: Name=GATE14 +Added Pin To Net: NetName=GATE15 Pin=Q16-1 +Added Pin To Net: NetName=GATE15 Pin=U3-7 +Added Net: Name=GATE15 +Added Pin To Net: NetName=GATE16 Pin=Q20-1 +Added Pin To Net: NetName=GATE16 Pin=U4-15 +Added Net: Name=GATE16 +Added Pin To Net: NetName=GATE17 Pin=Q19-1 +Added Pin To Net: NetName=GATE17 Pin=U4-1 +Added Net: Name=GATE17 +Added Pin To Net: NetName=GATE18 Pin=Q18-1 +Added Pin To Net: NetName=GATE18 Pin=U4-2 +Added Net: Name=GATE18 +Added Pin To Net: NetName=GATE19 Pin=Q17-1 +Added Pin To Net: NetName=GATE19 Pin=U4-3 +Added Net: Name=GATE19 +Added Pin To Net: NetName=GATE20 Pin=Q21-1 +Added Pin To Net: NetName=GATE20 Pin=U4-4 +Added Net: Name=GATE20 +Added Pin To Net: NetName=GATE21 Pin=Q22-1 +Added Pin To Net: NetName=GATE21 Pin=U4-5 +Added Net: Name=GATE21 +Added Pin To Net: NetName=GATE22 Pin=Q23-1 +Added Pin To Net: NetName=GATE22 Pin=U4-6 +Added Net: Name=GATE22 +Added Pin To Net: NetName=GATE23 Pin=Q24-1 +Added Pin To Net: NetName=GATE23 Pin=U4-7 +Added Net: Name=GATE23 +Added Pin To Net: NetName=GATE24 Pin=Q28-1 +Added Pin To Net: NetName=GATE24 Pin=U5-15 +Added Net: Name=GATE24 +Added Pin To Net: NetName=GATE25 Pin=Q27-1 +Added Pin To Net: NetName=GATE25 Pin=U5-1 +Added Net: Name=GATE25 +Added Pin To Net: NetName=GATE26 Pin=Q26-1 +Added Pin To Net: NetName=GATE26 Pin=U5-2 +Added Net: Name=GATE26 +Added Pin To Net: NetName=GATE27 Pin=Q25-1 +Added Pin To Net: NetName=GATE27 Pin=U5-3 +Added Net: Name=GATE27 +Added Pin To Net: NetName=GATE28 Pin=Q29-1 +Added Pin To Net: NetName=GATE28 Pin=U5-4 +Added Net: Name=GATE28 +Added Pin To Net: NetName=GATE29 Pin=Q30-1 +Added Pin To Net: NetName=GATE29 Pin=U5-5 +Added Net: Name=GATE29 +Added Pin To Net: NetName=GATE30 Pin=Q31-1 +Added Pin To Net: NetName=GATE30 Pin=U5-6 +Added Net: Name=GATE30 +Added Pin To Net: NetName=GATE31 Pin=Q32-1 +Added Pin To Net: NetName=GATE31 Pin=U5-7 +Added Net: Name=GATE31 +Added Pin To Net: NetName=GND Pin=U1-8 +Added Pin To Net: NetName=GND Pin=U1-13 +Added Pin To Net: NetName=GND Pin=U2-15 +Added Pin To Net: NetName=GND Pin=U3-8 +Added Pin To Net: NetName=GND Pin=U3-13 +Added Pin To Net: NetName=GND Pin=U4-8 +Added Pin To Net: NetName=GND Pin=U4-13 +Added Pin To Net: NetName=GND Pin=U5-8 +Added Pin To Net: NetName=GND Pin=U5-13 +Added Pin To Net: NetName=GND Pin=U6-4 +Added Net: Name=GND +Added Net: Name=IMEAS_RANGESEL +Added Pin To Net: NetName=IMEAS Pin=U6-6 +Added Net: Name=IMEAS +Added Pin To Net: NetName=NetC1_2 Pin=Q1-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q2-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q3-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q4-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q5-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q6-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q7-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q8-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q9-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q10-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q11-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q12-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q13-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q14-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q15-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q16-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q17-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q18-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q19-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q20-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q21-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q22-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q23-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q24-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q25-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q26-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q27-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q28-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q29-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q30-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q31-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q32-2 +Added Net: Name=NetC1_2 +Added Pin To Net: NetName=NetR1_2 Pin=U6-3 +Added Net: Name=NetR1_2 +Added Pin To Net: NetName=NetR3_2 Pin=U6-2 +Added Net: Name=NetR3_2 +Added Pin To Net: NetName=NetU1_9 Pin=U1-9 +Added Pin To Net: NetName=NetU1_9 Pin=U3-14 +Added Net: Name=NetU1_9 +Added Pin To Net: NetName=NetU1_10 Pin=U1-10 +Added Pin To Net: NetName=NetU1_10 Pin=U2-14 +Added Pin To Net: NetName=NetU1_10 Pin=U3-10 +Added Pin To Net: NetName=NetU1_10 Pin=U4-10 +Added Pin To Net: NetName=NetU1_10 Pin=U5-10 +Added Net: Name=NetU1_10 +Added Pin To Net: NetName=NetU1_11 Pin=U1-11 +Added Pin To Net: NetName=NetU1_11 Pin=U2-11 +Added Pin To Net: NetName=NetU1_11 Pin=U3-11 +Added Pin To Net: NetName=NetU1_11 Pin=U4-11 +Added Pin To Net: NetName=NetU1_11 Pin=U5-11 +Added Net: Name=NetU1_11 +Added Pin To Net: NetName=NetU1_12 Pin=U1-12 +Added Pin To Net: NetName=NetU1_12 Pin=U2-18 +Added Pin To Net: NetName=NetU1_12 Pin=U3-12 +Added Pin To Net: NetName=NetU1_12 Pin=U4-12 +Added Pin To Net: NetName=NetU1_12 Pin=U5-12 +Added Net: Name=NetU1_12 +Added Pin To Net: NetName=NetU1_14 Pin=U1-14 +Added Pin To Net: NetName=NetU1_14 Pin=U2-13 +Added Net: Name=NetU1_14 +Added Pin To Net: NetName=NetU3_9 Pin=U3-9 +Added Pin To Net: NetName=NetU3_9 Pin=U4-14 +Added Net: Name=NetU3_9 +Added Pin To Net: NetName=NetU4_9 Pin=U4-9 +Added Pin To Net: NetName=NetU4_9 Pin=U5-14 +Added Net: Name=NetU4_9 +Added Pin To Net: NetName=VCC Pin=U1-16 +Added Pin To Net: NetName=VCC Pin=U2-16 +Added Pin To Net: NetName=VCC Pin=U3-16 +Added Pin To Net: NetName=VCC Pin=U4-16 +Added Pin To Net: NetName=VCC Pin=U5-16 +Added Net: Name=VCC +Added Class: Name=main +Added Room: Name=main diff --git a/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 2-56-40 PM.LOG b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 2-56-40 PM.LOG new file mode 100644 index 0000000..adc214e --- /dev/null +++ b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 2-56-40 PM.LOG @@ -0,0 +1,335 @@ +Added Component: Designator=J1(DSUB1.385-2H9) +Added Component: Designator=J2(DSUB1.385-2H9) +Added Component: Designator=J3(DSUB1.385-2H9) +Added Component: Designator=J4(DSUB1.385-2H9) +Added Component: Designator=Q1(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q2(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q3(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q4(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q5(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q6(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q7(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q8(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q9(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q10(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q11(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q12(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q13(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q14(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q15(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q16(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q17(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q18(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q19(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q20(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q21(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q22(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q23(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q24(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q25(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q26(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q27(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q28(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q29(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q30(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q31(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=Q32(ONSC-SOT-23-3-318-08_V) +Added Component: Designator=U1(D0016A_M) +Added Component: Designator=U2(STM-TSSOP20_L) +Added Component: Designator=U3(D0016A_M) +Added Component: Designator=U4(D0016A_M) +Added Component: Designator=U5(D0016A_M) +Added Component: Designator=U6(SOIC-SN8_N) +Added Pin To Net: NetName=+12V Pin=J1-10 +Added Pin To Net: NetName=+12V Pin=J1-11 +Added Pin To Net: NetName=+12V Pin=J2-10 +Added Pin To Net: NetName=+12V Pin=J2-11 +Added Pin To Net: NetName=+12V Pin=J3-10 +Added Pin To Net: NetName=+12V Pin=J3-11 +Added Pin To Net: NetName=+12V Pin=J4-10 +Added Pin To Net: NetName=+12V Pin=J4-11 +Added Net: Name=+12V +Added Pin To Net: NetName=AVCC Pin=U2-5 +Added Pin To Net: NetName=AVCC Pin=U6-7 +Added Net: Name=AVCC +Added Pin To Net: NetName=CH0 Pin=J1-1 +Added Pin To Net: NetName=CH0 Pin=Q4-3 +Added Net: Name=CH0 +Added Pin To Net: NetName=CH1 Pin=J1-6 +Added Pin To Net: NetName=CH1 Pin=Q3-3 +Added Net: Name=CH1 +Added Pin To Net: NetName=CH2 Pin=J1-2 +Added Pin To Net: NetName=CH2 Pin=Q2-3 +Added Net: Name=CH2 +Added Pin To Net: NetName=CH3 Pin=J1-7 +Added Pin To Net: NetName=CH3 Pin=Q1-3 +Added Net: Name=CH3 +Added Pin To Net: NetName=CH4 Pin=J1-8 +Added Pin To Net: NetName=CH4 Pin=Q5-3 +Added Net: Name=CH4 +Added Pin To Net: NetName=CH5 Pin=J1-4 +Added Pin To Net: NetName=CH5 Pin=Q6-3 +Added Net: Name=CH5 +Added Pin To Net: NetName=CH6 Pin=J1-9 +Added Pin To Net: NetName=CH6 Pin=Q7-3 +Added Net: Name=CH6 +Added Pin To Net: NetName=CH7 Pin=J1-5 +Added Pin To Net: NetName=CH7 Pin=Q8-3 +Added Net: Name=CH7 +Added Pin To Net: NetName=CH8 Pin=J2-1 +Added Pin To Net: NetName=CH8 Pin=Q12-3 +Added Net: Name=CH8 +Added Pin To Net: NetName=CH9 Pin=J2-6 +Added Pin To Net: NetName=CH9 Pin=Q11-3 +Added Net: Name=CH9 +Added Pin To Net: NetName=CH10 Pin=J2-2 +Added Pin To Net: NetName=CH10 Pin=Q10-3 +Added Net: Name=CH10 +Added Pin To Net: NetName=CH11 Pin=J2-7 +Added Pin To Net: NetName=CH11 Pin=Q9-3 +Added Net: Name=CH11 +Added Pin To Net: NetName=CH12 Pin=J2-8 +Added Pin To Net: NetName=CH12 Pin=Q13-3 +Added Net: Name=CH12 +Added Pin To Net: NetName=CH13 Pin=J2-4 +Added Pin To Net: NetName=CH13 Pin=Q14-3 +Added Net: Name=CH13 +Added Pin To Net: NetName=CH14 Pin=J2-9 +Added Pin To Net: NetName=CH14 Pin=Q15-3 +Added Net: Name=CH14 +Added Pin To Net: NetName=CH15 Pin=J2-5 +Added Pin To Net: NetName=CH15 Pin=Q16-3 +Added Net: Name=CH15 +Added Pin To Net: NetName=CH16 Pin=J3-1 +Added Pin To Net: NetName=CH16 Pin=Q20-3 +Added Net: Name=CH16 +Added Pin To Net: NetName=CH17 Pin=J3-6 +Added Pin To Net: NetName=CH17 Pin=Q19-3 +Added Net: Name=CH17 +Added Pin To Net: NetName=CH18 Pin=J3-2 +Added Pin To Net: NetName=CH18 Pin=Q18-3 +Added Net: Name=CH18 +Added Pin To Net: NetName=CH19 Pin=J3-7 +Added Pin To Net: NetName=CH19 Pin=Q17-3 +Added Net: Name=CH19 +Added Pin To Net: NetName=CH20 Pin=J3-8 +Added Pin To Net: NetName=CH20 Pin=Q21-3 +Added Net: Name=CH20 +Added Pin To Net: NetName=CH21 Pin=J3-4 +Added Pin To Net: NetName=CH21 Pin=Q22-3 +Added Net: Name=CH21 +Added Pin To Net: NetName=CH22 Pin=J3-9 +Added Pin To Net: NetName=CH22 Pin=Q23-3 +Added Net: Name=CH22 +Added Pin To Net: NetName=CH23 Pin=J3-5 +Added Pin To Net: NetName=CH23 Pin=Q24-3 +Added Net: Name=CH23 +Added Pin To Net: NetName=CH24 Pin=J4-1 +Added Pin To Net: NetName=CH24 Pin=Q28-3 +Added Net: Name=CH24 +Added Pin To Net: NetName=CH25 Pin=J4-6 +Added Pin To Net: NetName=CH25 Pin=Q27-3 +Added Net: Name=CH25 +Added Pin To Net: NetName=CH26 Pin=J4-2 +Added Pin To Net: NetName=CH26 Pin=Q26-3 +Added Net: Name=CH26 +Added Pin To Net: NetName=CH27 Pin=J4-7 +Added Pin To Net: NetName=CH27 Pin=Q25-3 +Added Net: Name=CH27 +Added Pin To Net: NetName=CH28 Pin=J4-8 +Added Pin To Net: NetName=CH28 Pin=Q29-3 +Added Net: Name=CH28 +Added Pin To Net: NetName=CH29 Pin=J4-4 +Added Pin To Net: NetName=CH29 Pin=Q30-3 +Added Net: Name=CH29 +Added Pin To Net: NetName=CH30 Pin=J4-9 +Added Pin To Net: NetName=CH30 Pin=Q31-3 +Added Net: Name=CH30 +Added Pin To Net: NetName=CH31 Pin=J4-5 +Added Pin To Net: NetName=CH31 Pin=Q32-3 +Added Net: Name=CH31 +Added Pin To Net: NetName=GATE0 Pin=Q4-1 +Added Pin To Net: NetName=GATE0 Pin=U1-15 +Added Net: Name=GATE0 +Added Pin To Net: NetName=GATE1 Pin=Q3-1 +Added Pin To Net: NetName=GATE1 Pin=U1-1 +Added Net: Name=GATE1 +Added Pin To Net: NetName=GATE2 Pin=Q2-1 +Added Pin To Net: NetName=GATE2 Pin=U1-2 +Added Net: Name=GATE2 +Added Pin To Net: NetName=GATE3 Pin=Q1-1 +Added Pin To Net: NetName=GATE3 Pin=U1-3 +Added Net: Name=GATE3 +Added Pin To Net: NetName=GATE4 Pin=Q5-1 +Added Pin To Net: NetName=GATE4 Pin=U1-4 +Added Net: Name=GATE4 +Added Pin To Net: NetName=GATE5 Pin=Q6-1 +Added Pin To Net: NetName=GATE5 Pin=U1-5 +Added Net: Name=GATE5 +Added Pin To Net: NetName=GATE6 Pin=Q7-1 +Added Pin To Net: NetName=GATE6 Pin=U1-6 +Added Net: Name=GATE6 +Added Pin To Net: NetName=GATE7 Pin=Q8-1 +Added Pin To Net: NetName=GATE7 Pin=U1-7 +Added Net: Name=GATE7 +Added Pin To Net: NetName=GATE8 Pin=Q12-1 +Added Pin To Net: NetName=GATE8 Pin=U3-15 +Added Net: Name=GATE8 +Added Pin To Net: NetName=GATE9 Pin=Q11-1 +Added Pin To Net: NetName=GATE9 Pin=U3-1 +Added Net: Name=GATE9 +Added Pin To Net: NetName=GATE10 Pin=Q10-1 +Added Pin To Net: NetName=GATE10 Pin=U3-2 +Added Net: Name=GATE10 +Added Pin To Net: NetName=GATE11 Pin=Q9-1 +Added Pin To Net: NetName=GATE11 Pin=U3-3 +Added Net: Name=GATE11 +Added Pin To Net: NetName=GATE12 Pin=Q13-1 +Added Pin To Net: NetName=GATE12 Pin=U3-4 +Added Net: Name=GATE12 +Added Pin To Net: NetName=GATE13 Pin=Q14-1 +Added Pin To Net: NetName=GATE13 Pin=U3-5 +Added Net: Name=GATE13 +Added Pin To Net: NetName=GATE14 Pin=Q15-1 +Added Pin To Net: NetName=GATE14 Pin=U3-6 +Added Net: Name=GATE14 +Added Pin To Net: NetName=GATE15 Pin=Q16-1 +Added Pin To Net: NetName=GATE15 Pin=U3-7 +Added Net: Name=GATE15 +Added Pin To Net: NetName=GATE16 Pin=Q20-1 +Added Pin To Net: NetName=GATE16 Pin=U4-15 +Added Net: Name=GATE16 +Added Pin To Net: NetName=GATE17 Pin=Q19-1 +Added Pin To Net: NetName=GATE17 Pin=U4-1 +Added Net: Name=GATE17 +Added Pin To Net: NetName=GATE18 Pin=Q18-1 +Added Pin To Net: NetName=GATE18 Pin=U4-2 +Added Net: Name=GATE18 +Added Pin To Net: NetName=GATE19 Pin=Q17-1 +Added Pin To Net: NetName=GATE19 Pin=U4-3 +Added Net: Name=GATE19 +Added Pin To Net: NetName=GATE20 Pin=Q21-1 +Added Pin To Net: NetName=GATE20 Pin=U4-4 +Added Net: Name=GATE20 +Added Pin To Net: NetName=GATE21 Pin=Q22-1 +Added Pin To Net: NetName=GATE21 Pin=U4-5 +Added Net: Name=GATE21 +Added Pin To Net: NetName=GATE22 Pin=Q23-1 +Added Pin To Net: NetName=GATE22 Pin=U4-6 +Added Net: Name=GATE22 +Added Pin To Net: NetName=GATE23 Pin=Q24-1 +Added Pin To Net: NetName=GATE23 Pin=U4-7 +Added Net: Name=GATE23 +Added Pin To Net: NetName=GATE24 Pin=Q28-1 +Added Pin To Net: NetName=GATE24 Pin=U5-15 +Added Net: Name=GATE24 +Added Pin To Net: NetName=GATE25 Pin=Q27-1 +Added Pin To Net: NetName=GATE25 Pin=U5-1 +Added Net: Name=GATE25 +Added Pin To Net: NetName=GATE26 Pin=Q26-1 +Added Pin To Net: NetName=GATE26 Pin=U5-2 +Added Net: Name=GATE26 +Added Pin To Net: NetName=GATE27 Pin=Q25-1 +Added Pin To Net: NetName=GATE27 Pin=U5-3 +Added Net: Name=GATE27 +Added Pin To Net: NetName=GATE28 Pin=Q29-1 +Added Pin To Net: NetName=GATE28 Pin=U5-4 +Added Net: Name=GATE28 +Added Pin To Net: NetName=GATE29 Pin=Q30-1 +Added Pin To Net: NetName=GATE29 Pin=U5-5 +Added Net: Name=GATE29 +Added Pin To Net: NetName=GATE30 Pin=Q31-1 +Added Pin To Net: NetName=GATE30 Pin=U5-6 +Added Net: Name=GATE30 +Added Pin To Net: NetName=GATE31 Pin=Q32-1 +Added Pin To Net: NetName=GATE31 Pin=U5-7 +Added Net: Name=GATE31 +Added Pin To Net: NetName=GND Pin=U1-8 +Added Pin To Net: NetName=GND Pin=U1-13 +Added Pin To Net: NetName=GND Pin=U2-15 +Added Pin To Net: NetName=GND Pin=U3-8 +Added Pin To Net: NetName=GND Pin=U3-13 +Added Pin To Net: NetName=GND Pin=U4-8 +Added Pin To Net: NetName=GND Pin=U4-13 +Added Pin To Net: NetName=GND Pin=U5-8 +Added Pin To Net: NetName=GND Pin=U5-13 +Added Pin To Net: NetName=GND Pin=U6-4 +Added Net: Name=GND +Added Net: Name=IMEAS_RANGESEL +Added Pin To Net: NetName=IMEAS Pin=U6-6 +Added Net: Name=IMEAS +Added Pin To Net: NetName=NetC1_2 Pin=Q1-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q2-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q3-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q4-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q5-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q6-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q7-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q8-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q9-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q10-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q11-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q12-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q13-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q14-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q15-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q16-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q17-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q18-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q19-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q20-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q21-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q22-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q23-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q24-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q25-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q26-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q27-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q28-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q29-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q30-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q31-2 +Added Pin To Net: NetName=NetC1_2 Pin=Q32-2 +Added Net: Name=NetC1_2 +Added Pin To Net: NetName=NetR1_2 Pin=U6-3 +Added Net: Name=NetR1_2 +Added Pin To Net: NetName=NetR3_2 Pin=U6-2 +Added Net: Name=NetR3_2 +Added Pin To Net: NetName=NetU1_9 Pin=U1-9 +Added Pin To Net: NetName=NetU1_9 Pin=U3-14 +Added Net: Name=NetU1_9 +Added Pin To Net: NetName=NetU1_10 Pin=U1-10 +Added Pin To Net: NetName=NetU1_10 Pin=U2-14 +Added Pin To Net: NetName=NetU1_10 Pin=U3-10 +Added Pin To Net: NetName=NetU1_10 Pin=U4-10 +Added Pin To Net: NetName=NetU1_10 Pin=U5-10 +Added Net: Name=NetU1_10 +Added Pin To Net: NetName=NetU1_11 Pin=U1-11 +Added Pin To Net: NetName=NetU1_11 Pin=U2-11 +Added Pin To Net: NetName=NetU1_11 Pin=U3-11 +Added Pin To Net: NetName=NetU1_11 Pin=U4-11 +Added Pin To Net: NetName=NetU1_11 Pin=U5-11 +Added Net: Name=NetU1_11 +Added Pin To Net: NetName=NetU1_12 Pin=U1-12 +Added Pin To Net: NetName=NetU1_12 Pin=U2-18 +Added Pin To Net: NetName=NetU1_12 Pin=U3-12 +Added Pin To Net: NetName=NetU1_12 Pin=U4-12 +Added Pin To Net: NetName=NetU1_12 Pin=U5-12 +Added Net: Name=NetU1_12 +Added Pin To Net: NetName=NetU1_14 Pin=U1-14 +Added Pin To Net: NetName=NetU1_14 Pin=U2-13 +Added Net: Name=NetU1_14 +Added Pin To Net: NetName=NetU3_9 Pin=U3-9 +Added Pin To Net: NetName=NetU3_9 Pin=U4-14 +Added Net: Name=NetU3_9 +Added Pin To Net: NetName=NetU4_9 Pin=U4-9 +Added Pin To Net: NetName=NetU4_9 Pin=U5-14 +Added Net: Name=NetU4_9 +Added Pin To Net: NetName=VCC Pin=U1-16 +Added Pin To Net: NetName=VCC Pin=U2-16 +Added Pin To Net: NetName=VCC Pin=U3-16 +Added Pin To Net: NetName=VCC Pin=U4-16 +Added Pin To Net: NetName=VCC Pin=U5-16 +Added Net: Name=VCC +Added Class: Name=main +Added Room: Name=main diff --git a/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 3-14-20 PM.LOG b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 3-14-20 PM.LOG new file mode 100644 index 0000000..06a5b1b --- /dev/null +++ b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 3-14-20 PM.LOG @@ -0,0 +1,17 @@ +Removed Pin From Net: NetName=GATE25 Pin=U5-1 +Removed Pin From Net: NetName=GATE26 Pin=U5-2 +Removed Pin From Net: NetName=GATE27 Pin=U5-3 +Removed Pin From Net: NetName=GATE28 Pin=U5-4 +Removed Pin From Net: NetName=GATE29 Pin=U5-5 +Removed Pin From Net: NetName=GATE30 Pin=U5-6 +Removed Pin From Net: NetName=GATE31 Pin=U5-7 +Removed Pin From Net: NetName=GATE24 Pin=U5-15 +Added Pin To Net: NetName=GATE30 Pin=U5-1 +Added Pin To Net: NetName=GATE29 Pin=U5-2 +Added Pin To Net: NetName=GATE28 Pin=U5-3 +Added Pin To Net: NetName=GATE27 Pin=U5-4 +Added Pin To Net: NetName=GATE26 Pin=U5-5 +Added Pin To Net: NetName=GATE25 Pin=U5-6 +Added Pin To Net: NetName=GATE24 Pin=U5-7 +Added Pin To Net: NetName=GATE31 Pin=U5-15 +Added Room: Name=main diff --git a/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 3-19-40 PM.LOG b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 3-19-40 PM.LOG new file mode 100644 index 0000000..81278a8 --- /dev/null +++ b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 3-19-40 PM.LOG @@ -0,0 +1,7 @@ +Change Net Name : Old Net Name=NetU1_9 New Net Name=DC1 +Change Net Name : Old Net Name=NetU1_10 New Net Name=CLR +Change Net Name : Old Net Name=NetU1_11 New Net Name=SCLK +Change Net Name : Old Net Name=NetU1_12 New Net Name=STROBE +Change Net Name : Old Net Name=NetU1_14 New Net Name=MOSI +Change Net Name : Old Net Name=NetU3_9 New Net Name=DC2 +Change Net Name : Old Net Name=NetU4_9 New Net Name=DC3 diff --git a/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 5-45-08 PM.LOG b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 5-45-08 PM.LOG new file mode 100644 index 0000000..3a6d0cb --- /dev/null +++ b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 5-45-08 PM.LOG @@ -0,0 +1,8 @@ +Added Component: Designator=TP1(FS-P_6.35) +Added Component: Designator=TP2(FS-P_6.35) +Added Pin To Net: NetName=+12V Pin=TP1-1 +Added Pin To Net: NetName=+12V Pin=TP1-1 +Added Pin To Net: NetName=GND Pin=TP2-1 +Added Pin To Net: NetName=GND Pin=TP2-1 +Added Member To Class: ClassName=main Member=Component TP1 +12V +Added Member To Class: ClassName=main Member=Component TP2 GND diff --git a/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-24-22 PM.LOG b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-24-22 PM.LOG new file mode 100644 index 0000000..e69de29 diff --git a/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-35-02 PM.LOG b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-35-02 PM.LOG new file mode 100644 index 0000000..f7fb4d6 --- /dev/null +++ b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-35-02 PM.LOG @@ -0,0 +1,76 @@ +Added Component: Designator=C1(ELKO_10mm_RM5) +Added Component: Designator=C2(ELKO_10mm_RM5) +Added Component: Designator=C3(ELKO_10mm_RM5) +Added Component: Designator=C4(ELKO_10mm_RM5) +Added Component: Designator=C5(6-0805_M) +Added Component: Designator=C6(6-0805_M) +Added Component: Designator=C7(6-0805_M) +Added Component: Designator=C8(6-0805_M) +Added Component: Designator=C9(6-0805_M) +Added Component: Designator=C10(6-0805_M) +Added Component: Designator=C11(6-0805_M) +Added Component: Designator=C12(6-0805_M) +Added Component: Designator=C13(6-0805_M) +Added Component: Designator=C14(6-0805_M) +Added Component: Designator=C15(6-0805_M) +Added Component: Designator=IC1(SOT223_M) +Added Component: Designator=R2(10x1.5mm_Shunt) +Added Pin To Net: NetName=+12V Pin=C1-1 +Added Pin To Net: NetName=NetC1_2 Pin=C1-2 +Added Pin To Net: NetName=NetC1_2 Pin=C1-2 +Added Pin To Net: NetName=+12V Pin=C2-1 +Added Pin To Net: NetName=NetC1_2 Pin=C2-2 +Added Pin To Net: NetName=NetC1_2 Pin=C2-2 +Added Pin To Net: NetName=+12V Pin=C3-1 +Added Pin To Net: NetName=NetC1_2 Pin=C3-2 +Added Pin To Net: NetName=NetC1_2 Pin=C3-2 +Added Pin To Net: NetName=+12V Pin=C4-1 +Added Pin To Net: NetName=NetC1_2 Pin=C4-2 +Added Pin To Net: NetName=NetC1_2 Pin=C4-2 +Added Pin To Net: NetName=+12V Pin=C5-1 +Added Pin To Net: NetName=GND Pin=C5-2 +Added Pin To Net: NetName=+12V Pin=C6-1 +Added Pin To Net: NetName=GND Pin=C6-2 +Added Pin To Net: NetName=VCC Pin=C7-1 +Added Pin To Net: NetName=GND Pin=C7-2 +Added Pin To Net: NetName=VCC Pin=C8-1 +Added Pin To Net: NetName=GND Pin=C8-2 +Added Pin To Net: NetName=VCC Pin=C9-1 +Added Pin To Net: NetName=GND Pin=C9-2 +Added Pin To Net: NetName=VCC Pin=C10-1 +Added Pin To Net: NetName=GND Pin=C10-2 +Added Pin To Net: NetName=VCC Pin=C11-1 +Added Pin To Net: NetName=GND Pin=C11-2 +Added Pin To Net: NetName=VCC Pin=C12-1 +Added Pin To Net: NetName=GND Pin=C12-2 +Added Pin To Net: NetName=AVCC Pin=C13-1 +Added Pin To Net: NetName=GND Pin=C13-2 +Added Pin To Net: NetName=AVCC Pin=C14-1 +Added Pin To Net: NetName=GND Pin=C14-2 +Added Pin To Net: NetName=IMEAS Pin=C15-2 +Added Pin To Net: NetName=GND Pin=IC1-1 +Added Pin To Net: NetName=VCC Pin=IC1-2 +Added Pin To Net: NetName=+12V Pin=IC1-3 +Added Pin To Net: NetName=VCC Pin=IC1-4 +Added Pin To Net: NetName=NetC1_2 Pin=R2-1 +Added Pin To Net: NetName=GND Pin=R2-2 +Added Pin To Net: NetName=NetC15_1 Pin=C15-1 +Added Pin To Net: NetName=NetC15_1 Pin=U6-2 +Added Net: Name=NetC15_1 +Added Member To Class: ClassName=main Member=Component C1 1000/25 +Added Member To Class: ClassName=main Member=Component C2 1000/25 +Added Member To Class: ClassName=main Member=Component C3 1000/25 +Added Member To Class: ClassName=main Member=Component C4 1000/25 +Added Member To Class: ClassName=main Member=Component C5 10u +Added Member To Class: ClassName=main Member=Component C6 1u +Added Member To Class: ClassName=main Member=Component C7 100n +Added Member To Class: ClassName=main Member=Component C8 100n +Added Member To Class: ClassName=main Member=Component C9 100n +Added Member To Class: ClassName=main Member=Component C10 100n +Added Member To Class: ClassName=main Member=Component C11 100n +Added Member To Class: ClassName=main Member=Component C12 1u +Added Member To Class: ClassName=main Member=Component C13 100n +Added Member To Class: ClassName=main Member=Component C14 1u +Added Member To Class: ClassName=main Member=Component C15 NP +Added Member To Class: ClassName=main Member=Component IC1 AZ1117I +Added Member To Class: ClassName=main Member=Component R2 0R005 diff --git a/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-35-42 PM.LOG b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-35-42 PM.LOG new file mode 100644 index 0000000..af44148 --- /dev/null +++ b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-35-42 PM.LOG @@ -0,0 +1,20 @@ +Added Component: Designator=R1(6-0805_M) +Added Component: Designator=R3(6-0805_M) +Added Component: Designator=R5(6-0805_M) +Added Component: Designator=R6(6-0805_M) +Added Component: Designator=R7(6-0805_M) +Added Pin To Net: NetName=NetC1_2 Pin=R1-1 +Added Pin To Net: NetName=NetR1_2 Pin=R1-2 +Added Pin To Net: NetName=GND Pin=R3-1 +Added Pin To Net: NetName=NetC15_1 Pin=R3-2 +Added Pin To Net: NetName=NetC15_1 Pin=R5-1 +Added Pin To Net: NetName=IMEAS Pin=R5-2 +Added Pin To Net: NetName=NetC15_1 Pin=R6-1 +Added Pin To Net: NetName=IMEAS_RANGESEL Pin=R6-2 +Added Pin To Net: NetName=IMEAS_RANGESEL Pin=R7-1 +Added Pin To Net: NetName=IMEAS Pin=R7-2 +Added Member To Class: ClassName=main Member=Component R1 100 +Added Member To Class: ClassName=main Member=Component R3 100 +Added Member To Class: ClassName=main Member=Component R5 47k +Added Member To Class: ClassName=main Member=Component R6 3k3 +Added Member To Class: ClassName=main Member=Component R7 3k3 diff --git a/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-36-02 PM.LOG b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-36-02 PM.LOG new file mode 100644 index 0000000..e9ebda0 --- /dev/null +++ b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-36-02 PM.LOG @@ -0,0 +1,4 @@ +Added Component: Designator=R4(6-0805_M) +Added Pin To Net: NetName=VCC Pin=R4-1 +Added Pin To Net: NetName=AVCC Pin=R4-2 +Added Member To Class: ClassName=main Member=Component R4 100 diff --git a/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-37-14 PM.LOG b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-37-14 PM.LOG new file mode 100644 index 0000000..aad1063 --- /dev/null +++ b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-37-14 PM.LOG @@ -0,0 +1,16 @@ +Added Component: Designator=C1(ELKO_10mm_RM5) +Added Component: Designator=C2(ELKO_10mm_RM5) +Added Component: Designator=C3(ELKO_10mm_RM5) +Added Component: Designator=C4(ELKO_10mm_RM5) +Added Pin To Net: NetName=+12V Pin=C1-1 +Added Pin To Net: NetName=NetC1_2 Pin=C1-2 +Added Pin To Net: NetName=+12V Pin=C2-1 +Added Pin To Net: NetName=NetC1_2 Pin=C2-2 +Added Pin To Net: NetName=+12V Pin=C3-1 +Added Pin To Net: NetName=NetC1_2 Pin=C3-2 +Added Pin To Net: NetName=+12V Pin=C4-1 +Added Pin To Net: NetName=NetC1_2 Pin=C4-2 +Added Member To Class: ClassName=main Member=Component C1 1000/25 +Added Member To Class: ClassName=main Member=Component C2 1000/25 +Added Member To Class: ClassName=main Member=Component C3 1000/25 +Added Member To Class: ClassName=main Member=Component C4 1000/25 diff --git a/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-56-25 PM.LOG b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-56-25 PM.LOG new file mode 100644 index 0000000..e69de29 diff --git a/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-58-02 PM.LOG b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-58-02 PM.LOG new file mode 100644 index 0000000..e577a5e --- /dev/null +++ b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 6-58-02 PM.LOG @@ -0,0 +1,12 @@ +Change Component Footprint: Designator=C7 Old Footprint=6-0805_M New Footprint=J1-0603 +Change Component Footprint: Designator=C8 Old Footprint=6-0805_M New Footprint=J1-0603 +Change Component Footprint: Designator=C9 Old Footprint=6-0805_M New Footprint=J1-0603 +Change Component Footprint: Designator=C10 Old Footprint=6-0805_M New Footprint=J1-0603 +Change Component Footprint: Designator=C11 Old Footprint=6-0805_M New Footprint=J1-0603 +Change Component Footprint: Designator=C13 Old Footprint=6-0805_M New Footprint=J1-0603 +Change Component Footprint: Designator=R1 Old Footprint=6-0805_M New Footprint=J1-0603 +Change Component Footprint: Designator=R3 Old Footprint=6-0805_M New Footprint=J1-0603 +Change Component Footprint: Designator=R4 Old Footprint=6-0805_M New Footprint=J1-0603 +Change Component Footprint: Designator=R5 Old Footprint=6-0805_M New Footprint=J1-0603 +Change Component Footprint: Designator=R6 Old Footprint=6-0805_M New Footprint=J1-0603 +Change Component Footprint: Designator=R7 Old Footprint=6-0805_M New Footprint=J1-0603 diff --git a/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 7-04-56 PM.LOG b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 7-04-56 PM.LOG new file mode 100644 index 0000000..30cc9e5 --- /dev/null +++ b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 7-04-56 PM.LOG @@ -0,0 +1 @@ +Added Class: Name=power diff --git a/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 7-08-04 PM.LOG b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 7-08-04 PM.LOG new file mode 100644 index 0000000..a01a7b5 --- /dev/null +++ b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 7-08-04 PM.LOG @@ -0,0 +1 @@ +Change Net Name : Old Net Name=NetC1_2 New Net Name=PGND diff --git a/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 7-08-25 PM.LOG b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 7-08-25 PM.LOG new file mode 100644 index 0000000..6fd5780 --- /dev/null +++ b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 7-08-25 PM.LOG @@ -0,0 +1 @@ +Added Member To Class: ClassName=power Member=Wire PGND diff --git a/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 7-50-14 PM.LOG b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 7-50-14 PM.LOG new file mode 100644 index 0000000..5a89392 --- /dev/null +++ b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-20-2016 7-50-14 PM.LOG @@ -0,0 +1,51 @@ +Removed Pin From Net: NetName=GATE1 Pin=U1-1 +Removed Pin From Net: NetName=GATE2 Pin=U1-2 +Removed Pin From Net: NetName=GATE3 Pin=U1-3 +Removed Pin From Net: NetName=GATE4 Pin=U1-4 +Removed Pin From Net: NetName=GATE5 Pin=U1-5 +Removed Pin From Net: NetName=GATE6 Pin=U1-6 +Removed Pin From Net: NetName=GATE7 Pin=U1-7 +Removed Pin From Net: NetName=GATE0 Pin=U1-15 +Removed Pin From Net: NetName=MOSI Pin=U2-13 +Removed Pin From Net: NetName=GATE9 Pin=U3-1 +Removed Pin From Net: NetName=GATE10 Pin=U3-2 +Removed Pin From Net: NetName=GATE11 Pin=U3-3 +Removed Pin From Net: NetName=GATE12 Pin=U3-4 +Removed Pin From Net: NetName=GATE13 Pin=U3-5 +Removed Pin From Net: NetName=GATE14 Pin=U3-6 +Removed Pin From Net: NetName=GATE15 Pin=U3-7 +Removed Pin From Net: NetName=GATE8 Pin=U3-15 +Removed Pin From Net: NetName=GATE17 Pin=U4-1 +Removed Pin From Net: NetName=GATE18 Pin=U4-2 +Removed Pin From Net: NetName=GATE19 Pin=U4-3 +Removed Pin From Net: NetName=GATE20 Pin=U4-4 +Removed Pin From Net: NetName=GATE21 Pin=U4-5 +Removed Pin From Net: NetName=GATE22 Pin=U4-6 +Removed Pin From Net: NetName=GATE23 Pin=U4-7 +Removed Pin From Net: NetName=GATE16 Pin=U4-15 +Removed Pin From Net: NetName=VCC Pin=U4-16 +Added Pin To Net: NetName=GATE6 Pin=U1-1 +Added Pin To Net: NetName=GATE5 Pin=U1-2 +Added Pin To Net: NetName=GATE4 Pin=U1-3 +Added Pin To Net: NetName=GATE3 Pin=U1-4 +Added Pin To Net: NetName=GATE2 Pin=U1-5 +Added Pin To Net: NetName=GATE1 Pin=U1-6 +Added Pin To Net: NetName=GATE0 Pin=U1-7 +Added Pin To Net: NetName=GATE7 Pin=U1-15 +Added Pin To Net: NetName=MOSI Pin=U2-10 +Added Pin To Net: NetName=GATE14 Pin=U3-1 +Added Pin To Net: NetName=GATE13 Pin=U3-2 +Added Pin To Net: NetName=GATE12 Pin=U3-3 +Added Pin To Net: NetName=GATE11 Pin=U3-4 +Added Pin To Net: NetName=GATE10 Pin=U3-5 +Added Pin To Net: NetName=GATE9 Pin=U3-6 +Added Pin To Net: NetName=GATE8 Pin=U3-7 +Added Pin To Net: NetName=GATE15 Pin=U3-15 +Added Pin To Net: NetName=GATE22 Pin=U4-1 +Added Pin To Net: NetName=GATE21 Pin=U4-2 +Added Pin To Net: NetName=GATE20 Pin=U4-3 +Added Pin To Net: NetName=GATE19 Pin=U4-4 +Added Pin To Net: NetName=GATE18 Pin=U4-5 +Added Pin To Net: NetName=GATE17 Pin=U4-6 +Added Pin To Net: NetName=GATE16 Pin=U4-7 +Added Pin To Net: NetName=GATE23 Pin=U4-15 diff --git a/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-21-2016 11-17-19 AM.LOG b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-21-2016 11-17-19 AM.LOG new file mode 100644 index 0000000..cd1af85 --- /dev/null +++ b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-21-2016 11-17-19 AM.LOG @@ -0,0 +1,3 @@ +Added Pin To Net: NetName=DC1 Pin=U1-9 +Added Pin To Net: NetName=DC1 Pin=U3-14 +Added Net: Name=DC1 diff --git a/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-21-2016 11-18-18 AM.LOG b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-21-2016 11-18-18 AM.LOG new file mode 100644 index 0000000..8881bdc --- /dev/null +++ b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-21-2016 11-18-18 AM.LOG @@ -0,0 +1 @@ +Added Pin To Net: NetName=VCC Pin=U4-16 diff --git a/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-21-2016 11-52-20 AM.LOG b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-21-2016 11-52-20 AM.LOG new file mode 100644 index 0000000..3de0018 --- /dev/null +++ b/olsndot/PCB_Project/Project Logs for olsndot/led_drv PCB ECO 11-21-2016 11-52-20 AM.LOG @@ -0,0 +1 @@ +Change Component Footprint: Designator=C15 Old Footprint=6-0805_M New Footprint=J1-0603 diff --git a/olsndot/PCB_Project/Project Logs for olsndot/main SCH ECO 11-20-2016 2-52-41 PM.LOG b/olsndot/PCB_Project/Project Logs for olsndot/main SCH ECO 11-20-2016 2-52-41 PM.LOG new file mode 100644 index 0000000..f7ce6fe --- /dev/null +++ b/olsndot/PCB_Project/Project Logs for olsndot/main SCH ECO 11-20-2016 2-52-41 PM.LOG @@ -0,0 +1,64 @@ +Change Component Designator: Old Designator=C? New Designator=C1 +Change Component Designator: Old Designator=C? New Designator=C2 +Change Component Designator: Old Designator=C? New Designator=C3 +Change Component Designator: Old Designator=C? New Designator=C4 +Change Component Designator: Old Designator=C? New Designator=C5 +Change Component Designator: Old Designator=C? New Designator=C6 +Change Component Designator: Old Designator=C? New Designator=C7 +Change Component Designator: Old Designator=C? New Designator=C8 +Change Component Designator: Old Designator=C? New Designator=C9 +Change Component Designator: Old Designator=C? New Designator=C10 +Change Component Designator: Old Designator=C? New Designator=C11 +Change Component Designator: Old Designator=C? New Designator=C12 +Change Component Designator: Old Designator=C? New Designator=C13 +Change Component Designator: Old Designator=C? New Designator=C14 +Change Component Designator: Old Designator=IC? New Designator=IC1 +Change Component Designator: Old Designator=J? New Designator=J1 +Change Component Designator: Old Designator=J? New Designator=J2 +Change Component Designator: Old Designator=J? New Designator=J3 +Change Component Designator: Old Designator=J? New Designator=J4 +Change Component Designator: Old Designator=Q? New Designator=Q1 +Change Component Designator: Old Designator=Q? New Designator=Q2 +Change Component Designator: Old Designator=Q? New Designator=Q3 +Change Component Designator: Old Designator=Q? New Designator=Q4 +Change Component Designator: Old Designator=Q? New Designator=Q5 +Change Component Designator: Old Designator=Q? New Designator=Q6 +Change Component Designator: Old Designator=Q? New Designator=Q7 +Change Component Designator: Old Designator=Q? New Designator=Q8 +Change Component Designator: Old Designator=Q? New Designator=Q9 +Change Component Designator: Old Designator=Q? New Designator=Q10 +Change Component Designator: Old Designator=Q? New Designator=Q11 +Change Component Designator: Old Designator=Q? New Designator=Q12 +Change Component Designator: Old Designator=Q? New Designator=Q13 +Change Component Designator: Old Designator=Q? New Designator=Q14 +Change Component Designator: Old Designator=Q? New Designator=Q15 +Change Component Designator: Old Designator=Q? New Designator=Q16 +Change Component Designator: Old Designator=Q? New Designator=Q17 +Change Component Designator: Old Designator=Q? New Designator=Q18 +Change Component Designator: Old Designator=Q? New Designator=Q19 +Change Component Designator: Old Designator=Q? New Designator=Q20 +Change Component Designator: Old Designator=Q? New Designator=Q21 +Change Component Designator: Old Designator=Q? New Designator=Q22 +Change Component Designator: Old Designator=Q? New Designator=Q23 +Change Component Designator: Old Designator=Q? New Designator=Q24 +Change Component Designator: Old Designator=Q? New Designator=Q25 +Change Component Designator: Old Designator=Q? New Designator=Q26 +Change Component Designator: Old Designator=Q? New Designator=Q27 +Change Component Designator: Old Designator=Q? New Designator=Q28 +Change Component Designator: Old Designator=Q? New Designator=Q29 +Change Component Designator: Old Designator=Q? New Designator=Q30 +Change Component Designator: Old Designator=Q? New Designator=Q31 +Change Component Designator: Old Designator=Q? New Designator=Q32 +Change Component Designator: Old Designator=R? New Designator=R1 +Change Component Designator: Old Designator=R? New Designator=R2 +Change Component Designator: Old Designator=R? New Designator=R3 +Change Component Designator: Old Designator=R? New Designator=R4 +Change Component Designator: Old Designator=R? New Designator=R5 +Change Component Designator: Old Designator=R? New Designator=R6 +Change Component Designator: Old Designator=R? New Designator=R7 +Change Component Designator: Old Designator=U? New Designator=U1 +Change Component Designator: Old Designator=U? New Designator=U2 +Change Component Designator: Old Designator=U? New Designator=U3 +Change Component Designator: Old Designator=U? New Designator=U4 +Change Component Designator: Old Designator=U? New Designator=U5 +Change Component Designator: Old Designator=U? New Designator=U6 diff --git a/olsndot/PCB_Project/Project Logs for olsndot/main SCH ECO 11-20-2016 5-45-00 PM.LOG b/olsndot/PCB_Project/Project Logs for olsndot/main SCH ECO 11-20-2016 5-45-00 PM.LOG new file mode 100644 index 0000000..2cfde38 --- /dev/null +++ b/olsndot/PCB_Project/Project Logs for olsndot/main SCH ECO 11-20-2016 5-45-00 PM.LOG @@ -0,0 +1,2 @@ +Change Component Designator: Old Designator=TP? New Designator=TP1 +Change Component Designator: Old Designator=TP? New Designator=TP2 -- cgit