summaryrefslogtreecommitdiff
path: root/olsndot/PCB_Project/Project Outputs for olsndot/Gerber/led_drv.GBS
diff options
context:
space:
mode:
Diffstat (limited to 'olsndot/PCB_Project/Project Outputs for olsndot/Gerber/led_drv.GBS')
-rw-r--r--olsndot/PCB_Project/Project Outputs for olsndot/Gerber/led_drv.GBS1512
1 files changed, 0 insertions, 1512 deletions
diff --git a/olsndot/PCB_Project/Project Outputs for olsndot/Gerber/led_drv.GBS b/olsndot/PCB_Project/Project Outputs for olsndot/Gerber/led_drv.GBS
deleted file mode 100644
index 360d507..0000000
--- a/olsndot/PCB_Project/Project Outputs for olsndot/Gerber/led_drv.GBS
+++ /dev/null
@@ -1,1512 +0,0 @@
-G04 Layer_Color=16711935*
-%FSLAX25Y25*%
-%MOIN*%
-G70*
-G01*
-G75*
-%ADD65C,0.06706*%
-%ADD66C,0.10642*%
-%ADD67C,0.08674*%
-%ADD68C,0.19540*%
-%ADD69R,0.07453X0.07453*%
-%ADD70C,0.07453*%
-%ADD71R,0.07887X0.07887*%
-%ADD72C,0.07887*%
-%ADD73R,0.07887X0.07887*%
-%ADD74C,0.00800*%
-%ADD75R,0.04724X0.00787*%
-%ADD76R,0.04343X0.03950*%
-%ADD77R,0.03950X0.04343*%
-%ADD78R,0.04737X0.03162*%
-G36*
-X486124Y128953D02*
-X487010Y128105D01*
-X487869Y127231D01*
-X488285Y126780D01*
-X488693Y126316D01*
-X489086Y125839D01*
-X489463Y125347D01*
-X489825Y124837D01*
-X490170Y124308D01*
-X490352Y124000D01*
-Y126062D01*
-X490356D01*
-Y123994D01*
-X490495Y123759D01*
-X490801Y123189D01*
-X491083Y122593D01*
-X491341Y121973D01*
-X490556Y121045D01*
-X490356Y121086D01*
-Y78463D01*
-X490521Y78541D01*
-X491115Y78797D01*
-X491740Y79040D01*
-X492399Y79268D01*
-X493091Y79478D01*
-X493813Y79667D01*
-X494565Y79831D01*
-X495348Y79968D01*
-X496163Y80079D01*
-X497005Y80154D01*
-X497877Y80198D01*
-X498776Y80204D01*
-X499704Y80172D01*
-X500659Y80096D01*
-X501190Y80128D01*
-X501374Y80126D01*
-X501591Y80083D01*
-X502298Y79757D01*
-X503035Y79363D01*
-X503783Y78895D01*
-X504156Y78634D01*
-X504525Y78355D01*
-X504887Y78057D01*
-X505240Y77739D01*
-X505583Y77403D01*
-X505910Y77045D01*
-X506223Y76667D01*
-X506516Y76271D01*
-X506789Y75854D01*
-X507038Y75416D01*
-X506886Y74427D01*
-X506747Y73482D01*
-X506524Y73634D01*
-X506277Y73747D01*
-X506010Y73818D01*
-X505726Y73844D01*
-X505429Y73816D01*
-X505145Y73736D01*
-X504881Y73606D01*
-X504642Y73428D01*
-X504534Y73580D01*
-X504390Y73695D01*
-X504223Y73768D01*
-X504037Y73794D01*
-X503900Y73779D01*
-X503772Y73740D01*
-X503657Y73677D01*
-X503556Y73595D01*
-X503473Y73493D01*
-X503410Y73378D01*
-X503371Y73250D01*
-X503358Y73114D01*
-X503371Y72977D01*
-X503410Y72849D01*
-X503473Y72732D01*
-X503556Y72632D01*
-X503657Y72548D01*
-X503772Y72485D01*
-X503900Y72446D01*
-X504037Y72433D01*
-X504115Y72437D01*
-X504098Y72216D01*
-X504115Y71975D01*
-X504167Y71743D01*
-X504252Y71524D01*
-X504367Y71320D01*
-X504507Y71136D01*
-X504677Y70971D01*
-X504868Y70832D01*
-X505080Y70719D01*
-X504534Y70468D01*
-X503981Y70234D01*
-X503421Y70017D01*
-X502859Y69820D01*
-X502294Y69640D01*
-X501723Y69475D01*
-X501149Y69330D01*
-X500574Y69200D01*
-X499418Y68991D01*
-X498258Y68844D01*
-X497100Y68757D01*
-X495947Y68727D01*
-X495773Y69095D01*
-X495626Y69466D01*
-X496673Y69603D01*
-X498041Y71808D01*
-X497590Y71678D01*
-X497146Y71576D01*
-X496705Y71500D01*
-X496274Y71450D01*
-X495851Y71426D01*
-X495439D01*
-X495036Y71450D01*
-X494648Y71494D01*
-X494390Y71539D01*
-Y69369D01*
-X494962Y69401D01*
-X495079Y69072D01*
-X495214Y68740D01*
-X494390Y68781D01*
-Y66261D01*
-X494385D01*
-Y68781D01*
-X494175Y68792D01*
-X493149Y68887D01*
-X492141Y69024D01*
-X491150Y69200D01*
-X490183Y69412D01*
-X489242Y69659D01*
-X488329Y69941D01*
-X487449Y70251D01*
-X487308Y70856D01*
-X487188Y71498D01*
-X487086Y72177D01*
-X487006Y72897D01*
-X486948Y73658D01*
-X486913Y74464D01*
-X486902Y75319D01*
-X486915Y76221D01*
-X487214Y76487D01*
-X487550Y76765D01*
-X487925Y77049D01*
-X488340Y77335D01*
-X488383Y77162D01*
-X488474Y76982D01*
-X488606Y76809D01*
-X488773Y76646D01*
-X488847Y76590D01*
-X488589Y76308D01*
-X488357Y76017D01*
-X488149Y75718D01*
-X487965Y75410D01*
-X487808Y75098D01*
-X487678Y74781D01*
-X487574Y74462D01*
-X487498Y74141D01*
-X487451Y73820D01*
-X487429Y73500D01*
-X487438Y73181D01*
-X487474Y72866D01*
-X487542Y72556D01*
-X487637Y72255D01*
-X487765Y71958D01*
-X487921Y71671D01*
-X488110Y71396D01*
-X488331Y71131D01*
-X488583Y70880D01*
-X488867Y70644D01*
-X489183Y70423D01*
-X489535Y70216D01*
-X489918Y70030D01*
-X490337Y69865D01*
-X490790Y69720D01*
-X491278Y69596D01*
-X491800Y69497D01*
-X492360Y69423D01*
-X492954Y69375D01*
-X493587Y69353D01*
-X494255Y69362D01*
-X494385Y69369D01*
-Y71539D01*
-X494270Y71559D01*
-X493908Y71645D01*
-X493564Y71747D01*
-X493236Y71869D01*
-X492926Y72005D01*
-X492633Y72157D01*
-X492364Y72322D01*
-X492115Y72500D01*
-X491892Y72691D01*
-X491690Y72890D01*
-X491514Y73101D01*
-X491367Y73320D01*
-X491245Y73545D01*
-X491154Y73777D01*
-X491091Y74013D01*
-X491061Y74252D01*
-X491065Y74495D01*
-X491102Y74740D01*
-X491174Y74985D01*
-X491282Y75230D01*
-X491428Y75471D01*
-X491612Y75711D01*
-X491835Y75945D01*
-X492100Y76175D01*
-X491952Y75952D01*
-X491829Y75722D01*
-X491727Y75488D01*
-X491649Y75254D01*
-X491595Y75017D01*
-X491564Y74779D01*
-X491558Y74542D01*
-X491577Y74306D01*
-X491647Y73972D01*
-X491761Y73653D01*
-X491922Y73354D01*
-X492124Y73072D01*
-X492362Y72812D01*
-X492640Y72571D01*
-X492948Y72355D01*
-X493290Y72162D01*
-X493659Y71992D01*
-X494054Y71851D01*
-X494385Y71763D01*
-Y74128D01*
-X494390D01*
-Y71760D01*
-X494470Y71739D01*
-X494908Y71656D01*
-X495363Y71602D01*
-X495836Y71583D01*
-X496317Y71593D01*
-X496812Y71641D01*
-X497276Y71719D01*
-X497725Y71823D01*
-X498156Y71956D01*
-X498568Y72112D01*
-X498956Y72292D01*
-X499321Y72493D01*
-X499659Y72715D01*
-X499969Y72955D01*
-X500249Y73211D01*
-X500496Y73482D01*
-X500711Y73768D01*
-X500888Y74063D01*
-X501029Y74369D01*
-X501129Y74683D01*
-X501186Y75004D01*
-X501201Y75332D01*
-X501181Y75566D01*
-X501112Y75900D01*
-X500997Y76217D01*
-X500836Y76516D01*
-X500635Y76798D01*
-X500396Y77060D01*
-X500119Y77298D01*
-X499809Y77518D01*
-X499468Y77711D01*
-X499099Y77877D01*
-X498705Y78018D01*
-X498288Y78131D01*
-X497851Y78216D01*
-X497395Y78268D01*
-X496922Y78290D01*
-X496439Y78276D01*
-X495947Y78229D01*
-X495487Y78153D01*
-X495038Y78047D01*
-X494600Y77912D01*
-X494179Y77750D01*
-X492486Y78537D01*
-X492072Y78433D01*
-X491673Y78309D01*
-X491291Y78170D01*
-X490927Y78016D01*
-X490578Y77849D01*
-X490356Y77728D01*
-Y75798D01*
-X490352D01*
-Y77726D01*
-X490244Y77667D01*
-X489929Y77472D01*
-X489630Y77266D01*
-X489437Y77455D01*
-X489196Y77617D01*
-X488947Y77719D01*
-X489437Y77999D01*
-X489962Y78274D01*
-X490352Y78461D01*
-Y121086D01*
-X490345Y121088D01*
-X490122Y121092D01*
-X489894Y121064D01*
-X489662Y121003D01*
-X489433Y120910D01*
-X489207Y120786D01*
-X488992Y120635D01*
-X488789Y120452D01*
-X488593Y120231D01*
-X488604Y120353D01*
-X488589Y120496D01*
-X488541Y120630D01*
-X488463Y120756D01*
-X488359Y120867D01*
-X488231Y120958D01*
-X488088Y121025D01*
-X487932Y121066D01*
-X487767Y121079D01*
-X487598Y121064D01*
-X487442Y121023D01*
-X487299Y120956D01*
-X487175Y120867D01*
-X487073Y120760D01*
-X486995Y120637D01*
-X486948Y120500D01*
-X486930Y120353D01*
-X486948Y120207D01*
-X486995Y120071D01*
-X487073Y119947D01*
-X487175Y119841D01*
-X487299Y119752D01*
-X487442Y119685D01*
-X487598Y119641D01*
-X487767Y119629D01*
-X487923Y119641D01*
-X488073Y119678D01*
-X488210Y119739D01*
-X488335Y119822D01*
-X488220Y119533D01*
-X488155Y119249D01*
-X488142Y118971D01*
-X488181Y118711D01*
-Y118709D01*
-X487678Y118295D01*
-X487171Y117902D01*
-X486663Y117534D01*
-X486152Y117187D01*
-X485640Y116862D01*
-X485126Y116556D01*
-X484614Y116274D01*
-X484103Y116012D01*
-X483591Y115771D01*
-X483081Y115550D01*
-X482576Y115350D01*
-X482073Y115170D01*
-X481572Y115010D01*
-X481075Y114867D01*
-X480585Y114745D01*
-X480100Y114641D01*
-X479649Y115229D01*
-X480134Y115374D01*
-X481910Y118019D01*
-X481091Y117872D01*
-X480319Y117772D01*
-X479599Y117722D01*
-X478927Y117716D01*
-X478306Y117751D01*
-X477732Y117826D01*
-X477207Y117939D01*
-X477138Y117961D01*
-Y118362D01*
-X477153Y118358D01*
-X477500Y118295D01*
-X477862Y118260D01*
-X478239Y118256D01*
-X478625Y118282D01*
-X479022Y118338D01*
-X479425Y118427D01*
-X479831Y118549D01*
-X480238Y118700D01*
-X480644Y118885D01*
-X480939Y119041D01*
-X481221Y119212D01*
-X481492Y119392D01*
-X481745Y119585D01*
-X481986Y119789D01*
-X482212Y119999D01*
-X482420Y120218D01*
-X482611Y120446D01*
-X482784Y120678D01*
-X482938Y120916D01*
-X483075Y121157D01*
-X483187Y121402D01*
-X483283Y121649D01*
-X483355Y121899D01*
-X483402Y122146D01*
-X483428Y122393D01*
-X483426Y122686D01*
-X483389Y122968D01*
-X483318Y123237D01*
-X483211Y123493D01*
-X483055Y123755D01*
-X482864Y123991D01*
-X482641Y124199D01*
-X482387Y124377D01*
-X482108Y124529D01*
-X481804Y124653D01*
-X481477Y124746D01*
-X481130Y124811D01*
-X480768Y124846D01*
-X480392Y124850D01*
-X480004Y124824D01*
-X479607Y124766D01*
-X479204Y124677D01*
-X478799Y124557D01*
-X478391Y124406D01*
-X477988Y124221D01*
-X477595Y124009D01*
-X477229Y123775D01*
-X476888Y123523D01*
-X476574Y123256D01*
-X476290Y122974D01*
-X476036Y122679D01*
-X475813Y122378D01*
-X475620Y122068D01*
-X475464Y121754D01*
-X475342Y121437D01*
-X475255Y121118D01*
-X475208Y120804D01*
-X475199Y120494D01*
-X475229Y120190D01*
-X475303Y119895D01*
-X475418Y119613D01*
-X475574Y119351D01*
-X475765Y119115D01*
-X475988Y118906D01*
-X476196Y118759D01*
-Y120112D01*
-X476201D01*
-Y118755D01*
-X476242Y118726D01*
-X476522Y118575D01*
-X476827Y118453D01*
-X477133Y118364D01*
-Y117963D01*
-X476730Y118087D01*
-X476301Y118267D01*
-X476201Y118321D01*
-Y114823D01*
-X476350Y114810D01*
-X476971Y114802D01*
-X477133Y114810D01*
-X477138Y114812D01*
-X477623Y114841D01*
-X478306Y114929D01*
-X479022Y115068D01*
-X479388Y114520D01*
-X478753Y114444D01*
-X478133Y114400D01*
-X477528Y114390D01*
-X477138Y114402D01*
-X477133D01*
-X476940Y114409D01*
-X476370Y114457D01*
-X476201Y114481D01*
-Y112245D01*
-X476196D01*
-Y114481D01*
-X475821Y114535D01*
-X475294Y114641D01*
-X474789Y114776D01*
-X474310Y114936D01*
-X473855Y115125D01*
-X473430Y115337D01*
-X473031Y115576D01*
-X472664Y115838D01*
-X472330Y116122D01*
-X472029Y116430D01*
-X471762Y116762D01*
-X471515Y117128D01*
-X471287Y117527D01*
-X471086Y117957D01*
-X470910Y118412D01*
-X470767Y118889D01*
-X470660Y119390D01*
-X470595Y119906D01*
-X470576Y120440D01*
-X470606Y120984D01*
-X470691Y121537D01*
-X470832Y122098D01*
-X471038Y122662D01*
-X471309Y123228D01*
-X471652Y123792D01*
-X472070Y124351D01*
-X472308Y124629D01*
-X472569Y124904D01*
-X472822Y124757D01*
-X473080Y124646D01*
-X472690Y124271D01*
-X472341Y123876D01*
-X472031Y123467D01*
-X471760Y123042D01*
-X471528Y122606D01*
-X471335Y122161D01*
-X471183Y121710D01*
-X471066Y121255D01*
-X470990Y120795D01*
-X470949Y120338D01*
-X470947Y119882D01*
-X470981Y119433D01*
-X471055Y118989D01*
-X471164Y118557D01*
-X471307Y118134D01*
-X471489Y117727D01*
-X471703Y117336D01*
-X471955Y116966D01*
-X472243Y116616D01*
-X472564Y116289D01*
-X472920Y115988D01*
-X473310Y115717D01*
-X473733Y115476D01*
-X474191Y115266D01*
-X474681Y115094D01*
-X475206Y114958D01*
-X475761Y114862D01*
-X476196Y114823D01*
-Y118323D01*
-X475917Y118475D01*
-X475581Y118711D01*
-X475288Y118974D01*
-X475043Y119255D01*
-X474843Y119559D01*
-X474687Y119878D01*
-X474575Y120214D01*
-X474507Y120559D01*
-X474481Y120916D01*
-X474499Y121281D01*
-X474557Y121647D01*
-X474659Y122018D01*
-X474802Y122389D01*
-X474984Y122755D01*
-X475210Y123117D01*
-X475472Y123471D01*
-X475776Y123816D01*
-X476118Y124145D01*
-X476498Y124462D01*
-X476916Y124759D01*
-X477374Y125037D01*
-X477866Y125290D01*
-X478398Y125520D01*
-X475041Y125915D01*
-X474505Y125644D01*
-X474006Y125347D01*
-X473865Y125602D01*
-X473659Y125854D01*
-X474095Y126169D01*
-X474564Y126474D01*
-X475067Y126776D01*
-X475607Y127068D01*
-X476184Y127352D01*
-X476797Y127628D01*
-X477450Y127897D01*
-X478144Y128153D01*
-X478877Y128400D01*
-X479651Y128636D01*
-X480468Y128862D01*
-X481329Y129074D01*
-X482236Y129274D01*
-X483185Y129460D01*
-X484183Y129631D01*
-X485228Y129790D01*
-X486124Y128953D01*
-D02*
-G37*
-G36*
-X232660Y161789D02*
-X234377Y161525D01*
-X236018Y161284D01*
-X235754Y160897D01*
-X235559Y160468D01*
-X235435Y160004D01*
-X235389Y159511D01*
-X235438Y158996D01*
-X235578Y158502D01*
-X235804Y158043D01*
-X236112Y157629D01*
-X235849Y157441D01*
-X235649Y157193D01*
-X235521Y156903D01*
-X235476Y156579D01*
-X235502Y156342D01*
-X235570Y156120D01*
-X235679Y155920D01*
-X235822Y155743D01*
-X235999Y155600D01*
-X236199Y155491D01*
-X236421Y155423D01*
-X236658Y155401D01*
-X236895Y155423D01*
-X237117Y155491D01*
-X237320Y155600D01*
-X237494Y155743D01*
-X237640Y155920D01*
-X237750Y156120D01*
-X237817Y156342D01*
-X237840Y156579D01*
-X237832Y156714D01*
-X238216Y156684D01*
-X238634Y156714D01*
-X239037Y156805D01*
-X239417Y156952D01*
-X239771Y157151D01*
-X240091Y157396D01*
-X240377Y157689D01*
-X240618Y158021D01*
-X240813Y158390D01*
-X241250Y157441D01*
-X241657Y156481D01*
-X242033Y155510D01*
-X242376Y154535D01*
-X242688Y153552D01*
-X242974Y152562D01*
-X243227Y151565D01*
-X243452Y150567D01*
-X243814Y148561D01*
-X244070Y146546D01*
-X244220Y144536D01*
-X244273Y142534D01*
-X243633Y142232D01*
-X242989Y141976D01*
-X242752Y143795D01*
-X238924Y146170D01*
-X239150Y145387D01*
-X239327Y144615D01*
-X239458Y143851D01*
-X239545Y143102D01*
-X239586Y142368D01*
-Y141653D01*
-X239545Y140953D01*
-X239470Y140279D01*
-X239391Y139831D01*
-X243159D01*
-X243102Y140824D01*
-X243675Y141028D01*
-X244250Y141261D01*
-X244179Y139831D01*
-X248553D01*
-Y139823D01*
-X244179D01*
-X244160Y139458D01*
-X243995Y137677D01*
-X243758Y135927D01*
-X243453Y134206D01*
-X243084Y132527D01*
-X242654Y130894D01*
-X242165Y129309D01*
-X241627Y127780D01*
-X240577Y127536D01*
-X239462Y127329D01*
-X238284Y127152D01*
-X237034Y127012D01*
-X235713Y126911D01*
-X234312Y126850D01*
-X232829Y126832D01*
-X231263Y126854D01*
-X230800Y127374D01*
-X230318Y127957D01*
-X229825Y128609D01*
-X229328Y129327D01*
-X229629Y129403D01*
-X229942Y129561D01*
-X230243Y129791D01*
-X230525Y130080D01*
-X230623Y130208D01*
-X231113Y129760D01*
-X231617Y129358D01*
-X232136Y128996D01*
-X232671Y128676D01*
-X233213Y128405D01*
-X233763Y128179D01*
-X234316Y127999D01*
-X234873Y127867D01*
-X235430Y127784D01*
-X235987Y127746D01*
-X236541Y127761D01*
-X237087Y127825D01*
-X237625Y127942D01*
-X238148Y128108D01*
-X238664Y128330D01*
-X239161Y128601D01*
-X239639Y128928D01*
-X240098Y129313D01*
-X240535Y129749D01*
-X240945Y130242D01*
-X241329Y130792D01*
-X241687Y131402D01*
-X242011Y132068D01*
-X242297Y132795D01*
-X242549Y133581D01*
-X242764Y134428D01*
-X242937Y135336D01*
-X243065Y136307D01*
-X243148Y137338D01*
-X243185Y138438D01*
-X243170Y139597D01*
-X243159Y139823D01*
-X239390D01*
-X239357Y139623D01*
-X239206Y138995D01*
-X239029Y138396D01*
-X238818Y137828D01*
-X238581Y137289D01*
-X238318Y136781D01*
-X238032Y136314D01*
-X237723Y135881D01*
-X237392Y135494D01*
-X237045Y135144D01*
-X236680Y134839D01*
-X236300Y134583D01*
-X235908Y134372D01*
-X235506Y134214D01*
-X235095Y134105D01*
-X234681Y134052D01*
-X234260Y134060D01*
-X233834Y134124D01*
-X233409Y134248D01*
-X232983Y134436D01*
-X232565Y134688D01*
-X232148Y135008D01*
-X231741Y135396D01*
-X231342Y135855D01*
-X231730Y135599D01*
-X232129Y135385D01*
-X232535Y135208D01*
-X232942Y135072D01*
-X233352Y134978D01*
-X233766Y134925D01*
-X234177Y134914D01*
-X234587Y134948D01*
-X235167Y135068D01*
-X235720Y135268D01*
-X236240Y135547D01*
-X236729Y135897D01*
-X237181Y136311D01*
-X237599Y136793D01*
-X237975Y137327D01*
-X238310Y137922D01*
-X238604Y138562D01*
-X238848Y139247D01*
-X239003Y139823D01*
-X234896D01*
-Y139831D01*
-X239007D01*
-X239044Y139970D01*
-X239187Y140731D01*
-X239281Y141521D01*
-X239315Y142342D01*
-X239296Y143177D01*
-X239214Y144036D01*
-X239078Y144841D01*
-X238897Y145621D01*
-X238668Y146370D01*
-X238397Y147085D01*
-X238084Y147759D01*
-X237734Y148391D01*
-X237350Y148978D01*
-X236932Y149517D01*
-X236488Y150002D01*
-X236017Y150432D01*
-X235521Y150804D01*
-X235009Y151113D01*
-X234478Y151358D01*
-X233932Y151531D01*
-X233375Y151629D01*
-X232806Y151655D01*
-X232400Y151621D01*
-X231820Y151501D01*
-X231271Y151301D01*
-X230751Y151023D01*
-X230262Y150672D01*
-X229806Y150258D01*
-X229392Y149777D01*
-X229012Y149238D01*
-X228677Y148647D01*
-X228387Y148007D01*
-X228142Y147322D01*
-X227946Y146599D01*
-X227800Y145839D01*
-X227709Y145048D01*
-X227672Y144228D01*
-X227694Y143388D01*
-X227777Y142534D01*
-X227909Y141736D01*
-X228093Y140956D01*
-X228327Y140196D01*
-X228609Y139466D01*
-X227242Y136526D01*
-X227423Y135807D01*
-X227638Y135114D01*
-X227879Y134451D01*
-X228146Y133819D01*
-X228436Y133213D01*
-X228647Y132829D01*
-X231997D01*
-Y132821D01*
-X228650D01*
-X228752Y132633D01*
-X229091Y132087D01*
-X229448Y131568D01*
-X229121Y131232D01*
-X228838Y130815D01*
-X228662Y130382D01*
-X228176Y131232D01*
-X227698Y132143D01*
-X227374Y132821D01*
-X153376D01*
-X153372Y132810D01*
-X153365Y132422D01*
-X153413Y132027D01*
-X153519Y131624D01*
-X153681Y131225D01*
-X153895Y130833D01*
-X154159Y130461D01*
-X154475Y130107D01*
-X154859Y129768D01*
-X154648Y129787D01*
-X154400Y129761D01*
-X154166Y129678D01*
-X153948Y129542D01*
-X153756Y129362D01*
-X153598Y129139D01*
-X153481Y128891D01*
-X153410Y128620D01*
-X153387Y128334D01*
-X153413Y128040D01*
-X153485Y127769D01*
-X153602Y127521D01*
-X153756Y127306D01*
-X153940Y127129D01*
-X154155Y126994D01*
-X154392Y126911D01*
-X154648Y126881D01*
-X154900Y126911D01*
-X155138Y126994D01*
-X155352Y127129D01*
-X155537Y127306D01*
-X155691Y127521D01*
-X155808Y127769D01*
-X155883Y128040D01*
-X155905Y128334D01*
-X155883Y128605D01*
-X155819Y128865D01*
-X155714Y129102D01*
-X155570Y129320D01*
-X156071Y129121D01*
-X156564Y129008D01*
-X157046Y128985D01*
-X157498Y129053D01*
-X157501D01*
-X158220Y128180D01*
-X158902Y127299D01*
-X159542Y126418D01*
-X160144Y125529D01*
-X160709Y124641D01*
-X161239Y123749D01*
-X161729Y122860D01*
-X162184Y121972D01*
-X162602Y121083D01*
-X162986Y120199D01*
-X163332Y119322D01*
-X163645Y118448D01*
-X163924Y117579D01*
-X164172Y116717D01*
-X164383Y115866D01*
-X164564Y115023D01*
-X163543Y114240D01*
-X163291Y115083D01*
-X158698Y118166D01*
-X158954Y116743D01*
-X159127Y115403D01*
-X159214Y114153D01*
-X159225Y112986D01*
-X159165Y111909D01*
-X159034Y110912D01*
-X158838Y110001D01*
-X158800Y109880D01*
-X158104D01*
-X158112Y109907D01*
-X158221Y110509D01*
-X158281Y111138D01*
-X158289Y111793D01*
-X158243Y112463D01*
-X158145Y113152D01*
-X157991Y113852D01*
-X157780Y114556D01*
-X157517Y115263D01*
-X157197Y115968D01*
-X156926Y116479D01*
-X156628Y116969D01*
-X156316Y117439D01*
-X155981Y117880D01*
-X155627Y118298D01*
-X155262Y118689D01*
-X154882Y119051D01*
-X154486Y119382D01*
-X154084Y119683D01*
-X153670Y119950D01*
-X153252Y120187D01*
-X152826Y120383D01*
-X152397Y120549D01*
-X151964Y120673D01*
-X151535Y120756D01*
-X151106Y120801D01*
-X150598Y120798D01*
-X150108Y120734D01*
-X149642Y120609D01*
-X149197Y120425D01*
-X148742Y120154D01*
-X148331Y119823D01*
-X147970Y119435D01*
-X147661Y118994D01*
-X147398Y118509D01*
-X147183Y117982D01*
-X147021Y117413D01*
-X146908Y116811D01*
-X146848Y116182D01*
-X146841Y115531D01*
-X146886Y114857D01*
-X146987Y114169D01*
-X147142Y113468D01*
-X147349Y112764D01*
-X147612Y112057D01*
-X147932Y111356D01*
-X148301Y110675D01*
-X148708Y110039D01*
-X149144Y109448D01*
-X149607Y108902D01*
-X150097Y108409D01*
-X150609Y107968D01*
-X151132Y107581D01*
-X151670Y107246D01*
-X152216Y106974D01*
-X152766Y106764D01*
-X153319Y106613D01*
-X153865Y106530D01*
-X154403Y106515D01*
-X154930Y106568D01*
-X155442Y106696D01*
-X155932Y106895D01*
-X156387Y107167D01*
-X156798Y107498D01*
-X157159Y107886D01*
-X157415Y108247D01*
-X155066D01*
-Y108255D01*
-X157422D01*
-X157471Y108326D01*
-X157735Y108812D01*
-X157946Y109343D01*
-X158100Y109873D01*
-X158797D01*
-X158582Y109173D01*
-X158270Y108428D01*
-X158175Y108255D01*
-X164248D01*
-X164270Y108514D01*
-X164285Y109591D01*
-X164270Y109873D01*
-X164267Y109881D01*
-X164218Y110724D01*
-X164064Y111910D01*
-X163822Y113153D01*
-X164775Y113789D01*
-X164907Y112686D01*
-X164982Y111609D01*
-X165001Y110559D01*
-X164978Y109881D01*
-Y109873D01*
-X164967Y109538D01*
-X164884Y108548D01*
-X164843Y108255D01*
-X168724D01*
-Y108247D01*
-X164843D01*
-X164749Y107596D01*
-X164564Y106681D01*
-X164331Y105804D01*
-X164052Y104972D01*
-X163725Y104181D01*
-X163356Y103443D01*
-X162942Y102751D01*
-X162486Y102114D01*
-X161993Y101535D01*
-X161459Y101012D01*
-X160882Y100549D01*
-X160246Y100119D01*
-X159554Y99724D01*
-X158808Y99374D01*
-X158018Y99069D01*
-X157190Y98821D01*
-X156320Y98636D01*
-X155424Y98523D01*
-X154498Y98489D01*
-X153553Y98542D01*
-X152593Y98689D01*
-X151618Y98934D01*
-X150639Y99291D01*
-X149657Y99762D01*
-X148678Y100356D01*
-X147707Y101083D01*
-X147225Y101497D01*
-X146747Y101949D01*
-X147003Y102389D01*
-X147195Y102837D01*
-X147846Y102160D01*
-X148531Y101554D01*
-X149243Y101015D01*
-X149980Y100545D01*
-X150737Y100142D01*
-X151509Y99807D01*
-X152292Y99543D01*
-X153083Y99340D01*
-X153881Y99208D01*
-X154675Y99137D01*
-X155465Y99134D01*
-X156245Y99194D01*
-X157016Y99322D01*
-X157765Y99510D01*
-X158500Y99758D01*
-X159207Y100075D01*
-X159885Y100447D01*
-X160529Y100884D01*
-X161135Y101385D01*
-X161703Y101942D01*
-X162226Y102559D01*
-X162697Y103237D01*
-X163115Y103971D01*
-X163480Y104765D01*
-X163777Y105616D01*
-X164015Y106527D01*
-X164180Y107491D01*
-X164248Y108247D01*
-X158172D01*
-X157908Y107762D01*
-X157498Y107178D01*
-X157043Y106670D01*
-X156553Y106245D01*
-X156026Y105898D01*
-X155473Y105627D01*
-X154889Y105431D01*
-X154291Y105315D01*
-X153670Y105270D01*
-X153037Y105300D01*
-X152401Y105401D01*
-X151757Y105578D01*
-X151114Y105827D01*
-X150477Y106143D01*
-X149849Y106534D01*
-X149235Y106990D01*
-X148637Y107517D01*
-X148064Y108112D01*
-X147515Y108771D01*
-X146999Y109497D01*
-X146517Y110291D01*
-X146077Y111146D01*
-X145678Y112068D01*
-X144993Y106241D01*
-X145463Y105311D01*
-X145979Y104445D01*
-X145535Y104200D01*
-X145098Y103843D01*
-X144552Y104599D01*
-X144021Y105413D01*
-X143498Y106286D01*
-X142990Y107223D01*
-X142497Y108225D01*
-X142019Y109290D01*
-X141552Y110423D01*
-X141108Y111628D01*
-X140678Y112900D01*
-X140268Y114244D01*
-X139877Y115663D01*
-X139508Y117158D01*
-X139161Y118731D01*
-X138838Y120380D01*
-X138540Y122112D01*
-X138265Y123926D01*
-X139718Y125481D01*
-X141190Y127021D01*
-X142708Y128512D01*
-X143491Y129234D01*
-X144296Y129942D01*
-X145124Y130623D01*
-X145979Y131278D01*
-X146863Y131907D01*
-X147782Y132506D01*
-X148317Y132822D01*
-X144737D01*
-Y132830D01*
-X148328D01*
-X148734Y133071D01*
-X149724Y133601D01*
-X150760Y134091D01*
-X151836Y134539D01*
-X153448Y133176D01*
-X153376Y132830D01*
-X227371D01*
-X227235Y133116D01*
-X226791Y134147D01*
-X226370Y135231D01*
-X225974Y136376D01*
-X225609Y137577D01*
-X225282Y138830D01*
-X224995Y140137D01*
-X224758Y141496D01*
-X224566Y142911D01*
-X224435Y144371D01*
-X224359Y145885D01*
-X224348Y147447D01*
-X224405Y149058D01*
-X224536Y150715D01*
-X224480Y151637D01*
-X224483Y151957D01*
-X224558Y152333D01*
-X225123Y153561D01*
-X225808Y154841D01*
-X226621Y156139D01*
-X227073Y156787D01*
-X227559Y157427D01*
-X228074Y158055D01*
-X228628Y158669D01*
-X229211Y159264D01*
-X229832Y159832D01*
-X230487Y160374D01*
-X231176Y160883D01*
-X231899Y161357D01*
-X232660Y161790D01*
-X232660Y161789D01*
-D02*
-G37*
-D65*
-X177165Y112205D02*
-D03*
-Y131890D02*
-D03*
-Y84646D02*
-D03*
-Y64961D02*
-D03*
-X39370Y84646D02*
-D03*
-Y64961D02*
-D03*
-Y112205D02*
-D03*
-Y131890D02*
-D03*
-D66*
-X307087Y98425D02*
-D03*
-X267717D02*
-D03*
-D67*
-X305118Y133858D02*
-D03*
-X285433D02*
-D03*
-X305118Y62992D02*
-D03*
-X285433D02*
-D03*
-D68*
-X39370Y39370D02*
-D03*
-X137756D02*
-D03*
-X137776Y159449D02*
-D03*
-X39390D02*
-D03*
-X275571D02*
-D03*
-X177185D02*
-D03*
-X177185Y39685D02*
-D03*
-X275571D02*
-D03*
-D69*
-X110374Y44961D02*
-D03*
-X204567Y153858D02*
-D03*
-X248189Y45276D02*
-D03*
-D70*
-X104921Y33779D02*
-D03*
-X99468Y44961D02*
-D03*
-X94016Y33779D02*
-D03*
-X88563Y44961D02*
-D03*
-X83110Y33779D02*
-D03*
-X77658Y44961D02*
-D03*
-X72205Y33779D02*
-D03*
-X66752Y44961D02*
-D03*
-X66772Y153858D02*
-D03*
-X72224Y165039D02*
-D03*
-X77677Y153858D02*
-D03*
-X83130Y165039D02*
-D03*
-X88583Y153858D02*
-D03*
-X94035Y165039D02*
-D03*
-X99488Y153858D02*
-D03*
-X104941Y165039D02*
-D03*
-X110394Y153858D02*
-D03*
-X210020Y165039D02*
-D03*
-X215472Y153858D02*
-D03*
-X220925Y165039D02*
-D03*
-X226378Y153858D02*
-D03*
-X231831Y165039D02*
-D03*
-X237283Y153858D02*
-D03*
-X242736Y165039D02*
-D03*
-X248189Y153858D02*
-D03*
-X242736Y34095D02*
-D03*
-X237283Y45276D02*
-D03*
-X231831Y34095D02*
-D03*
-X226378Y45276D02*
-D03*
-X220925Y34095D02*
-D03*
-X215472Y45276D02*
-D03*
-X210020Y34095D02*
-D03*
-X204567Y45276D02*
-D03*
-D71*
-X7087Y155000D02*
-D03*
-D72*
-Y145000D02*
-D03*
-X157874Y44134D02*
-D03*
-Y34134D02*
-D03*
-Y24134D02*
-D03*
-X334646Y68425D02*
-D03*
-Y88425D02*
-D03*
-Y108425D02*
-D03*
-Y128425D02*
-D03*
-D73*
-X157874Y14134D02*
-D03*
-D74*
-X11811Y19685D02*
-D03*
-Y177165D02*
-D03*
-X326772D02*
-D03*
-Y19685D02*
-D03*
-X157480Y62992D02*
-D03*
-Y133858D02*
-D03*
-D75*
-X7087Y150394D02*
-D03*
-D76*
-X267717Y141339D02*
-D03*
-Y133858D02*
-D03*
-X259842Y137598D02*
-D03*
-X263779Y125787D02*
-D03*
-Y118307D02*
-D03*
-X255906Y122047D02*
-D03*
-X240158Y118504D02*
-D03*
-Y125984D02*
-D03*
-X248031Y122244D02*
-D03*
-X220472Y141535D02*
-D03*
-Y134055D02*
-D03*
-X212598Y137795D02*
-D03*
-X212598Y125787D02*
-D03*
-Y118307D02*
-D03*
-X204724Y122047D02*
-D03*
-X129921Y141339D02*
-D03*
-Y133858D02*
-D03*
-X122047Y137598D02*
-D03*
-X125984Y125787D02*
-D03*
-Y118307D02*
-D03*
-X118110Y122047D02*
-D03*
-X82677Y141535D02*
-D03*
-Y134055D02*
-D03*
-X74803Y137795D02*
-D03*
-Y125787D02*
-D03*
-Y118307D02*
-D03*
-X66929Y122047D02*
-D03*
-X51181Y118307D02*
-D03*
-Y125787D02*
-D03*
-X59055Y122047D02*
-D03*
-X102362Y75000D02*
-D03*
-Y82480D02*
-D03*
-X110236Y78740D02*
-D03*
-X94488Y59252D02*
-D03*
-Y66732D02*
-D03*
-X102362Y62992D02*
-D03*
-X82677Y66732D02*
-D03*
-Y59252D02*
-D03*
-X74803Y62992D02*
-D03*
-X51181Y75000D02*
-D03*
-Y82480D02*
-D03*
-X59055Y78740D02*
-D03*
-X51181Y59252D02*
-D03*
-Y66732D02*
-D03*
-X59055Y62992D02*
-D03*
-X188976Y75197D02*
-D03*
-Y82677D02*
-D03*
-X196850Y78937D02*
-D03*
-X188976Y59055D02*
-D03*
-Y66535D02*
-D03*
-X196850Y62795D02*
-D03*
-X232283Y55512D02*
-D03*
-Y62992D02*
-D03*
-X240158Y59252D02*
-D03*
-D77*
-X239961Y133858D02*
-D03*
-X232480D02*
-D03*
-X236221Y141732D02*
-D03*
-X196653Y118110D02*
-D03*
-X189173D02*
-D03*
-X192913Y125984D02*
-D03*
-X196653Y133858D02*
-D03*
-X189173D02*
-D03*
-X192913Y141732D02*
-D03*
-X110039Y118110D02*
-D03*
-X102559D02*
-D03*
-X106299Y125984D02*
-D03*
-X102165Y133858D02*
-D03*
-X94685D02*
-D03*
-X98425Y141732D02*
-D03*
-X58858Y133858D02*
-D03*
-X51378D02*
-D03*
-X55118Y141732D02*
-D03*
-X118307Y82677D02*
-D03*
-X125787D02*
-D03*
-X122047Y74803D02*
-D03*
-X118307Y66929D02*
-D03*
-X125787D02*
-D03*
-X122047Y59055D02*
-D03*
-X67126Y78740D02*
-D03*
-X74606D02*
-D03*
-X70866Y70866D02*
-D03*
-X240551Y74803D02*
-D03*
-X248031D02*
-D03*
-X244291Y66929D02*
-D03*
-X254528Y71260D02*
-D03*
-X262008D02*
-D03*
-X258268Y63386D02*
-D03*
-X254528Y56693D02*
-D03*
-X262008D02*
-D03*
-X258268Y48819D02*
-D03*
-X204921Y82677D02*
-D03*
-X212402D02*
-D03*
-X208661Y74803D02*
-D03*
-X212795Y62992D02*
-D03*
-X220276D02*
-D03*
-X216535Y55118D02*
-D03*
-D78*
-X7087Y148425D02*
-D03*
-Y152362D02*
-D03*
-M02*