From e75f60093b1709f0fa4dd69b582c63269448657a Mon Sep 17 00:00:00 2001 From: jaseg Date: Sat, 15 Jan 2022 21:36:59 +0100 Subject: Add a whole zoo of gerber files from different EDA packages --- .../tests/resources/diptrace/mainboard_Bottom.gbr | 2336 ++++++++++++++++++++ 1 file changed, 2336 insertions(+) create mode 100644 gerbonara/gerber/tests/resources/diptrace/mainboard_Bottom.gbr (limited to 'gerbonara/gerber/tests/resources/diptrace/mainboard_Bottom.gbr') diff --git a/gerbonara/gerber/tests/resources/diptrace/mainboard_Bottom.gbr b/gerbonara/gerber/tests/resources/diptrace/mainboard_Bottom.gbr new file mode 100644 index 0000000..30c4566 --- /dev/null +++ b/gerbonara/gerber/tests/resources/diptrace/mainboard_Bottom.gbr @@ -0,0 +1,2336 @@ +G04 DipTrace 2.4.0.2* +%INmainboard_Bottom.gbr*% +%MOIN*% +%ADD13C,0.03*% +%ADD15C,0.022*% +%ADD18C,0.01*% +%ADD19C,0.02*% +%ADD20C,0.04*% +%ADD22C,0.024*% +%ADD27C,0.05*% +%ADD28C,0.07*% +%ADD30C,0.025*% +%ADD31R,0.0531X0.0531*% +%ADD32C,0.0531*% +%ADD39R,0.0945X0.0945*% +%ADD40C,0.0945*% +%ADD50O,0.1969X0.0787*% +%ADD51O,0.1772X0.0787*% +%ADD52O,0.0787X0.1772*% +%ADD53C,0.1063*% +%ADD58C,0.0472*% +%ADD59C,0.0787*% +%ADD60R,0.0591X0.0591*% +%ADD61C,0.063*% +%ADD62R,0.0787X0.0787*% +%ADD63C,0.0787*% +%ADD71C,0.034*% +%FSLAX44Y44*% +G04* +G70* +G90* +G75* +G01* +%LNBottom*% +%LPD*% +X23377Y11250D2* +D13* +X22751D1* +X22439Y11563D1* +Y11750D1* +Y13125D1* +X23502Y14188D1* +X24314D1* +X22439Y11750D2* +X19064D1* +X22500Y20562D2* +D15* +X22459Y20540D1* +X26937D1* +Y20846D1* +Y22000D1* +X14016Y23937D2* +D13* +X15000D1* +X15984D1* +X16969D1* +X17953D1* +X15984Y20000D2* +Y20937D1* +Y23937D1* +X15937Y16437D2* +D22* +Y19187D1* +X15984Y19140D1* +Y20000D1* +X15937Y13937D2* +D13* +Y14188D1* +Y16437D1* +X22500Y20562D2* +D15* +X20750D1* +X20375Y20937D1* +X20250D1* +D13* +X17437D1* +X15984D1* +X10047Y16813D2* +D15* +X9937Y16704D1* +Y15187D1* +Y13625D1* +X9562Y13250D1* +X9437D1* +X11569Y10444D2* +X11500Y10375D1* +X9750D1* +X9437Y10687D1* +Y11188D1* +Y11687D1* +Y13250D1* +X26937Y20540D2* +D13* +X28252D1* +Y15251D1* +X28690Y14813D1* +X29752D1* +X29586Y20846D2* +X30176D1* +X30767D1* +X31357D2* +X30767D1* +X31948D2* +X31357D1* +X32538D2* +X31948D1* +X28070Y23524D2* +X34251D1* +X29586Y20846D2* +X28070D1* +Y23524D1* +Y20846D2* +X26937D1* +X25151Y5187D2* +X24364D1* +X23576D1* +X22789D1* +X25151D2* +Y4725D1* +X25627Y4250D1* +X28190D1* +X28627Y4687D1* +Y4749D1* +Y5125D1* +X24062Y13562D2* +D18* +X25126D1* +X26814Y15251D1* +X26752D1* +X28627Y4749D2* +D13* +X29189Y4187D1* +X31815D1* +X34940Y7312D1* +X36378D1* +X36690Y7625D1* +Y9313D1* +X36378Y9625D1* +X35799D1* +X35787Y9637D1* +X11569Y10444D2* +Y8931D1* +X12250Y8250D1* +X19439D1* +X19939Y7750D1* +Y4562D1* +X20189Y4312D1* +X22439D1* +X22751Y4625D1* +Y5150D1* +X22789Y5187D1* +X19627Y14188D2* +X15937D1* +X24752Y10938D2* +Y12875D1* +X24189D1* +Y13000D1* +Y13689D1* +X24062Y13562D1* +X26814Y15251D2* +X28252D1* +X23187Y13000D2* +X24189D1* +X9688Y11188D2* +D15* +X9437D1* +X9688D2* +D13* +Y11687D1* +X9687D1* +X9187Y12187D2* +Y11687D1* +Y11187D2* +Y11687D1* +X9437D1* +X9687Y12187D2* +Y11687D1* +X24062Y13000D2* +X24189D1* +X8937Y23437D2* +D15* +Y23937D1* +X14015D1* +X14016D1* +X10187Y15187D2* +X9937D1* +X17437Y21437D2* +D13* +Y20937D1* +X26814Y11188D2* +D18* +X26689D1* +X26502Y11000D1* +Y7812D1* +X26814Y7500D1* +X21501Y12250D2* +X21439D1* +X21314Y12125D1* +X19376D1* +X19314Y12188D1* +X18501D1* +X18439Y12250D1* +X10937Y12187D2* +D19* +Y11439D1* +X11000Y11375D1* +Y11188D1* +X5375Y16813D2* +D27* +X7063D1* +X7187Y16937D1* +X9063Y16813D2* +X7311D1* +X7187Y16937D1* +X8937Y21799D2* +D28* +X8922Y21814D1* +X7687D1* +X7087Y21213D1* +Y20618D1* +X7187Y17937D2* +Y18814D1* +X7087Y18914D1* +Y20618D1* +X26187Y11187D2* +D18* +Y7500D1* +X21251Y11313D2* +X20126D1* +X21564Y10250D2* +X19689D1* +X22376Y9500D2* +Y10938D1* +X30690Y10875D2* +Y10938D1* +X28127D1* +X31127Y11313D2* +X30502D1* +X30377Y11188D1* +X28627D1* +X28502Y11313D1* +X22001Y9938D2* +Y11250D1* +X22064Y11313D1* +X23189Y10750D2* +Y9500D1* +X29815Y10500D2* +X29690Y10625D1* +X28377D1* +X28252Y10500D1* +X27690D1* +X22751Y10625D2* +Y7750D1* +X22001Y7000D1* +Y5975D1* +D20* +X23377Y11250D3* +X24314Y14188D3* +X19064Y11750D3* +X26937Y22000D3* +X15937Y16437D3* +Y13937D3* +Y16437D3* +X9437Y13250D3* +X11569Y10444D3* +X29752Y14813D3* +X24062Y13562D3* +X26752Y15251D3* +X11569Y10444D3* +X19627Y14188D3* +X24752Y10938D3* +X24062Y13562D3* +X23187Y13000D3* +D71* +X26814Y11188D3* +Y7500D3* +X21501Y12250D3* +X18439D3* +X10937Y12187D3* +X11000Y11188D3* +X26187Y11187D3* +Y7500D3* +X21251Y11313D3* +X20126D3* +X21564Y10250D3* +X19689D3* +X22376Y9500D3* +Y10938D3* +X30690Y10875D3* +X28127Y10938D3* +X31127Y11313D3* +X28502D3* +X22001Y9938D3* +X22064Y11313D3* +X23189Y10750D3* +Y9500D3* +X29815Y10500D3* +X27690D3* +X22751Y10625D3* +D20* +X23562Y14687D3* +X22625D3* +X22564Y14001D3* +X24062Y13000D3* +X24187Y7187D3* +X22500Y20562D3* +X9187Y12187D3* +X9687D3* +X9187Y11687D3* +X9687D3* +X9187Y11187D3* +X9688Y11188D3* +X27437Y18437D3* +X26937Y19437D3* +X26437Y18437D3* +X28687Y7187D3* +X29752Y15313D3* +X30937Y7187D3* +X32937D3* +X31690Y16376D3* +X30937Y5187D3* +X36187Y21437D3* +X35937Y16687D3* +Y14687D3* +X34187D3* +X32687Y13187D3* +X20876Y15751D3* +X6937Y23437D3* +X8937D3* +X10437D3* +X5437Y19187D3* +X8312Y20687D3* +X8687Y15187D3* +X10187D3* +X11437Y14187D3* +X4500Y15437D3* +Y13937D3* +Y12437D3* +Y10937D3* +Y9437D3* +X5687Y10187D3* +X6937D3* +X8187Y10625D3* +X7937Y7375D3* +Y5625D3* +X10937D3* +X13937D3* +X10937Y7375D3* +X13937D3* +X16937D3* +Y5625D3* +X14437Y21437D3* +X17437D3* +X9562Y20687D3* +X17376Y13938D3* +X8312Y18251D3* +X9562Y18249D3* +X27127Y12000D3* +X29002D3* +X4087Y24566D2* +D30* +X37351D1* +X4087Y24317D2* +X4691D1* +X5933D2* +X35566D1* +X36808D2* +X37351D1* +X4087Y24068D2* +X4469D1* +X6155D2* +X35344D1* +X37030D2* +X37351D1* +X4087Y23819D2* +X4359D1* +X6265D2* +X35234D1* +X37140D2* +X37351D1* +X4087Y23571D2* +X4324D1* +X6300D2* +X35199D1* +X37175D2* +X37351D1* +X4087Y23322D2* +X4355D1* +X6269D2* +X30703D1* +X31616D2* +X35230D1* +X37144D2* +X37351D1* +X4087Y23073D2* +X4457D1* +X6167D2* +X21918D1* +X22464D2* +X23648D1* +X24198D2* +X30519D1* +X31800D2* +X35332D1* +X37042D2* +X37351D1* +X4087Y22825D2* +X4668D1* +X5956D2* +X21695D1* +X22687D2* +X23430D1* +X24417D2* +X30469D1* +X31851D2* +X35543D1* +X36831D2* +X37351D1* +X4087Y22576D2* +X12480D1* +X13581D2* +X18387D1* +X19487D2* +X21664D1* +X22718D2* +X23394D1* +X24452D2* +X30512D1* +X31808D2* +X37351D1* +X4087Y22327D2* +X7215D1* +X9952D2* +X12289D1* +X13776D2* +X18191D1* +X19683D2* +X21762D1* +X22620D2* +X23492D1* +X24355D2* +X30680D1* +X31640D2* +X37351D1* +X4087Y22079D2* +X6965D1* +X10124D2* +X12211D1* +X13855D2* +X18117D1* +X19757D2* +X37351D1* +X4087Y21830D2* +X6715D1* +X10179D2* +X12215D1* +X13847D2* +X18121D1* +X19753D2* +X29445D1* +X33269D2* +X37351D1* +X4087Y21581D2* +X6488D1* +X10148D2* +X12301D1* +X13761D2* +X18207D1* +X19667D2* +X29308D1* +X33409D2* +X37351D1* +X4087Y21333D2* +X6371D1* +X10011D2* +X12516D1* +X13550D2* +X18418D1* +X19456D2* +X29297D1* +X33417D2* +X37351D1* +X4087Y21084D2* +X6336D1* +X7948D2* +X8301D1* +X9573D2* +X29410D1* +X33304D2* +X37351D1* +X4087Y20835D2* +X6336D1* +X7839D2* +X12789D1* +X13273D2* +X18695D1* +X19179D2* +X37351D1* +X4087Y20587D2* +X6336D1* +X7839D2* +X12375D1* +X13691D2* +X18277D1* +X19597D2* +X28805D1* +X33319D2* +X37351D1* +X4087Y20338D2* +X6336D1* +X7839D2* +X12211D1* +X13851D2* +X18117D1* +X19757D2* +X28703D1* +X33421D2* +X37351D1* +X4087Y20089D2* +X6336D1* +X7835D2* +X12148D1* +X13913D2* +X18055D1* +X19819D2* +X28723D1* +X33401D2* +X37351D1* +X4087Y19840D2* +X6379D1* +X7792D2* +X12156D1* +X14296D2* +X17676D1* +X19812D2* +X28879D1* +X33245D2* +X37351D1* +X4087Y19592D2* +X6379D1* +X7792D2* +X12246D1* +X14683D2* +X17285D1* +X19722D2* +X37351D1* +X4087Y19343D2* +X6379D1* +X7792D2* +X12445D1* +X14839D2* +X17129D1* +X19523D2* +X37351D1* +X4087Y19094D2* +X6379D1* +X7835D2* +X13133D1* +X14901D2* +X17066D1* +X18839D2* +X37351D1* +X4087Y18846D2* +X6383D1* +X7894D2* +X13144D1* +X14886D2* +X17082D1* +X18823D2* +X37351D1* +X4087Y18597D2* +X6457D1* +X7894D2* +X13234D1* +X14796D2* +X17172D1* +X18733D2* +X37351D1* +X4087Y18348D2* +X6480D1* +X7894D2* +X13441D1* +X14589D2* +X17379D1* +X18526D2* +X37351D1* +X4087Y18100D2* +X6457D1* +X7917D2* +X37351D1* +X4087Y17851D2* +X6441D1* +X7933D2* +X37351D1* +X4087Y17602D2* +X4547D1* +X6202D2* +X6437D1* +X7937D2* +X37351D1* +X4087Y17354D2* +X4547D1* +X9687D2* +X37351D1* +X4087Y17105D2* +X4547D1* +X9687D2* +X37351D1* +X4087Y16856D2* +X4547D1* +X9687D2* +X37351D1* +X4087Y16608D2* +X4547D1* +X9687D2* +X37351D1* +X4087Y16359D2* +X4547D1* +X9687D2* +X37351D1* +X4087Y16110D2* +X4547D1* +X6202D2* +X37351D1* +X4087Y15861D2* +X37351D1* +X4087Y15613D2* +X37351D1* +X4087Y15364D2* +X37351D1* +X4087Y15115D2* +X37351D1* +X4087Y14867D2* +X37351D1* +X4087Y14618D2* +X23258D1* +X24651D2* +X37351D1* +X4087Y14369D2* +X22976D1* +X24839D2* +X37351D1* +X4087Y14121D2* +X22726D1* +X24866D2* +X37351D1* +X4087Y13872D2* +X22480D1* +X24765D2* +X37351D1* +X4087Y13623D2* +X22230D1* +X23644D2* +X37351D1* +X4087Y13375D2* +X22000D1* +X23394D2* +X37351D1* +X4087Y13126D2* +X21933D1* +X23148D2* +X37351D1* +X4087Y12877D2* +X21933D1* +X22944D2* +X37351D1* +X4087Y12629D2* +X10672D1* +X11202D2* +X18082D1* +X18792D2* +X21148D1* +X21855D2* +X21932D1* +X22944D2* +X35613D1* +X35964D2* +X37351D1* +X4087Y12380D2* +X10449D1* +X11425D2* +X17930D1* +X22944D2* +X34348D1* +X36335D2* +X37351D1* +X4087Y12131D2* +X10414D1* +X11460D2* +X17926D1* +X22944D2* +X34348D1* +X36444D2* +X37351D1* +X4087Y11882D2* +X10480D1* +X11394D2* +X18074D1* +X22944D2* +X34348D1* +X36448D2* +X37351D1* +X4087Y11634D2* +X10480D1* +X11394D2* +X18519D1* +X23769D2* +X25933D1* +X26441D2* +X26558D1* +X27069D2* +X28090D1* +X28913D2* +X30269D1* +X31538D2* +X34348D1* +X36347D2* +X37351D1* +X4087Y11385D2* +X10484D1* +X11487D2* +X18652D1* +X23917D2* +X25699D1* +X27300D2* +X27875D1* +X31648D2* +X34348D1* +X36437D2* +X37351D1* +X4087Y11136D2* +X10476D1* +X11523D2* +X19633D1* +X23921D2* +X25664D1* +X27339D2* +X27641D1* +X31620D2* +X34332D1* +X36452D2* +X37351D1* +X4087Y10888D2* +X10574D1* +X11429D2* +X19836D1* +X20417D2* +X20961D1* +X23792D2* +X25758D1* +X27241D2* +X27346D1* +X31417D2* +X34414D1* +X36370D2* +X37351D1* +X4087Y10639D2* +X19348D1* +X20030D2* +X21223D1* +X23702D2* +X25781D1* +X26909D2* +X27183D1* +X31159D2* +X34363D1* +X36421D2* +X37351D1* +X4087Y10390D2* +X19183D1* +X23597D2* +X25781D1* +X26909D2* +X27176D1* +X30331D2* +X30535D1* +X30843D2* +X34328D1* +X36456D2* +X37351D1* +X4087Y10142D2* +X19176D1* +X23597D2* +X25781D1* +X26909D2* +X27312D1* +X28409D2* +X29437D1* +X30191D2* +X34394D1* +X36394D2* +X37351D1* +X4087Y9893D2* +X19312D1* +X23597D2* +X25781D1* +X26909D2* +X34379D1* +X36179D2* +X37351D1* +X4087Y9644D2* +X21570D1* +X23694D2* +X25781D1* +X26909D2* +X34328D1* +X35671D2* +X37351D1* +X4087Y9396D2* +X21859D1* +X23706D2* +X25781D1* +X26909D2* +X34375D1* +X36159D2* +X37351D1* +X4087Y9147D2* +X21996D1* +X23569D2* +X25781D1* +X26909D2* +X34398D1* +X36386D2* +X37351D1* +X4087Y8898D2* +X22344D1* +X23159D2* +X25781D1* +X26909D2* +X34328D1* +X36456D2* +X37351D1* +X4087Y8650D2* +X22344D1* +X23159D2* +X25781D1* +X26909D2* +X34359D1* +X36425D2* +X37351D1* +X4087Y8401D2* +X22344D1* +X23159D2* +X25781D1* +X26909D2* +X34508D1* +X36276D2* +X37351D1* +X4087Y8152D2* +X22344D1* +X23159D2* +X25781D1* +X26909D2* +X37351D1* +X4087Y7903D2* +X22340D1* +X23159D2* +X25781D1* +X27136D2* +X37351D1* +X4087Y7655D2* +X22090D1* +X23148D2* +X25683D1* +X27316D2* +X37351D1* +X4087Y7406D2* +X21844D1* +X22972D2* +X25668D1* +X27331D2* +X37351D1* +X4087Y7157D2* +X21629D1* +X22726D2* +X25797D1* +X27206D2* +X37351D1* +X4087Y6909D2* +X21594D1* +X22476D2* +X37351D1* +X4087Y6660D2* +X21594D1* +X22409D2* +X37351D1* +X4087Y6411D2* +X21500D1* +X25651D2* +X37351D1* +X4087Y6163D2* +X4840D1* +X5784D2* +X21359D1* +X25796D2* +X35715D1* +X36659D2* +X37351D1* +X4087Y5914D2* +X4539D1* +X6089D2* +X21332D1* +X25819D2* +X35410D1* +X36964D2* +X37351D1* +X4087Y5665D2* +X4394D1* +X6233D2* +X21348D1* +X25745D2* +X28875D1* +X30378D2* +X35269D1* +X37105D2* +X37351D1* +X4087Y5417D2* +X4332D1* +X6292D2* +X21348D1* +X23140D2* +X23222D1* +X23929D2* +X24010D1* +X24714D2* +X24800D1* +X25503D2* +X28875D1* +X30378D2* +X35207D1* +X37167D2* +X37351D1* +X4087Y5168D2* +X4336D1* +X6288D2* +X21348D1* +X22651D2* +X28875D1* +X30378D2* +X35211D1* +X37163D2* +X37351D1* +X4087Y4919D2* +X4410D1* +X6214D2* +X21348D1* +X22651D2* +X28875D1* +X30378D2* +X35285D1* +X37089D2* +X37351D1* +X4087Y4671D2* +X4570D1* +X6054D2* +X21348D1* +X22651D2* +X28875D1* +X30378D2* +X35445D1* +X36929D2* +X37351D1* +X4087Y4422D2* +X4926D1* +X5702D2* +X28875D1* +X30378D2* +X35797D1* +X36577D2* +X37351D1* +X4087Y4173D2* +X37351D1* +X8590Y17411D2* +X9660D1* +Y16216D1* +X8465D1* +Y16235D1* +X8063Y16232D1* +X7910D1* +X7913Y16211D1* +X6461D1* +Y16231D1* +X6177Y16232D1* +X6179Y16009D1* +X4570D1* +Y17618D1* +X6179D1* +Y17395D1* +X6465D1* +X6461Y17663D1* +X6515Y17664D1* +X6478Y17784D1* +X6462Y17908D1* +X6468Y18032D1* +X6505Y18187D1* +Y18558D1* +X6450Y18669D1* +X6422Y18760D1* +X6405Y18914D1* +Y19879D1* +X6377Y19973D1* +X6361Y20126D1* +X6367Y21206D1* +X6394Y21327D1* +X6457Y21475D1* +X6515Y21585D1* +X6604Y21695D1* +X7205Y22296D1* +X7301Y22376D1* +X7410Y22437D1* +X7528Y22477D1* +X7687Y22496D1* +X8246D1* +X8401Y22523D1* +X9429Y22525D1* +X9510Y22520D1* +X9632Y22496D1* +X9749Y22451D1* +X9856Y22386D1* +X9950Y22304D1* +X10029Y22208D1* +X10090Y22099D1* +X10132Y21981D1* +X10155Y21799D1* +X10144Y21675D1* +X10112Y21554D1* +X10060Y21441D1* +X9989Y21338D1* +X9902Y21249D1* +X9800Y21176D1* +X9688Y21121D1* +X9568Y21087D1* +X9429Y21074D1* +X8445Y21078D1* +X8335Y21082D1* +X8167Y21132D1* +X7973D1* +X7813Y20975D1* +X7808Y20126D1* +X7801Y20002D1* +X7768Y19868D1* +Y19169D1* +X7824Y19059D1* +X7852Y18968D1* +X7869Y18814D1* +Y18185D1* +X7910Y17996D1* +X7902Y17813D1* +X7855Y17660D1* +X7913Y17663D1* +Y17393D1* +X8463Y17395D1* +X8465Y17411D1* +X8590D1* +X19791Y19875D2* +X19764Y19753D1* +X19720Y19636D1* +X19660Y19527D1* +X19584Y19428D1* +X19495Y19340D1* +X19394Y19267D1* +X19283Y19209D1* +X19165Y19167D1* +X19043Y19143D1* +X18918Y19136D1* +X18805Y19147D1* +X18816Y19015D1* +X18807Y18891D1* +X18780Y18769D1* +X18736Y18652D1* +X18675Y18543D1* +X18600Y18443D1* +X18510Y18356D1* +X18409Y18283D1* +X18299Y18224D1* +X18181Y18183D1* +X18059Y18158D1* +X17934Y18152D1* +X17810Y18164D1* +X17688Y18193D1* +X17572Y18240D1* +X17465Y18303D1* +X17367Y18381D1* +X17282Y18472D1* +X17210Y18575D1* +X17154Y18686D1* +X17115Y18805D1* +X17094Y18928D1* +X17090Y19053D1* +X17105Y19177D1* +X17137Y19298D1* +X17186Y19412D1* +X17252Y19519D1* +X17332Y19615D1* +X17425Y19698D1* +X17529Y19767D1* +X17641Y19821D1* +X17761Y19857D1* +X17884Y19876D1* +X18009Y19877D1* +X18083Y19867D1* +X18074Y20037D1* +X18089Y20161D1* +X18121Y20282D1* +X18170Y20397D1* +X18236Y20503D1* +X18316Y20599D1* +X18409Y20682D1* +X18513Y20751D1* +X18626Y20805D1* +X18745Y20841D1* +X18869Y20860D1* +X18994Y20861D1* +X19117Y20844D1* +X19237Y20809D1* +X19351Y20757D1* +X19456Y20690D1* +X19550Y20608D1* +X19631Y20513D1* +X19698Y20407D1* +X19749Y20293D1* +X19783Y20173D1* +X19800Y20000D1* +X19791Y19875D1* +X13886D2* +X14072Y19877D1* +X14196Y19860D1* +X14316Y19825D1* +X14430Y19773D1* +X14535Y19705D1* +X14629Y19623D1* +X14710Y19528D1* +X14777Y19423D1* +X14828Y19309D1* +X14862Y19189D1* +X14879Y19015D1* +X14870Y18891D1* +X14843Y18769D1* +X14799Y18652D1* +X14738Y18543D1* +X14663Y18443D1* +X14573Y18356D1* +X14472Y18283D1* +X14362Y18224D1* +X14244Y18183D1* +X14122Y18158D1* +X13997Y18152D1* +X13873Y18164D1* +X13751Y18193D1* +X13635Y18240D1* +X13528Y18303D1* +X13430Y18381D1* +X13345Y18472D1* +X13273Y18575D1* +X13217Y18686D1* +X13178Y18805D1* +X13157Y18928D1* +X13153Y19053D1* +X13165Y19150D1* +X13013Y19136D1* +X12888Y19148D1* +X12767Y19178D1* +X12651Y19224D1* +X12543Y19287D1* +X12446Y19365D1* +X12360Y19456D1* +X12289Y19559D1* +X12233Y19671D1* +X12194Y19789D1* +X12173Y19912D1* +X12169Y20037D1* +X12183Y20161D1* +X12216Y20282D1* +X12265Y20397D1* +X12330Y20503D1* +X12410Y20599D1* +X12503Y20682D1* +X12607Y20751D1* +X12720Y20805D1* +X12840Y20841D1* +X12963Y20860D1* +X13088Y20861D1* +X13212Y20844D1* +X13332Y20809D1* +X13445Y20757D1* +X13550Y20690D1* +X13644Y20608D1* +X13726Y20513D1* +X13793Y20407D1* +X13843Y20293D1* +X13877Y20173D1* +X13895Y20000D1* +X13886Y19875D1* +X19732Y21844D2* +X19703Y21722D1* +X19655Y21606D1* +X19591Y21500D1* +X19510Y21404D1* +X19416Y21322D1* +X19310Y21256D1* +X19196Y21206D1* +X19075Y21176D1* +X18950Y21164D1* +X18826Y21171D1* +X18704Y21198D1* +X18587Y21244D1* +X18480Y21306D1* +X18383Y21385D1* +X18299Y21478D1* +X18231Y21583D1* +X18180Y21697D1* +X18147Y21817D1* +X18133Y21941D1* +X18139Y22066D1* +X18164Y22188D1* +X18207Y22305D1* +X18268Y22414D1* +X18345Y22513D1* +X18437Y22598D1* +X18540Y22668D1* +X18653Y22721D1* +X18773Y22755D1* +X18897Y22771D1* +X19022Y22768D1* +X19145Y22745D1* +X19262Y22704D1* +X19372Y22644D1* +X19472Y22569D1* +X19558Y22479D1* +X19630Y22377D1* +X19685Y22264D1* +X19722Y22145D1* +X19741Y21968D1* +X19732Y21844D1* +X13826D2* +X13797Y21722D1* +X13750Y21606D1* +X13685Y21500D1* +X13605Y21404D1* +X13511Y21322D1* +X13405Y21256D1* +X13290Y21206D1* +X13169Y21176D1* +X13045Y21164D1* +X12920Y21171D1* +X12798Y21198D1* +X12682Y21244D1* +X12574Y21306D1* +X12477Y21385D1* +X12394Y21478D1* +X12325Y21583D1* +X12274Y21697D1* +X12241Y21817D1* +X12228Y21941D1* +X12233Y22066D1* +X12258Y22188D1* +X12301Y22305D1* +X12362Y22414D1* +X12440Y22513D1* +X12531Y22598D1* +X12635Y22668D1* +X12748Y22721D1* +X12868Y22755D1* +X12991Y22771D1* +X13116Y22768D1* +X13239Y22745D1* +X13357Y22704D1* +X13467Y22644D1* +X13566Y22569D1* +X13653Y22479D1* +X13724Y22377D1* +X13779Y22264D1* +X13816Y22145D1* +X13836Y21968D1* +X13826Y21844D1* +X34497Y12627D2* +X35627Y12623D1* +X35739Y12645D1* +X35864Y12642D1* +X35986Y12615D1* +X36100Y12565D1* +X36203Y12495D1* +X36290Y12406D1* +X36359Y12301D1* +X36406Y12186D1* +X36434Y12000D1* +X36422Y11875D1* +X36386Y11756D1* +X36328Y11645D1* +X36296Y11606D1* +X36359Y11514D1* +X36406Y11398D1* +X36434Y11212D1* +X36422Y11088D1* +X36386Y10968D1* +X36328Y10858D1* +X36296Y10818D1* +X36359Y10727D1* +X36406Y10611D1* +X36434Y10425D1* +X36422Y10300D1* +X36386Y10181D1* +X36328Y10070D1* +X36250Y9973D1* +X36155Y9893D1* +X36045Y9832D1* +X35927Y9793D1* +X35803Y9778D1* +X35678Y9787D1* +X35622Y9802D1* +X35646Y9637D1* +X35622Y9472D1* +X35739Y9495D1* +X35864Y9492D1* +X35986Y9465D1* +X36100Y9416D1* +X36203Y9345D1* +X36290Y9256D1* +X36359Y9152D1* +X36406Y9036D1* +X36434Y8850D1* +X36422Y8726D1* +X36386Y8606D1* +X36328Y8496D1* +X36250Y8398D1* +X36155Y8318D1* +X36045Y8257D1* +X35927Y8218D1* +X35803Y8203D1* +X35678Y8212D1* +X35558Y8245D1* +X35446Y8300D1* +X35393Y8340D1* +X35258Y8257D1* +X35139Y8218D1* +X35016Y8203D1* +X34891Y8212D1* +X34771Y8245D1* +X34659Y8300D1* +X34559Y8376D1* +X34477Y8469D1* +X34413Y8577D1* +X34372Y8694D1* +X34353Y8818D1* +X34359Y8943D1* +X34389Y9064D1* +X34442Y9177D1* +X34490Y9243D1* +X34413Y9364D1* +X34372Y9482D1* +X34353Y9605D1* +X34359Y9730D1* +X34389Y9851D1* +X34442Y9964D1* +X34490Y10031D1* +X34413Y10152D1* +X34372Y10269D1* +X34353Y10393D1* +X34359Y10517D1* +X34389Y10639D1* +X34442Y10752D1* +X34490Y10818D1* +X34413Y10939D1* +X34372Y11057D1* +X34353Y11180D1* +X34359Y11305D1* +X34372Y11372D1* +Y12627D1* +X34497D1* +X31355Y20954D2* +X31320Y20931D1* +X31203Y20887D1* +X31079Y20869D1* +X30955Y20879D1* +X30836Y20916D1* +X30771Y20953D1* +X30612Y20887D1* +X30489Y20869D1* +X30364Y20879D1* +X30245Y20916D1* +X30181Y20953D1* +X30022Y20887D1* +X29898Y20869D1* +X29774Y20879D1* +X29655Y20916D1* +X29546Y20978D1* +X29454Y21062D1* +X29383Y21164D1* +X29335Y21279D1* +X29314Y21402D1* +X29320Y21527D1* +X29353Y21647D1* +X29412Y21757D1* +X29493Y21852D1* +X29593Y21927D1* +X29707Y21978D1* +X29829Y22003D1* +X29954Y22000D1* +X30075Y21971D1* +X30176Y21921D1* +X30297Y21978D1* +X30420Y22003D1* +X30544Y22000D1* +X30665Y21971D1* +X30767Y21921D1* +X30888Y21978D1* +X31010Y22003D1* +X31135Y22000D1* +X31256Y21971D1* +X31357Y21921D1* +X31478Y21978D1* +X31601Y22003D1* +X31725Y22000D1* +X31847Y21971D1* +X31948Y21921D1* +X32069Y21978D1* +X32191Y22003D1* +X32316Y22000D1* +X32437Y21971D1* +X32539Y21921D1* +X32660Y21978D1* +X32782Y22003D1* +X32906Y22000D1* +X33028Y21971D1* +X33140Y21916D1* +X33237Y21837D1* +X33314Y21740D1* +X33369Y21628D1* +X33398Y21506D1* +X33402Y21437D1* +X33388Y21313D1* +X33348Y21195D1* +X33282Y21089D1* +X33196Y20999D1* +X33091Y20931D1* +X32975Y20887D1* +X32851Y20869D1* +X32727Y20879D1* +X32607Y20916D1* +X32543Y20953D1* +X32384Y20887D1* +X32260Y20869D1* +X32136Y20879D1* +X32017Y20916D1* +X31953Y20953D1* +X31793Y20887D1* +X31670Y20869D1* +X31546Y20879D1* +X31426Y20916D1* +X31362Y20953D1* +X31355Y19773D2* +X31320Y19750D1* +X31203Y19706D1* +X31079Y19688D1* +X30955Y19698D1* +X30836Y19735D1* +X30771Y19772D1* +X30612Y19706D1* +X30489Y19688D1* +X30364Y19698D1* +X30245Y19735D1* +X30181Y19772D1* +X30022Y19706D1* +X29898Y19688D1* +X29774Y19698D1* +X29655Y19735D1* +X29590Y19772D1* +X29431Y19706D1* +X29308Y19688D1* +X29183Y19698D1* +X29064Y19735D1* +X28956Y19797D1* +X28864Y19881D1* +X28792Y19983D1* +X28745Y20098D1* +X28723Y20221D1* +X28729Y20346D1* +X28763Y20466D1* +X28821Y20576D1* +X28902Y20671D1* +X29002Y20746D1* +X29116Y20797D1* +X29238Y20822D1* +X29363Y20819D1* +X29484Y20790D1* +X29586Y20740D1* +X29707Y20797D1* +X29829Y20822D1* +X29954Y20819D1* +X30075Y20790D1* +X30176Y20740D1* +X30297Y20797D1* +X30420Y20822D1* +X30544Y20819D1* +X30665Y20790D1* +X30767Y20740D1* +X30888Y20797D1* +X31010Y20822D1* +X31135Y20819D1* +X31256Y20790D1* +X31357Y20740D1* +X31478Y20797D1* +X31601Y20822D1* +X31725Y20819D1* +X31847Y20790D1* +X31948Y20740D1* +X32069Y20797D1* +X32191Y20822D1* +X32316Y20819D1* +X32437Y20790D1* +X32539Y20740D1* +X32660Y20797D1* +X32782Y20822D1* +X32906Y20819D1* +X33028Y20790D1* +X33140Y20735D1* +X33237Y20656D1* +X33314Y20559D1* +X33369Y20446D1* +X33398Y20325D1* +X33402Y20256D1* +X33388Y20132D1* +X33348Y20014D1* +X33282Y19908D1* +X33196Y19818D1* +X33091Y19750D1* +X32975Y19706D1* +X32851Y19688D1* +X32727Y19698D1* +X32607Y19735D1* +X32543Y19772D1* +X32384Y19706D1* +X32260Y19688D1* +X32136Y19698D1* +X32017Y19735D1* +X31953Y19772D1* +X31793Y19706D1* +X31670Y19688D1* +X31546Y19698D1* +X31426Y19735D1* +X31362Y19772D1* +X31815Y22691D2* +X31781Y22571D1* +X31724Y22459D1* +X31648Y22361D1* +X31555Y22278D1* +X31448Y22214D1* +X31330Y22171D1* +X31207Y22150D1* +X31083Y22153D1* +X30960Y22179D1* +X30845Y22228D1* +X30741Y22297D1* +X30652Y22384D1* +X30581Y22486D1* +X30530Y22600D1* +X30501Y22721D1* +X30495Y22846D1* +X30512Y22970D1* +X30552Y23088D1* +X30614Y23196D1* +X30695Y23292D1* +X30792Y23370D1* +X30902Y23429D1* +X31021Y23467D1* +X31145Y23481D1* +X31269Y23473D1* +X31390Y23441D1* +X31503Y23387D1* +X31603Y23313D1* +X31688Y23222D1* +X31755Y23116D1* +X31801Y23000D1* +X31827Y22815D1* +X31815Y22691D1* +X22629Y5349D2* +Y4560D1* +X21374D1* +X21378Y5814D1* +X21355Y5943D1* +X21361Y6067D1* +X21391Y6188D1* +X21443Y6302D1* +X21517Y6403D1* +X21618Y6494D1* +X21620Y7000D1* +X21640Y7123D1* +X21701Y7235D1* +X21908Y7447D1* +X22369Y7908D1* +X22370Y8996D1* +X22290Y9006D1* +X22171Y9042D1* +X22064Y9107D1* +X21977Y9196D1* +X21915Y9304D1* +X21880Y9451D1* +X21796Y9480D1* +X21689Y9545D1* +X21602Y9634D1* +X21536Y9753D1* +X21478Y9756D1* +X21358Y9792D1* +X21238Y9871D1* +X20189Y9868D1* +X20013D1* +X19961Y9829D1* +X19849Y9775D1* +X19727Y9750D1* +X19602Y9756D1* +X19483Y9792D1* +X19377Y9857D1* +X19289Y9946D1* +X19227Y10054D1* +X19193Y10174D1* +X19189Y10298D1* +X19216Y10420D1* +X19273Y10531D1* +X19355Y10625D1* +X19458Y10696D1* +X19575Y10739D1* +X19699Y10752D1* +X19822Y10734D1* +X19937Y10686D1* +X20009Y10632D1* +X21239D1* +X21333Y10696D1* +X21450Y10739D1* +X21574Y10752D1* +X21616Y10746D1* +X21619Y10972D1* +X21524Y10891D1* +X21412Y10837D1* +X21290Y10812D1* +X21165Y10818D1* +X21046Y10855D1* +X20926Y10934D1* +X20451Y10931D1* +X20399Y10891D1* +X20287Y10837D1* +X20164Y10812D1* +X20040Y10818D1* +X19921Y10855D1* +X19814Y10920D1* +X19727Y11009D1* +X19664Y11117D1* +X19630Y11236D1* +X19629Y11272D1* +X19287Y11268D1* +X19149Y11225D1* +X19024Y11220D1* +X18902Y11244D1* +X18788Y11295D1* +X18690Y11372D1* +X18612Y11470D1* +X18559Y11582D1* +X18534Y11705D1* +X18535Y11755D1* +X18352Y11756D1* +X18233Y11793D1* +X18127Y11857D1* +X18039Y11946D1* +X17977Y12054D1* +X17943Y12174D1* +X17939Y12299D1* +X17966Y12420D1* +X18023Y12531D1* +X18105Y12625D1* +X18208Y12696D1* +X18325Y12739D1* +X18449Y12752D1* +X18572Y12734D1* +X18687Y12686D1* +X18787Y12612D1* +X18819Y12572D1* +X19314Y12570D1* +X19440Y12548D1* +X19523Y12507D1* +X21068D1* +X21168Y12625D1* +X21270Y12696D1* +X21387Y12739D1* +X21511Y12752D1* +X21635Y12734D1* +X21750Y12686D1* +X21850Y12612D1* +X21928Y12515D1* +X21956Y12454D1* +X21957Y13125D1* +X21973Y13249D1* +X22021Y13364D1* +X22098Y13466D1* +X23161Y14529D1* +X23260Y14605D1* +X23375Y14653D1* +X23502Y14670D1* +X24091D1* +X24184Y14704D1* +X24308Y14720D1* +X24432Y14707D1* +X24549Y14665D1* +X24654Y14597D1* +X24740Y14507D1* +X24802Y14399D1* +X24838Y14279D1* +X24846Y14188D1* +X24831Y14064D1* +X24788Y13947D1* +X24719Y13843D1* +X24628Y13759D1* +X24519Y13697D1* +X24399Y13663D1* +X24275Y13658D1* +X24152Y13681D1* +X24099Y13706D1* +X23701D1* +X22922Y12928D1* +X22921Y11762D1* +X23001Y11732D1* +X23154D1* +X23247Y11766D1* +X23370Y11782D1* +X23494Y11769D1* +X23612Y11727D1* +X23716Y11659D1* +X23802Y11569D1* +X23865Y11461D1* +X23901Y11342D1* +X23908Y11250D1* +X23894Y11126D1* +X23851Y11009D1* +X23782Y10906D1* +X23687Y10819D1* +X23691Y10750D1* +X23675Y10627D1* +X23630Y10510D1* +X23569Y10425D1* +X23571Y9824D1* +X23616Y9764D1* +X23668Y9651D1* +X23691Y9500D1* +X23675Y9376D1* +X23630Y9260D1* +X23557Y9159D1* +X23462Y9079D1* +X23349Y9025D1* +X23227Y9000D1* +X23133D1* +Y7750D1* +X23113Y7627D1* +X23052Y7515D1* +X22845Y7303D1* +X22383Y6842D1* +Y6496D1* +X22395Y6488D1* +X22501Y6554D1* +X22618Y6598D1* +X22741Y6620D1* +X22866Y6617D1* +X22988Y6590D1* +X23102Y6540D1* +X23183Y6488D1* +X23289Y6554D1* +X23405Y6598D1* +X23528Y6620D1* +X23653Y6617D1* +X23775Y6590D1* +X23889Y6540D1* +X23970Y6488D1* +X24076Y6554D1* +X24193Y6598D1* +X24316Y6620D1* +X24440Y6617D1* +X24562Y6590D1* +X24677Y6540D1* +X24758Y6488D1* +X24863Y6554D1* +X24980Y6598D1* +X25103Y6620D1* +X25228Y6617D1* +X25350Y6590D1* +X25464Y6540D1* +X25567Y6470D1* +X25654Y6381D1* +X25723Y6276D1* +X25770Y6161D1* +X25798Y5975D1* +X25786Y5850D1* +X25750Y5731D1* +X25692Y5620D1* +X25614Y5523D1* +X25519Y5442D1* +X25410Y5382D1* +X25291Y5343D1* +X25167Y5328D1* +X25043Y5337D1* +X24922Y5370D1* +X24810Y5425D1* +X24757Y5465D1* +X24622Y5382D1* +X24504Y5343D1* +X24380Y5328D1* +X24255Y5337D1* +X24135Y5370D1* +X24023Y5425D1* +X23970Y5465D1* +X23835Y5382D1* +X23716Y5343D1* +X23592Y5328D1* +X23468Y5337D1* +X23347Y5370D1* +X23235Y5425D1* +X23183Y5465D1* +X23047Y5382D1* +X22929Y5343D1* +X22805Y5328D1* +X22680Y5337D1* +X22631Y5350D1* +X29027Y5850D2* +X30353D1* +Y4399D1* +X28902D1* +Y5850D1* +X29027D1* +X24415Y22509D2* +X24370Y22392D1* +X24298Y22291D1* +X24203Y22210D1* +X24091Y22154D1* +X23970Y22127D1* +X23845Y22131D1* +X23725Y22166D1* +X23617Y22228D1* +X23528Y22315D1* +X23462Y22421D1* +X23425Y22540D1* +X23417Y22664D1* +X23440Y22787D1* +X23493Y22900D1* +X23571Y22997D1* +X23671Y23072D1* +X23786Y23120D1* +X23909Y23139D1* +X24033Y23127D1* +X24150Y23086D1* +X24254Y23017D1* +X24338Y22924D1* +X24397Y22814D1* +X24427Y22693D1* +X24430Y22632D1* +X24415Y22509D1* +X22683D2* +X22638Y22392D1* +X22566Y22291D1* +X22471Y22210D1* +X22359Y22154D1* +X22237Y22127D1* +X22113Y22131D1* +X21993Y22166D1* +X21885Y22228D1* +X21796Y22315D1* +X21730Y22421D1* +X21692Y22540D1* +X21685Y22664D1* +X21708Y22787D1* +X21760Y22900D1* +X21839Y22997D1* +X21938Y23072D1* +X22053Y23120D1* +X22177Y23139D1* +X22301Y23127D1* +X22418Y23086D1* +X22522Y23017D1* +X22606Y22924D1* +X22664Y22814D1* +X22694Y22693D1* +X22698Y22632D1* +X22683Y22509D1* +X6266Y23437D2* +X6242Y23315D1* +X6202Y23196D1* +X6147Y23084D1* +X6078Y22980D1* +X5996Y22886D1* +X5903Y22803D1* +X5799Y22732D1* +X5688Y22676D1* +X5570Y22635D1* +X5448Y22610D1* +X5323Y22600D1* +X5198Y22607D1* +X5075Y22630D1* +X4957Y22668D1* +X4844Y22722D1* +X4739Y22790D1* +X4644Y22870D1* +X4560Y22963D1* +X4488Y23065D1* +X4431Y23176D1* +X4389Y23294D1* +X4362Y23416D1* +X4351Y23540D1* +X4356Y23665D1* +X4377Y23788D1* +X4415Y23907D1* +X4467Y24021D1* +X4534Y24126D1* +X4613Y24223D1* +X4705Y24308D1* +X4807Y24380D1* +X4917Y24439D1* +X5034Y24482D1* +X5156Y24511D1* +X5280Y24523D1* +X5405Y24519D1* +X5528Y24499D1* +X5648Y24463D1* +X5762Y24412D1* +X5868Y24347D1* +X5965Y24268D1* +X6051Y24177D1* +X6125Y24076D1* +X6185Y23967D1* +X6230Y23850D1* +X6259Y23729D1* +X6274Y23562D1* +X6266Y23437D1* +Y5188D2* +X6242Y5065D1* +X6202Y4947D1* +X6147Y4834D1* +X6078Y4730D1* +X5996Y4636D1* +X5903Y4553D1* +X5799Y4483D1* +X5688Y4427D1* +X5570Y4386D1* +X5448Y4360D1* +X5323Y4350D1* +X5198Y4357D1* +X5075Y4380D1* +X4957Y4419D1* +X4844Y4472D1* +X4739Y4540D1* +X4644Y4621D1* +X4560Y4713D1* +X4488Y4816D1* +X4431Y4927D1* +X4389Y5044D1* +X4362Y5166D1* +X4351Y5291D1* +X4356Y5416D1* +X4377Y5539D1* +X4415Y5658D1* +X4467Y5771D1* +X4534Y5877D1* +X4613Y5973D1* +X4705Y6058D1* +X4807Y6130D1* +X4917Y6189D1* +X5034Y6233D1* +X5156Y6261D1* +X5280Y6273D1* +X5405Y6270D1* +X5528Y6249D1* +X5648Y6214D1* +X5762Y6162D1* +X5868Y6097D1* +X5965Y6018D1* +X6051Y5928D1* +X6125Y5827D1* +X6185Y5717D1* +X6230Y5601D1* +X6259Y5479D1* +X6274Y5312D1* +X6266Y5188D1* +X37141Y23437D2* +X37116Y23315D1* +X37077Y23196D1* +X37022Y23084D1* +X36953Y22980D1* +X36871Y22886D1* +X36777Y22803D1* +X36674Y22732D1* +X36562Y22676D1* +X36445Y22635D1* +X36322Y22610D1* +X36198Y22600D1* +X36073Y22607D1* +X35950Y22630D1* +X35831Y22668D1* +X35719Y22722D1* +X35614Y22790D1* +X35518Y22870D1* +X35434Y22963D1* +X35363Y23065D1* +X35306Y23176D1* +X35263Y23294D1* +X35236Y23416D1* +X35225Y23540D1* +X35231Y23665D1* +X35252Y23788D1* +X35289Y23907D1* +X35342Y24021D1* +X35408Y24126D1* +X35488Y24223D1* +X35580Y24308D1* +X35682Y24380D1* +X35792Y24439D1* +X35909Y24482D1* +X36030Y24511D1* +X36155Y24523D1* +X36280Y24519D1* +X36403Y24499D1* +X36523Y24463D1* +X36637Y24412D1* +X36743Y24347D1* +X36840Y24268D1* +X36926Y24177D1* +X37000Y24076D1* +X37059Y23967D1* +X37105Y23850D1* +X37134Y23729D1* +X37149Y23562D1* +X37141Y23437D1* +Y5188D2* +X37116Y5065D1* +X37077Y4947D1* +X37022Y4834D1* +X36953Y4730D1* +X36871Y4636D1* +X36777Y4553D1* +X36674Y4483D1* +X36562Y4427D1* +X36445Y4386D1* +X36322Y4360D1* +X36198Y4350D1* +X36073Y4357D1* +X35950Y4380D1* +X35831Y4419D1* +X35719Y4472D1* +X35614Y4540D1* +X35518Y4621D1* +X35434Y4713D1* +X35363Y4816D1* +X35306Y4927D1* +X35263Y5044D1* +X35236Y5166D1* +X35225Y5291D1* +X35231Y5416D1* +X35252Y5539D1* +X35289Y5658D1* +X35342Y5771D1* +X35408Y5877D1* +X35488Y5973D1* +X35580Y6058D1* +X35682Y6130D1* +X35792Y6189D1* +X35909Y6233D1* +X36030Y6261D1* +X36155Y6273D1* +X36280Y6270D1* +X36403Y6249D1* +X36523Y6214D1* +X36637Y6162D1* +X36743Y6097D1* +X36840Y6018D1* +X36926Y5928D1* +X37000Y5827D1* +X37059Y5717D1* +X37105Y5601D1* +X37134Y5479D1* +X37149Y5312D1* +X37141Y5188D1* +X26884Y10692D2* +Y7996D1* +X26948Y7984D1* +X27063Y7936D1* +X27163Y7861D1* +X27241Y7764D1* +X27293Y7651D1* +X27316Y7500D1* +X27301Y7376D1* +X27255Y7260D1* +X27183Y7159D1* +X27087Y7079D1* +X26975Y7024D1* +X26853Y6999D1* +X26728Y7005D1* +X26609Y7042D1* +X26500Y7110D1* +X26460Y7079D1* +X26347Y7024D1* +X26225Y6999D1* +X26101Y7005D1* +X25982Y7042D1* +X25875Y7107D1* +X25788Y7196D1* +X25725Y7304D1* +X25691Y7424D1* +X25687Y7548D1* +X25715Y7670D1* +X25771Y7781D1* +X25805Y7819D1* +Y10863D1* +X25725Y10991D1* +X25691Y11111D1* +X25687Y11235D1* +X25715Y11357D1* +X25771Y11468D1* +X25853Y11562D1* +X25956Y11633D1* +X26073Y11676D1* +X26197Y11689D1* +X26320Y11671D1* +X26436Y11623D1* +X26495Y11579D1* +X26584Y11633D1* +X26700Y11677D1* +X26824Y11690D1* +X26948Y11672D1* +X27063Y11624D1* +X27163Y11549D1* +X27241Y11452D1* +X27293Y11339D1* +X27316Y11188D1* +X27301Y11064D1* +X27255Y10948D1* +X27183Y10847D1* +X27087Y10766D1* +X26975Y10712D1* +X26887Y10694D1* +X11369Y11933D2* +X11371Y11596D1* +X11427Y11452D1* +X11479Y11339D1* +X11502Y11188D1* +X11487Y11064D1* +X11441Y10948D1* +X11368Y10847D1* +X11273Y10766D1* +X11161Y10712D1* +X11039Y10687D1* +X10914Y10693D1* +X10795Y10730D1* +X10688Y10795D1* +X10601Y10884D1* +X10538Y10992D1* +X10504Y11111D1* +X10501Y11236D1* +X10518Y11333D1* +X10505Y11439D1* +Y11935D1* +X10475Y11991D1* +X10441Y12111D1* +X10437Y12235D1* +X10465Y12357D1* +X10521Y12468D1* +X10603Y12562D1* +X10706Y12633D1* +X10823Y12676D1* +X10947Y12689D1* +X11070Y12671D1* +X11186Y12623D1* +X11285Y12548D1* +X11364Y12451D1* +X11416Y12338D1* +X11439Y12187D1* +X11423Y12063D1* +X11367Y11931D1* +X31184Y10817D2* +X31176Y10752D1* +X31131Y10635D1* +X31058Y10534D1* +X30963Y10454D1* +X30850Y10400D1* +X30728Y10375D1* +X30604Y10381D1* +X30484Y10417D1* +X30378Y10482D1* +X30315Y10546D1* +X30301Y10376D1* +X30256Y10260D1* +X30183Y10159D1* +X30087Y10079D1* +X29975Y10025D1* +X29853Y10000D1* +X29728Y10006D1* +X29609Y10042D1* +X29503Y10107D1* +X29415Y10196D1* +X29386Y10247D1* +X28565Y10243D1* +X28537D1* +X28427Y10161D1* +X28326Y10126D1* +X28127Y10118D1* +X28014D1* +X27962Y10079D1* +X27850Y10025D1* +X27728Y10000D1* +X27603Y10006D1* +X27484Y10042D1* +X27378Y10107D1* +X27290Y10196D1* +X27228Y10304D1* +X27193Y10424D1* +X27190Y10549D1* +X27217Y10670D1* +X27274Y10781D1* +X27356Y10875D1* +X27459Y10946D1* +X27576Y10989D1* +X27626Y10994D1* +X27655Y11108D1* +X27711Y11219D1* +X27793Y11313D1* +X27896Y11383D1* +X28017Y11427D1* +X28030Y11483D1* +X28086Y11594D1* +X28168Y11688D1* +X28271Y11758D1* +X28388Y11802D1* +X28512Y11815D1* +X28636Y11797D1* +X28751Y11749D1* +X28850Y11674D1* +X28934Y11566D1* +X29752Y11570D1* +X30217D1* +X30327Y11652D1* +X30428Y11687D1* +X30627Y11695D1* +X30803D1* +X30896Y11758D1* +X31013Y11802D1* +X31137Y11815D1* +X31261Y11797D1* +X31376Y11749D1* +X31476Y11674D1* +X31554Y11577D1* +X31606Y11464D1* +X31629Y11313D1* +X31614Y11189D1* +X31568Y11073D1* +X31495Y10972D1* +X31400Y10891D1* +X31288Y10837D1* +X31185Y10816D1* +X4062Y24689D2* +Y4062D1* +X37378D1* +Y24814D1* +X4062D1* +Y24689D1* +D31* +X9063Y16813D3* +D32* +X10047D3* +D39* +X5375D3* +D40* +Y21164D3* +D50* +X8937Y19437D3* +D51* +Y21799D3* +D52* +X7087Y20618D3* +D53* +X18937Y20000D3* +X17953Y19015D3* +X13031Y20000D3* +X14016Y19015D3* +X15984Y20000D3* +X16969Y23937D3* +X15000D3* +X17953D3* +X14016D3* +D58* +X31062Y21437D3* +Y20256D3* +X30471Y21437D3* +X29881D3* +X30767Y20846D3* +X30176D3* +X29586D3* +X29290Y20256D3* +X29881D3* +X30471D3* +X31653D3* +X32243D3* +X32834D3* +Y21437D3* +X32243D3* +X31653D3* +X31357Y20846D3* +X31948D3* +X32538D3* +D59* +X34251Y23524D3* +X28070D3* +D60* +X22001Y5187D3* +D61* +Y5975D3* +X22789Y5187D3* +Y5975D3* +X23576D3* +Y5187D3* +X24364D3* +Y5975D3* +X25151Y5187D3* +Y5975D3* +D62* +X29627Y5125D3* +D63* +X28627D3* +D60* +X35000Y12000D3* +D61* +X35787D3* +X35000Y11212D3* +X35787D3* +Y10425D3* +X35000D3* +Y9637D3* +X35787D3* +X35000Y8850D3* +X35787D3* +D62* +X7187Y16937D3* +D63* +Y17937D3* +M02* -- cgit