summaryrefslogtreecommitdiff
path: root/gerbonara/tests/resources/diptrace/mainboard_Top.gbr
diff options
context:
space:
mode:
Diffstat (limited to 'gerbonara/tests/resources/diptrace/mainboard_Top.gbr')
-rw-r--r--gerbonara/tests/resources/diptrace/mainboard_Top.gbr3861
1 files changed, 3861 insertions, 0 deletions
diff --git a/gerbonara/tests/resources/diptrace/mainboard_Top.gbr b/gerbonara/tests/resources/diptrace/mainboard_Top.gbr
new file mode 100644
index 0000000..d83b5b6
--- /dev/null
+++ b/gerbonara/tests/resources/diptrace/mainboard_Top.gbr
@@ -0,0 +1,3861 @@
+G04 DipTrace 2.4.0.2*
+%INmainboard_Top.gbr*%
+%MOIN*%
+%ADD13C,0.03*%
+%ADD14C,0.036*%
+%ADD15C,0.022*%
+%ADD16C,0.014*%
+%ADD17C,0.0118*%
+%ADD18C,0.01*%
+%ADD19C,0.02*%
+%ADD20C,0.04*%
+%ADD21C,0.026*%
+%ADD22C,0.024*%
+%ADD23C,0.0256*%
+%ADD24C,0.032*%
+%ADD25C,0.016*%
+%ADD26C,0.039*%
+%ADD27C,0.05*%
+%ADD28C,0.07*%
+%ADD29C,0.0236*%
+%ADD30C,0.025*%
+%ADD31R,0.0531X0.0531*%
+%ADD32C,0.0531*%
+%ADD33R,0.063X0.0709*%
+%ADD34R,0.0591X0.0512*%
+%ADD35R,0.0709X0.063*%
+%ADD36R,0.0512X0.0591*%
+%ADD37R,0.0433X0.0394*%
+%ADD38R,0.0394X0.0433*%
+%ADD39R,0.0945X0.0945*%
+%ADD40C,0.0945*%
+%ADD42R,0.0413X0.0256*%
+%ADD43R,0.0394X0.0394*%
+%ADD44R,0.0394X0.0236*%
+%ADD46R,0.0354X0.0201*%
+%ADD47R,0.1024X0.1378*%
+%ADD48R,0.0374X0.0846*%
+%ADD49R,0.128X0.0846*%
+%ADD50O,0.1969X0.0787*%
+%ADD51O,0.1772X0.0787*%
+%ADD52O,0.0787X0.1772*%
+%ADD53C,0.1063*%
+%ADD54R,0.0197X0.1299*%
+%ADD55R,0.0787X0.1299*%
+%ADD56R,0.0787X0.0984*%
+%ADD58C,0.0472*%
+%ADD59C,0.0787*%
+%ADD60R,0.0591X0.0591*%
+%ADD61C,0.063*%
+%ADD62R,0.0787X0.0787*%
+%ADD63C,0.0787*%
+%ADD64R,0.0866X0.063*%
+%ADD65R,0.0256X0.0413*%
+%ADD67R,0.063X0.0118*%
+%ADD68R,0.0118X0.063*%
+%ADD69R,0.0551X0.063*%
+%ADD71C,0.034*%
+%FSLAX44Y44*%
+G04*
+G70*
+G90*
+G75*
+G01*
+%LNTop*%
+%LPD*%
+X16045Y11734D2*
+D13*
+Y10608D1*
+D14*
+Y9451D1*
+X21687Y18031D2*
+D15*
+X21810Y17908D1*
+Y17187D1*
+X16045Y11734D2*
+D13*
+X16063Y11752D1*
+Y12811D1*
+X27002Y14251D2*
+D15*
+X26437D1*
+Y14250D1*
+D16*
+X26125D1*
+D17*
+X26002Y14127D1*
+X25062D1*
+X23752Y10688D2*
+D15*
+Y11188D1*
+D16*
+X23704Y11236D1*
+Y11629D1*
+D18*
+Y12179D1*
+X27566Y5313D2*
+D13*
+X27564Y5312D1*
+X22001Y5187D2*
+X21501D1*
+X21251Y5437D1*
+Y7000D1*
+X22001Y5187D2*
+D18*
+Y4812D1*
+X22189Y4625D1*
+X26314D1*
+X27002Y5312D1*
+X27564D1*
+X27566Y5313D1*
+X17813Y15749D2*
+D13*
+X18939D1*
+Y11875D1*
+X19064Y11750D1*
+Y10562D1*
+X16091D1*
+X16045Y10608D1*
+X21687Y18031D2*
+D19*
+X21217Y18501D1*
+X20001D1*
+X19626Y18126D1*
+Y17313D1*
+X19638Y17302D1*
+D13*
+X18939D1*
+Y15749D1*
+X23752Y11188D2*
+X23439D1*
+X23377Y11250D1*
+X24314Y14188D2*
+D18*
+X24378Y14125D1*
+X25059D1*
+X25062Y14127D1*
+X19064Y10562D2*
+D13*
+Y9750D1*
+X21251Y7562D1*
+Y7000D1*
+X13062Y17062D2*
+X13064Y17060D1*
+Y15937D1*
+X14751Y12875D2*
+X14688D1*
+Y11938D1*
+X15140D1*
+Y11734D1*
+X13064Y15937D2*
+D20*
+Y15373D1*
+X13563Y14873D1*
+Y12313D1*
+X14064Y11812D1*
+D14*
+X14188D1*
+X15140D1*
+D15*
+Y11734D1*
+X13593Y9564D2*
+D21*
+X14188D1*
+D20*
+Y11812D1*
+Y9564D2*
+Y8750D1*
+X14626Y8312D1*
+X19626D1*
+X20439Y7500D1*
+Y5250D1*
+X21564Y4125D1*
+X31690D1*
+X34878Y7312D1*
+X35440D1*
+X35787Y7659D1*
+Y8850D1*
+X25062Y14324D2*
+D18*
+X25824D1*
+X26000Y14500D1*
+Y15750D1*
+X26626Y16376D1*
+X30377D1*
+X32002Y18001D1*
+Y18316D1*
+X25062Y14521D2*
+X25647D1*
+X25814Y14688D1*
+Y15876D1*
+X26564Y16626D1*
+X30190D1*
+X31190Y17626D1*
+Y18316D1*
+X25062Y14718D2*
+X25532D1*
+X25627Y14813D1*
+Y16001D1*
+X26502Y16876D1*
+X29940D1*
+X30377Y17313D1*
+Y18316D1*
+X29565D2*
+Y18001D1*
+X28690Y17126D1*
+X26438D1*
+X25437Y16125D1*
+Y14915D1*
+X25062D1*
+X24491Y15486D2*
+Y15928D1*
+X24627Y16063D1*
+X25064D1*
+X26377Y17376D1*
+X28502D1*
+X28752Y17626D1*
+Y18316D1*
+X23687Y17687D2*
+X23502Y17502D1*
+Y16626D1*
+X23310Y16434D1*
+Y15486D1*
+X23113D2*
+X23125Y15474D1*
+X22750Y17687D2*
+X22876Y17560D1*
+Y16626D1*
+X23113Y16389D1*
+Y15486D1*
+X26937Y23625D2*
+D13*
+Y22687D1*
+Y22000D2*
+D15*
+Y22687D1*
+X8187Y10102D2*
+D13*
+Y11024D1*
+D19*
+X8350Y11187D1*
+X15062Y16689D2*
+D22*
+X15314Y16437D1*
+X15812D1*
+Y15963D1*
+D23*
+Y15685D1*
+X16811Y12811D2*
+D13*
+X16951Y12671D1*
+Y11734D1*
+X15812Y16437D2*
+D22*
+X15937D1*
+X16811Y12811D2*
+D13*
+Y13563D1*
+X15937D1*
+Y13937D1*
+X22500Y20562D2*
+D19*
+X22427Y20634D1*
+Y21625D1*
+X22750Y19937D2*
+D15*
+X22500Y20187D1*
+Y20562D1*
+X7727Y13188D2*
+X7728Y13187D1*
+X8475D1*
+Y13022D1*
+D19*
+X8350D1*
+Y12687D1*
+Y11187D2*
+X8638D1*
+D15*
+X8937D1*
+X9156Y11406D1*
+X9394Y11644D1*
+Y11687D1*
+Y11935D1*
+X9437Y11978D1*
+Y12687D1*
+X10150D1*
+D19*
+X10437D1*
+X9437D2*
+D15*
+X8638D1*
+D19*
+X8350D1*
+X9437Y13250D2*
+D15*
+Y12687D1*
+X11435Y9937D2*
+Y10310D1*
+X11569Y10444D1*
+X11687Y10562D1*
+Y10939D1*
+X14751Y13978D2*
+X15211D1*
+X15626Y13563D1*
+X15937D1*
+X24421Y11188D2*
+Y10688D1*
+X26437Y14919D2*
+X27002D1*
+Y14920D1*
+X29752Y14813D2*
+D13*
+Y13686D1*
+X25062Y13537D2*
+D18*
+X24087D1*
+X24062Y13562D1*
+X26752Y15251D2*
+D13*
+Y14920D1*
+X27002D1*
+X19627Y14627D2*
+Y14188D1*
+X24421Y11188D2*
+D19*
+Y10938D1*
+D13*
+X24752D1*
+X23113Y12179D2*
+D18*
+Y12926D1*
+X23187Y13000D1*
+X9187Y12187D2*
+D15*
+X9396Y11978D1*
+X9437D1*
+X9187Y11687D2*
+X9156Y11656D1*
+Y11406D1*
+X9687Y11687D2*
+X9394D1*
+X9187Y11187D2*
+X8937D1*
+X25311Y18499D2*
+D13*
+X25373Y18437D1*
+X26437D1*
+X28752Y15313D2*
+D24*
+X29752D1*
+X27566Y6061D2*
+D13*
+X28879D1*
+Y6250D1*
+X25062Y13340D2*
+D18*
+X25850D1*
+X26314Y12875D1*
+Y11688D1*
+X26814Y11188D1*
+Y7500D2*
+D25*
+Y7062D1*
+X27064Y6812D1*
+X27314D1*
+X27566Y6561D1*
+Y6061D1*
+X17001Y15001D2*
+D13*
+X17813D1*
+X24294Y12179D2*
+D18*
+Y11770D1*
+X24502Y11563D1*
+X24814D1*
+X25127Y11250D1*
+Y10625D1*
+X24814Y10313D1*
+X22439D1*
+X21751Y11000D1*
+Y12000D1*
+X21501Y12250D1*
+X18439D2*
+Y14876D1*
+X18314Y15001D1*
+X17813D1*
+X25062Y13734D2*
+X25046Y13750D1*
+X25062Y13734D2*
+X25831D1*
+X26064Y13501D1*
+X28627D1*
+X28815Y13313D1*
+Y12875D1*
+X29752Y12938D2*
+D24*
+X28877D1*
+X28815Y12875D1*
+X25062Y13931D2*
+D18*
+X25947D1*
+X26064Y13813D1*
+X27752D1*
+X27940Y14001D1*
+Y14341D1*
+X27909Y14372D1*
+D24*
+Y14658D1*
+X28002Y14751D1*
+Y15311D1*
+X28004Y15313D1*
+X19638Y16200D2*
+D19*
+Y15385D1*
+X19627Y15375D1*
+X16937Y17062D2*
+X16437D1*
+X16436Y17063D1*
+X15968D1*
+X17685Y17062D2*
+D13*
+X17687Y17064D1*
+Y17937D1*
+X17953Y18203D1*
+Y19015D1*
+X14016D2*
+Y18266D1*
+X13810Y18060D1*
+Y17062D1*
+X13812Y15937D2*
+D19*
+X14437D1*
+Y15437D1*
+X14563Y15311D1*
+X14906D1*
+X14437Y15937D2*
+Y17312D1*
+X14562Y17437D1*
+X15062D1*
+X23057Y21625D2*
+D18*
+Y20397D1*
+X23107Y20347D1*
+Y19420D1*
+X22955Y19268D1*
+X22750D1*
+D19*
+Y18435D1*
+X21811Y19813D2*
+Y19375D1*
+X21919Y19268D1*
+X22750D1*
+X23655D2*
+D18*
+X23466D1*
+X23307Y19427D1*
+Y20337D1*
+X23372Y20402D1*
+Y21625D1*
+X23655Y19268D2*
+D19*
+X23687Y19236D1*
+Y18435D1*
+X21313Y18937D2*
+D15*
+Y19186D1*
+X21063Y19436D1*
+Y19813D1*
+X21305Y23794D2*
+D13*
+X24809D1*
+Y21625D2*
+Y23794D1*
+X25835Y23625D2*
+X24978D1*
+X24809Y23794D1*
+X25835Y23625D2*
+Y22687D1*
+X21305Y23794D2*
+Y21625D1*
+X6125Y11437D2*
+X7312D1*
+X7562Y11687D1*
+X7937D1*
+D19*
+X8350D1*
+X9563Y9936D2*
+X10687D1*
+Y9937D1*
+X10437Y12187D2*
+X10937D1*
+X11000Y11188D2*
+Y10875D1*
+X10687Y10562D1*
+Y9937D1*
+X8187Y9000D2*
+D20*
+X9563D1*
+Y9188D1*
+X6125Y8917D2*
+X6792D1*
+X6875Y9000D1*
+X8187D1*
+X9563Y9188D2*
+D26*
+X9565Y9190D1*
+X12224D1*
+D23*
+X12688D1*
+X10437Y11187D2*
+D19*
+Y11687D1*
+X10764D1*
+D22*
+X11687D1*
+X9063Y16813D2*
+D27*
+X7187D1*
+D13*
+Y16937D1*
+X5375Y16813D2*
+D28*
+X7187D1*
+X6625Y13188D2*
+D19*
+Y12500D1*
+D13*
+X6812Y12313D1*
+X7875D1*
+X8001Y12187D1*
+D19*
+X8350D1*
+X11687Y11687D2*
+D13*
+Y12937D1*
+X10561Y14063D1*
+X6750D1*
+Y13313D1*
+X6625Y13188D1*
+X6750Y14063D2*
+D28*
+Y16751D1*
+D13*
+X6936Y16937D1*
+X7187D1*
+X15812Y14937D2*
+D19*
+X16125D1*
+X16376Y15188D1*
+Y15626D1*
+X16501Y15751D1*
+X16999D1*
+X17001Y15749D1*
+X29627Y5125D2*
+D13*
+Y6250D1*
+X30377Y19064D2*
+D25*
+Y20162D1*
+X30471Y20256D1*
+X31190Y19064D2*
+Y19626D1*
+X31065Y19751D1*
+Y20253D1*
+X31062Y20256D1*
+X29881Y21437D2*
+D18*
+Y21693D1*
+X30502Y22314D1*
+Y23377D1*
+X30690Y23564D1*
+X31627D1*
+X31877Y23314D1*
+Y23002D1*
+X32065Y22814D1*
+X33935D1*
+X34750Y22000D1*
+Y20250D1*
+X35753Y19246D1*
+Y19064D1*
+X30471Y21437D2*
+Y21533D1*
+X30877Y21939D1*
+X31127D1*
+X31690Y22501D1*
+X33748D1*
+X34437Y21812D1*
+Y19937D1*
+X34937Y19437D1*
+Y19067D1*
+X34940Y19064D1*
+X29290Y20256D2*
+D25*
+Y20227D1*
+X28752Y19689D1*
+Y19064D1*
+X31062Y21437D2*
+D18*
+Y21499D1*
+X31440Y21876D1*
+X31565D1*
+X31877Y22189D1*
+X33560D1*
+X34128Y21621D1*
+Y19064D1*
+X31653Y21437D2*
+Y21526D1*
+X32002Y21876D1*
+X33378D1*
+X33753Y21501D1*
+Y20001D1*
+X33315Y19564D1*
+Y19064D1*
+X32002D2*
+D25*
+Y19564D1*
+X31690Y19876D1*
+Y20219D1*
+X31653Y20256D1*
+X29565Y19064D2*
+Y19501D1*
+X29877Y19814D1*
+Y20252D1*
+X29881Y20256D1*
+X25062Y13143D2*
+D18*
+X25734D1*
+X26064Y12813D1*
+Y11310D1*
+X26187Y11187D1*
+Y7500D2*
+D25*
+Y6935D1*
+X26064Y6812D1*
+X25564D1*
+X25127Y6375D1*
+Y5999D1*
+D18*
+X25151Y5975D1*
+X35000Y8850D2*
+X34975Y8875D1*
+X33190D1*
+X32252Y7937D1*
+X22001D1*
+X20437Y9502D1*
+Y13061D1*
+X20716Y13340D1*
+X21755D1*
+X35000Y9637D2*
+X34987Y9625D1*
+X34937D1*
+X34500Y9187D1*
+X33127D1*
+X32127Y8187D1*
+X22126D1*
+X20689Y9625D1*
+Y12875D1*
+X20957Y13143D1*
+X21755D1*
+X35000Y11212D2*
+X34974Y11187D1*
+X34377D1*
+X31877Y8688D1*
+X22376D1*
+X21251Y9813D1*
+Y11313D1*
+X20126D2*
+Y13188D1*
+X20672Y13734D1*
+X21755D1*
+X35000Y12000D2*
+X34562D1*
+X33878Y11315D1*
+Y11125D1*
+X31690Y8938D1*
+X22501D1*
+X21501Y9938D1*
+Y10250D1*
+X21564Y10313D1*
+Y10250D1*
+X19689D2*
+X19501Y10438D1*
+Y11688D1*
+X19626Y11813D1*
+Y13438D1*
+X20512Y14324D1*
+X21755D1*
+X35787Y12000D2*
+Y12404D1*
+X35565Y12625D1*
+X34565D1*
+X33503Y11563D1*
+Y11250D1*
+X31440Y9188D1*
+X22689D1*
+X22376Y9500D1*
+Y10938D2*
+X22501Y11063D1*
+Y11500D1*
+X22523Y11479D1*
+Y12179D1*
+X35787Y11212D2*
+X35798Y11239D1*
+X36054D1*
+X36315Y11500D1*
+Y12500D1*
+X35878Y12938D1*
+X34253D1*
+X32190Y10875D1*
+X30690D1*
+X28127Y10938D2*
+X27564D1*
+X27189Y10563D1*
+X25877D1*
+X25502Y10938D1*
+Y12625D1*
+X25378Y12750D1*
+X25062D1*
+X35787Y10425D2*
+X35800Y10438D1*
+X36065D1*
+X36565Y10938D1*
+Y12688D1*
+X36003Y13250D1*
+X34128D1*
+X32190Y11313D1*
+X31127D1*
+X28502D2*
+X27502D1*
+X27002Y10813D1*
+X25939D1*
+X25689Y11063D1*
+Y12750D1*
+X25493Y12946D1*
+X25062D1*
+X35000Y10425D2*
+X34987Y10437D1*
+X34000D1*
+X32000Y8437D1*
+X22251D1*
+X20937Y9752D1*
+Y12750D1*
+X21134Y12946D1*
+X21755D1*
+Y12750D2*
+X21250D1*
+X21187Y12687D1*
+Y12002D1*
+X21564Y11625D1*
+Y10875D1*
+X22376Y10063D1*
+X28065D1*
+X31002Y13000D1*
+Y14438D1*
+X33315Y16751D1*
+Y18316D1*
+X34128D2*
+Y17126D1*
+X31315Y14313D1*
+Y12938D1*
+X28190Y9813D1*
+X22126D1*
+X22001Y9938D1*
+X22064Y11313D2*
+X22314Y11563D1*
+Y12167D1*
+X22326Y12179D1*
+X23507D2*
+X23500Y12171D1*
+Y11750D1*
+X23438Y11688D1*
+X23189D1*
+X23002Y11500D1*
+Y11000D1*
+X23127Y10875D1*
+Y10750D1*
+X23189D1*
+Y9500D2*
+Y9563D1*
+X28315D1*
+X31627Y12875D1*
+Y14188D1*
+X34940Y17501D1*
+Y18316D1*
+X24491Y12179D2*
+X24500Y12170D1*
+Y12378D1*
+X35753Y18316D2*
+Y17876D1*
+X31940Y14063D1*
+Y12813D1*
+X30190Y11063D1*
+Y10875D1*
+X29815Y10500D1*
+X27690D2*
+X27502Y10313D1*
+X25814D1*
+X25314Y10813D1*
+Y11500D1*
+X25002Y11813D1*
+X24564D1*
+X24491Y11886D1*
+Y12179D1*
+X21755Y14127D2*
+X20691D1*
+X19876Y13313D1*
+Y11688D1*
+X19751Y11563D1*
+Y11000D1*
+X20126Y10625D1*
+Y9313D1*
+X21876Y7562D1*
+X22626D1*
+X22789Y7400D1*
+Y5975D1*
+X22719Y12179D2*
+Y11220D1*
+X22751Y11188D1*
+Y10625D1*
+X22001Y5975D2*
+D19*
+Y7000D1*
+X21999D1*
+X24562Y19937D2*
+D13*
+X24483Y20016D1*
+D22*
+X24002D1*
+D29*
+X23655D1*
+D19*
+X23687Y20048D1*
+Y21625D1*
+X12688Y9938D2*
+Y10250D1*
+D13*
+Y10750D1*
+X12813Y10875D1*
+Y14376D1*
+X11438Y15751D1*
+Y22001D1*
+X12375Y22939D1*
+X19377D1*
+X19625Y23187D1*
+Y24437D1*
+X19937Y24750D1*
+X28437D1*
+X28937Y24250D1*
+Y22687D1*
+X27375Y21125D1*
+X26625D1*
+X25500Y20000D1*
+X24625D1*
+X24562Y19937D1*
+X20939Y18031D2*
+D15*
+X21062Y17908D1*
+Y17187D1*
+D18*
+X21064Y17185D1*
+Y16751D1*
+X21314Y16501D1*
+X22251D1*
+X22719Y16033D1*
+Y15486D1*
+X24562Y19189D2*
+D13*
+Y19062D1*
+X24563Y19061D1*
+Y18499D1*
+D18*
+X24564Y18497D1*
+Y17376D1*
+X24294Y17106D1*
+Y15486D1*
+D20*
+X23377Y11250D3*
+X24314Y14188D3*
+X19064Y11750D3*
+X26937Y22000D3*
+X15937Y16437D3*
+Y13937D3*
+Y16437D3*
+X9437Y13250D3*
+X11569Y10444D3*
+X29752Y14813D3*
+X24062Y13562D3*
+X26752Y15251D3*
+X11569Y10444D3*
+X19627Y14188D3*
+X24752Y10938D3*
+X24062Y13562D3*
+X23187Y13000D3*
+D71*
+X26814Y11188D3*
+Y7500D3*
+X21501Y12250D3*
+X18439D3*
+X10937Y12187D3*
+X11000Y11188D3*
+X26187Y11187D3*
+Y7500D3*
+X21251Y11313D3*
+X20126D3*
+X21564Y10250D3*
+X19689D3*
+X22376Y9500D3*
+Y10938D3*
+X30690Y10875D3*
+X28127Y10938D3*
+X31127Y11313D3*
+X28502D3*
+X22001Y9938D3*
+X22064Y11313D3*
+X23189Y10750D3*
+Y9500D3*
+X29815Y10500D3*
+X27690D3*
+X22751Y10625D3*
+D20*
+X23562Y14687D3*
+X22625D3*
+X22564Y14001D3*
+X24062Y13000D3*
+X24187Y7187D3*
+X22500Y20562D3*
+X9187Y12187D3*
+X9687D3*
+X9187Y11687D3*
+X9687D3*
+X9187Y11187D3*
+X9688Y11188D3*
+X27437Y18437D3*
+X26937Y19437D3*
+X26437Y18437D3*
+X28687Y7187D3*
+X29752Y15313D3*
+X30937Y7187D3*
+X32937D3*
+X31690Y16376D3*
+X30937Y5187D3*
+X36187Y21437D3*
+X35937Y16687D3*
+Y14687D3*
+X34187D3*
+X32687Y13187D3*
+X20876Y15751D3*
+X6937Y23437D3*
+X8937D3*
+X10437D3*
+X5437Y19187D3*
+X8312Y20687D3*
+X8687Y15187D3*
+X10187D3*
+X11437Y14187D3*
+X4500Y15437D3*
+Y13937D3*
+Y12437D3*
+Y10937D3*
+Y9437D3*
+X5687Y10187D3*
+X6937D3*
+X8187Y10625D3*
+X7937Y7375D3*
+Y5625D3*
+X10937D3*
+X13937D3*
+X10937Y7375D3*
+X13937D3*
+X16937D3*
+Y5625D3*
+X14437Y21437D3*
+X17437D3*
+X9562Y20687D3*
+X17376Y13938D3*
+X8312Y18251D3*
+X9562Y18249D3*
+X27127Y12000D3*
+X29002D3*
+X20839Y23876D2*
+D30*
+X25976D1*
+X20839Y23627D2*
+X25976D1*
+X20839Y23378D2*
+X25976D1*
+X20839Y23130D2*
+X22055D1*
+X22326D2*
+X23785D1*
+X24062D2*
+X25976D1*
+X20839Y22881D2*
+X21723D1*
+X22663D2*
+X23453D1*
+X24394D2*
+X25976D1*
+X20839Y22632D2*
+X21601D1*
+X24526D2*
+X25976D1*
+X20839Y22384D2*
+X21601D1*
+X24526D2*
+X25976D1*
+X20839Y22135D2*
+X21601D1*
+X24526D2*
+X25976D1*
+X20839Y21886D2*
+X21601D1*
+X24526D2*
+X25976D1*
+X20839Y21638D2*
+X21601D1*
+X24526D2*
+X25812D1*
+X20839Y21389D2*
+X21601D1*
+X24526D2*
+X25562D1*
+X20839Y21140D2*
+X21601D1*
+X24526D2*
+X25312D1*
+X23430Y22751D2*
+X23484Y22892D1*
+X23560Y22991D1*
+X23658Y23068D1*
+X23771Y23119D1*
+X23894Y23142D1*
+X24018Y23134D1*
+X24137Y23096D1*
+X24243Y23030D1*
+X24330Y22940D1*
+X24393Y22833D1*
+X24417Y22746D1*
+X24500Y22644D1*
+X24502Y21064D1*
+X25261D1*
+X26002Y21803D1*
+X26000Y24125D1*
+X20812D1*
+X20814Y21064D1*
+X21626D1*
+Y22626D1*
+X21688Y22732D1*
+X21752Y22892D1*
+X21828Y22991D1*
+X21925Y23068D1*
+X22039Y23119D1*
+X22162Y23142D1*
+X22286Y23134D1*
+X22405Y23096D1*
+X22511Y23030D1*
+X22598Y22940D1*
+X22660Y22833D1*
+X22683Y22754D1*
+X23427Y22751D1*
+X5525Y16877D2*
+X9164D1*
+X5525Y16629D2*
+X9164D1*
+X5525Y16380D2*
+X9164D1*
+X5525Y16131D2*
+X7492D1*
+X5525Y15882D2*
+X7242D1*
+X5525Y15634D2*
+X7227D1*
+X5525Y15385D2*
+X7227D1*
+X5525Y15136D2*
+X7227D1*
+X5525Y14888D2*
+X7227D1*
+X5525Y14639D2*
+X7227D1*
+X5525Y14390D2*
+X7227D1*
+X5525Y14142D2*
+X7227D1*
+X5525Y13893D2*
+X7004D1*
+X5525Y13644D2*
+X6789D1*
+X5525Y13396D2*
+X6789D1*
+X5525Y13147D2*
+X6789D1*
+X5525Y12898D2*
+X6789D1*
+X5525Y12650D2*
+X6789D1*
+X5525Y12401D2*
+X6789D1*
+X5500Y16751D2*
+Y12313D1*
+X6812D1*
+X6814Y13629D1*
+X6849Y13714D1*
+X7252Y14117D1*
+X7250Y15688D1*
+X7252Y15817D1*
+X7286Y15902D1*
+X7665Y16278D1*
+X7750Y16313D1*
+X9188D1*
+Y17126D1*
+X5500D1*
+Y16751D1*
+X4087Y24573D2*
+X19113D1*
+X29351D2*
+X37351D1*
+X4087Y24325D2*
+X4664D1*
+X5960D2*
+X19094D1*
+X29460D2*
+X35539D1*
+X36835D2*
+X37351D1*
+X4087Y24076D2*
+X4449D1*
+X6179D2*
+X19094D1*
+X26683D2*
+X28371D1*
+X29468D2*
+X35320D1*
+X37054D2*
+X37351D1*
+X4087Y23827D2*
+X4340D1*
+X6284D2*
+X19094D1*
+X26687D2*
+X28406D1*
+X29468D2*
+X30355D1*
+X31960D2*
+X35215D1*
+X37159D2*
+X37351D1*
+X4087Y23579D2*
+X4301D1*
+X6323D2*
+X19094D1*
+X26691D2*
+X28406D1*
+X29468D2*
+X30125D1*
+X32210D2*
+X35176D1*
+X37198D2*
+X37351D1*
+X4087Y23330D2*
+X4332D1*
+X6296D2*
+X12031D1*
+X26691D2*
+X28406D1*
+X29468D2*
+X30074D1*
+X32308D2*
+X35203D1*
+X37171D2*
+X37351D1*
+X4087Y23081D2*
+X4426D1*
+X6198D2*
+X11777D1*
+X26694D2*
+X28406D1*
+X29468D2*
+X30074D1*
+X34265D2*
+X35301D1*
+X37073D2*
+X37351D1*
+X4087Y22832D2*
+X4625D1*
+X5999D2*
+X11531D1*
+X20011D2*
+X20102D1*
+X26698D2*
+X28344D1*
+X29468D2*
+X30074D1*
+X34515D2*
+X35500D1*
+X36874D2*
+X37351D1*
+X4087Y22584D2*
+X5172D1*
+X5451D2*
+X11281D1*
+X19761D2*
+X20101D1*
+X26702D2*
+X28094D1*
+X29456D2*
+X30074D1*
+X34765D2*
+X36047D1*
+X36326D2*
+X37351D1*
+X4087Y22335D2*
+X7898D1*
+X9976D2*
+X11035D1*
+X13800D2*
+X18172D1*
+X19702D2*
+X20101D1*
+X26706D2*
+X27848D1*
+X29323D2*
+X29926D1*
+X35011D2*
+X37351D1*
+X4087Y22086D2*
+X7730D1*
+X10144D2*
+X10914D1*
+X13874D2*
+X18094D1*
+X19780D2*
+X20101D1*
+X26706D2*
+X27598D1*
+X29077D2*
+X29676D1*
+X35171D2*
+X37351D1*
+X4087Y21838D2*
+X6887D1*
+X7288D2*
+X7672D1*
+X10202D2*
+X10906D1*
+X12015D2*
+X12191D1*
+X13874D2*
+X18094D1*
+X19780D2*
+X20101D1*
+X26710D2*
+X27348D1*
+X28827D2*
+X29422D1*
+X35179D2*
+X37351D1*
+X4087Y21589D2*
+X6484D1*
+X10171D2*
+X10906D1*
+X11968D2*
+X12269D1*
+X13792D2*
+X18176D1*
+X19698D2*
+X20101D1*
+X28577D2*
+X29285D1*
+X35179D2*
+X37351D1*
+X4087Y21340D2*
+X6348D1*
+X10046D2*
+X10906D1*
+X11968D2*
+X12469D1*
+X13597D2*
+X18371D1*
+X19503D2*
+X20101D1*
+X28331D2*
+X29273D1*
+X35179D2*
+X37351D1*
+X4087Y21092D2*
+X6312D1*
+X7858D2*
+X8176D1*
+X9698D2*
+X10906D1*
+X11968D2*
+X20101D1*
+X28081D2*
+X29375D1*
+X35179D2*
+X37351D1*
+X4087Y20843D2*
+X6312D1*
+X7858D2*
+X10906D1*
+X11968D2*
+X12734D1*
+X13327D2*
+X18641D1*
+X19233D2*
+X20101D1*
+X27831D2*
+X29195D1*
+X29384D2*
+X29785D1*
+X29976D2*
+X30379D1*
+X30565D2*
+X30969D1*
+X31155D2*
+X31558D1*
+X31748D2*
+X32148D1*
+X32339D2*
+X32738D1*
+X32927D2*
+X33324D1*
+X35179D2*
+X37351D1*
+X4087Y20594D2*
+X6312D1*
+X7858D2*
+X10906D1*
+X11968D2*
+X12348D1*
+X13714D2*
+X18254D1*
+X19620D2*
+X20101D1*
+X26835D2*
+X28781D1*
+X35179D2*
+X37351D1*
+X4087Y20346D2*
+X6312D1*
+X7858D2*
+X10906D1*
+X11968D2*
+X12191D1*
+X13874D2*
+X18098D1*
+X19776D2*
+X20098D1*
+X26585D2*
+X28680D1*
+X35253D2*
+X37351D1*
+X4087Y20097D2*
+X6312D1*
+X7858D2*
+X10906D1*
+X11968D2*
+X12128D1*
+X13937D2*
+X18031D1*
+X19843D2*
+X20426D1*
+X22448D2*
+X22676D1*
+X26335D2*
+X28519D1*
+X35499D2*
+X37351D1*
+X4087Y19848D2*
+X6367D1*
+X7808D2*
+X10906D1*
+X11968D2*
+X12135D1*
+X14343D2*
+X17625D1*
+X19835D2*
+X20426D1*
+X22448D2*
+X22676D1*
+X26089D2*
+X28324D1*
+X35749D2*
+X37351D1*
+X4087Y19600D2*
+X6531D1*
+X7644D2*
+X10906D1*
+X11968D2*
+X12215D1*
+X14706D2*
+X17262D1*
+X19753D2*
+X20426D1*
+X25831D2*
+X28078D1*
+X36429D2*
+X37351D1*
+X4087Y19351D2*
+X10906D1*
+X11968D2*
+X12402D1*
+X14862D2*
+X17105D1*
+X19566D2*
+X20426D1*
+X25237D2*
+X28078D1*
+X36429D2*
+X37351D1*
+X4087Y19102D2*
+X10906D1*
+X11968D2*
+X13109D1*
+X14921D2*
+X17047D1*
+X18858D2*
+X20715D1*
+X25237D2*
+X28078D1*
+X36429D2*
+X37351D1*
+X4087Y18853D2*
+X10906D1*
+X11968D2*
+X13121D1*
+X14913D2*
+X17055D1*
+X18851D2*
+X19687D1*
+X25237D2*
+X28078D1*
+X36429D2*
+X37351D1*
+X4087Y18605D2*
+X6824D1*
+X7550D2*
+X10906D1*
+X11968D2*
+X13207D1*
+X14827D2*
+X17141D1*
+X18765D2*
+X19437D1*
+X21819D2*
+X22074D1*
+X25237D2*
+X28078D1*
+X36429D2*
+X37351D1*
+X4087Y18356D2*
+X6543D1*
+X7831D2*
+X10906D1*
+X11968D2*
+X13375D1*
+X14632D2*
+X17336D1*
+X18569D2*
+X19207D1*
+X25198D2*
+X28078D1*
+X36429D2*
+X37351D1*
+X4087Y18107D2*
+X6433D1*
+X7941D2*
+X10906D1*
+X11968D2*
+X13281D1*
+X14519D2*
+X17187D1*
+X18472D2*
+X19144D1*
+X25198D2*
+X28078D1*
+X36429D2*
+X37351D1*
+X4087Y17859D2*
+X6418D1*
+X7956D2*
+X10906D1*
+X11968D2*
+X13281D1*
+X15648D2*
+X17156D1*
+X18347D2*
+X18902D1*
+X25198D2*
+X28078D1*
+X36429D2*
+X37351D1*
+X4087Y17610D2*
+X4523D1*
+X6226D2*
+X6414D1*
+X7960D2*
+X10906D1*
+X11968D2*
+X12426D1*
+X15648D2*
+X16301D1*
+X18319D2*
+X18512D1*
+X24995D2*
+X26012D1*
+X29773D2*
+X29949D1*
+X32210D2*
+X32887D1*
+X36085D2*
+X37351D1*
+X4087Y17361D2*
+X4523D1*
+X9710D2*
+X10906D1*
+X11968D2*
+X12426D1*
+X18319D2*
+X18414D1*
+X24995D2*
+X25766D1*
+X29523D2*
+X29828D1*
+X31960D2*
+X32887D1*
+X35835D2*
+X37351D1*
+X4087Y17113D2*
+X4523D1*
+X9710D2*
+X10906D1*
+X11968D2*
+X12426D1*
+X18319D2*
+X18410D1*
+X24898D2*
+X25516D1*
+X31710D2*
+X32887D1*
+X35589D2*
+X37351D1*
+X4087Y16864D2*
+X4523D1*
+X9710D2*
+X10906D1*
+X11968D2*
+X12426D1*
+X14917D2*
+X15383D1*
+X18319D2*
+X18410D1*
+X24726D2*
+X25266D1*
+X31464D2*
+X32832D1*
+X35339D2*
+X37351D1*
+X4087Y16615D2*
+X4523D1*
+X9710D2*
+X10906D1*
+X11968D2*
+X12426D1*
+X14917D2*
+X15383D1*
+X18319D2*
+X18410D1*
+X24726D2*
+X25019D1*
+X31214D2*
+X32582D1*
+X35089D2*
+X37351D1*
+X4087Y16367D2*
+X4523D1*
+X9710D2*
+X10906D1*
+X11968D2*
+X12430D1*
+X14917D2*
+X18410D1*
+X20370D2*
+X20851D1*
+X30964D2*
+X32332D1*
+X34843D2*
+X37351D1*
+X4087Y16118D2*
+X4523D1*
+X9687D2*
+X10906D1*
+X11968D2*
+X12430D1*
+X14917D2*
+X16207D1*
+X20370D2*
+X21156D1*
+X30718D2*
+X32086D1*
+X34593D2*
+X37351D1*
+X4087Y15869D2*
+X4996D1*
+X9491D2*
+X10906D1*
+X12058D2*
+X12430D1*
+X14917D2*
+X15965D1*
+X20370D2*
+X21887D1*
+X26718D2*
+X27367D1*
+X28640D2*
+X31836D1*
+X34343D2*
+X37351D1*
+X4087Y15621D2*
+X4996D1*
+X7753D2*
+X10926D1*
+X12308D2*
+X12430D1*
+X15491D2*
+X15894D1*
+X20370D2*
+X21887D1*
+X26468D2*
+X27367D1*
+X28640D2*
+X31586D1*
+X34097D2*
+X37351D1*
+X4087Y15372D2*
+X4996D1*
+X7753D2*
+X11078D1*
+X20304D2*
+X21887D1*
+X26429D2*
+X27367D1*
+X28640D2*
+X31340D1*
+X33847D2*
+X37351D1*
+X4087Y15123D2*
+X4996D1*
+X7753D2*
+X11328D1*
+X20304D2*
+X21058D1*
+X26429D2*
+X27367D1*
+X28640D2*
+X31090D1*
+X33597D2*
+X37351D1*
+X4087Y14874D2*
+X4996D1*
+X7753D2*
+X11574D1*
+X14144D2*
+X14320D1*
+X20304D2*
+X21058D1*
+X26429D2*
+X27254D1*
+X29472D2*
+X30840D1*
+X33351D2*
+X37351D1*
+X4087Y14626D2*
+X4996D1*
+X7753D2*
+X11824D1*
+X14144D2*
+X15226D1*
+X19468D2*
+X20219D1*
+X22448D2*
+X23949D1*
+X29472D2*
+X30617D1*
+X33101D2*
+X37351D1*
+X4087Y14377D2*
+X4996D1*
+X10987D2*
+X12074D1*
+X14144D2*
+X18008D1*
+X19468D2*
+X19969D1*
+X22448D2*
+X23766D1*
+X29472D2*
+X30574D1*
+X32851D2*
+X37351D1*
+X4087Y14128D2*
+X4996D1*
+X11233D2*
+X12281D1*
+X14144D2*
+X18008D1*
+X19468D2*
+X19719D1*
+X22448D2*
+X23738D1*
+X29472D2*
+X30574D1*
+X32605D2*
+X37351D1*
+X4087Y13880D2*
+X4996D1*
+X11483D2*
+X12281D1*
+X14144D2*
+X18008D1*
+X22448D2*
+X23828D1*
+X29472D2*
+X30574D1*
+X32370D2*
+X37351D1*
+X4087Y13631D2*
+X4996D1*
+X11733D2*
+X12281D1*
+X14144D2*
+X18008D1*
+X22448D2*
+X24367D1*
+X29093D2*
+X30574D1*
+X32370D2*
+X33965D1*
+X36167D2*
+X37351D1*
+X4087Y13382D2*
+X4996D1*
+X7319D2*
+X10504D1*
+X11980D2*
+X12281D1*
+X16698D2*
+X18008D1*
+X22448D2*
+X24367D1*
+X30429D2*
+X30574D1*
+X32370D2*
+X33660D1*
+X36468D2*
+X37351D1*
+X4087Y13134D2*
+X4996D1*
+X7319D2*
+X10750D1*
+X12179D2*
+X12285D1*
+X16698D2*
+X18008D1*
+X22448D2*
+X24367D1*
+X30429D2*
+X30539D1*
+X32370D2*
+X33414D1*
+X36718D2*
+X37351D1*
+X4087Y12885D2*
+X4996D1*
+X7319D2*
+X11000D1*
+X16698D2*
+X18008D1*
+X22448D2*
+X24367D1*
+X26745D2*
+X27254D1*
+X32370D2*
+X33164D1*
+X36944D2*
+X37351D1*
+X4087Y12636D2*
+X4996D1*
+X8909D2*
+X9879D1*
+X16698D2*
+X18008D1*
+X26745D2*
+X27254D1*
+X32331D2*
+X32914D1*
+X36995D2*
+X37351D1*
+X4087Y12388D2*
+X4996D1*
+X8909D2*
+X9879D1*
+X16698D2*
+X17906D1*
+X26745D2*
+X27254D1*
+X32112D2*
+X32668D1*
+X36995D2*
+X37351D1*
+X4087Y12139D2*
+X5000D1*
+X8909D2*
+X9879D1*
+X16612D2*
+X17902D1*
+X26745D2*
+X29543D1*
+X31862D2*
+X32418D1*
+X36995D2*
+X37351D1*
+X4087Y11890D2*
+X5156D1*
+X8909D2*
+X9879D1*
+X16612D2*
+X18031D1*
+X26745D2*
+X29293D1*
+X31616D2*
+X32168D1*
+X36995D2*
+X37351D1*
+X4087Y11642D2*
+X5312D1*
+X8909D2*
+X9879D1*
+X13343D2*
+X13426D1*
+X16612D2*
+X18465D1*
+X27105D2*
+X27240D1*
+X28937D2*
+X29047D1*
+X36995D2*
+X37351D1*
+X4087Y11393D2*
+X5312D1*
+X8909D2*
+X9879D1*
+X13343D2*
+X13609D1*
+X16612D2*
+X18535D1*
+X36995D2*
+X37351D1*
+X4087Y11144D2*
+X5312D1*
+X7757D2*
+X9879D1*
+X13343D2*
+X13609D1*
+X16612D2*
+X18535D1*
+X24347D2*
+X24637D1*
+X36995D2*
+X37351D1*
+X4087Y10895D2*
+X5312D1*
+X6937D2*
+X9879D1*
+X11480D2*
+X12180D1*
+X13343D2*
+X13609D1*
+X14769D2*
+X15516D1*
+X24347D2*
+X24695D1*
+X36991D2*
+X37351D1*
+X4087Y10647D2*
+X10215D1*
+X11417D2*
+X12156D1*
+X13288D2*
+X13609D1*
+X14769D2*
+X15488D1*
+X36870D2*
+X37351D1*
+X4087Y10398D2*
+X8887D1*
+X11323D2*
+X12101D1*
+X13276D2*
+X13609D1*
+X14769D2*
+X15484D1*
+X30355D2*
+X30446D1*
+X30933D2*
+X32055D1*
+X36624D2*
+X37351D1*
+X4087Y10149D2*
+X8887D1*
+X11323D2*
+X12101D1*
+X13276D2*
+X13609D1*
+X14769D2*
+X15027D1*
+X30230D2*
+X31805D1*
+X36421D2*
+X37351D1*
+X4087Y9901D2*
+X8887D1*
+X11323D2*
+X12101D1*
+X14769D2*
+X15027D1*
+X17066D2*
+X18535D1*
+X29249D2*
+X31555D1*
+X34062D2*
+X34359D1*
+X36230D2*
+X37351D1*
+X4087Y9652D2*
+X7453D1*
+X14769D2*
+X15027D1*
+X17066D2*
+X18543D1*
+X29003D2*
+X31308D1*
+X33812D2*
+X34305D1*
+X35694D2*
+X37351D1*
+X4087Y9403D2*
+X5312D1*
+X14769D2*
+X15027D1*
+X17066D2*
+X18672D1*
+X36191D2*
+X37351D1*
+X4087Y9155D2*
+X5312D1*
+X14769D2*
+X15027D1*
+X17066D2*
+X18922D1*
+X36409D2*
+X37351D1*
+X4087Y8906D2*
+X5312D1*
+X13276D2*
+X13609D1*
+X14843D2*
+X15026D1*
+X17066D2*
+X19168D1*
+X36480D2*
+X37351D1*
+X4087Y8657D2*
+X5312D1*
+X12386D2*
+X13617D1*
+X36452D2*
+X37351D1*
+X4087Y8409D2*
+X5312D1*
+X7038D2*
+X7453D1*
+X8921D2*
+X13726D1*
+X33319D2*
+X34469D1*
+X36366D2*
+X37351D1*
+X4087Y8160D2*
+X13969D1*
+X33073D2*
+X35207D1*
+X36366D2*
+X37351D1*
+X4087Y7911D2*
+X14219D1*
+X32823D2*
+X35207D1*
+X36366D2*
+X37351D1*
+X4087Y7663D2*
+X19465D1*
+X32573D2*
+X34418D1*
+X36366D2*
+X37351D1*
+X4087Y7414D2*
+X19715D1*
+X23218D2*
+X25644D1*
+X27358D2*
+X34168D1*
+X36312D2*
+X37351D1*
+X4087Y7165D2*
+X19859D1*
+X23218D2*
+X25285D1*
+X27593D2*
+X33922D1*
+X36105D2*
+X37351D1*
+X4087Y6916D2*
+X19859D1*
+X23218D2*
+X25027D1*
+X27851D2*
+X33672D1*
+X35855D2*
+X37351D1*
+X4087Y6668D2*
+X19859D1*
+X23218D2*
+X24781D1*
+X30265D2*
+X33422D1*
+X35042D2*
+X37351D1*
+X4087Y6419D2*
+X19859D1*
+X26276D2*
+X26855D1*
+X30265D2*
+X33176D1*
+X34796D2*
+X37351D1*
+X4087Y6170D2*
+X4805D1*
+X5819D2*
+X19859D1*
+X25816D2*
+X26891D1*
+X30265D2*
+X32926D1*
+X34546D2*
+X35680D1*
+X36694D2*
+X37351D1*
+X4087Y5922D2*
+X4516D1*
+X6112D2*
+X19859D1*
+X25843D2*
+X26891D1*
+X30265D2*
+X32676D1*
+X34296D2*
+X35387D1*
+X36987D2*
+X37351D1*
+X4087Y5673D2*
+X4371D1*
+X6253D2*
+X19859D1*
+X25773D2*
+X26793D1*
+X30401D2*
+X32430D1*
+X34050D2*
+X35246D1*
+X37128D2*
+X37351D1*
+X4087Y5424D2*
+X4308D1*
+X6316D2*
+X19859D1*
+X25558D2*
+X26516D1*
+X28241D2*
+X28855D1*
+X30401D2*
+X32180D1*
+X33800D2*
+X35183D1*
+X37191D2*
+X37351D1*
+X4087Y5176D2*
+X4312D1*
+X6312D2*
+X19863D1*
+X22675D2*
+X26269D1*
+X28241D2*
+X28855D1*
+X30401D2*
+X31930D1*
+X33550D2*
+X35187D1*
+X37187D2*
+X37351D1*
+X4087Y4927D2*
+X4383D1*
+X6245D2*
+X19961D1*
+X28241D2*
+X28855D1*
+X30401D2*
+X31683D1*
+X33304D2*
+X35254D1*
+X37120D2*
+X37351D1*
+X4087Y4678D2*
+X4535D1*
+X6093D2*
+X20199D1*
+X33054D2*
+X35410D1*
+X36964D2*
+X37351D1*
+X4087Y4430D2*
+X4851D1*
+X5773D2*
+X20449D1*
+X32804D2*
+X35726D1*
+X36648D2*
+X37351D1*
+X4087Y4181D2*
+X20699D1*
+X32558D2*
+X37351D1*
+X9614Y17434D2*
+X9683D1*
+Y16193D1*
+X9668Y16188D1*
+X9646Y16066D1*
+X9583Y15958D1*
+X9487Y15879D1*
+X9366Y15838D1*
+X9063Y15833D1*
+X7895D1*
+X7728Y15664D1*
+X7730Y14567D1*
+X10561Y14568D1*
+X10685Y14553D1*
+X10801Y14508D1*
+X10918Y14420D1*
+X12044Y13294D1*
+X12121Y13196D1*
+X12171Y13082D1*
+X12192Y12937D1*
+Y12300D1*
+X12307Y12298D1*
+X12308Y14168D1*
+X11081Y15394D1*
+X11004Y15492D1*
+X10954Y15606D1*
+X10933Y15751D1*
+Y22001D1*
+X10948Y22125D1*
+X10993Y22241D1*
+X11081Y22359D1*
+X12018Y23296D1*
+X12117Y23373D1*
+X12231Y23423D1*
+X12375Y23444D1*
+X19121D1*
+X19119Y24437D1*
+X19135Y24561D1*
+X19180Y24677D1*
+X19287Y24814D1*
+X4062D1*
+Y4062D1*
+X20839D1*
+X20046Y4857D1*
+X19969Y4955D1*
+X19915Y5067D1*
+X19887Y5193D1*
+X19884Y5875D1*
+Y7269D1*
+X19397Y7756D1*
+X14626Y7757D1*
+X14502Y7771D1*
+X14384Y7813D1*
+X14276Y7882D1*
+X13796Y8358D1*
+X13718Y8455D1*
+X13664Y8568D1*
+X13636Y8693D1*
+X13633Y9082D1*
+X13253Y9081D1*
+X13250Y8707D1*
+X12488D1*
+X12326Y8649D1*
+X12099Y8640D1*
+X10212D1*
+X10213Y8577D1*
+X9920D1*
+X9801Y8499D1*
+X9684Y8458D1*
+X9563Y8445D1*
+X8895D1*
+X8897Y8330D1*
+X7478D1*
+Y8443D1*
+X7082Y8445D1*
+X6990Y8399D1*
+X6913Y8376D1*
+Y8247D1*
+X5336D1*
+Y9587D1*
+X6913D1*
+Y9552D1*
+X7481Y9555D1*
+X7478Y9670D1*
+X8897D1*
+Y9557D1*
+X8912Y9575D1*
+Y10547D1*
+X10072D1*
+X10076Y10587D1*
+X10236D1*
+X10249Y10685D1*
+X10219Y10732D1*
+X9905D1*
+X9909Y11642D1*
+X9905Y11732D1*
+X9909Y12142D1*
+X9905Y12232D1*
+Y12642D1*
+X10674D1*
+X10838Y12703D1*
+X10963Y12711D1*
+X11086Y12691D1*
+X11182Y12649D1*
+Y12730D1*
+X10349Y13560D1*
+X9061Y13558D1*
+X7370D1*
+X7297Y13483D1*
+X7295Y12815D1*
+X7875Y12818D1*
+X7999Y12803D1*
+X8115Y12757D1*
+X8232Y12670D1*
+X8261Y12641D1*
+X8883Y12642D1*
+X8879Y11732D1*
+X8883Y11642D1*
+Y11232D1*
+X8143Y11226D1*
+X8023Y11189D1*
+X7769Y11182D1*
+X7669Y11080D1*
+X7571Y11003D1*
+X7457Y10953D1*
+X7312Y10932D1*
+X6910D1*
+X6913Y10767D1*
+X5336D1*
+Y11833D1*
+X5192Y11884D1*
+X5098Y11965D1*
+X5038Y12074D1*
+X5020Y12188D1*
+Y15989D1*
+X4547Y15986D1*
+Y17641D1*
+X6202D1*
+Y17606D1*
+X6441D1*
+X6438Y17686D1*
+X6481D1*
+X6445Y17835D1*
+X6439Y17960D1*
+X6453Y18084D1*
+X6487Y18204D1*
+X6541Y18316D1*
+X6613Y18418D1*
+X6701Y18507D1*
+X6803Y18580D1*
+X6915Y18635D1*
+X7035Y18670D1*
+X7158Y18685D1*
+X7283Y18680D1*
+X7405Y18653D1*
+X7521Y18607D1*
+X7628Y18542D1*
+X7722Y18461D1*
+X7802Y18364D1*
+X7864Y18256D1*
+X7908Y18139D1*
+X7932Y18017D1*
+X7936Y17937D1*
+X7925Y17813D1*
+X7893Y17688D1*
+X7936Y17686D1*
+Y17604D1*
+X9313Y17606D1*
+X9435Y17584D1*
+X9545Y17519D1*
+X9496Y17555D1*
+X9591Y17470D1*
+X12302Y11076D2*
+X11513D1*
+X11456Y10931D1*
+X11438Y10752D1*
+X11386Y10635D1*
+X11322Y10553D1*
+X11297Y10529D1*
+X11298Y9740D1*
+X12123D1*
+X12126Y10421D1*
+X12185D1*
+X12183Y10750D1*
+X12198Y10874D1*
+X12232Y10967D1*
+X12296Y11068D1*
+X14115Y13545D2*
+X15460D1*
+Y13463D1*
+X16674Y13461D1*
+Y12161D1*
+X16584D1*
+X16587Y11638D1*
+X16591Y11067D1*
+X18556D1*
+X18559Y11519D1*
+X18458Y11721D1*
+X18476Y11673D1*
+X18388Y11728D1*
+X18267Y11754D1*
+X18154Y11809D1*
+X18058Y11888D1*
+X17984Y11988D1*
+X17935Y12103D1*
+X17914Y12226D1*
+X17923Y12350D1*
+X17961Y12469D1*
+X18034Y12584D1*
+X18033Y14387D1*
+X17163Y14390D1*
+X16351D1*
+Y14456D1*
+X15250Y14454D1*
+Y14825D1*
+X14468Y14828D1*
+X14345D1*
+X14339Y14915D1*
+X14241Y14989D1*
+X14115Y15115D1*
+X14042Y15213D1*
+X14000Y15216D1*
+X14065Y15110D1*
+X14105Y14991D1*
+X14118Y14873D1*
+Y13541D1*
+X29145Y13549D2*
+X30403D1*
+Y12975D1*
+X30598Y13169D1*
+X30597Y14438D1*
+X30616Y14561D1*
+X30675Y14675D1*
+X30804Y14813D1*
+X32912Y16920D1*
+X32910Y17708D1*
+X32665Y17705D1*
+X32669Y18927D1*
+X32653D1*
+Y17705D1*
+X32282D1*
+X30664Y16089D1*
+X30563Y16016D1*
+X30441Y15976D1*
+X30252Y15971D1*
+X26795D1*
+X26407Y15584D1*
+X26405Y14824D1*
+X27282Y14822D1*
+X27278Y15042D1*
+X27392D1*
+X27393Y15964D1*
+X28615D1*
+Y15038D1*
+X29184Y15042D1*
+X29445D1*
+Y13701D1*
+X29002Y13699D1*
+X29133Y13562D1*
+X29758Y12327D2*
+X29446D1*
+X29445Y12205D1*
+X28184Y12209D1*
+X27915Y12205D1*
+X27278D1*
+Y13092D1*
+X26752Y13095D1*
+X26654D1*
+X26714Y12943D1*
+X26719Y12750D1*
+Y11856D1*
+X26863Y11712D1*
+X26963Y11691D1*
+X27078Y11642D1*
+X27180Y11564D1*
+X27316Y11673D1*
+X27438Y11712D1*
+X27627Y11718D1*
+X28170D1*
+X28284Y11791D1*
+X28403Y11828D1*
+X28528Y11837D1*
+X28651Y11816D1*
+X28765Y11767D1*
+X28865Y11692D1*
+X28944Y11596D1*
+X28970Y11543D1*
+X29759Y12330D1*
+X24323Y11197D2*
+Y10717D1*
+X24646Y10718D1*
+X24724Y10795D1*
+X24722Y11082D1*
+X24644Y11160D1*
+X24502Y11158D1*
+X24375Y11179D1*
+X24333Y11195D1*
+X24323Y10990D1*
+Y10740D1*
+X13192Y10421D2*
+X13250D1*
+Y10050D1*
+X13636Y10049D1*
+X13633Y10439D1*
+Y11458D1*
+X13321Y11770D1*
+X13318Y10875D1*
+X13303Y10752D1*
+X13269Y10658D1*
+X13205Y10557D1*
+X13193Y10500D1*
+Y10418D1*
+X20346Y16870D2*
+X20347Y15530D1*
+X20281D1*
+X20278Y14764D1*
+X19445D1*
+X19444Y13831D1*
+X20226Y14611D1*
+X20327Y14684D1*
+X20449Y14724D1*
+X20637Y14729D1*
+X21085Y14732D1*
+X21089Y14935D1*
+X21085Y15132D1*
+Y15329D1*
+X21909D1*
+X21912Y16097D1*
+X21314Y16096D1*
+X21191Y16115D1*
+X21077Y16173D1*
+X20939Y16303D1*
+X20745Y16502D1*
+X20673Y16537D1*
+X20451D1*
+X20456Y18044D1*
+X20190Y18046D1*
+X20116Y17972D1*
+X20347D1*
+X20343Y16632D1*
+X22420Y19741D2*
+X22701D1*
+X22702Y20205D1*
+X22678Y20256D1*
+X22562Y20312D1*
+X22425D1*
+X22422Y19741D1*
+X15543Y10956D2*
+X15503Y10960D1*
+X14932Y10956D1*
+X14740D1*
+X14743Y10187D1*
+Y8982D1*
+X14854Y8869D1*
+X15051Y8868D1*
+X15050Y10229D1*
+X15507D1*
+X15510Y10608D1*
+X15526Y10735D1*
+X15540Y10858D1*
+Y10955D1*
+X17040Y10053D2*
+Y8866D1*
+X19231Y8868D1*
+X18706Y9393D1*
+X18630Y9491D1*
+X18580Y9605D1*
+X18559Y9750D1*
+Y10059D1*
+X17036Y10057D1*
+X8445Y21053D2*
+X8371Y21054D1*
+X8248Y21077D1*
+X8131Y21119D1*
+X8022Y21181D1*
+X7926Y21260D1*
+X7843Y21354D1*
+X7777Y21460D1*
+X7730Y21575D1*
+X7703Y21697D1*
+X7696Y21822D1*
+X7711Y21946D1*
+X7745Y22066D1*
+X7799Y22178D1*
+X7871Y22280D1*
+X7959Y22369D1*
+X8061Y22442D1*
+X8173Y22497D1*
+X8292Y22532D1*
+X8445Y22548D1*
+X9525Y22542D1*
+X9647Y22515D1*
+X9763Y22469D1*
+X9870Y22404D1*
+X9964Y22323D1*
+X10044Y22226D1*
+X10106Y22118D1*
+X10150Y22001D1*
+X10174Y21879D1*
+X10178Y21799D1*
+X10168Y21675D1*
+X10137Y21554D1*
+X10086Y21440D1*
+X10017Y21336D1*
+X9932Y21244D1*
+X9833Y21168D1*
+X9722Y21110D1*
+X9604Y21071D1*
+X9430Y21053D1*
+X8679Y21050D1*
+X8445Y21054D1*
+X6339Y21113D2*
+X6352Y21257D1*
+X6387Y21377D1*
+X6441Y21489D1*
+X6513Y21591D1*
+X6601Y21680D1*
+X6702Y21753D1*
+X6814Y21808D1*
+X6934Y21843D1*
+X7058Y21858D1*
+X7183Y21853D1*
+X7305Y21827D1*
+X7421Y21780D1*
+X7528Y21715D1*
+X7622Y21634D1*
+X7702Y21538D1*
+X7764Y21429D1*
+X7808Y21312D1*
+X7831Y21190D1*
+X7835Y20860D1*
+X7831Y20126D1*
+X7825Y20002D1*
+X7794Y19881D1*
+X7743Y19766D1*
+X7675Y19662D1*
+X7589Y19571D1*
+X7490Y19495D1*
+X7380Y19437D1*
+X7261Y19398D1*
+X7138Y19379D1*
+X7013Y19381D1*
+X6890Y19403D1*
+X6773Y19446D1*
+X6664Y19508D1*
+X6567Y19587D1*
+X6485Y19680D1*
+X6419Y19786D1*
+X6372Y19902D1*
+X6345Y20024D1*
+X6338Y20251D1*
+X6342Y21110D1*
+X31356Y20924D2*
+X31238Y20873D1*
+X31116Y20848D1*
+X30991Y20850D1*
+X30869Y20878D1*
+X30762Y20922D1*
+X30648Y20873D1*
+X30525Y20848D1*
+X30400Y20850D1*
+X30279Y20878D1*
+X30172Y20922D1*
+X30057Y20873D1*
+X29935Y20848D1*
+X29810Y20850D1*
+X29688Y20878D1*
+X29575Y20931D1*
+X29476Y21006D1*
+X29395Y21101D1*
+X29335Y21210D1*
+X29299Y21330D1*
+X29290Y21454D1*
+X29307Y21578D1*
+X29349Y21695D1*
+X29415Y21801D1*
+X29502Y21891D1*
+X29544Y21919D1*
+X29683Y22067D1*
+X30100Y22484D1*
+X30097Y23377D1*
+X30116Y23500D1*
+X30175Y23614D1*
+X30304Y23751D1*
+X30403Y23850D1*
+X30504Y23924D1*
+X30626Y23963D1*
+X30815Y23969D1*
+X31627D1*
+X31750Y23950D1*
+X31865Y23892D1*
+X32002Y23762D1*
+X32164Y23600D1*
+X32237Y23500D1*
+X32277Y23378D1*
+X32283Y23216D1*
+X33190Y23219D1*
+X33935D1*
+X34058Y23200D1*
+X34172Y23142D1*
+X34310Y23012D1*
+X35036Y22286D1*
+X35109Y22185D1*
+X35149Y22063D1*
+X35155Y21875D1*
+Y20417D1*
+X35895Y19677D1*
+X36403Y19675D1*
+X36399Y18453D1*
+X36403Y18052D1*
+Y17705D1*
+X36118D1*
+X36055Y17606D1*
+X32346Y13897D1*
+X32345Y12813D1*
+X32326Y12690D1*
+X32268Y12576D1*
+X32138Y12438D1*
+X31439Y11739D1*
+X31502Y11718D1*
+X32022D1*
+X33841Y13537D1*
+X33942Y13610D1*
+X34064Y13650D1*
+X34253Y13656D1*
+X36003D1*
+X36126Y13636D1*
+X36240Y13578D1*
+X36378Y13449D1*
+X36852Y12974D1*
+X36925Y12874D1*
+X36965Y12752D1*
+X36971Y12563D1*
+Y10938D1*
+X36951Y10815D1*
+X36893Y10701D1*
+X36763Y10563D1*
+X36429Y10229D1*
+X36355Y10069D1*
+X36279Y9970D1*
+X36186Y9886D1*
+X36079Y9822D1*
+X35962Y9778D1*
+X35839Y9757D1*
+X35715Y9759D1*
+X35657Y9770D1*
+X35670Y9637D1*
+X35657Y9509D1*
+X35754Y9519D1*
+X35879Y9514D1*
+X36001Y9485D1*
+X36115Y9434D1*
+X36217Y9363D1*
+X36305Y9275D1*
+X36375Y9171D1*
+X36424Y9056D1*
+X36452Y8935D1*
+X36457Y8850D1*
+X36445Y8726D1*
+X36411Y8606D1*
+X36343Y8479D1*
+X36342Y7659D1*
+X36328Y7535D1*
+X36287Y7417D1*
+X36217Y7309D1*
+X35833Y6920D1*
+X35735Y6842D1*
+X35623Y6788D1*
+X35497Y6761D1*
+X35108Y6757D1*
+X32411Y4061D1*
+X37378Y4062D1*
+Y24814D1*
+X29077Y24820D1*
+X29294Y24607D1*
+X29371Y24508D1*
+X29421Y24394D1*
+X29442Y24250D1*
+Y22687D1*
+X29427Y22563D1*
+X29381Y22447D1*
+X29294Y22330D1*
+X27732Y20767D1*
+X27633Y20691D1*
+X27519Y20641D1*
+X27375Y20619D1*
+X26832D1*
+X25857Y19642D1*
+X25758Y19566D1*
+X25644Y19516D1*
+X25500Y19494D1*
+X25214D1*
+X25212Y18578D1*
+X25177D1*
+X25174Y17848D1*
+X24967D1*
+X24969Y17376D1*
+X24950Y17253D1*
+X24892Y17139D1*
+X24762Y17001D1*
+X24699Y16938D1*
+Y16469D1*
+X24896Y16468D1*
+X26090Y17662D1*
+X26191Y17736D1*
+X26313Y17775D1*
+X26502Y17781D1*
+X28100D1*
+X28102Y18927D1*
+Y19675D1*
+X28317D1*
+X28335Y19812D1*
+X28374Y19904D1*
+X28444Y19996D1*
+X28701Y20253D1*
+X28716Y20397D1*
+X28758Y20514D1*
+X28825Y20620D1*
+X28911Y20710D1*
+X29015Y20779D1*
+X29131Y20825D1*
+X29254Y20846D1*
+X29379Y20841D1*
+X29499Y20809D1*
+X29586Y20765D1*
+X29721Y20825D1*
+X29845Y20846D1*
+X29969Y20841D1*
+X30090Y20809D1*
+X30177Y20765D1*
+X30312Y20825D1*
+X30435Y20846D1*
+X30560Y20841D1*
+X30680Y20809D1*
+X30767Y20765D1*
+X30903Y20825D1*
+X31026Y20846D1*
+X31150Y20841D1*
+X31271Y20809D1*
+X31358Y20765D1*
+X31493Y20825D1*
+X31616Y20846D1*
+X31741Y20841D1*
+X31862Y20809D1*
+X31949Y20765D1*
+X32084Y20825D1*
+X32207Y20846D1*
+X32331Y20841D1*
+X32452Y20809D1*
+X32539Y20765D1*
+X32674Y20825D1*
+X32797Y20846D1*
+X32922Y20841D1*
+X33043Y20809D1*
+X33154Y20753D1*
+X33251Y20675D1*
+X33330Y20578D1*
+X33349Y20539D1*
+X33348Y21146D1*
+X33310Y21087D1*
+X33226Y20995D1*
+X33124Y20922D1*
+X33010Y20873D1*
+X32887Y20848D1*
+X32763Y20850D1*
+X32641Y20878D1*
+X32534Y20922D1*
+X32419Y20873D1*
+X32297Y20848D1*
+X32172Y20850D1*
+X32050Y20878D1*
+X31943Y20922D1*
+X31829Y20873D1*
+X31706Y20848D1*
+X31582Y20850D1*
+X31460Y20878D1*
+X31358Y20926D1*
+X22652Y5318D2*
+Y5029D1*
+X26146Y5030D1*
+X26715Y5599D1*
+X26820Y5673D1*
+X26861Y5691D1*
+X26915Y5825D1*
+Y6407D1*
+X26849Y6434D1*
+X26757Y6505D1*
+X26554Y6701D1*
+X26413Y6546D1*
+X26280Y6435D1*
+X26174Y6391D1*
+X26064Y6377D1*
+X25748D1*
+X25739Y6296D1*
+X25788Y6181D1*
+X25816Y6059D1*
+X25821Y5975D1*
+X25809Y5850D1*
+X25775Y5730D1*
+X25719Y5619D1*
+X25643Y5520D1*
+X25550Y5436D1*
+X25443Y5372D1*
+X25326Y5328D1*
+X25203Y5307D1*
+X25079Y5308D1*
+X24956Y5333D1*
+X24841Y5381D1*
+X24759Y5434D1*
+X24656Y5372D1*
+X24539Y5328D1*
+X24416Y5307D1*
+X24291Y5308D1*
+X24169Y5333D1*
+X24053Y5381D1*
+X23972Y5434D1*
+X23869Y5372D1*
+X23752Y5328D1*
+X23629Y5307D1*
+X23504Y5308D1*
+X23382Y5333D1*
+X23266Y5381D1*
+X23184Y5434D1*
+X23081Y5372D1*
+X22964Y5328D1*
+X22841Y5307D1*
+X22716Y5308D1*
+X22651Y5322D1*
+X23197Y6526D2*
+X23302Y6586D1*
+X23420Y6626D1*
+X23544Y6644D1*
+X23668Y6638D1*
+X23790Y6610D1*
+X23904Y6559D1*
+X23965Y6517D1*
+X24089Y6586D1*
+X24208Y6626D1*
+X24331Y6644D1*
+X24456Y6638D1*
+X24577Y6610D1*
+X24720Y6539D1*
+X24819Y6682D1*
+X25257Y7120D1*
+X25356Y7195D1*
+X25449Y7232D1*
+X25564Y7247D1*
+X25724D1*
+X25683Y7352D1*
+X25663Y7475D1*
+X25667Y7534D1*
+X23170Y7532D1*
+X23193Y7423D1*
+X23194Y6521D1*
+X30242Y5873D2*
+X30376D1*
+Y4682D1*
+X31458Y4680D1*
+X34485Y7705D1*
+X34583Y7783D1*
+X34695Y7837D1*
+X34821Y7864D1*
+X35210Y7867D1*
+X35233Y7890D1*
+X35232Y8225D1*
+X35175Y8203D1*
+X35052Y8182D1*
+X34927Y8184D1*
+X34805Y8209D1*
+X34689Y8256D1*
+X34585Y8324D1*
+X34494Y8410D1*
+X34451Y8470D1*
+X33354Y8469D1*
+X32539Y7651D1*
+X32438Y7578D1*
+X32316Y7538D1*
+X32127Y7532D1*
+X27335D1*
+X27339Y7500D1*
+X27325Y7376D1*
+X27275Y7250D1*
+X27438Y7230D1*
+X27529Y7191D1*
+X27622Y7120D1*
+X27873Y6869D1*
+X27935Y6791D1*
+X27985Y6673D1*
+X28216Y6672D1*
+Y6567D1*
+X28268Y6599D1*
+Y6900D1*
+X29490Y6896D1*
+X29891Y6900D1*
+X30238D1*
+Y5873D1*
+X28878Y4677D2*
+Y5560D1*
+X28219Y5556D1*
+X28216Y5174D1*
+Y4702D1*
+X26964D1*
+X27064Y4680D1*
+X28880D1*
+X34334Y9591D2*
+X34330Y9657D1*
+X34345Y9781D1*
+X34383Y9900D1*
+X34460Y10031D1*
+X34171Y10032D1*
+X33725Y9590D1*
+X34334Y9592D1*
+X22099Y18594D2*
+Y18812D1*
+X21870Y18816D1*
+X21796Y18749D1*
+Y18596D1*
+X22099Y18593D1*
+X29969Y17705D2*
+X29844D1*
+X29417Y17281D1*
+X29771D1*
+X29971Y17480D1*
+X29972Y17708D1*
+X29844Y17705D1*
+X14625Y17920D2*
+X15624D1*
+Y17549D1*
+X16324Y17546D1*
+X16326Y17712D1*
+X17181D1*
+X17182Y17937D1*
+X17197Y18061D1*
+X17243Y18177D1*
+X17330Y18294D1*
+X17378Y18342D1*
+X17301Y18414D1*
+X17223Y18512D1*
+X17159Y18619D1*
+X17112Y18735D1*
+X17081Y18856D1*
+X17067Y18980D1*
+X17071Y19105D1*
+X17092Y19228D1*
+X17130Y19347D1*
+X17185Y19459D1*
+X17255Y19562D1*
+X17339Y19655D1*
+X17435Y19735D1*
+X17541Y19801D1*
+X17656Y19851D1*
+X17776Y19884D1*
+X17900Y19900D1*
+X18060Y19894D1*
+X18051Y19964D1*
+X18055Y20089D1*
+X18076Y20212D1*
+X18115Y20331D1*
+X18169Y20443D1*
+X18239Y20547D1*
+X18323Y20639D1*
+X18419Y20719D1*
+X18526Y20785D1*
+X18640Y20835D1*
+X18760Y20868D1*
+X18884Y20885D1*
+X19009Y20883D1*
+X19133Y20864D1*
+X19252Y20828D1*
+X19365Y20776D1*
+X19470Y20708D1*
+X19564Y20626D1*
+X19646Y20531D1*
+X19714Y20427D1*
+X19766Y20313D1*
+X19802Y20193D1*
+X19824Y20000D1*
+X19815Y19875D1*
+X19789Y19753D1*
+X19745Y19636D1*
+X19686Y19526D1*
+X19612Y19425D1*
+X19525Y19336D1*
+X19426Y19260D1*
+X19317Y19198D1*
+X19201Y19153D1*
+X19079Y19124D1*
+X18955Y19113D1*
+X18834Y19119D1*
+X18839Y19015D1*
+X18831Y18891D1*
+X18804Y18769D1*
+X18761Y18651D1*
+X18702Y18541D1*
+X18628Y18441D1*
+X18541Y18352D1*
+X18457Y18287D1*
+X18458Y18203D1*
+X18442Y18079D1*
+X18397Y17963D1*
+X18310Y17846D1*
+X18191Y17727D1*
+X18199Y17712D1*
+X18296D1*
+Y16412D1*
+X17074Y16416D1*
+X16673Y16412D1*
+X16326D1*
+Y16580D1*
+X15406D1*
+Y16951D1*
+X14893Y16954D1*
+X14892Y15792D1*
+X15468Y15794D1*
+Y15423D1*
+X15918Y15420D1*
+X15921Y15626D1*
+X15938Y15749D1*
+X15975Y15841D1*
+X16054Y15948D1*
+X16179Y16073D1*
+X16276Y16146D1*
+X16330Y16172D1*
+X16351Y16263D1*
+Y16360D1*
+X17651Y16356D1*
+X17913Y16360D1*
+X18434D1*
+Y17302D1*
+X18449Y17426D1*
+X18494Y17542D1*
+X18566Y17644D1*
+X18661Y17724D1*
+X18773Y17779D1*
+X18899Y17805D1*
+X18928Y17808D1*
+Y17972D1*
+X19174D1*
+X19171Y18126D1*
+X19188Y18249D1*
+X19240Y18367D1*
+X19304Y18448D1*
+X19679Y18823D1*
+X19779Y18898D1*
+X19899Y18944D1*
+X20001Y18956D1*
+X20833D1*
+X20830Y19012D1*
+X20674Y19163D1*
+X20452D1*
+Y20315D1*
+X20250Y20312D1*
+X20144Y20373D1*
+X20125Y20437D1*
+X20126Y23121D1*
+X20114Y23063D1*
+X20069Y22947D1*
+X19982Y22830D1*
+X19734Y22582D1*
+X19632Y22504D1*
+X19686Y22540D1*
+X19645Y22396D1*
+X19702Y22284D1*
+X19741Y22166D1*
+X19765Y21968D1*
+X19755Y21843D1*
+X19727Y21722D1*
+X19681Y21606D1*
+X19618Y21498D1*
+X19540Y21401D1*
+X19447Y21317D1*
+X19343Y21247D1*
+X19230Y21194D1*
+X19111Y21159D1*
+X18987Y21142D1*
+X18862Y21144D1*
+X18739Y21165D1*
+X18620Y21204D1*
+X18509Y21260D1*
+X18407Y21332D1*
+X18317Y21419D1*
+X18242Y21519D1*
+X18182Y21629D1*
+X18140Y21746D1*
+X18115Y21869D1*
+X18110Y21993D1*
+X18123Y22117D1*
+X18155Y22238D1*
+X18204Y22353D1*
+X18252Y22434D1*
+X13716D1*
+X13796Y22284D1*
+X13835Y22166D1*
+X13859Y21968D1*
+X13850Y21843D1*
+X13822Y21722D1*
+X13775Y21606D1*
+X13713Y21498D1*
+X13634Y21401D1*
+X13542Y21317D1*
+X13438Y21247D1*
+X13325Y21194D1*
+X13205Y21159D1*
+X13081Y21142D1*
+X12956Y21144D1*
+X12833Y21165D1*
+X12715Y21204D1*
+X12603Y21260D1*
+X12502Y21332D1*
+X12412Y21419D1*
+X12336Y21519D1*
+X12277Y21629D1*
+X12234Y21746D1*
+X12210Y21869D1*
+X12204Y21993D1*
+X12211Y22059D1*
+X11945Y21795D1*
+X11943Y20501D1*
+Y15958D1*
+X12455Y15448D1*
+X12451Y16412D1*
+Y17712D1*
+X13306D1*
+X13305Y18060D1*
+X13320Y18184D1*
+X13368Y18303D1*
+X13399Y18353D1*
+X13364Y18414D1*
+X13286Y18512D1*
+X13222Y18619D1*
+X13175Y18735D1*
+X13144Y18856D1*
+X13130Y18980D1*
+X13136Y19116D1*
+X12924Y19119D1*
+X12802Y19143D1*
+X12684Y19184D1*
+X12573Y19241D1*
+X12471Y19313D1*
+X12380Y19399D1*
+X12302Y19496D1*
+X12238Y19604D1*
+X12190Y19719D1*
+X12159Y19840D1*
+X12146Y19964D1*
+X12149Y20089D1*
+X12171Y20212D1*
+X12209Y20331D1*
+X12264Y20443D1*
+X12334Y20547D1*
+X12418Y20639D1*
+X12514Y20719D1*
+X12620Y20785D1*
+X12734Y20835D1*
+X12855Y20868D1*
+X12979Y20885D1*
+X13104Y20883D1*
+X13227Y20864D1*
+X13347Y20828D1*
+X13460Y20776D1*
+X13565Y20708D1*
+X13659Y20626D1*
+X13741Y20531D1*
+X13808Y20427D1*
+X13861Y20313D1*
+X13897Y20193D1*
+X13918Y20000D1*
+X13911Y19894D1*
+X14088Y19899D1*
+X14211Y19880D1*
+X14331Y19844D1*
+X14444Y19791D1*
+X14549Y19724D1*
+X14643Y19642D1*
+X14725Y19547D1*
+X14793Y19442D1*
+X14845Y19329D1*
+X14881Y19209D1*
+X14902Y19015D1*
+X14894Y18891D1*
+X14867Y18769D1*
+X14824Y18651D1*
+X14765Y18541D1*
+X14691Y18441D1*
+X14604Y18352D1*
+X14520Y18287D1*
+X14505Y18142D1*
+X14460Y18026D1*
+X14373Y17909D1*
+X14315Y17851D1*
+X14333Y17830D1*
+X14445Y17876D1*
+X14391Y17858D1*
+X14429Y17975D1*
+X14373Y17909D1*
+X14500Y17889D1*
+Y17920D1*
+X14625D1*
+X24392Y14737D2*
+Y14816D1*
+X23880Y14820D1*
+X23618Y14816D1*
+X23527Y14819D1*
+X23027Y14816D1*
+X22937Y14819D1*
+X22425Y14816D1*
+X22421Y14304D1*
+X22425Y14042D1*
+X22422Y13951D1*
+X22425Y13451D1*
+X22422Y13360D1*
+X22425Y12849D1*
+X22937Y12845D1*
+X23021Y12849D1*
+X23724Y12845D1*
+X23986Y12849D1*
+X24077Y12846D1*
+X24327Y12849D1*
+X24392Y12926D1*
+Y13638D1*
+X24394Y14816D2*
+X24077Y14820D1*
+X23815Y14816D1*
+X23724Y14819D1*
+X23224Y14816D1*
+X23134Y14819D1*
+X22634Y14816D1*
+X22425Y14817D1*
+X22421Y14501D1*
+X22425Y14417D1*
+X22422Y14345D1*
+X22425Y13845D1*
+X22422Y13754D1*
+X22425Y13254D1*
+X22422Y13164D1*
+X22425Y12847D1*
+X23093Y12846D1*
+X23343Y12849D1*
+X23880Y12846D1*
+X24392Y12849D1*
+X24396Y13164D1*
+X24392Y13360D1*
+Y13557D1*
+Y13636D1*
+X24311Y13633D1*
+X24187Y13648D1*
+X24070Y13690D1*
+X23965Y13757D1*
+X23877Y13846D1*
+X23812Y13952D1*
+X23772Y14070D1*
+X23759Y14194D1*
+X23774Y14318D1*
+X23817Y14435D1*
+X23885Y14540D1*
+X23974Y14627D1*
+X24081Y14692D1*
+X24199Y14731D1*
+X24323Y14743D1*
+X24396Y14738D1*
+X24392Y14817D1*
+X23683Y14816D1*
+X23486D1*
+X23290D1*
+X23093D1*
+X22896D1*
+X22699D1*
+X22502D1*
+X22427D1*
+X22425Y14107D1*
+Y13910D1*
+Y13713D1*
+Y13516D1*
+Y13320D1*
+Y13123D1*
+Y12926D1*
+Y12850D1*
+X23134Y12849D1*
+X23330D1*
+X23921D1*
+X24118D1*
+X24315D1*
+X24390D1*
+X24392Y13354D1*
+Y13570D1*
+X24315Y14819D2*
+X24065Y14816D1*
+X23868D1*
+X23330Y14819D1*
+X22830Y14816D1*
+X22740Y14819D1*
+X22424Y14816D1*
+X22422Y14148D1*
+X22425Y13648D1*
+X22422Y13557D1*
+X22425Y13057D1*
+X22423Y12849D1*
+X22740Y12845D1*
+X23808Y12849D2*
+X24392D1*
+Y13157D1*
+X6289Y23437D2*
+X6266Y23314D1*
+X6227Y23196D1*
+X6173Y23083D1*
+X6105Y22978D1*
+X6025Y22882D1*
+X5933Y22797D1*
+X5832Y22725D1*
+X5722Y22666D1*
+X5605Y22621D1*
+X5484Y22592D1*
+X5359Y22578D1*
+X5235Y22580D1*
+X5111Y22598D1*
+X4990Y22631D1*
+X4875Y22679D1*
+X4767Y22741D1*
+X4668Y22817D1*
+X4578Y22905D1*
+X4501Y23003D1*
+X4437Y23110D1*
+X4387Y23224D1*
+X4352Y23344D1*
+X4332Y23467D1*
+X4328Y23592D1*
+X4339Y23717D1*
+X4367Y23839D1*
+X4410Y23956D1*
+X4467Y24067D1*
+X4537Y24170D1*
+X4621Y24263D1*
+X4715Y24345D1*
+X4819Y24414D1*
+X4931Y24470D1*
+X5049Y24511D1*
+X5171Y24537D1*
+X5295Y24547D1*
+X5420Y24541D1*
+X5543Y24519D1*
+X5663Y24482D1*
+X5776Y24431D1*
+X5883Y24365D1*
+X5980Y24286D1*
+X6066Y24196D1*
+X6140Y24095D1*
+X6201Y23986D1*
+X6248Y23870D1*
+X6279Y23750D1*
+X6297Y23562D1*
+X6289Y23437D1*
+Y5188D2*
+X6266Y5065D1*
+X6227Y4946D1*
+X6173Y4833D1*
+X6105Y4728D1*
+X6025Y4633D1*
+X5933Y4548D1*
+X5832Y4475D1*
+X5722Y4416D1*
+X5605Y4372D1*
+X5484Y4342D1*
+X5359Y4328D1*
+X5235Y4330D1*
+X5111Y4348D1*
+X4990Y4381D1*
+X4875Y4429D1*
+X4767Y4492D1*
+X4668Y4567D1*
+X4578Y4655D1*
+X4501Y4753D1*
+X4437Y4860D1*
+X4387Y4975D1*
+X4352Y5095D1*
+X4332Y5218D1*
+X4328Y5343D1*
+X4339Y5467D1*
+X4367Y5589D1*
+X4410Y5706D1*
+X4467Y5817D1*
+X4537Y5920D1*
+X4621Y6014D1*
+X4715Y6095D1*
+X4819Y6165D1*
+X4931Y6220D1*
+X5049Y6261D1*
+X5171Y6287D1*
+X5295Y6297D1*
+X5420Y6291D1*
+X5543Y6270D1*
+X5663Y6233D1*
+X5776Y6181D1*
+X5883Y6115D1*
+X5980Y6037D1*
+X6066Y5946D1*
+X6140Y5846D1*
+X6201Y5737D1*
+X6248Y5621D1*
+X6279Y5500D1*
+X6297Y5312D1*
+X6289Y5188D1*
+X37164Y23437D2*
+X37140Y23314D1*
+X37101Y23196D1*
+X37048Y23083D1*
+X36980Y22978D1*
+X36900Y22882D1*
+X36808Y22797D1*
+X36707Y22725D1*
+X36596Y22666D1*
+X36480Y22621D1*
+X36358Y22592D1*
+X36234Y22578D1*
+X36109Y22580D1*
+X35986Y22598D1*
+X35865Y22631D1*
+X35750Y22679D1*
+X35642Y22741D1*
+X35542Y22817D1*
+X35453Y22905D1*
+X35376Y23003D1*
+X35312Y23110D1*
+X35262Y23224D1*
+X35226Y23344D1*
+X35207Y23467D1*
+X35202Y23592D1*
+X35214Y23717D1*
+X35242Y23839D1*
+X35284Y23956D1*
+X35341Y24067D1*
+X35412Y24170D1*
+X35495Y24263D1*
+X35590Y24345D1*
+X35694Y24414D1*
+X35806Y24470D1*
+X35924Y24511D1*
+X36046Y24537D1*
+X36170Y24547D1*
+X36295Y24541D1*
+X36418Y24519D1*
+X36537Y24482D1*
+X36651Y24431D1*
+X36757Y24365D1*
+X36854Y24286D1*
+X36941Y24196D1*
+X37015Y24095D1*
+X37076Y23986D1*
+X37122Y23870D1*
+X37154Y23750D1*
+X37172Y23562D1*
+X37164Y23437D1*
+Y5188D2*
+X37140Y5065D1*
+X37101Y4946D1*
+X37048Y4833D1*
+X36980Y4728D1*
+X36900Y4633D1*
+X36808Y4548D1*
+X36707Y4475D1*
+X36596Y4416D1*
+X36480Y4372D1*
+X36358Y4342D1*
+X36234Y4328D1*
+X36109Y4330D1*
+X35986Y4348D1*
+X35865Y4381D1*
+X35750Y4429D1*
+X35642Y4492D1*
+X35542Y4567D1*
+X35453Y4655D1*
+X35376Y4753D1*
+X35312Y4860D1*
+X35262Y4975D1*
+X35226Y5095D1*
+X35207Y5218D1*
+X35202Y5343D1*
+X35214Y5467D1*
+X35242Y5589D1*
+X35284Y5706D1*
+X35341Y5817D1*
+X35412Y5920D1*
+X35495Y6014D1*
+X35590Y6095D1*
+X35694Y6165D1*
+X35806Y6220D1*
+X35924Y6261D1*
+X36046Y6287D1*
+X36170Y6297D1*
+X36295Y6291D1*
+X36418Y6270D1*
+X36537Y6233D1*
+X36651Y6181D1*
+X36757Y6115D1*
+X36854Y6037D1*
+X36941Y5946D1*
+X37015Y5846D1*
+X37076Y5737D1*
+X37122Y5621D1*
+X37154Y5500D1*
+X37172Y5312D1*
+X37164Y5188D1*
+X31272Y9593D2*
+X32151Y10471D1*
+X31022Y10470D1*
+X30885Y10388D1*
+X30764Y10355D1*
+X30640Y10353D1*
+X30518Y10379D1*
+X30406Y10434D1*
+X30361Y10471D1*
+X30281Y10260D1*
+X30211Y10156D1*
+X30119Y10072D1*
+X30010Y10013D1*
+X29889Y9980D1*
+X29765Y9978D1*
+X29643Y10004D1*
+X29531Y10059D1*
+X29450Y10126D1*
+X28917Y9592D1*
+X31271Y9593D1*
+X28230Y24244D2*
+X26660D1*
+X26663Y23699D1*
+X26689Y21632D1*
+X27167Y21630D1*
+X28434Y22899D1*
+X28432Y24042D1*
+X28229Y24243D1*
+D31*
+X9063Y16813D3*
+D32*
+X10047D3*
+D33*
+X6625Y13188D3*
+X7727D3*
+D34*
+X11687Y11687D3*
+Y10939D3*
+D35*
+X8187Y9000D3*
+Y10102D3*
+X14751Y12875D3*
+Y13978D3*
+D36*
+X16063Y12811D3*
+X16811D3*
+D34*
+X29752Y12938D3*
+Y13686D3*
+D36*
+X28752Y15313D3*
+X28004D3*
+D33*
+X26937Y22687D3*
+X25835D3*
+D37*
+X23752Y10688D3*
+X24421D3*
+X23752Y11188D3*
+X24421D3*
+D38*
+X27002Y14251D3*
+Y14920D3*
+X26437Y14250D3*
+Y14919D3*
+D39*
+X5375Y16813D3*
+D40*
+Y21164D3*
+D42*
+X12688Y9938D3*
+Y9190D3*
+X13593Y9564D3*
+D35*
+X19638Y16200D3*
+Y17302D3*
+D43*
+X22750Y19937D3*
+D44*
+Y19268D3*
+X23655D3*
+Y20016D3*
+D46*
+X10437Y11187D3*
+Y11687D3*
+Y12187D3*
+Y12687D3*
+X8350D3*
+Y12187D3*
+Y11687D3*
+Y11187D3*
+D47*
+X9394Y11935D3*
+D48*
+X16951Y11734D3*
+X16045D3*
+X15140D3*
+D49*
+X16045Y9451D3*
+D50*
+X8937Y19437D3*
+D51*
+Y21799D3*
+D52*
+X7087Y20618D3*
+D53*
+X18937Y20000D3*
+X17953Y19015D3*
+X13031Y20000D3*
+X14016Y19015D3*
+X15984Y20000D3*
+X16969Y23937D3*
+X15000D3*
+X17953D3*
+X14016D3*
+D54*
+X23687Y21625D3*
+X23372D3*
+X23057D3*
+X22742D3*
+X22427D3*
+D55*
+X24809D3*
+X21305D3*
+D56*
+X24809Y23794D3*
+X21305D3*
+D58*
+X31062Y21437D3*
+Y20256D3*
+X30471Y21437D3*
+X29881D3*
+X30767Y20846D3*
+X30176D3*
+X29586D3*
+X29290Y20256D3*
+X29881D3*
+X30471D3*
+X31653D3*
+X32243D3*
+X32834D3*
+Y21437D3*
+X32243D3*
+X31653D3*
+X31357Y20846D3*
+X31948D3*
+X32538D3*
+D59*
+X34251Y23524D3*
+X28070D3*
+D60*
+X22001Y5187D3*
+D61*
+Y5975D3*
+X22789Y5187D3*
+Y5975D3*
+X23576D3*
+Y5187D3*
+X24364D3*
+Y5975D3*
+X25151Y5187D3*
+Y5975D3*
+D62*
+X29627Y5125D3*
+D63*
+X28627D3*
+D60*
+X35000Y12000D3*
+D61*
+X35787D3*
+X35000Y11212D3*
+X35787D3*
+Y10425D3*
+X35000D3*
+Y9637D3*
+X35787D3*
+X35000Y8850D3*
+X35787D3*
+D64*
+X6125Y11437D3*
+Y8917D3*
+D34*
+X9563Y9188D3*
+Y9936D3*
+D36*
+X10687Y9937D3*
+X11435D3*
+D34*
+X19627Y15375D3*
+Y14627D3*
+X17813Y15001D3*
+Y15749D3*
+X17001Y15001D3*
+Y15749D3*
+D36*
+X13812Y15937D3*
+X13064D3*
+X13062Y17062D3*
+X13810D3*
+X16937D3*
+X17685D3*
+X21251Y7000D3*
+X21999D3*
+D34*
+X22750Y17687D3*
+Y18435D3*
+X23687Y17687D3*
+Y18435D3*
+D36*
+X21811Y19813D3*
+X21063D3*
+X21062Y17187D3*
+X21810D3*
+D33*
+X26937Y23625D3*
+X25835D3*
+D34*
+X24562Y19937D3*
+Y19189D3*
+D36*
+X25311Y18499D3*
+X24563D3*
+X29627Y6250D3*
+X28879D3*
+D34*
+X27566Y5313D3*
+Y6061D3*
+X30377Y19064D3*
+Y18316D3*
+X31190Y19064D3*
+Y18316D3*
+X35753Y19064D3*
+Y18316D3*
+X34940Y19064D3*
+Y18316D3*
+X28752Y19064D3*
+Y18316D3*
+X29565Y19064D3*
+Y18316D3*
+X34128Y19064D3*
+Y18316D3*
+X33315Y19064D3*
+Y18316D3*
+X32002Y19064D3*
+Y18316D3*
+D62*
+X7187Y16937D3*
+D63*
+Y17937D3*
+D42*
+X15812Y14937D3*
+Y15685D3*
+X14906Y15311D3*
+X15062Y17437D3*
+Y16689D3*
+X15968Y17063D3*
+D65*
+X20939Y18031D3*
+X21687D3*
+X21313Y18937D3*
+D67*
+X25062Y12750D3*
+Y12946D3*
+Y13143D3*
+Y13340D3*
+Y13537D3*
+Y13734D3*
+Y13931D3*
+Y14127D3*
+Y14324D3*
+Y14521D3*
+Y14718D3*
+Y14915D3*
+D68*
+X24491Y15486D3*
+X24294D3*
+X24097D3*
+X23901D3*
+X23704D3*
+X23507D3*
+X23310D3*
+X23113D3*
+X22916D3*
+X22719D3*
+X22523D3*
+X22326D3*
+D67*
+X21755Y14915D3*
+Y14718D3*
+Y14521D3*
+Y14324D3*
+Y14127D3*
+Y13931D3*
+Y13734D3*
+Y13537D3*
+Y13340D3*
+Y13143D3*
+Y12946D3*
+Y12750D3*
+D68*
+X22326Y12179D3*
+X22523D3*
+X22719D3*
+X22916D3*
+X23113D3*
+X23310D3*
+X23507D3*
+X23704D3*
+X23901D3*
+X24097D3*
+X24294D3*
+X24491D3*
+D69*
+X28815Y12875D3*
+Y14372D3*
+X27909D3*
+Y12875D3*
+M02*